library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package gen_pkg is type alu_ops is (NOP, SUB, ADD, MUL, DIV, DONE); subtype csigned is signed(31 downto 0); end package gen_pkg;