library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package gen_pkg is type alu_ops is (NOP, SUB, ADD, MUL, DIV, DONE); constant CBITS : integer := 32; subtype csigned is signed((CBITS-1) downto 0); --TODO: bei CBITS-1 gibts einen overflow :/ subtype cinteger is integer range -(2**(CBITS-2)) to ((2**(CBITS-2))-1); end package gen_pkg;