library ieee; use ieee.std_logic_1164.all; package demo_pkg is constant SIMULATION : boolean := FALSE; constant PERIOD : time := 10 ns; constant RESETVALUE : std_logic := '0'; constant LED_ON : std_logic := '1'; constant LED_OFF : std_logic := '0'; end demo_pkg;