uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / beh_scanner_tb.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5
6 entity beh_scanner_tb is
7 end entity beh_scanner_tb;
8
9 architecture sim of beh_scanner_tb is
10         -- system
11         signal sys_clk, sys_res_n : std_logic;
12         -- ps/2
13         signal new_data : std_logic;
14         signal data : std_logic_vector(7 downto 0);
15         -- history
16         signal s_char : hbyte;
17         signal s_take, s_done, s_backspace : std_logic;
18         -- parser
19         signal do_it : std_logic;
20         signal finished : std_logic;
21
22         signal stop : boolean := false;
23 begin
24         inst : scanner
25         port map (
26                 sys_clk => sys_clk,
27                 sys_res_n => sys_res_n,
28                 -- ps/2
29                 new_data => new_data,
30                 data => data,
31                 -- history
32                 s_char => s_char,
33                 s_take => s_take,
34                 s_done => s_done,
35                 s_backspace => s_backspace,
36                 -- Parser
37                 do_it => do_it,
38                 finished => finished
39         );
40
41         process
42         begin
43                 sys_clk <= '0';
44                 wait for 15 ns;
45                 sys_clk <= '1';
46                 wait for 15 ns;
47                 if stop = true then
48                         wait;
49                 end if;
50         end process;
51
52         process
53                 -- textio stuff
54                 use std.textio.all;
55                 file f : text open read_mode is "../../src/scanner.test";
56                 variable l : line;
57
58                 variable input : hstring;
59                 variable expectedresult : hstring;
60                 variable realresult : hstring;
61
62                 variable checkall : boolean := true;
63                 variable run_tc, run_inner : boolean := true;
64                 variable i, j, k, y : natural;
65         begin
66                 -- init & reset
67                 sys_res_n <= '0';
68                 new_data <= '0';
69                 data <= (others => '0');
70                 s_done <= '0';
71                 finished <= '0';
72
73                 icwait(sys_clk, 5);
74                 sys_res_n <= '1';
75
76                 i := 1;
77                 f_loop : while not endfile(f) loop
78                         data <= (others => '0');
79                         realresult := (others => nul);
80
81                         f1_loop : while not endfile(f) loop
82                                 readline (f, l);
83                                 input := (others => nul);
84                                 if (l'length <= HSPALTE_MAX+1) then
85                                         input(1 to l'length) := l.all;
86                                         if (input(1) = '#') then
87                                                 next f1_loop;
88                                         else
89                                                 exit f1_loop;
90                                         end if;
91                                 else
92                                         report "fehler in scanner.test: eingabe zu lange in testfall " & natural'image(i);
93                                         next f_loop;
94                                 end if;
95                         end loop f1_loop;
96
97                         f2_loop : while not endfile(f) loop
98                                 readline (f, l);
99                                 expectedresult := (others => nul);
100                                 if (l'length <= HSPALTE_MAX+1) then
101                                         expectedresult(1 to l'length) := l.all;
102                                         if (expectedresult(1) = '#') then
103                                                 next f2_loop;
104                                         else
105                                                 y := l'length;
106                                                 exit f2_loop;
107                                         end if;
108                                 else
109                                         report "fehler in scanner.test: eingabe zu lange in testfall " & natural'image(i);
110                                         next f_loop;
111                                 end if;
112                         end loop f2_loop;
113
114
115
116                         report "testcase(" & natural'image(i) & ").input: " & input;
117                         report "testcase(" & natural'image(i) & ").expectedresult: " & expectedresult;
118                         i := i + 1;
119
120                         icwait(sys_clk, 5);
121                         run_tc := true;
122                         j := 0; k := 1;
123
124                         mainl : while run_tc loop
125                                 icwait(sys_clk, 1);
126                                 j := j + 1;
127
128                                 if j = 73 then
129                                         run_tc := false;
130                                         assert(false) report "wtf @ schleife";
131                                         next mainl;
132                                 end if;
133
134                                 new_data <= '1';
135
136                                 case input(j) is
137                                         when nul => data <= ascii2sc(x"1c"); -- $ (enter)
138                                         when '!' => data <= ascii2sc(x"0e"); -- ! (backspace)
139                                         when '/' =>
140                                                 data <= x"e0";
141                                                 icwait(sys_clk, 1);
142                                                 new_data <= '0';
143                                                 icwait(sys_clk, 1);
144                                                 new_data <= '1';
145                                                 data <= SC_KP_DIV;
146                                         when others => data <= ascii2sc(std_logic_vector(to_unsigned(character'pos(input(j)),8)));
147                                 end case;
148                                 icwait(sys_clk, 1);
149                                 new_data <= '0';
150
151                                 -- ack'en skippen, falls es ein "spezielles" zeichen ist
152                                 if(not valid_char(data)) then
153                                         next mainl;
154                                 end if;
155
156                                 -- wuenschswert waere das hier:
157                                 -- > wait on s_backspace, s_take, do_it;
158                                 -- geht aber leider nicht, weil sich die signale vllt schon
159                                 -- geaendert haben
160                                 run_inner := true;
161                                 main_inner : while run_inner loop
162                                         icwait(sys_clk, 1);
163
164                                         run_inner := false;
165                                         if s_backspace = '1' then
166                                                 if k > 1 then
167                                                         realresult(k) := nul;
168                                                         k := k - 1;
169                                                         realresult(k) := nul;
170                                                 end if;
171                                                 icwait(sys_clk, 1);
172                                                 s_done <= '1';
173                                                 wait on s_take; -- = '0'
174                                                 icwait(sys_clk, 1);
175                                                 s_done <= '0';
176                                         elsif do_it = '1' then
177                                                 -- dauert normalweiser noch laenger (parser braucht
178                                                 -- relativ lange)
179                                                 icwait(sys_clk, 7);
180                                                 finished <= '1';
181                                                 wait on do_it; -- = '0'
182                                                 icwait(sys_clk, 1);
183                                                 finished <= '0';
184
185                                                 run_tc := false;
186                                         elsif s_take = '1' then
187                                                 realresult(k) := character'val(to_integer(unsigned(s_char)));
188                                                 k := k + 1;
189
190                                                 icwait(sys_clk, 1);
191                                                 s_done <= '1';
192                                                 wait on s_take; -- = '0'
193                                                 icwait(sys_clk, 1);
194                                                 s_done <= '0';
195                                         else
196                                                 -- assert(false) report "scanner_tb: kann passieren. wenn tb haengt, dann hier auskommentieren";
197                                                 run_inner := true;
198                                         end if;
199                                 end loop;
200                         end loop;
201
202                         report "realresult                : " & realresult;
203                         if realresult /= expectedresult then
204                                 checkall := false;
205                         end if;
206                         report "==================";
207                 end loop f_loop;
208
209                 if checkall then
210                         report "alle testfaelle des Scanners waren erfolgreich!";
211                 else
212                         report "nicht alle testfaelle des Scanners waren erfolgreich!";
213                 end if;
214                 stop <= true;
215                 wait;
216         end process;
217 end architecture sim;