From 3696caab9416ac54ee349798f4735dd4e7bfe57d Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Wed, 28 Oct 2009 15:10:36 +0100 Subject: [PATCH] dritter slot --- bsp2/Angabe/vga_pll.bdf | 0 bsp2/Angabe/vga_pll.tcl | 0 .../ppr/download/db/prev_cmp_vga_pll.qmsg | 4 + .../ppr/download/db/vga_pll.(0).cnf.cdb | Bin 0 -> 2303 bytes .../ppr/download/db/vga_pll.(0).cnf.hdb | Bin 0 -> 1560 bytes .../ppr/download/db/vga_pll.(1).cnf.cdb | Bin 0 -> 7429 bytes .../ppr/download/db/vga_pll.(1).cnf.hdb | Bin 0 -> 2453 bytes .../ppr/download/db/vga_pll.(2).cnf.cdb | Bin 0 -> 11814 bytes .../ppr/download/db/vga_pll.(2).cnf.hdb | Bin 0 -> 3599 bytes .../ppr/download/db/vga_pll.(3).cnf.cdb | Bin 0 -> 5027 bytes .../ppr/download/db/vga_pll.(3).cnf.hdb | Bin 0 -> 1817 bytes .../ppr/download/db/vga_pll.(4).cnf.cdb | Bin 0 -> 1159 bytes .../ppr/download/db/vga_pll.(4).cnf.hdb | Bin 0 -> 1240 bytes .../ppr/download/db/vga_pll.(5).cnf.cdb | Bin 0 -> 1816 bytes .../ppr/download/db/vga_pll.(5).cnf.hdb | Bin 0 -> 981 bytes .../ppr/download/db/vga_pll.asm.qmsg | 5 + .../ppr/download/db/vga_pll.cbx.xml | 5 + .../ppr/download/db/vga_pll.cmp.cdb | Bin 0 -> 57430 bytes .../ppr/download/db/vga_pll.cmp.hdb | Bin 0 -> 23392 bytes .../ppr/download/db/vga_pll.cmp.kpt | 10 + .../ppr/download/db/vga_pll.cmp.logdb | 1 + .../ppr/download/db/vga_pll.cmp.rdb | Bin 0 -> 40578 bytes .../ppr/download/db/vga_pll.cmp.tdb | Bin 0 -> 46331 bytes .../ppr/download/db/vga_pll.cmp0.ddb | Bin 0 -> 83262 bytes .../ppr/download/db/vga_pll.db_info | 3 + .../ppr/download/db/vga_pll.eco.cdb | Bin 0 -> 161 bytes .../ppr/download/db/vga_pll.eda.qmsg | 5 + .../ppr/download/db/vga_pll.fit.qmsg | 51 + .../ppr/download/db/vga_pll.hier_info | 570 + bsp2/Designflow/ppr/download/db/vga_pll.hif | 1669 ++ .../ppr/download/db/vga_pll.lpc.html | 82 + .../ppr/download/db/vga_pll.lpc.rdb | Bin 0 -> 507 bytes .../ppr/download/db/vga_pll.lpc.txt | 10 + .../ppr/download/db/vga_pll.map.cdb | Bin 0 -> 14741 bytes .../ppr/download/db/vga_pll.map.hdb | Bin 0 -> 22055 bytes .../ppr/download/db/vga_pll.map.logdb | 1 + .../ppr/download/db/vga_pll.map.qmsg | 21 + .../ppr/download/db/vga_pll.pre_map.cdb | Bin 0 -> 23076 bytes .../ppr/download/db/vga_pll.pre_map.hdb | Bin 0 -> 45069 bytes .../ppr/download/db/vga_pll.rtlv.hdb | Bin 0 -> 44877 bytes .../ppr/download/db/vga_pll.rtlv_sg.cdb | Bin 0 -> 26483 bytes .../ppr/download/db/vga_pll.rtlv_sg_swap.cdb | Bin 0 -> 4993 bytes .../ppr/download/db/vga_pll.sgdiff.cdb | Bin 0 -> 14992 bytes .../ppr/download/db/vga_pll.sgdiff.hdb | Bin 0 -> 45148 bytes .../download/db/vga_pll.sld_design_entry.sci | Bin 0 -> 154 bytes .../db/vga_pll.sld_design_entry_dsc.sci | Bin 0 -> 154 bytes .../ppr/download/db/vga_pll.syn_hier_info | 0 .../ppr/download/db/vga_pll.tan.qmsg | 15 + .../ppr/download/db/vga_pll.tis_db_list.ddb | Bin 0 -> 178 bytes .../download/db/vga_pll_global_asgn_op.abo | 15389 ++++++++++++++++ .../ppr/download/incremental_db/README | 11 + .../vga_pll.root_partition.map.kpt | 1710 ++ .../download/simulation/modelsim/vga_pll.sft | 4 + .../download/simulation/modelsim/vga_pll.vo | 11285 +++++++++++ .../simulation/modelsim/vga_pll_modelsim.xrf | 304 + .../simulation/modelsim/vga_pll_v.sdo | 5602 ++++++ bsp2/Designflow/ppr/download/vga.bsf | 260 + bsp2/Designflow/ppr/download/vga_control.bsf | 393 + bsp2/Designflow/ppr/download/vga_driver.bsf | 477 + bsp2/Designflow/ppr/download/vga_pll.asm.rpt | 128 + bsp2/Designflow/ppr/download/vga_pll.done | 1 + bsp2/Designflow/ppr/download/vga_pll.eda.rpt | 94 + bsp2/Designflow/ppr/download/vga_pll.fit.rpt | 1715 ++ bsp2/Designflow/ppr/download/vga_pll.fit.smsg | 8 + .../ppr/download/vga_pll.fit.summary | 14 + bsp2/Designflow/ppr/download/vga_pll.flow.rpt | 127 + bsp2/Designflow/ppr/download/vga_pll.map.rpt | 681 + .../ppr/download/vga_pll.map.summary | 12 + bsp2/Designflow/ppr/download/vga_pll.pin | 748 + bsp2/Designflow/ppr/download/vga_pll.pof | Bin 0 -> 1048717 bytes bsp2/Designflow/ppr/download/vga_pll.qpf | 30 + bsp2/Designflow/ppr/download/vga_pll.qsf | 175 + bsp2/Designflow/ppr/download/vga_pll.sof | Bin 0 -> 966463 bytes bsp2/Designflow/ppr/download/vga_pll.tan.rpt | 931 + .../ppr/download/vga_pll.tan.summary | 76 + bsp2/Designflow/ppr/download/vga_pll.tcl | 184 + .../download/vga_pll_assignment_defaults.qdf | 626 + bsp2/Designflow/ppr/sim/db/vga.(0).cnf.cdb | Bin 0 -> 7427 bytes bsp2/Designflow/ppr/sim/db/vga.(0).cnf.hdb | Bin 0 -> 2443 bytes bsp2/Designflow/ppr/sim/db/vga.(1).cnf.cdb | Bin 0 -> 11815 bytes bsp2/Designflow/ppr/sim/db/vga.(1).cnf.hdb | Bin 0 -> 3576 bytes bsp2/Designflow/ppr/sim/db/vga.(2).cnf.cdb | Bin 0 -> 5028 bytes bsp2/Designflow/ppr/sim/db/vga.(2).cnf.hdb | Bin 0 -> 1813 bytes bsp2/Designflow/ppr/sim/db/vga.asm.qmsg | 5 + bsp2/Designflow/ppr/sim/db/vga.cbx.xml | 5 + bsp2/Designflow/ppr/sim/db/vga.cmp.bpm | Bin 0 -> 1290 bytes bsp2/Designflow/ppr/sim/db/vga.cmp.cdb | Bin 0 -> 56692 bytes bsp2/Designflow/ppr/sim/db/vga.cmp.ecobp | Bin 0 -> 28 bytes bsp2/Designflow/ppr/sim/db/vga.cmp.hdb | Bin 0 -> 19236 bytes bsp2/Designflow/ppr/sim/db/vga.cmp.kpt | 10 + bsp2/Designflow/ppr/sim/db/vga.cmp.logdb | 1 + bsp2/Designflow/ppr/sim/db/vga.cmp.rdb | Bin 0 -> 32317 bytes bsp2/Designflow/ppr/sim/db/vga.cmp.tdb | Bin 0 -> 44977 bytes bsp2/Designflow/ppr/sim/db/vga.cmp0.ddb | Bin 0 -> 80492 bytes bsp2/Designflow/ppr/sim/db/vga.cmp_merge.kpt | 10 + bsp2/Designflow/ppr/sim/db/vga.db_info | 3 + bsp2/Designflow/ppr/sim/db/vga.eco.cdb | Bin 0 -> 161 bytes bsp2/Designflow/ppr/sim/db/vga.eda.qmsg | 5 + bsp2/Designflow/ppr/sim/db/vga.fit.qmsg | 48 + bsp2/Designflow/ppr/sim/db/vga.hier_info | 386 + bsp2/Designflow/ppr/sim/db/vga.hif | 79 + bsp2/Designflow/ppr/sim/db/vga.lpc.html | 50 + bsp2/Designflow/ppr/sim/db/vga.lpc.rdb | Bin 0 -> 463 bytes bsp2/Designflow/ppr/sim/db/vga.lpc.txt | 8 + bsp2/Designflow/ppr/sim/db/vga.map.bpm | Bin 0 -> 1251 bytes bsp2/Designflow/ppr/sim/db/vga.map.cdb | Bin 0 -> 13744 bytes bsp2/Designflow/ppr/sim/db/vga.map.ecobp | Bin 0 -> 28 bytes bsp2/Designflow/ppr/sim/db/vga.map.hdb | Bin 0 -> 17848 bytes bsp2/Designflow/ppr/sim/db/vga.map.kpt | 1710 ++ bsp2/Designflow/ppr/sim/db/vga.map.logdb | 1 + bsp2/Designflow/ppr/sim/db/vga.map.qmsg | 9 + bsp2/Designflow/ppr/sim/db/vga.map_bb.cdb | Bin 0 -> 1355 bytes bsp2/Designflow/ppr/sim/db/vga.map_bb.hdb | Bin 0 -> 8346 bytes bsp2/Designflow/ppr/sim/db/vga.map_bb.logdb | 1 + bsp2/Designflow/ppr/sim/db/vga.pre_map.cdb | Bin 0 -> 21078 bytes bsp2/Designflow/ppr/sim/db/vga.pre_map.hdb | Bin 0 -> 33311 bytes bsp2/Designflow/ppr/sim/db/vga.rtlv.hdb | Bin 0 -> 33207 bytes bsp2/Designflow/ppr/sim/db/vga.rtlv_sg.cdb | Bin 0 -> 22470 bytes .../ppr/sim/db/vga.rtlv_sg_swap.cdb | Bin 0 -> 1449 bytes bsp2/Designflow/ppr/sim/db/vga.sgdiff.cdb | Bin 0 -> 14449 bytes bsp2/Designflow/ppr/sim/db/vga.sgdiff.hdb | Bin 0 -> 33441 bytes .../ppr/sim/db/vga.sld_design_entry.sci | Bin 0 -> 154 bytes .../ppr/sim/db/vga.sld_design_entry_dsc.sci | Bin 0 -> 154 bytes bsp2/Designflow/ppr/sim/db/vga.syn_hier_info | 0 bsp2/Designflow/ppr/sim/db/vga.tan.qmsg | 11 + .../Designflow/ppr/sim/db/vga.tis_db_list.ddb | Bin 0 -> 178 bytes bsp2/Designflow/ppr/sim/db/vga.tmw_info | 7 + .../ppr/sim/db/vga_global_asgn_op.abo | 14970 +++++++++++++++ bsp2/Designflow/ppr/sim/incremental_db/README | 11 + .../vga.root_partition.cmp.atm | Bin 0 -> 47826 bytes .../vga.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../vga.root_partition.cmp.hdbx | Bin 0 -> 10536 bytes .../vga.root_partition.cmp.kpt | 10 + .../vga.root_partition.cmp.logdb | 1 + .../vga.root_partition.cmp.rcf | Bin 0 -> 16763 bytes .../vga.root_partition.map.atm | Bin 0 -> 40192 bytes .../vga.root_partition.map.dpi | Bin 0 -> 1035 bytes .../vga.root_partition.map.hdbx | Bin 0 -> 9693 bytes .../vga.root_partition.map.kpt | 1710 ++ .../ppr/sim/simulation/modelsim/vga.sft | 4 + .../ppr/sim/simulation/modelsim/vga.vho | 7784 ++++++++ .../sim/simulation/modelsim/vga_modelsim.xrf | 269 + .../ppr/sim/simulation/modelsim/vga_vhd.sdo | 5593 ++++++ bsp2/Designflow/ppr/sim/vga.asm.rpt | 128 + bsp2/Designflow/ppr/sim/vga.done | 1 + bsp2/Designflow/ppr/sim/vga.eda.rpt | 94 + bsp2/Designflow/ppr/sim/vga.fit.rpt | 1775 ++ bsp2/Designflow/ppr/sim/vga.fit.smsg | 8 + bsp2/Designflow/ppr/sim/vga.fit.summary | 14 + bsp2/Designflow/ppr/sim/vga.flow.rpt | 126 + bsp2/Designflow/ppr/sim/vga.map.rpt | 245 + bsp2/Designflow/ppr/sim/vga.map.summary | 12 + bsp2/Designflow/ppr/sim/vga.pin | 748 + bsp2/Designflow/ppr/sim/vga.pof | Bin 0 -> 1048717 bytes bsp2/Designflow/ppr/sim/vga.qpf | 30 + bsp2/Designflow/ppr/sim/vga.qsf | 58 + bsp2/Designflow/ppr/sim/vga.qws | 7 + bsp2/Designflow/ppr/sim/vga.sof | Bin 0 -> 966463 bytes bsp2/Designflow/ppr/sim/vga.tan.rpt | 676 + bsp2/Designflow/ppr/sim/vga.tan.summary | 66 + bsp2/Designflow/sim/beh/vsim.wlf | Bin 65536 -> 638976 bytes bsp2/Designflow/sim/beh/work/@_opt/_deps | Bin 6080 -> 6080 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt009954 | Bin 0 -> 3258 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt2g74zk | Bin 0 -> 2315 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt2y9zhd | Bin 0 -> 773 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt35df7r | Bin 0 -> 572 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt3scjcy | Bin 0 -> 8860 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt3x2q9z | Bin 0 -> 4988 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt5xkek6 | Bin 0 -> 572 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt6qn9ni | 417 + bsp2/Designflow/sim/beh/work/@_opt/vopt7ri6bv | Bin 0 -> 875 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt9aj9rv | Bin 0 -> 6440 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt9vm6ha | Bin 0 -> 458 bytes bsp2/Designflow/sim/beh/work/@_opt/voptagjxr0 | Bin 0 -> 2661 bytes bsp2/Designflow/sim/beh/work/@_opt/voptajinca | Bin 0 -> 2525 bytes bsp2/Designflow/sim/beh/work/@_opt/voptax70eh | Bin 0 -> 21168 bytes bsp2/Designflow/sim/beh/work/@_opt/voptb4k7xs | Bin 0 -> 1584 bytes bsp2/Designflow/sim/beh/work/@_opt/voptb71dhz | Bin 0 -> 840 bytes bsp2/Designflow/sim/beh/work/@_opt/voptbm5d0n | Bin 0 -> 37208 bytes bsp2/Designflow/sim/beh/work/@_opt/voptchgsfx | Bin 0 -> 7704 bytes bsp2/Designflow/sim/beh/work/@_opt/vopte73r9y | Bin 0 -> 384 bytes bsp2/Designflow/sim/beh/work/@_opt/vopteknshd | Bin 0 -> 3529 bytes bsp2/Designflow/sim/beh/work/@_opt/voptf4796x | 78 + bsp2/Designflow/sim/beh/work/@_opt/voptfayv7q | Bin 0 -> 224 bytes bsp2/Designflow/sim/beh/work/@_opt/voptfcqjb7 | Bin 0 -> 1547 bytes bsp2/Designflow/sim/beh/work/@_opt/voptgt6hbn | Bin 0 -> 4824 bytes bsp2/Designflow/sim/beh/work/@_opt/vopth7g3j6 | Bin 0 -> 4545 bytes bsp2/Designflow/sim/beh/work/@_opt/voptifizdj | Bin 0 -> 571 bytes bsp2/Designflow/sim/beh/work/@_opt/voptk6dv9q | Bin 0 -> 4144 bytes bsp2/Designflow/sim/beh/work/@_opt/voptkcy4rt | Bin 0 -> 1277 bytes bsp2/Designflow/sim/beh/work/@_opt/voptks0q4v | Bin 0 -> 45512 bytes bsp2/Designflow/sim/beh/work/@_opt/voptkwgj9q | Bin 0 -> 11433 bytes bsp2/Designflow/sim/beh/work/@_opt/voptnfggqs | Bin 0 -> 171 bytes bsp2/Designflow/sim/beh/work/@_opt/voptr6726k | Bin 0 -> 352 bytes bsp2/Designflow/sim/beh/work/@_opt/voptrcbsar | Bin 0 -> 1340 bytes bsp2/Designflow/sim/beh/work/@_opt/voptsr6ac7 | Bin 0 -> 248 bytes bsp2/Designflow/sim/beh/work/@_opt/voptvav5h8 | 239 + bsp2/Designflow/sim/beh/work/@_opt/voptwchwbc | Bin 0 -> 572 bytes bsp2/Designflow/sim/beh/work/@_opt/voptxix15b | Bin 0 -> 1180 bytes bsp2/Designflow/sim/beh/work/@_opt/voptxmb1si | Bin 0 -> 596 bytes bsp2/Designflow/sim/beh/work/@_opt/voptz1s8gf | Bin 0 -> 15586 bytes bsp2/Designflow/sim/beh/work/@_opt/voptz8s950 | Bin 0 -> 5559 bytes bsp2/Designflow/sim/beh/work/@_opt/voptz946ai | Bin 0 -> 1838 bytes bsp2/Designflow/sim/beh/work/@_opt/voptzg89j7 | Bin 0 -> 8815 bytes bsp2/Designflow/sim/beh/work/_info | 337 +- .../sim/beh/work/board_driver/_primary.dat | Bin 352 -> 352 bytes .../sim/beh/work/board_driver/_primary.dbs | Bin 773 -> 773 bytes .../sim/beh/work/board_driver/behav.dat | Bin 1547 -> 1547 bytes .../sim/beh/work/board_driver/behav.dbs | Bin 4824 -> 4824 bytes bsp2/Designflow/sim/beh/work/vga/_primary.dat | Bin 1277 -> 1277 bytes bsp2/Designflow/sim/beh/work/vga/_primary.dbs | Bin 2661 -> 2661 bytes bsp2/Designflow/sim/beh/work/vga/behav.dat | Bin 3258 -> 3258 bytes bsp2/Designflow/sim/beh/work/vga/behav.dbs | Bin 8815 -> 8815 bytes .../sim/beh/work/vga_conf_beh/_primary.dat | Bin 171 -> 171 bytes .../sim/beh/work/vga_conf_beh/_primary.dbs | Bin 458 -> 458 bytes .../sim/beh/work/vga_control/_primary.dat | Bin 596 -> 596 bytes .../sim/beh/work/vga_control/_primary.dbs | Bin 1340 -> 1340 bytes .../sim/beh/work/vga_control/behav.dat | Bin 1580 -> 1584 bytes .../sim/beh/work/vga_control/behav.dbs | Bin 4987 -> 4988 bytes .../sim/beh/work/vga_driver/_primary.dat | Bin 875 -> 875 bytes .../sim/beh/work/vga_driver/_primary.dbs | Bin 1838 -> 1838 bytes .../sim/beh/work/vga_driver/behav.dat | Bin 5559 -> 5559 bytes .../sim/beh/work/vga_driver/behav.dbs | Bin 15586 -> 15586 bytes .../sim/beh/work/vga_pak/_primary.dat | Bin 2315 -> 2315 bytes .../sim/beh/work/vga_pak/_primary.dbs | Bin 3529 -> 3529 bytes .../sim/beh/work/vga_tb/_primary.dat | Bin 224 -> 224 bytes .../sim/beh/work/vga_tb/_primary.dbs | Bin 571 -> 571 bytes .../sim/beh/work/vga_tb/behaviour.dat | Bin 2525 -> 2525 bytes .../sim/beh/work/vga_tb/behaviour.dbs | Bin 6440 -> 6440 bytes bsp2/Designflow/sim/post/modelsim.ini | 1305 ++ bsp2/Designflow/sim/post/vsim.wlf | Bin 0 -> 188416 bytes bsp2/Designflow/sim/post/work/@_opt/_deps | Bin 0 -> 33397 bytes .../Designflow/sim/post/work/@_opt/vopt00qqnd | Bin 0 -> 9700 bytes .../Designflow/sim/post/work/@_opt/vopt03i4q4 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt091j4k | Bin 0 -> 1871 bytes .../Designflow/sim/post/work/@_opt/vopt0btx8r | Bin 0 -> 8696 bytes .../Designflow/sim/post/work/@_opt/vopt0csywb | Bin 0 -> 1894 bytes .../Designflow/sim/post/work/@_opt/vopt0iwyze | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt0q8713 | Bin 0 -> 1312 bytes .../Designflow/sim/post/work/@_opt/vopt0s7iyc | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt0znk5i | Bin 0 -> 9692 bytes .../Designflow/sim/post/work/@_opt/vopt107cy3 | Bin 0 -> 1176 bytes .../Designflow/sim/post/work/@_opt/vopt12gb4k | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt17ywk3 | Bin 0 -> 677 bytes .../Designflow/sim/post/work/@_opt/vopt1jbeh4 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt1qyc9y | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt2cr0es | Bin 0 -> 2636 bytes .../Designflow/sim/post/work/@_opt/vopt2fzb3t | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt2h93h5 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt2j7sjq | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/vopt2r6s7z | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt30q4bt | Bin 0 -> 3720 bytes .../Designflow/sim/post/work/@_opt/vopt331z14 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt38r9jw | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt3a8hey | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt3b8k9f | Bin 0 -> 6576 bytes .../Designflow/sim/post/work/@_opt/vopt3fq06q | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/vopt3hrjrr | Bin 0 -> 9640 bytes .../Designflow/sim/post/work/@_opt/vopt3iefxe | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/vopt3iya43 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt3nv4ci | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt3x0j88 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt426jdi | Bin 0 -> 112927 bytes .../Designflow/sim/post/work/@_opt/vopt4694s9 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt4969zi | Bin 0 -> 2080 bytes .../Designflow/sim/post/work/@_opt/vopt49r605 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt4c3v8k | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt4d8qqh | Bin 0 -> 3572 bytes .../Designflow/sim/post/work/@_opt/vopt4h275n | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt4iin53 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt4qkg3k | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopt4tz97e | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt4vnw38 | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/vopt51idhs | Bin 0 -> 3110 bytes .../Designflow/sim/post/work/@_opt/vopt561809 | Bin 0 -> 3056 bytes .../Designflow/sim/post/work/@_opt/vopt5a5re6 | Bin 0 -> 772 bytes .../Designflow/sim/post/work/@_opt/vopt5fbcnx | Bin 0 -> 575 bytes .../Designflow/sim/post/work/@_opt/vopt5gb2nq | Bin 0 -> 848 bytes .../Designflow/sim/post/work/@_opt/vopt5gfvmh | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt5mck7n | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt5nrryx | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/vopt5s0qh2 | Bin 0 -> 55308 bytes .../Designflow/sim/post/work/@_opt/vopt5ske2r | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt5thech | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt65ecmx | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopt67f9g1 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt67n406 | Bin 0 -> 3512 bytes .../Designflow/sim/post/work/@_opt/vopt69d4h0 | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt6b86vt | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt6gt8gz | Bin 0 -> 1352 bytes .../Designflow/sim/post/work/@_opt/vopt6kggrk | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt6mwswb | Bin 0 -> 169 bytes .../Designflow/sim/post/work/@_opt/vopt6rrznr | Bin 0 -> 4715 bytes .../Designflow/sim/post/work/@_opt/vopt71cjta | Bin 0 -> 9704 bytes .../Designflow/sim/post/work/@_opt/vopt72nn7g | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt768t70 | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt7bks7q | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt7eqzer | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt7gbymz | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt7jcmwv | Bin 0 -> 10110 bytes .../Designflow/sim/post/work/@_opt/vopt7qyrjn | Bin 0 -> 1452 bytes .../Designflow/sim/post/work/@_opt/vopt7waze6 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt82gr95 | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopt82nnbr | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt85agr5 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt8brr6v | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/vopt8brw5z | Bin 0 -> 1631 bytes .../Designflow/sim/post/work/@_opt/vopt8ddh2w | Bin 0 -> 1516 bytes .../Designflow/sim/post/work/@_opt/vopt8diy72 | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/vopt8fy5s3 | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopt8q5nvw | Bin 0 -> 1292 bytes .../Designflow/sim/post/work/@_opt/vopt8qjs4b | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt8qsevq | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt8td3jb | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt8v3ew6 | Bin 0 -> 3288 bytes .../Designflow/sim/post/work/@_opt/vopt8wk4c1 | Bin 0 -> 228 bytes .../Designflow/sim/post/work/@_opt/vopt8wy6bi | Bin 0 -> 21728 bytes .../Designflow/sim/post/work/@_opt/vopt8wyec0 | Bin 0 -> 2091 bytes .../Designflow/sim/post/work/@_opt/vopt91k9s8 | Bin 0 -> 919 bytes .../Designflow/sim/post/work/@_opt/vopt94mxqb | Bin 0 -> 6037 bytes .../Designflow/sim/post/work/@_opt/vopt9akjck | Bin 0 -> 2684 bytes .../Designflow/sim/post/work/@_opt/vopt9g2mc5 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt9hqkis | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt9hvhkb | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt9ttxka | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/vopt9vg40e | Bin 0 -> 1248 bytes .../Designflow/sim/post/work/@_opt/vopt9vqa3t | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt9ybcv1 | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/vopta0jimm | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/vopta2mcid | Bin 0 -> 23104 bytes .../Designflow/sim/post/work/@_opt/vopta3184t | Bin 0 -> 1440 bytes .../Designflow/sim/post/work/@_opt/vopta6d5n4 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopta7z6cq | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopta94t1c | Bin 0 -> 9884 bytes .../Designflow/sim/post/work/@_opt/voptaa0agm | Bin 0 -> 1420 bytes .../Designflow/sim/post/work/@_opt/voptae41hy | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/voptah5hkh | Bin 0 -> 640 bytes .../Designflow/sim/post/work/@_opt/voptaqx56t | Bin 0 -> 6644 bytes .../Designflow/sim/post/work/@_opt/voptaxnwzt | Bin 0 -> 9640 bytes .../Designflow/sim/post/work/@_opt/voptb5mqfg | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptb6whnv | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptb7aka7 | Bin 0 -> 3632 bytes .../Designflow/sim/post/work/@_opt/voptbar5y7 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptbhheds | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptbhnedw | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptbme397 | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptby6z29 | Bin 0 -> 57624 bytes .../Designflow/sim/post/work/@_opt/voptbz0qr2 | Bin 0 -> 9700 bytes .../Designflow/sim/post/work/@_opt/voptbzyn7g | Bin 0 -> 9704 bytes .../Designflow/sim/post/work/@_opt/voptc0dk1m | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/voptcdhr7t | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/voptcewva1 | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptcg0v0b | Bin 0 -> 2840 bytes .../Designflow/sim/post/work/@_opt/voptcghkg1 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptchasvn | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptcjfh3v | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptcqhm1y | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptcrk4he | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptct01mm | Bin 0 -> 2422 bytes .../Designflow/sim/post/work/@_opt/voptcvchba | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptcvkr3m | Bin 0 -> 26888 bytes .../Designflow/sim/post/work/@_opt/voptd0d7g5 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptd4g40i | Bin 0 -> 3228 bytes .../Designflow/sim/post/work/@_opt/voptda2aci | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/voptddzyqm | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptdfdxd4 | Bin 0 -> 408 bytes .../Designflow/sim/post/work/@_opt/voptdgc1yh | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptdqbzt8 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptds4na0 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptds6tbb | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptdsdzk0 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptdt2s5n | Bin 0 -> 720 bytes .../Designflow/sim/post/work/@_opt/voptdt3gj7 | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptdw747w | Bin 0 -> 1587 bytes .../Designflow/sim/post/work/@_opt/voptdwk554 | Bin 0 -> 1236 bytes .../Designflow/sim/post/work/@_opt/voptdzjn8b | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopte1t80m | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopte2q22j | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptefd6ch | Bin 0 -> 462 bytes .../Designflow/sim/post/work/@_opt/vopteg5a2k | Bin 0 -> 762743 bytes .../Designflow/sim/post/work/@_opt/voptej10ar | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/vopteqs6ri | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptewhzez | Bin 0 -> 4488 bytes .../Designflow/sim/post/work/@_opt/voptf0yc8v | Bin 0 -> 9756 bytes .../Designflow/sim/post/work/@_opt/voptf2xrff | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptf6xte1 | Bin 0 -> 1516 bytes .../Designflow/sim/post/work/@_opt/voptfkc113 | Bin 0 -> 2486 bytes .../Designflow/sim/post/work/@_opt/voptfsh4rq | Bin 0 -> 6285 bytes .../Designflow/sim/post/work/@_opt/voptfsz6s1 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptft4ys3 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptg0bzeb | Bin 0 -> 1821 bytes .../Designflow/sim/post/work/@_opt/voptg21icf | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptg7rzei | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptgezgmn | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptghyhn8 | Bin 0 -> 884 bytes .../Designflow/sim/post/work/@_opt/voptgr842d | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptgs4xtm | Bin 0 -> 392 bytes .../Designflow/sim/post/work/@_opt/voptgt0jww | Bin 0 -> 9692 bytes .../Designflow/sim/post/work/@_opt/voptgt9d2h | Bin 0 -> 1288 bytes .../Designflow/sim/post/work/@_opt/voptgtehcz | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptgy7qd4 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopth0i4zv | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopth35kwn | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopth6kbqj | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopth6n3f2 | Bin 0 -> 1673 bytes .../Designflow/sim/post/work/@_opt/vopth8qdda | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopth9ecar | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/vopthgmyy6 | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/vopthj0czk | Bin 0 -> 9692 bytes .../Designflow/sim/post/work/@_opt/vopthqdjvk | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopthsenw0 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopti296dn | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopti46q3s | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopti5nwxk | Bin 0 -> 9640 bytes .../Designflow/sim/post/work/@_opt/vopti897et | Bin 0 -> 1696 bytes .../Designflow/sim/post/work/@_opt/vopti8eefs | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopti964zn | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptic1245 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopticzci1 | Bin 0 -> 6684 bytes .../Designflow/sim/post/work/@_opt/voptid9c70 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptifhi1b | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptihez76 | Bin 0 -> 9756 bytes .../Designflow/sim/post/work/@_opt/voptijvxbe | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptik4fxq | Bin 0 -> 9640 bytes .../Designflow/sim/post/work/@_opt/voptiwt4sg | Bin 0 -> 2315 bytes .../Designflow/sim/post/work/@_opt/voptiwvjs7 | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/voptj26i2n | Bin 0 -> 9197 bytes .../Designflow/sim/post/work/@_opt/voptj39csv | Bin 0 -> 7750 bytes .../Designflow/sim/post/work/@_opt/voptj6rc28 | Bin 0 -> 281741 bytes .../Designflow/sim/post/work/@_opt/voptjfziqt | 417 + .../Designflow/sim/post/work/@_opt/voptjgkhdf | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptjhh1vc | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptjim9vy | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptjkdij0 | 78 + .../Designflow/sim/post/work/@_opt/voptjnijzg | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptjqbssy | Bin 0 -> 18134 bytes .../Designflow/sim/post/work/@_opt/voptjtee4i | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptjx8fh3 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptjz7hkt | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptk48zgz | Bin 0 -> 6512 bytes .../Designflow/sim/post/work/@_opt/voptkcj847 | Bin 0 -> 6744 bytes .../Designflow/sim/post/work/@_opt/voptkgcij0 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptkkn0cj | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptksmswy | Bin 0 -> 9756 bytes .../Designflow/sim/post/work/@_opt/voptkv25he | Bin 0 -> 10405 bytes .../Designflow/sim/post/work/@_opt/voptkyi9vj | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptkzmj14 | Bin 0 -> 9640 bytes .../Designflow/sim/post/work/@_opt/voptm0rj8b | Bin 0 -> 878 bytes .../Designflow/sim/post/work/@_opt/voptm141mi | Bin 0 -> 3885 bytes .../Designflow/sim/post/work/@_opt/voptm3i2kn | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/voptm8c7zy | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptmceg0r | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptmd8qix | Bin 0 -> 256 bytes .../Designflow/sim/post/work/@_opt/voptmh468g | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptmjqjnt | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/voptmjri2d | Bin 0 -> 57624 bytes .../Designflow/sim/post/work/@_opt/voptmriww7 | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/voptms8xtv | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptmye5rk | Bin 0 -> 9632 bytes .../Designflow/sim/post/work/@_opt/voptn11vga | Bin 0 -> 1236 bytes .../Designflow/sim/post/work/@_opt/voptn20wis | Bin 0 -> 1348 bytes .../Designflow/sim/post/work/@_opt/voptn4qqqs | Bin 0 -> 1575 bytes .../Designflow/sim/post/work/@_opt/voptn9xjw1 | Bin 0 -> 1168 bytes .../Designflow/sim/post/work/@_opt/voptndifkg | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptne79i0 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptng0rs0 | Bin 0 -> 6704 bytes .../Designflow/sim/post/work/@_opt/voptngw3tb | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptnrsx9z | Bin 0 -> 3516 bytes .../Designflow/sim/post/work/@_opt/voptns55r8 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptnt3m7n | Bin 0 -> 1296 bytes .../Designflow/sim/post/work/@_opt/voptnvirzs | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptnvq0gf | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptq2f7cm | Bin 0 -> 7648 bytes .../Designflow/sim/post/work/@_opt/voptq4kcg4 | Bin 0 -> 6580 bytes .../Designflow/sim/post/work/@_opt/voptq6ec9z | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptqb2ndj | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptqc4zvq | Bin 0 -> 4698 bytes .../Designflow/sim/post/work/@_opt/voptqntq2t | Bin 0 -> 10882 bytes .../Designflow/sim/post/work/@_opt/voptqtgfrb | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptr9aw3s | Bin 0 -> 1452 bytes .../Designflow/sim/post/work/@_opt/voptrmqehx | Bin 0 -> 1953 bytes .../Designflow/sim/post/work/@_opt/voptrsy97v | Bin 0 -> 45488 bytes .../Designflow/sim/post/work/@_opt/voptrtndwr | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptrv7zgi | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptrxdaa3 | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/vopts5w46y | Bin 0 -> 1476 bytes .../Designflow/sim/post/work/@_opt/vopts94imt | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptsaywbs | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptsbz4xc | Bin 0 -> 9764 bytes .../Designflow/sim/post/work/@_opt/voptsfq5kg | Bin 0 -> 9756 bytes .../Designflow/sim/post/work/@_opt/voptsgh9a8 | Bin 0 -> 6104 bytes .../Designflow/sim/post/work/@_opt/voptsgid5w | Bin 0 -> 2605 bytes .../Designflow/sim/post/work/@_opt/voptshw0gh | Bin 0 -> 9820 bytes .../Designflow/sim/post/work/@_opt/voptsr06xh | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptssx861 | Bin 0 -> 1234 bytes .../Designflow/sim/post/work/@_opt/voptsxm18z | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptt48kbx | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/voptt4j84e | Bin 0 -> 9692 bytes .../Designflow/sim/post/work/@_opt/voptt5emqk | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptt6fia8 | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptt6gkgz | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptter446 | Bin 0 -> 2314 bytes .../Designflow/sim/post/work/@_opt/vopttf3fg5 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopttjqd0s | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopttm8k8b | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopttr5jbw | Bin 0 -> 5712 bytes .../Designflow/sim/post/work/@_opt/vopttt0qvy | Bin 0 -> 6447 bytes .../Designflow/sim/post/work/@_opt/vopttykhsi | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptv1nfdy | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptv6zscx | Bin 0 -> 8357 bytes .../Designflow/sim/post/work/@_opt/voptv84sen | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptvb7adm | 1227 ++ .../Designflow/sim/post/work/@_opt/voptvbm0ww | 150 + .../Designflow/sim/post/work/@_opt/voptvbqs8d | Bin 0 -> 9756 bytes .../Designflow/sim/post/work/@_opt/voptvbwy0f | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptvqn3hv | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptvrfmef | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptvtt9dy | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptw0d7cn | Bin 0 -> 4942 bytes .../Designflow/sim/post/work/@_opt/voptw0m98s | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptw2m9a1 | Bin 0 -> 4770 bytes .../Designflow/sim/post/work/@_opt/voptwb0hgd | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptwbd338 | Bin 0 -> 1267 bytes .../Designflow/sim/post/work/@_opt/voptwbgg9x | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptwew3fg | Bin 0 -> 6640 bytes .../Designflow/sim/post/work/@_opt/voptwnfe8x | Bin 0 -> 1480 bytes .../Designflow/sim/post/work/@_opt/voptwnm2vt | Bin 0 -> 2903 bytes .../Designflow/sim/post/work/@_opt/voptwnmd2a | Bin 0 -> 20833 bytes .../Designflow/sim/post/work/@_opt/voptwr1t0y | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptwrtt10 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptwyx412 | Bin 0 -> 1592 bytes .../Designflow/sim/post/work/@_opt/voptx5jfq5 | Bin 0 -> 5512 bytes .../Designflow/sim/post/work/@_opt/voptx9nqdi | Bin 0 -> 688 bytes .../Designflow/sim/post/work/@_opt/voptxaa1vt | Bin 0 -> 1104 bytes .../Designflow/sim/post/work/@_opt/voptxjhz85 | Bin 0 -> 9756 bytes .../Designflow/sim/post/work/@_opt/voptxmrs3n | Bin 0 -> 4088 bytes .../Designflow/sim/post/work/@_opt/voptxvymic | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptxws076 | Bin 0 -> 10882 bytes .../Designflow/sim/post/work/@_opt/voptxzd5vb | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopty09j88 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopty58dw3 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopty6fx7g | Bin 0 -> 19620 bytes .../Designflow/sim/post/work/@_opt/vopty6nbi8 | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptyajdrw | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptyd64cj | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptyj4nqk | Bin 0 -> 31744 bytes .../Designflow/sim/post/work/@_opt/voptynn200 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptyqv1kf | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/voptz0kv95 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptz2wgrf | Bin 0 -> 3529 bytes .../Designflow/sim/post/work/@_opt/voptz56gmz | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptz7kj06 | Bin 0 -> 1419 bytes .../Designflow/sim/post/work/@_opt/voptzbqwfb | Bin 0 -> 253 bytes .../Designflow/sim/post/work/@_opt/voptzcdkae | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptze4v2z | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptzeb8fg | Bin 0 -> 2812416 bytes .../Designflow/sim/post/work/@_opt/voptzjsx20 | Bin 0 -> 6565 bytes .../Designflow/sim/post/work/@_opt/voptzqd5zi | Bin 0 -> 533 bytes .../Designflow/sim/post/work/@_opt/voptzsiehk | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptzy7kan | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptzysmd5 | Bin 0 -> 1412 bytes bsp2/Designflow/sim/post/work/@_opt1/__sdf1 | Bin 0 -> 33649 bytes bsp2/Designflow/sim/post/work/@_opt1/_deps | Bin 0 -> 34121 bytes .../sim/post/work/@_opt1/vopt039bdc | Bin 0 -> 1412 bytes .../sim/post/work/@_opt1/vopt040vcs | Bin 0 -> 20833 bytes .../sim/post/work/@_opt1/vopt052iy2 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopt070vdc | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt09nsyi | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/vopt0cdq2r | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt0exdhy | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt0ffn7h | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt0ghsbd | Bin 0 -> 1953 bytes .../sim/post/work/@_opt1/vopt0h4d3m | Bin 0 -> 1452 bytes .../sim/post/work/@_opt1/vopt0rdjjc | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt0tmdti | Bin 0 -> 6744 bytes .../sim/post/work/@_opt1/vopt0w2h82 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt0x9ffx | Bin 0 -> 1236 bytes .../sim/post/work/@_opt1/vopt13tw8q | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt15g5tc | Bin 0 -> 9636 bytes .../sim/post/work/@_opt1/vopt16n3f1 | Bin 0 -> 6447 bytes .../sim/post/work/@_opt1/vopt18ty00 | Bin 0 -> 57624 bytes .../sim/post/work/@_opt1/vopt1a6b67 | Bin 0 -> 1568 bytes .../sim/post/work/@_opt1/vopt1edq65 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt1h8mtc | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/vopt1jyaaz | Bin 0 -> 9696 bytes .../sim/post/work/@_opt1/vopt1k93hs | Bin 0 -> 1356 bytes .../sim/post/work/@_opt1/vopt1kadsk | Bin 0 -> 256 bytes .../sim/post/work/@_opt1/vopt1n3213 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt1tbeti | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt28em6f | Bin 0 -> 9644 bytes .../sim/post/work/@_opt1/vopt28v08s | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt2ehy1x | Bin 0 -> 9644 bytes .../sim/post/work/@_opt1/vopt2h2v25 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt2kvmja | Bin 0 -> 9197 bytes .../sim/post/work/@_opt1/vopt2nn0y2 | Bin 0 -> 9760 bytes .../sim/post/work/@_opt1/vopt2nt34j | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt2r4g3i | Bin 0 -> 3056 bytes .../sim/post/work/@_opt1/vopt2vxgwn | Bin 0 -> 57624 bytes .../sim/post/work/@_opt1/vopt30ykn0 | Bin 0 -> 6565 bytes .../sim/post/work/@_opt1/vopt31dic4 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt32rbez | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt359gx8 | Bin 0 -> 3516 bytes .../sim/post/work/@_opt1/vopt37bdwh | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt3bf680 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopt3hw928 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt3kkzd4 | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/vopt3m14fx | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt3n7c1q | Bin 0 -> 9696 bytes .../sim/post/work/@_opt1/vopt3qb3ag | Bin 0 -> 1568 bytes .../sim/post/work/@_opt1/vopt3tc3hw | Bin 0 -> 8357 bytes .../sim/post/work/@_opt1/vopt3wtqzf | Bin 0 -> 2605 bytes .../sim/post/work/@_opt1/vopt3xkvm4 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt3z85rf | Bin 0 -> 1416 bytes .../sim/post/work/@_opt1/vopt401a4r | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt415f0n | Bin 0 -> 688 bytes .../sim/post/work/@_opt1/vopt47bh88 | Bin 0 -> 575 bytes .../sim/post/work/@_opt1/vopt48fks6 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt4hbagx | 78 + .../sim/post/work/@_opt1/vopt4jfc3y | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopt4yv32r | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopt54qbg8 | Bin 0 -> 1232 bytes .../sim/post/work/@_opt1/vopt5b67ir | Bin 0 -> 23104 bytes .../sim/post/work/@_opt1/vopt5fhzzt | Bin 0 -> 2684 bytes .../sim/post/work/@_opt1/vopt5jevv5 | Bin 0 -> 6516 bytes .../sim/post/work/@_opt1/vopt5k1h3t | Bin 0 -> 1419 bytes .../sim/post/work/@_opt1/vopt5kwfjx | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt5s66im | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/vopt5svyrh | Bin 0 -> 677 bytes .../sim/post/work/@_opt1/vopt5y00f5 | Bin 0 -> 26888 bytes .../sim/post/work/@_opt1/vopt618wc9 | Bin 0 -> 281741 bytes .../sim/post/work/@_opt1/vopt62x40x | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt67a28y | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt6emj0s | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt6ffw1q | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt6hm2zi | Bin 0 -> 6037 bytes .../sim/post/work/@_opt1/vopt6kzdg0 | Bin 0 -> 1504 bytes .../sim/post/work/@_opt1/vopt6tkezm | Bin 0 -> 9644 bytes .../sim/post/work/@_opt1/vopt6wv783 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt706q3m | Bin 0 -> 228 bytes .../sim/post/work/@_opt1/vopt70b63d | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt70x14i | Bin 0 -> 5512 bytes .../sim/post/work/@_opt1/vopt78vc7q | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopt7c4anw | Bin 0 -> 6582 bytes .../sim/post/work/@_opt1/vopt7carsj | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt7ei9r9 | Bin 0 -> 752758 bytes .../sim/post/work/@_opt1/vopt7esjzr | Bin 0 -> 1894 bytes .../sim/post/work/@_opt1/vopt7k2jrf | Bin 0 -> 9760 bytes .../sim/post/work/@_opt1/vopt7mi9kn | Bin 0 -> 8696 bytes .../sim/post/work/@_opt1/vopt7y4w8r | Bin 0 -> 1631 bytes .../sim/post/work/@_opt1/vopt7ye54y | Bin 0 -> 1452 bytes .../sim/post/work/@_opt1/vopt7zsssd | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopt7zy0g1 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt83wnnj | Bin 0 -> 9704 bytes .../sim/post/work/@_opt1/vopt88cb78 | Bin 0 -> 24144 bytes .../sim/post/work/@_opt1/vopt8jjbsj | Bin 0 -> 1296 bytes .../sim/post/work/@_opt1/vopt8qx8x4 | Bin 0 -> 4088 bytes .../sim/post/work/@_opt1/vopt8vz1y8 | Bin 0 -> 1356 bytes .../sim/post/work/@_opt1/vopt8w4aki | Bin 0 -> 1356 bytes .../sim/post/work/@_opt1/vopt940sy3 | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/vopt94zryt | Bin 0 -> 24144 bytes .../sim/post/work/@_opt1/vopt9dgx7e | Bin 0 -> 392 bytes .../sim/post/work/@_opt1/vopt9f72wv | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopt9mn6ts | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt9qhaay | Bin 0 -> 1348 bytes .../sim/post/work/@_opt1/vopt9rji9s | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopt9w3drb | Bin 0 -> 1568 bytes .../sim/post/work/@_opt1/vopta030a5 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopta13x2f | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopta83ky5 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptae09ec | Bin 0 -> 4488 bytes .../sim/post/work/@_opt1/voptagzj0z | Bin 0 -> 640 bytes .../sim/post/work/@_opt1/voptat539d | Bin 0 -> 21728 bytes .../sim/post/work/@_opt1/voptawf3sa | Bin 0 -> 26888 bytes .../sim/post/work/@_opt1/voptawy34h | Bin 0 -> 1592 bytes .../sim/post/work/@_opt1/voptb232it | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptb5kr1x | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptbeiwd1 | Bin 0 -> 2422 bytes .../sim/post/work/@_opt1/voptbgvx82 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptbr5ca4 | Bin 0 -> 18134 bytes .../sim/post/work/@_opt1/voptbrx5x9 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptbt0vhq | Bin 0 -> 1172 bytes .../sim/post/work/@_opt1/voptc14rh5 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptc1k3qk | Bin 0 -> 2314 bytes .../sim/post/work/@_opt1/voptc6mj0g | Bin 0 -> 720 bytes .../sim/post/work/@_opt1/voptcbsi42 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptcjvmbe | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptckwas7 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptcnix6h | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptcr9w3h | Bin 0 -> 408 bytes .../sim/post/work/@_opt1/voptcrgq67 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptcvfwzy | Bin 0 -> 1412 bytes .../sim/post/work/@_opt1/voptcw7zrc | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/voptd6wicw | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptd9cevi | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptdcnjdn | Bin 0 -> 10110 bytes .../sim/post/work/@_opt1/voptde4bqe | Bin 0 -> 1696 bytes .../sim/post/work/@_opt1/voptdgf5yz | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptdgrmy2 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptdmxtvf | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/voptds7ekz | Bin 0 -> 9696 bytes .../sim/post/work/@_opt1/voptdt3yjr | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptdtn0yy | Bin 0 -> 1356 bytes .../sim/post/work/@_opt1/voptdy296m | Bin 0 -> 1288 bytes .../sim/post/work/@_opt1/voptdzedcm | Bin 0 -> 10405 bytes .../sim/post/work/@_opt1/voptdzxgct | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopte7wcyy | Bin 0 -> 1504 bytes .../sim/post/work/@_opt1/vopte8warm | Bin 0 -> 9760 bytes .../sim/post/work/@_opt1/vopteb4x89 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptebycd6 | Bin 0 -> 3232 bytes .../sim/post/work/@_opt1/voptedzkjf | Bin 0 -> 1234 bytes .../sim/post/work/@_opt1/vopteg6bv3 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptekj721 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopteqvh7g | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopteraw9t | Bin 0 -> 1516 bytes .../sim/post/work/@_opt1/voptes20e0 | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/voptesi0mf | Bin 0 -> 2486 bytes .../sim/post/work/@_opt1/vopteta8tj | Bin 0 -> 9768 bytes .../sim/post/work/@_opt1/voptetnx2z | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptevrj3i | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptewvf47 | Bin 0 -> 55132 bytes .../sim/post/work/@_opt1/voptezxnhj | Bin 0 -> 6582 bytes .../sim/post/work/@_opt1/voptf383t5 | Bin 0 -> 884 bytes .../sim/post/work/@_opt1/voptf5j2i2 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptfc397f | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/voptfdr8by | Bin 0 -> 6582 bytes .../sim/post/work/@_opt1/voptffm4fj | Bin 0 -> 1168 bytes .../sim/post/work/@_opt1/voptffn7sx | Bin 0 -> 1587 bytes .../sim/post/work/@_opt1/voptfk0e4z | Bin 0 -> 4698 bytes .../sim/post/work/@_opt1/voptfrjv23 | Bin 0 -> 10882 bytes .../sim/post/work/@_opt1/voptfwt15a | Bin 0 -> 1480 bytes .../sim/post/work/@_opt1/voptfx1akt | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptg5fdrd | Bin 0 -> 19620 bytes .../sim/post/work/@_opt1/voptg5sc5i | Bin 0 -> 9644 bytes .../sim/post/work/@_opt1/voptgakv5b | Bin 0 -> 6648 bytes .../sim/post/work/@_opt1/voptgd6mxj | Bin 0 -> 1412 bytes .../sim/post/work/@_opt1/voptgfk55k | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptgmkdvk | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptgns4qk | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptgnxnfq | Bin 0 -> 1516 bytes .../sim/post/work/@_opt1/voptgrwiyk | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptgvyzzt | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptgxrdem | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopth01m6f | Bin 0 -> 3720 bytes .../sim/post/work/@_opt1/vopth53cz1 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopth79g9s | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopth7mzsi | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopthd05ez | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopthh6c0y | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopthmc0vk | Bin 0 -> 1416 bytes .../sim/post/work/@_opt1/vopthykjxf | Bin 0 -> 2091 bytes .../sim/post/work/@_opt1/vopthz0gm5 | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/vopti2scnh | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopti7sggn | Bin 0 -> 3632 bytes .../sim/post/work/@_opt1/voptia9m63 | 1227 ++ .../sim/post/work/@_opt1/voptiaz95a | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptie13cd | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptie561t | Bin 0 -> 1232 bytes .../sim/post/work/@_opt1/voptig8ime | Bin 0 -> 1176 bytes .../sim/post/work/@_opt1/voptinv0i3 | Bin 0 -> 2903 bytes .../sim/post/work/@_opt1/voptis3s7s | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptit2yza | Bin 0 -> 169 bytes .../sim/post/work/@_opt1/voptiy100s | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptj7jgnj | Bin 0 -> 6708 bytes .../sim/post/work/@_opt1/voptj9qi4i | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptjbq8k0 | Bin 0 -> 6104 bytes .../sim/post/work/@_opt1/voptjj0ge6 | 155 + .../sim/post/work/@_opt1/voptjska35 | Bin 0 -> 1172 bytes .../sim/post/work/@_opt1/voptk1skx1 | Bin 0 -> 3885 bytes .../sim/post/work/@_opt1/voptk537qj | Bin 0 -> 1420 bytes .../sim/post/work/@_opt1/voptk8m194 | Bin 0 -> 1568 bytes .../sim/post/work/@_opt1/voptkckgh2 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptkdn6xb | Bin 0 -> 1416 bytes .../sim/post/work/@_opt1/voptkdz0r8 | Bin 0 -> 6584 bytes .../sim/post/work/@_opt1/voptkfjbd2 | Bin 0 -> 10882 bytes .../sim/post/work/@_opt1/voptknmcdw | Bin 0 -> 9696 bytes .../sim/post/work/@_opt1/voptkq7h5x | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptkss28b | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptktndk4 | Bin 0 -> 9824 bytes .../sim/post/work/@_opt1/voptkv6hv2 | Bin 0 -> 7750 bytes .../sim/post/work/@_opt1/voptm04b97 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptm40v6r | Bin 0 -> 9760 bytes .../sim/post/work/@_opt1/voptm457mn | Bin 0 -> 1476 bytes .../sim/post/work/@_opt1/voptmfqfhz | Bin 0 -> 1871 bytes .../sim/post/work/@_opt1/voptmfs8i0 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptmgsf14 | Bin 0 -> 9644 bytes .../sim/post/work/@_opt1/voptmrs4m4 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptmsm2ew | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptn0vk3r | Bin 0 -> 1416 bytes .../sim/post/work/@_opt1/voptnazj0s | Bin 0 -> 2758272 bytes .../sim/post/work/@_opt1/voptnb31s7 | Bin 0 -> 9708 bytes .../sim/post/work/@_opt1/voptndb7hy | Bin 0 -> 848 bytes .../sim/post/work/@_opt1/voptndhqjv | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptnhaa01 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptnm9ajv | Bin 0 -> 7648 bytes .../sim/post/work/@_opt1/voptntr505 | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/voptnv570i | Bin 0 -> 3529 bytes .../sim/post/work/@_opt1/voptnx940m | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptnyj8aj | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptnzj1nv | Bin 0 -> 2636 bytes .../sim/post/work/@_opt1/voptq3w7m6 | Bin 0 -> 1416 bytes .../sim/post/work/@_opt1/voptq4c7hz | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptq8e5cf | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptq8knmb | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptq9dief | Bin 0 -> 3512 bytes .../sim/post/work/@_opt1/voptqasr4t | Bin 0 -> 1292 bytes .../sim/post/work/@_opt1/voptqbh3t0 | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/voptqcg4s0 | Bin 0 -> 3288 bytes .../sim/post/work/@_opt1/voptqiejfr | Bin 0 -> 6285 bytes .../sim/post/work/@_opt1/voptqjk27d | Bin 0 -> 1575 bytes .../sim/post/work/@_opt1/voptqkj5wc | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptqmhyt3 | Bin 0 -> 6684 bytes .../sim/post/work/@_opt1/voptqnjzvt | Bin 0 -> 6447 bytes .../sim/post/work/@_opt1/voptqsdtxw | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptqxfqg2 | Bin 0 -> 4770 bytes .../sim/post/work/@_opt1/voptqyq3jh | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptr4fqde | Bin 0 -> 3572 bytes .../sim/post/work/@_opt1/voptrdf4g7 | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptrfywwc | Bin 0 -> 2080 bytes .../sim/post/work/@_opt1/voptrh4z3k | Bin 0 -> 1232 bytes .../sim/post/work/@_opt1/voptrirjxx | Bin 0 -> 1504 bytes .../sim/post/work/@_opt1/voptrj2m5m | Bin 0 -> 1352 bytes .../sim/post/work/@_opt1/voptrjvjkv | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptrq7eyw | Bin 0 -> 9760 bytes .../sim/post/work/@_opt1/voptrsq7hf | Bin 0 -> 878 bytes .../sim/post/work/@_opt1/voptrssixd | Bin 0 -> 919 bytes .../sim/post/work/@_opt1/voptrsttt7 | Bin 0 -> 1172 bytes .../sim/post/work/@_opt1/voptrt4nbm | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptrznvjt | Bin 0 -> 24144 bytes .../sim/post/work/@_opt1/vopts2eb1q | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopts3bqsj | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/vopts4iwqg | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopts8exkr | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptsbffv7 | Bin 0 -> 1821 bytes .../sim/post/work/@_opt1/voptsbnsix | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/voptsdkvq5 | Bin 0 -> 1568 bytes .../sim/post/work/@_opt1/voptse94zx | Bin 0 -> 1673 bytes .../sim/post/work/@_opt1/voptseg4zb | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptsm06gy | Bin 0 -> 533 bytes .../sim/post/work/@_opt1/voptss94cf | Bin 0 -> 9760 bytes .../sim/post/work/@_opt1/voptstddvh | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptt11fet | Bin 0 -> 1267 bytes .../sim/post/work/@_opt1/voptt6wekd | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptt8jw8h | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/vopttc8cn7 | Bin 0 -> 1104 bytes .../sim/post/work/@_opt1/vopttedmmr | 417 + .../sim/post/work/@_opt1/vopttf7hc9 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopttk2z01 | Bin 0 -> 1172 bytes .../sim/post/work/@_opt1/vopttmg7a2 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopttqw0ej | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptttfmae | Bin 0 -> 253 bytes .../sim/post/work/@_opt1/vopttvgq0t | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopttvjhhd | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/vopttxv69x | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptv0ehqv | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptv8cf4d | Bin 0 -> 6582 bytes .../sim/post/work/@_opt1/voptvf8bsv | Bin 0 -> 3228 bytes .../sim/post/work/@_opt1/voptvhb858 | Bin 0 -> 1248 bytes .../sim/post/work/@_opt1/voptvheqzb | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptvk0q57 | Bin 0 -> 9704 bytes .../sim/post/work/@_opt1/voptvm4zgn | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptvqddba | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptw1mve2 | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptw24yxa | Bin 0 -> 5712 bytes .../sim/post/work/@_opt1/voptwg19xb | Bin 0 -> 31680 bytes .../sim/post/work/@_opt1/voptwjirx2 | Bin 0 -> 1312 bytes .../sim/post/work/@_opt1/voptwr6id4 | Bin 0 -> 9708 bytes .../sim/post/work/@_opt1/voptwtd36b | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptwvhssr | Bin 0 -> 4942 bytes .../sim/post/work/@_opt1/voptx4c85b | Bin 0 -> 1412 bytes .../sim/post/work/@_opt1/voptx6wg4x | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/voptx8erz5 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptx8xv76 | Bin 0 -> 462 bytes .../sim/post/work/@_opt1/voptxfsgwh | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptxhst5k | Bin 0 -> 772 bytes .../sim/post/work/@_opt1/voptxjg0xw | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptxkf1f4 | Bin 0 -> 13693 bytes .../sim/post/work/@_opt1/voptxmvty0 | Bin 0 -> 1440 bytes .../sim/post/work/@_opt1/voptxmztdf | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptxqme9x | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptxt0r2n | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptxxc452 | Bin 0 -> 9884 bytes .../sim/post/work/@_opt1/voptxxtib1 | Bin 0 -> 2840 bytes .../sim/post/work/@_opt1/voptxzyz83 | Bin 0 -> 1416 bytes .../sim/post/work/@_opt1/vopty06e39 | Bin 0 -> 24144 bytes .../sim/post/work/@_opt1/vopty0w5vh | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/vopty67dkb | Bin 0 -> 45488 bytes .../sim/post/work/@_opt1/vopty8yv3f | Bin 0 -> 1236 bytes .../sim/post/work/@_opt1/voptygye78 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptyke4vx | Bin 0 -> 112927 bytes .../sim/post/work/@_opt1/voptyq0vse | Bin 0 -> 2315 bytes .../sim/post/work/@_opt1/voptytg7qe | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptyv96j5 | Bin 0 -> 9033 bytes .../sim/post/work/@_opt1/voptyz5647 | Bin 0 -> 3110 bytes .../sim/post/work/@_opt1/voptz15942 | Bin 0 -> 40216 bytes .../sim/post/work/@_opt1/voptz4yfcy | Bin 0 -> 9480 bytes .../sim/post/work/@_opt1/voptzcqkxw | Bin 0 -> 36904 bytes .../sim/post/work/@_opt1/voptzv3g8e | Bin 0 -> 4715 bytes .../sim/post/work/@_opt1/voptzviafa | Bin 0 -> 6644 bytes .../sim/post/work/@_opt1/voptzxcjti | Bin 0 -> 6580 bytes bsp2/Designflow/sim/post/work/_info | 141 + bsp2/Designflow/sim/post/work/_opt1__lock | 1 + bsp2/Designflow/sim/post/work/_vmake | 3 + .../Designflow/sim/post/work/vga/_primary.dat | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/vga/_primary.dbs | Bin 0 -> 2486 bytes .../sim/post/work/vga/structure.dat | Bin 0 -> 112927 bytes .../sim/post/work/vga/structure.dbs | Bin 0 -> 281741 bytes .../sim/post/work/vga_conf_pos/_primary.dat | Bin 0 -> 169 bytes .../sim/post/work/vga_conf_pos/_primary.dbs | Bin 0 -> 462 bytes .../sim/post/work/vga_pak/_primary.dat | Bin 0 -> 2315 bytes .../sim/post/work/vga_pak/_primary.dbs | Bin 0 -> 3529 bytes .../sim/post/work/vga_pos_tb/_primary.dat | Bin 0 -> 228 bytes .../sim/post/work/vga_pos_tb/_primary.dbs | Bin 0 -> 575 bytes .../sim/post/work/vga_pos_tb/structure.dat | Bin 0 -> 2605 bytes .../sim/post/work/vga_pos_tb/structure.dbs | Bin 0 -> 6565 bytes bsp2/Designflow/sim/pre/modelsim.ini | 1305 ++ bsp2/Designflow/sim/pre/vsim.wlf | Bin 0 -> 262144 bytes bsp2/Designflow/sim/pre/work/@_opt/_deps | Bin 0 -> 24111 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt04hn5d | Bin 0 -> 21228 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt05zgqg | Bin 0 -> 57624 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt089vzw | Bin 0 -> 10405 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0ahfnr | Bin 0 -> 10110 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0cb9t0 | Bin 0 -> 24144 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0dtzzw | Bin 0 -> 919 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0f0gzb | Bin 0 -> 3452 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0mabaz | Bin 0 -> 1058 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0tbwtg | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt0txzqd | Bin 0 -> 456 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1650ih | Bin 0 -> 4770 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt186c7q | Bin 0 -> 26888 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt19vg1j | Bin 0 -> 4706 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1c7j1f | Bin 0 -> 9197 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1dnj7b | Bin 0 -> 1396 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1fjzci | Bin 0 -> 964920 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1ntaxg | Bin 0 -> 2903 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1vseeh | Bin 0 -> 3720 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt1y46js | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt2h14b2 | Bin 0 -> 253 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt2j07ar | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt2mjvi7 | Bin 0 -> 3110 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt30e4e9 | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt31vq32 | Bin 0 -> 176539 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt387wsz | Bin 0 -> 9704 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt3bj0y6 | Bin 0 -> 9033 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt3hk9ah | Bin 0 -> 772 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt3zrm6k | Bin 0 -> 9764 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt40336v | Bin 0 -> 5512 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt43v47m | Bin 0 -> 1419 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt44jcqg | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt49y09d | Bin 0 -> 2091 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt4ecq87 | Bin 0 -> 656 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt4ei9kw | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt4sa9wb | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt4wn4nd | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt58cvdf | Bin 0 -> 1216 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt5ktet0 | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt6107r4 | Bin 0 -> 100397 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt63jt5n | Bin 0 -> 6285 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt65ydsg | Bin 0 -> 40216 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt68tmec | Bin 0 -> 23104 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt68y84r | Bin 0 -> 6037 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt6exj61 | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt6h8y74 | Bin 0 -> 68811 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt6v5dci | Bin 0 -> 1388 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt70ddzx | Bin 0 -> 3529 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt70dmcw | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt79rr9c | Bin 0 -> 40216 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt7axg83 | Bin 0 -> 136179 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt7be1r3 | Bin 0 -> 6680 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt7i584k | Bin 0 -> 1460 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt7m758g | Bin 0 -> 3056 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt7zcz2m | Bin 0 -> 1631 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt80gier | Bin 0 -> 1587 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt81z4hr | Bin 0 -> 1224 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt83d8r3 | Bin 0 -> 5712 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt893faz | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt8er3wm | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt8h22sn | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt8nnjmx | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt8w6gj4 | Bin 0 -> 8357 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt90j0a4 | Bin 0 -> 1176 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt916nkn | Bin 0 -> 688 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt969nx0 | Bin 0 -> 257734 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9be376 | Bin 0 -> 40216 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9f0m5k | Bin 0 -> 3228 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9ihf2b | Bin 0 -> 21736 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9m5mkn | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9qs4m1 | Bin 0 -> 232 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9tz25y | Bin 0 -> 6568 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9xqet8 | Bin 0 -> 57624 bytes bsp2/Designflow/sim/pre/work/@_opt/vopt9zbqgt | Bin 0 -> 3288 bytes bsp2/Designflow/sim/pre/work/@_opt/vopta0c4we | Bin 0 -> 6582 bytes bsp2/Designflow/sim/pre/work/@_opt/vopta3xkha | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/vopta8jdc5 | Bin 0 -> 1296 bytes bsp2/Designflow/sim/pre/work/@_opt/vopta90fck | Bin 0 -> 1280 bytes bsp2/Designflow/sim/pre/work/@_opt/voptak0jaq | Bin 0 -> 1480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptb0az25 | Bin 0 -> 2315 bytes bsp2/Designflow/sim/pre/work/@_opt/voptb0z6gm | Bin 0 -> 24144 bytes bsp2/Designflow/sim/pre/work/@_opt/voptb29e3b | Bin 0 -> 575 bytes bsp2/Designflow/sim/pre/work/@_opt/voptb4z8my | Bin 0 -> 9640 bytes bsp2/Designflow/sim/pre/work/@_opt/voptb56s6y | Bin 0 -> 4488 bytes bsp2/Designflow/sim/pre/work/@_opt/voptbaj3m8 | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/voptbehtrs | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptbhcgbq | Bin 0 -> 6508 bytes bsp2/Designflow/sim/pre/work/@_opt/voptbibj1b | Bin 0 -> 18134 bytes bsp2/Designflow/sim/pre/work/@_opt/voptbrk51w | Bin 0 -> 1340 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcafx7e | Bin 0 -> 9033 bytes bsp2/Designflow/sim/pre/work/@_opt/voptccvkxf | Bin 0 -> 2605 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcjt5kc | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcnh70s | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcnnqn3 | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcr2fwm | Bin 0 -> 1352 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcr5rg0 | Bin 0 -> 2314 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcsei5w | Bin 0 -> 1104 bytes bsp2/Designflow/sim/pre/work/@_opt/voptct600n | Bin 0 -> 1356 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcv76d3 | Bin 0 -> 10882 bytes bsp2/Designflow/sim/pre/work/@_opt/voptcw7t42 | 78 + bsp2/Designflow/sim/pre/work/@_opt/voptcwjdev | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptd1jrzv | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptdaj08j | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/voptdenavs | Bin 0 -> 1234 bytes bsp2/Designflow/sim/pre/work/@_opt/voptdfv52i | Bin 0 -> 9033 bytes bsp2/Designflow/sim/pre/work/@_opt/voptdn2qrr | Bin 0 -> 20833 bytes bsp2/Designflow/sim/pre/work/@_opt/voptdska0z | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptebkcah | Bin 0 -> 9680 bytes bsp2/Designflow/sim/pre/work/@_opt/vopteg2isc | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/voptevjrxr | Bin 0 -> 1953 bytes bsp2/Designflow/sim/pre/work/@_opt/voptex3a5a | Bin 0 -> 408 bytes bsp2/Designflow/sim/pre/work/@_opt/voptf1v7xj | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptf40k5a | Bin 0 -> 2620 bytes bsp2/Designflow/sim/pre/work/@_opt/voptf90nf0 | 156 + bsp2/Designflow/sim/pre/work/@_opt/voptfggk98 | Bin 0 -> 1267 bytes bsp2/Designflow/sim/pre/work/@_opt/voptfihh43 | Bin 0 -> 6447 bytes bsp2/Designflow/sim/pre/work/@_opt/voptfndxqj | Bin 0 -> 10882 bytes bsp2/Designflow/sim/pre/work/@_opt/voptfnee5x | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/voptfwjx5d | Bin 0 -> 3576 bytes bsp2/Designflow/sim/pre/work/@_opt/voptg1kaz7 | Bin 0 -> 6104 bytes bsp2/Designflow/sim/pre/work/@_opt/voptg1mbds | Bin 0 -> 9640 bytes bsp2/Designflow/sim/pre/work/@_opt/voptg34a1d | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/voptg3c0ah | Bin 0 -> 2688 bytes bsp2/Designflow/sim/pre/work/@_opt/voptg4wbjz | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptg7v4dx | Bin 0 -> 6444 bytes bsp2/Designflow/sim/pre/work/@_opt/voptgjjh8s | Bin 0 -> 7648 bytes bsp2/Designflow/sim/pre/work/@_opt/voptgjmhjv | Bin 0 -> 1248 bytes bsp2/Designflow/sim/pre/work/@_opt/voptgm04we | Bin 0 -> 3885 bytes bsp2/Designflow/sim/pre/work/@_opt/vopth1h9kz | Bin 0 -> 1821 bytes bsp2/Designflow/sim/pre/work/@_opt/vopthn3x0q | Bin 0 -> 31744 bytes bsp2/Designflow/sim/pre/work/@_opt/vopthnrq64 | Bin 0 -> 1986 bytes bsp2/Designflow/sim/pre/work/@_opt/vopthzrn67 | Bin 0 -> 677 bytes bsp2/Designflow/sim/pre/work/@_opt/vopti1ixtv | Bin 0 -> 173 bytes bsp2/Designflow/sim/pre/work/@_opt/vopti229st | Bin 0 -> 2206 bytes bsp2/Designflow/sim/pre/work/@_opt/vopti49ctr | Bin 0 -> 1312 bytes bsp2/Designflow/sim/pre/work/@_opt/voptib889v | Bin 0 -> 1871 bytes bsp2/Designflow/sim/pre/work/@_opt/voptihi0is | Bin 0 -> 19620 bytes bsp2/Designflow/sim/pre/work/@_opt/voptihm678 | Bin 0 -> 9033 bytes bsp2/Designflow/sim/pre/work/@_opt/voptirs0dt | Bin 0 -> 228 bytes bsp2/Designflow/sim/pre/work/@_opt/voptiwae95 | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptiyc94d | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptj2ejxr | Bin 0 -> 392 bytes bsp2/Designflow/sim/pre/work/@_opt/voptjcr7sg | Bin 0 -> 1575 bytes bsp2/Designflow/sim/pre/work/@_opt/voptjecaa6 | Bin 0 -> 1280 bytes bsp2/Designflow/sim/pre/work/@_opt/voptjgdsx3 | Bin 0 -> 6582 bytes bsp2/Designflow/sim/pre/work/@_opt/voptji8bek | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptjixddg | Bin 0 -> 1344 bytes bsp2/Designflow/sim/pre/work/@_opt/voptjww01w | Bin 0 -> 40216 bytes bsp2/Designflow/sim/pre/work/@_opt/voptjyh125 | Bin 0 -> 3516 bytes bsp2/Designflow/sim/pre/work/@_opt/voptkmcsxk | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptky0xzq | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/voptm2he0v | Bin 0 -> 4715 bytes bsp2/Designflow/sim/pre/work/@_opt/voptm8xg97 | Bin 0 -> 1328 bytes bsp2/Designflow/sim/pre/work/@_opt/voptm8z16m | Bin 0 -> 6582 bytes bsp2/Designflow/sim/pre/work/@_opt/voptmfe0ds | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptmi3hc9 | Bin 0 -> 10882 bytes bsp2/Designflow/sim/pre/work/@_opt/voptmnb924 | Bin 0 -> 6568 bytes bsp2/Designflow/sim/pre/work/@_opt/voptmtd97n | Bin 0 -> 24548 bytes bsp2/Designflow/sim/pre/work/@_opt/voptmvc0mc | Bin 0 -> 18860 bytes bsp2/Designflow/sim/pre/work/@_opt/voptn41ey4 | 417 + bsp2/Designflow/sim/pre/work/@_opt/voptnhfvyw | Bin 0 -> 4963 bytes bsp2/Designflow/sim/pre/work/@_opt/voptns32sg | Bin 0 -> 2676 bytes bsp2/Designflow/sim/pre/work/@_opt/voptnsnyw5 | Bin 0 -> 40870 bytes bsp2/Designflow/sim/pre/work/@_opt/voptntcdvb | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptnyf0sy | Bin 0 -> 1452 bytes bsp2/Designflow/sim/pre/work/@_opt/voptnzwkkd | Bin 0 -> 1232 bytes bsp2/Designflow/sim/pre/work/@_opt/voptnzxri8 | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptqahvi3 | Bin 0 -> 1894 bytes bsp2/Designflow/sim/pre/work/@_opt/voptqc3k2e | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptqchfxs | Bin 0 -> 232809 bytes bsp2/Designflow/sim/pre/work/@_opt/voptqewtjf | Bin 0 -> 1332 bytes bsp2/Designflow/sim/pre/work/@_opt/voptqjgvw4 | Bin 0 -> 640 bytes bsp2/Designflow/sim/pre/work/@_opt/voptrb23r1 | Bin 0 -> 6565 bytes bsp2/Designflow/sim/pre/work/@_opt/voptrdra8h | Bin 0 -> 47022 bytes bsp2/Designflow/sim/pre/work/@_opt/voptrn5sb1 | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptrnxvxv | Bin 0 -> 2080 bytes bsp2/Designflow/sim/pre/work/@_opt/voptrtys1e | Bin 0 -> 6582 bytes bsp2/Designflow/sim/pre/work/@_opt/vopts5wiax | Bin 0 -> 40216 bytes bsp2/Designflow/sim/pre/work/@_opt/voptsic0f8 | Bin 0 -> 3580 bytes bsp2/Designflow/sim/pre/work/@_opt/voptst75we | 258 + bsp2/Designflow/sim/pre/work/@_opt/voptszkcfc | Bin 0 -> 45488 bytes bsp2/Designflow/sim/pre/work/@_opt/voptsztek0 | Bin 0 -> 24144 bytes bsp2/Designflow/sim/pre/work/@_opt/voptt8655w | Bin 0 -> 8696 bytes bsp2/Designflow/sim/pre/work/@_opt/voptt9i323 | Bin 0 -> 6620 bytes bsp2/Designflow/sim/pre/work/@_opt/vopttg2bgq | Bin 0 -> 4287 bytes bsp2/Designflow/sim/pre/work/@_opt/vopttkbtq1 | Bin 0 -> 13693 bytes bsp2/Designflow/sim/pre/work/@_opt/voptv2kj29 | Bin 0 -> 256 bytes bsp2/Designflow/sim/pre/work/@_opt/voptv2ninj | 1227 ++ bsp2/Designflow/sim/pre/work/@_opt/voptv4gzvk | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptv66zxg | Bin 0 -> 24144 bytes bsp2/Designflow/sim/pre/work/@_opt/voptv6dek7 | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptv6wdkm | Bin 0 -> 6628 bytes bsp2/Designflow/sim/pre/work/@_opt/voptvdf3bx | Bin 0 -> 884 bytes bsp2/Designflow/sim/pre/work/@_opt/voptvea6i6 | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptvhq59e | Bin 0 -> 40216 bytes bsp2/Designflow/sim/pre/work/@_opt/voptvx2qdw | Bin 0 -> 1592 bytes bsp2/Designflow/sim/pre/work/@_opt/voptvx7jx6 | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptvymcsb | Bin 0 -> 57624 bytes bsp2/Designflow/sim/pre/work/@_opt/voptw59c7c | Bin 0 -> 4088 bytes bsp2/Designflow/sim/pre/work/@_opt/voptw9h3jv | Bin 0 -> 4942 bytes bsp2/Designflow/sim/pre/work/@_opt/voptwa840c | Bin 0 -> 1228 bytes bsp2/Designflow/sim/pre/work/@_opt/voptwaqbjg | Bin 0 -> 878 bytes bsp2/Designflow/sim/pre/work/@_opt/voptwfeech | Bin 0 -> 9704 bytes bsp2/Designflow/sim/pre/work/@_opt/voptwmv58k | Bin 0 -> 9033 bytes bsp2/Designflow/sim/pre/work/@_opt/voptx0ts7r | Bin 0 -> 720 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxdqwr2 | Bin 0 -> 1292 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxe38wt | Bin 0 -> 533 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxg70sk | Bin 0 -> 840 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxith4a | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxk7jry | Bin 0 -> 9480 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxmhfm1 | Bin 0 -> 36904 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxww0im | Bin 0 -> 2840 bytes bsp2/Designflow/sim/pre/work/@_opt/voptxwz50j | Bin 0 -> 7750 bytes bsp2/Designflow/sim/pre/work/@_opt/voptycjsg3 | Bin 0 -> 2422 bytes bsp2/Designflow/sim/pre/work/@_opt/voptygxvzv | Bin 0 -> 1272 bytes bsp2/Designflow/sim/pre/work/@_opt/voptyjmdrg | Bin 0 -> 9033 bytes bsp2/Designflow/sim/pre/work/@_opt/voptykn1sj | Bin 0 -> 414152 bytes bsp2/Designflow/sim/pre/work/@_opt/voptysz7x8 | Bin 0 -> 9576 bytes bsp2/Designflow/sim/pre/work/@_opt/voptywk07a | Bin 0 -> 1038488 bytes bsp2/Designflow/sim/pre/work/@_opt/voptyyn8bk | Bin 0 -> 1247 bytes bsp2/Designflow/sim/pre/work/@_opt/voptzc5c9r | Bin 0 -> 1673 bytes bsp2/Designflow/sim/pre/work/@_opt/voptzifqdf | Bin 0 -> 2682 bytes bsp2/Designflow/sim/pre/work/@_opt/voptzjnqdw | Bin 0 -> 1216 bytes bsp2/Designflow/sim/pre/work/@_opt/voptztjcm0 | Bin 0 -> 1460 bytes bsp2/Designflow/sim/pre/work/_info | 230 + bsp2/Designflow/sim/pre/work/_vmake | 3 + bsp2/Designflow/sim/pre/work/vga/_primary.dat | Bin 0 -> 1247 bytes bsp2/Designflow/sim/pre/work/vga/_primary.dbs | Bin 0 -> 2682 bytes bsp2/Designflow/sim/pre/work/vga/beh.dat | Bin 0 -> 40870 bytes bsp2/Designflow/sim/pre/work/vga/beh.dbs | Bin 0 -> 136179 bytes .../sim/pre/work/vga_conf_pre/_primary.dat | Bin 0 -> 173 bytes .../sim/pre/work/vga_conf_pre/_primary.dbs | Bin 0 -> 456 bytes .../sim/pre/work/vga_control/_primary.dat | Bin 0 -> 1986 bytes .../sim/pre/work/vga_control/_primary.dbs | Bin 0 -> 4287 bytes .../sim/pre/work/vga_control/beh.dat | Bin 0 -> 18860 bytes .../sim/pre/work/vga_control/beh.dbs | Bin 0 -> 68811 bytes .../sim/pre/work/vga_driver/_primary.dat | Bin 0 -> 2206 bytes .../sim/pre/work/vga_driver/_primary.dbs | Bin 0 -> 4963 bytes .../sim/pre/work/vga_driver/beh.dat | Bin 0 -> 47022 bytes .../sim/pre/work/vga_driver/beh.dbs | Bin 0 -> 176539 bytes .../sim/pre/work/vga_pak/_primary.dat | Bin 0 -> 2315 bytes .../sim/pre/work/vga_pak/_primary.dbs | Bin 0 -> 3529 bytes .../sim/pre/work/vga_pre_tb/_primary.dat | Bin 0 -> 228 bytes .../sim/pre/work/vga_pre_tb/_primary.dbs | Bin 0 -> 575 bytes .../sim/pre/work/vga_pre_tb/structure.dat | Bin 0 -> 2605 bytes .../sim/pre/work/vga_pre_tb/structure.dbs | Bin 0 -> 6565 bytes bsp2/Designflow/src/vga_pll.bdf | 0 bsp2/Designflow/src/vga_pll.tcl | 0 bsp2/Protokolle/pics/1behsim.png | Bin 0 -> 61486 bytes bsp2/Protokolle/pics/3prelayoutsim.png | Bin 0 -> 63683 bytes bsp2/Protokolle/pics/4ppr_auslastung.png | Bin 0 -> 77707 bytes bsp2/Protokolle/pics/5postlayout_sim.png | Bin 0 -> 61741 bytes bsp2/Protokolle/pics/5postlayout_vgaunit.png | Bin 0 -> 109822 bytes bsp2/Protokolle/pics/6pll_auslastung.png | Bin 0 -> 76478 bytes bsp2/Protokolle/pics/7logikwave.JPG | Bin 0 -> 171494 bytes bsp2/Protokolle/pics/7trigger.JPG | Bin 0 -> 156909 bytes 1172 files changed, 89902 insertions(+), 168 deletions(-) mode change 100755 => 100644 bsp2/Angabe/vga_pll.bdf mode change 100755 => 100644 bsp2/Angabe/vga_pll.tcl create mode 100644 bsp2/Designflow/ppr/download/db/prev_cmp_vga_pll.qmsg create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.asm.qmsg create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cbx.xml create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp.kpt create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp.logdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp.rdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp.tdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.cmp0.ddb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.db_info create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.eco.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.eda.qmsg create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.fit.qmsg create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.hier_info create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.hif create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.lpc.html create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.lpc.rdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.lpc.txt create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.map.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.map.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.map.logdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.map.qmsg create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.pre_map.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.pre_map.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.rtlv.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.sgdiff.cdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.sgdiff.hdb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.syn_hier_info create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.tan.qmsg create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb create mode 100644 bsp2/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo create mode 100644 bsp2/Designflow/ppr/download/incremental_db/README create mode 100644 bsp2/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt create mode 100644 bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.sft create mode 100644 bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.vo create mode 100644 bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf create mode 100644 bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo create mode 100644 bsp2/Designflow/ppr/download/vga.bsf create mode 100644 bsp2/Designflow/ppr/download/vga_control.bsf create mode 100644 bsp2/Designflow/ppr/download/vga_driver.bsf create mode 100644 bsp2/Designflow/ppr/download/vga_pll.asm.rpt create mode 100644 bsp2/Designflow/ppr/download/vga_pll.done create mode 100644 bsp2/Designflow/ppr/download/vga_pll.eda.rpt create mode 100644 bsp2/Designflow/ppr/download/vga_pll.fit.rpt create mode 100644 bsp2/Designflow/ppr/download/vga_pll.fit.smsg create mode 100644 bsp2/Designflow/ppr/download/vga_pll.fit.summary create mode 100644 bsp2/Designflow/ppr/download/vga_pll.flow.rpt create mode 100644 bsp2/Designflow/ppr/download/vga_pll.map.rpt create mode 100644 bsp2/Designflow/ppr/download/vga_pll.map.summary create mode 100644 bsp2/Designflow/ppr/download/vga_pll.pin create mode 100644 bsp2/Designflow/ppr/download/vga_pll.pof create mode 100644 bsp2/Designflow/ppr/download/vga_pll.qpf create mode 100644 bsp2/Designflow/ppr/download/vga_pll.qsf create mode 100644 bsp2/Designflow/ppr/download/vga_pll.sof create mode 100644 bsp2/Designflow/ppr/download/vga_pll.tan.rpt create mode 100644 bsp2/Designflow/ppr/download/vga_pll.tan.summary create mode 100644 bsp2/Designflow/ppr/download/vga_pll.tcl create mode 100644 bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf create mode 100644 bsp2/Designflow/ppr/sim/db/vga.(0).cnf.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.(0).cnf.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.(1).cnf.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.(1).cnf.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.(2).cnf.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.(2).cnf.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.asm.qmsg create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cbx.xml create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.bpm create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.ecobp create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.kpt create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.logdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.rdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp.tdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp0.ddb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.cmp_merge.kpt create mode 100644 bsp2/Designflow/ppr/sim/db/vga.db_info create mode 100644 bsp2/Designflow/ppr/sim/db/vga.eco.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.eda.qmsg create mode 100644 bsp2/Designflow/ppr/sim/db/vga.fit.qmsg create mode 100644 bsp2/Designflow/ppr/sim/db/vga.hier_info create mode 100644 bsp2/Designflow/ppr/sim/db/vga.hif create mode 100644 bsp2/Designflow/ppr/sim/db/vga.lpc.html create mode 100644 bsp2/Designflow/ppr/sim/db/vga.lpc.rdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.lpc.txt create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.bpm create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.ecobp create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.kpt create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.logdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map.qmsg create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map_bb.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map_bb.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.map_bb.logdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.pre_map.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.pre_map.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.rtlv.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.rtlv_sg.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.sgdiff.cdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.sgdiff.hdb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.sld_design_entry.sci create mode 100644 bsp2/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci create mode 100644 bsp2/Designflow/ppr/sim/db/vga.syn_hier_info create mode 100644 bsp2/Designflow/ppr/sim/db/vga.tan.qmsg create mode 100644 bsp2/Designflow/ppr/sim/db/vga.tis_db_list.ddb create mode 100644 bsp2/Designflow/ppr/sim/db/vga.tmw_info create mode 100644 bsp2/Designflow/ppr/sim/db/vga_global_asgn_op.abo create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/README create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx create mode 100644 bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt create mode 100644 bsp2/Designflow/ppr/sim/simulation/modelsim/vga.sft create mode 100644 bsp2/Designflow/ppr/sim/simulation/modelsim/vga.vho create mode 100644 bsp2/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf create mode 100644 bsp2/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo create mode 100644 bsp2/Designflow/ppr/sim/vga.asm.rpt create mode 100644 bsp2/Designflow/ppr/sim/vga.done create mode 100644 bsp2/Designflow/ppr/sim/vga.eda.rpt create mode 100644 bsp2/Designflow/ppr/sim/vga.fit.rpt create mode 100644 bsp2/Designflow/ppr/sim/vga.fit.smsg create mode 100644 bsp2/Designflow/ppr/sim/vga.fit.summary create mode 100644 bsp2/Designflow/ppr/sim/vga.flow.rpt create mode 100644 bsp2/Designflow/ppr/sim/vga.map.rpt create mode 100644 bsp2/Designflow/ppr/sim/vga.map.summary create mode 100644 bsp2/Designflow/ppr/sim/vga.pin create mode 100644 bsp2/Designflow/ppr/sim/vga.pof create mode 100644 bsp2/Designflow/ppr/sim/vga.qpf create mode 100644 bsp2/Designflow/ppr/sim/vga.qsf create mode 100644 bsp2/Designflow/ppr/sim/vga.qws create mode 100644 bsp2/Designflow/ppr/sim/vga.sof create mode 100644 bsp2/Designflow/ppr/sim/vga.tan.rpt create mode 100644 bsp2/Designflow/ppr/sim/vga.tan.summary create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt009954 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt2g74zk create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt2y9zhd create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt35df7r create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt3scjcy create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt3x2q9z create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt5xkek6 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt6qn9ni create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt7ri6bv create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt9aj9rv create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt9vm6ha create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptagjxr0 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptajinca create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptax70eh create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptb4k7xs create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptb71dhz create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptbm5d0n create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptchgsfx create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopte73r9y create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopteknshd create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptf4796x create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptfayv7q create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptfcqjb7 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptgt6hbn create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopth7g3j6 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptifizdj create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptk6dv9q create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptkcy4rt create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptks0q4v create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptkwgj9q create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptnfggqs create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptr6726k create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptrcbsar create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptsr6ac7 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptvav5h8 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptwchwbc create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptxix15b create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptxmb1si create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptz1s8gf create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptz8s950 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptz946ai create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptzg89j7 create mode 100644 bsp2/Designflow/sim/post/modelsim.ini create mode 100644 bsp2/Designflow/sim/post/vsim.wlf create mode 100644 bsp2/Designflow/sim/post/work/@_opt/_deps create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt00qqnd create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt03i4q4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt091j4k create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt0btx8r create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt0csywb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt0iwyze create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt0q8713 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt0s7iyc create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt0znk5i create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt107cy3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt12gb4k create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt17ywk3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt1jbeh4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt1qyc9y create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt2cr0es create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt2fzb3t create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt2h93h5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt2j7sjq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt2r6s7z create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt30q4bt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt331z14 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt38r9jw create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3a8hey create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3b8k9f create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3fq06q create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3hrjrr create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3iefxe create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3iya43 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3nv4ci create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt3x0j88 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt426jdi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4694s9 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4969zi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt49r605 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4c3v8k create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4d8qqh create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4h275n create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4iin53 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4qkg3k create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4tz97e create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt4vnw38 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt51idhs create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt561809 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5a5re6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5fbcnx create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5gb2nq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5gfvmh create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5mck7n create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5nrryx create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5s0qh2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5ske2r create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt5thech create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt65ecmx create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt67f9g1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt67n406 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt69d4h0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt6b86vt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt6gt8gz create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt6kggrk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt6mwswb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt6rrznr create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt71cjta create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt72nn7g create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt768t70 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt7bks7q create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt7eqzer create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt7gbymz create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt7jcmwv create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt7qyrjn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt7waze6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt82gr95 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt82nnbr create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt85agr5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8brr6v create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8brw5z create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8ddh2w create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8diy72 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8fy5s3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8q5nvw create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8qjs4b create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8qsevq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8td3jb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8v3ew6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8wk4c1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8wy6bi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt8wyec0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt91k9s8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt94mxqb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9akjck create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9g2mc5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9hqkis create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9hvhkb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9ttxka create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9vg40e create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9vqa3t create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopt9ybcv1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopta0jimm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopta2mcid create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopta3184t create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopta6d5n4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopta7z6cq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopta94t1c create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptaa0agm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptae41hy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptah5hkh create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptaqx56t create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptaxnwzt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptb5mqfg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptb6whnv create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptb7aka7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptbar5y7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptbhheds create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptbhnedw create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptbme397 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptby6z29 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptbz0qr2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptbzyn7g create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptc0dk1m create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcdhr7t create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcewva1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcg0v0b create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcghkg1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptchasvn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcjfh3v create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcqhm1y create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcrk4he create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptct01mm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcvchba create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptcvkr3m create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptd0d7g5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptd4g40i create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptda2aci create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptddzyqm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdfdxd4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdgc1yh create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdqbzt8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptds4na0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptds6tbb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdsdzk0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdt2s5n create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdt3gj7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdw747w create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdwk554 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptdzjn8b create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopte1t80m create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopte2q22j create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptefd6ch create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopteg5a2k create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptej10ar create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopteqs6ri create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptewhzez create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptf0yc8v create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptf2xrff create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptf6xte1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptfkc113 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptfsh4rq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptfsz6s1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptft4ys3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptg0bzeb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptg21icf create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptg7rzei create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgezgmn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptghyhn8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgr842d create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgs4xtm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgt0jww create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgt9d2h create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgtehcz create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptgy7qd4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopth0i4zv create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopth35kwn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopth6kbqj create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopth6n3f2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopth8qdda create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopth9ecar create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopthgmyy6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopthj0czk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopthqdjvk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopthsenw0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopti296dn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopti46q3s create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopti5nwxk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopti897et create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopti8eefs create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopti964zn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptic1245 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopticzci1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptid9c70 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptifhi1b create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptihez76 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptijvxbe create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptik4fxq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptiwt4sg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptiwvjs7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptj26i2n create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptj39csv create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptj6rc28 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjfziqt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjgkhdf create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjhh1vc create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjim9vy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjkdij0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjnijzg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjqbssy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjtee4i create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjx8fh3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptjz7hkt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptk48zgz create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptkcj847 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptkgcij0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptkkn0cj create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptksmswy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptkv25he create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptkyi9vj create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptkzmj14 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptm0rj8b create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptm141mi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptm3i2kn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptm8c7zy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmceg0r create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmd8qix create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmh468g create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmjqjnt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmjri2d create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmriww7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptms8xtv create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptmye5rk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptn11vga create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptn20wis create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptn4qqqs create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptn9xjw1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptndifkg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptne79i0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptng0rs0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptngw3tb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptnrsx9z create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptns55r8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptnt3m7n create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptnvirzs create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptnvq0gf create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptq2f7cm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptq4kcg4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptq6ec9z create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptqb2ndj create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptqc4zvq create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptqntq2t create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptqtgfrb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptr9aw3s create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptrmqehx create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptrsy97v create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptrtndwr create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptrv7zgi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptrxdaa3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopts5w46y create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopts94imt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsaywbs create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsbz4xc create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsfq5kg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsgh9a8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsgid5w create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptshw0gh create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsr06xh create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptssx861 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptsxm18z create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptt48kbx create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptt4j84e create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptt5emqk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptt6fia8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptt6gkgz create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptter446 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopttf3fg5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopttjqd0s create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopttm8k8b create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopttr5jbw create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopttt0qvy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopttykhsi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptv1nfdy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptv6zscx create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptv84sen create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvb7adm create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvbm0ww create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvbqs8d create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvbwy0f create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvqn3hv create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvrfmef create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptvtt9dy create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptw0d7cn create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptw0m98s create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptw2m9a1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwb0hgd create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwbd338 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwbgg9x create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwew3fg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwnfe8x create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwnm2vt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwnmd2a create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwr1t0y create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwrtt10 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptwyx412 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptx5jfq5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptx9nqdi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptxaa1vt create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptxjhz85 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptxmrs3n create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptxvymic create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptxws076 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptxzd5vb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopty09j88 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopty58dw3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopty6fx7g create mode 100644 bsp2/Designflow/sim/post/work/@_opt/vopty6nbi8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptyajdrw create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptyd64cj create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptyj4nqk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptynn200 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptyqv1kf create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptz0kv95 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptz2wgrf create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptz56gmz create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptz7kj06 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzbqwfb create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzcdkae create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptze4v2z create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzeb8fg create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzjsx20 create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzqd5zi create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzsiehk create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzy7kan create mode 100644 bsp2/Designflow/sim/post/work/@_opt/voptzysmd5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/__sdf1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/_deps create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt039bdc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt040vcs create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt052iy2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt070vdc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt09nsyi create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0cdq2r create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0exdhy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0ffn7h create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0ghsbd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0h4d3m create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0rdjjc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0tmdti create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0w2h82 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt0x9ffx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt13tw8q create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt15g5tc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt16n3f1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt18ty00 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1a6b67 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1edq65 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1h8mtc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1jyaaz create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1k93hs create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1kadsk create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1n3213 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt1tbeti create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt28em6f create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt28v08s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2ehy1x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2h2v25 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2kvmja create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2nn0y2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2nt34j create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2r4g3i create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt2vxgwn create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt30ykn0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt31dic4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt32rbez create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt359gx8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt37bdwh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3bf680 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3hw928 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3kkzd4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3m14fx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3n7c1q create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3qb3ag create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3tc3hw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3wtqzf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3xkvm4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt3z85rf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt401a4r create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt415f0n create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt47bh88 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt48fks6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt4hbagx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt4jfc3y create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt4yv32r create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt54qbg8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5b67ir create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5fhzzt create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5jevv5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5k1h3t create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5kwfjx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5s66im create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5svyrh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt5y00f5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt618wc9 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt62x40x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt67a28y create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt6emj0s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt6ffw1q create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt6hm2zi create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt6kzdg0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt6tkezm create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt6wv783 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt706q3m create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt70b63d create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt70x14i create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt78vc7q create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7c4anw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7carsj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7ei9r9 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7esjzr create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7k2jrf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7mi9kn create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7y4w8r create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7ye54y create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7zsssd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt7zy0g1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt83wnnj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt88cb78 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt8jjbsj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt8qx8x4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt8vz1y8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt8w4aki create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt940sy3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt94zryt create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt9dgx7e create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt9f72wv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt9mn6ts create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt9qhaay create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt9rji9s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopt9w3drb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopta030a5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopta13x2f create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopta83ky5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptae09ec create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptagzj0z create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptat539d create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptawf3sa create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptawy34h create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptb232it create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptb5kr1x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptbeiwd1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptbgvx82 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptbr5ca4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptbrx5x9 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptbt0vhq create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptc14rh5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptc1k3qk create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptc6mj0g create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcbsi42 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcjvmbe create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptckwas7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcnix6h create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcr9w3h create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcrgq67 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcvfwzy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptcw7zrc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptd6wicw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptd9cevi create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdcnjdn create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptde4bqe create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdgf5yz create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdgrmy2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdmxtvf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptds7ekz create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdt3yjr create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdtn0yy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdy296m create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdzedcm create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptdzxgct create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopte7wcyy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopte8warm create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopteb4x89 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptebycd6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptedzkjf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopteg6bv3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptekj721 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopteqvh7g create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopteraw9t create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptes20e0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptesi0mf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopteta8tj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptetnx2z create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptevrj3i create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptewvf47 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptezxnhj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptf383t5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptf5j2i2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptfc397f create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptfdr8by create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptffm4fj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptffn7sx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptfk0e4z create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptfrjv23 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptfwt15a create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptfx1akt create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptg5fdrd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptg5sc5i create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgakv5b create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgd6mxj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgfk55k create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgmkdvk create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgns4qk create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgnxnfq create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgrwiyk create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgvyzzt create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptgxrdem create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopth01m6f create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopth53cz1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopth79g9s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopth7mzsi create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopthd05ez create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopthh6c0y create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopthmc0vk create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopthykjxf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopthz0gm5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopti2scnh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopti7sggn create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptia9m63 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptiaz95a create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptie13cd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptie561t create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptig8ime create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptinv0i3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptis3s7s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptit2yza create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptiy100s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptj7jgnj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptj9qi4i create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptjbq8k0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptjj0ge6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptjska35 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptk1skx1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptk537qj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptk8m194 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkckgh2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkdn6xb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkdz0r8 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkfjbd2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptknmcdw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkq7h5x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkss28b create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptktndk4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptkv6hv2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptm04b97 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptm40v6r create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptm457mn create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptmfqfhz create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptmfs8i0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptmgsf14 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptmrs4m4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptmsm2ew create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptn0vk3r create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnazj0s create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnb31s7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptndb7hy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptndhqjv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnhaa01 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnm9ajv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptntr505 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnv570i create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnx940m create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnyj8aj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptnzj1nv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptq3w7m6 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptq4c7hz create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptq8e5cf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptq8knmb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptq9dief create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqasr4t create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqbh3t0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqcg4s0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqiejfr create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqjk27d create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqkj5wc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqmhyt3 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqnjzvt create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqsdtxw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqxfqg2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptqyq3jh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptr4fqde create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrdf4g7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrfywwc create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrh4z3k create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrirjxx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrj2m5m create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrjvjkv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrq7eyw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrsq7hf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrssixd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrsttt7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrt4nbm create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptrznvjt create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopts2eb1q create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopts3bqsj create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopts4iwqg create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopts8exkr create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptsbffv7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptsbnsix create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptsdkvq5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptse94zx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptseg4zb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptsm06gy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptss94cf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptstddvh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptt11fet create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptt6wekd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptt8jw8h create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttc8cn7 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttedmmr create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttf7hc9 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttk2z01 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttmg7a2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttqw0ej create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptttfmae create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttvgq0t create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttvjhhd create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopttxv69x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptv0ehqv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptv8cf4d create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptvf8bsv create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptvhb858 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptvheqzb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptvk0q57 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptvm4zgn create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptvqddba create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptw1mve2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptw24yxa create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptwg19xb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptwjirx2 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptwr6id4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptwtd36b create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptwvhssr create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptx4c85b create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptx6wg4x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptx8erz5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptx8xv76 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxfsgwh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxhst5k create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxjg0xw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxkf1f4 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxmvty0 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxmztdf create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxqme9x create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxt0r2n create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxxc452 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxxtib1 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptxzyz83 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopty06e39 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopty0w5vh create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopty67dkb create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/vopty8yv3f create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptygye78 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptyke4vx create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptyq0vse create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptytg7qe create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptyv96j5 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptyz5647 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptz15942 create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptz4yfcy create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptzcqkxw create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptzv3g8e create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptzviafa create mode 100644 bsp2/Designflow/sim/post/work/@_opt1/voptzxcjti create mode 100644 bsp2/Designflow/sim/post/work/_info create mode 100644 bsp2/Designflow/sim/post/work/_opt1__lock create mode 100644 bsp2/Designflow/sim/post/work/_vmake create mode 100644 bsp2/Designflow/sim/post/work/vga/_primary.dat create mode 100644 bsp2/Designflow/sim/post/work/vga/_primary.dbs create mode 100644 bsp2/Designflow/sim/post/work/vga/structure.dat create mode 100644 bsp2/Designflow/sim/post/work/vga/structure.dbs create mode 100644 bsp2/Designflow/sim/post/work/vga_conf_pos/_primary.dat create mode 100644 bsp2/Designflow/sim/post/work/vga_conf_pos/_primary.dbs create mode 100644 bsp2/Designflow/sim/post/work/vga_pak/_primary.dat create mode 100644 bsp2/Designflow/sim/post/work/vga_pak/_primary.dbs create mode 100644 bsp2/Designflow/sim/post/work/vga_pos_tb/_primary.dat create mode 100644 bsp2/Designflow/sim/post/work/vga_pos_tb/_primary.dbs create mode 100644 bsp2/Designflow/sim/post/work/vga_pos_tb/structure.dat create mode 100644 bsp2/Designflow/sim/post/work/vga_pos_tb/structure.dbs create mode 100644 bsp2/Designflow/sim/pre/modelsim.ini create mode 100644 bsp2/Designflow/sim/pre/vsim.wlf create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/_deps create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt04hn5d create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt05zgqg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt089vzw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0ahfnr create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0cb9t0 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0dtzzw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0f0gzb create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0mabaz create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0tbwtg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt0txzqd create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1650ih create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt186c7q create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt19vg1j create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1c7j1f create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1dnj7b create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1fjzci create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1ntaxg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1vseeh create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt1y46js create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt2h14b2 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt2j07ar create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt2mjvi7 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt30e4e9 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt31vq32 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt387wsz create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt3bj0y6 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt3hk9ah create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt3zrm6k create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt40336v create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt43v47m create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt44jcqg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt49y09d create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt4ecq87 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt4ei9kw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt4sa9wb create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt4wn4nd create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt58cvdf create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt5ktet0 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt6107r4 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt63jt5n create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt65ydsg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt68tmec create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt68y84r create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt6exj61 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt6h8y74 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt6v5dci create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt70ddzx create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt70dmcw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt79rr9c create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt7axg83 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt7be1r3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt7i584k create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt7m758g create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt7zcz2m create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt80gier create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt81z4hr create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt83d8r3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt893faz create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt8er3wm create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt8h22sn create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt8nnjmx create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt8w6gj4 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt90j0a4 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt916nkn create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt969nx0 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9be376 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9f0m5k create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9ihf2b create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9m5mkn create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9qs4m1 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9tz25y create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9xqet8 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopt9zbqgt create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopta0c4we create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopta3xkha create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopta8jdc5 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopta90fck create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptak0jaq create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptb0az25 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptb0z6gm create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptb29e3b create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptb4z8my create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptb56s6y create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptbaj3m8 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptbehtrs create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptbhcgbq create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptbibj1b create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptbrk51w create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcafx7e create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptccvkxf create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcjt5kc create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcnh70s create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcnnqn3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcr2fwm create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcr5rg0 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcsei5w create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptct600n create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcv76d3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcw7t42 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptcwjdev create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptd1jrzv create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptdaj08j create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptdenavs create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptdfv52i create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptdn2qrr create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptdska0z create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptebkcah create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopteg2isc create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptevjrxr create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptex3a5a create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptf1v7xj create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptf40k5a create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptf90nf0 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptfggk98 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptfihh43 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptfndxqj create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptfnee5x create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptfwjx5d create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptg1kaz7 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptg1mbds create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptg34a1d create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptg3c0ah create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptg4wbjz create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptg7v4dx create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptgjjh8s create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptgjmhjv create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptgm04we create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopth1h9kz create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopthn3x0q create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopthnrq64 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopthzrn67 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopti1ixtv create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopti229st create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopti49ctr create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptib889v create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptihi0is create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptihm678 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptirs0dt create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptiwae95 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptiyc94d create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptj2ejxr create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptjcr7sg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptjecaa6 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptjgdsx3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptji8bek create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptjixddg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptjww01w create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptjyh125 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptkmcsxk create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptky0xzq create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptm2he0v create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptm8xg97 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptm8z16m create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptmfe0ds create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptmi3hc9 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptmnb924 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptmtd97n create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptmvc0mc create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptn41ey4 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptnhfvyw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptns32sg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptnsnyw5 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptntcdvb create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptnyf0sy create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptnzwkkd create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptnzxri8 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptqahvi3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptqc3k2e create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptqchfxs create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptqewtjf create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptqjgvw4 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptrb23r1 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptrdra8h create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptrn5sb1 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptrnxvxv create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptrtys1e create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopts5wiax create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptsic0f8 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptst75we create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptszkcfc create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptsztek0 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptt8655w create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptt9i323 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopttg2bgq create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/vopttkbtq1 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptv2kj29 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptv2ninj create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptv4gzvk create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptv66zxg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptv6dek7 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptv6wdkm create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptvdf3bx create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptvea6i6 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptvhq59e create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptvx2qdw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptvx7jx6 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptvymcsb create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptw59c7c create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptw9h3jv create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptwa840c create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptwaqbjg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptwfeech create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptwmv58k create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptx0ts7r create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxdqwr2 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxe38wt create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxg70sk create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxith4a create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxk7jry create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxmhfm1 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxww0im create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptxwz50j create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptycjsg3 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptygxvzv create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptyjmdrg create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptykn1sj create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptysz7x8 create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptywk07a create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptyyn8bk create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptzc5c9r create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptzifqdf create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptzjnqdw create mode 100644 bsp2/Designflow/sim/pre/work/@_opt/voptztjcm0 create mode 100644 bsp2/Designflow/sim/pre/work/_info create mode 100644 bsp2/Designflow/sim/pre/work/_vmake create mode 100644 bsp2/Designflow/sim/pre/work/vga/_primary.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga/_primary.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga/beh.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga/beh.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_conf_pre/_primary.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_control/_primary.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_control/_primary.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_control/beh.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_control/beh.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_driver/_primary.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_driver/_primary.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_driver/beh.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_driver/beh.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_pak/_primary.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_pak/_primary.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_pre_tb/_primary.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs create mode 100644 bsp2/Designflow/sim/pre/work/vga_pre_tb/structure.dat create mode 100644 bsp2/Designflow/sim/pre/work/vga_pre_tb/structure.dbs mode change 100755 => 100644 bsp2/Designflow/src/vga_pll.bdf mode change 100755 => 100644 bsp2/Designflow/src/vga_pll.tcl create mode 100644 bsp2/Protokolle/pics/1behsim.png create mode 100644 bsp2/Protokolle/pics/3prelayoutsim.png create mode 100644 bsp2/Protokolle/pics/4ppr_auslastung.png create mode 100644 bsp2/Protokolle/pics/5postlayout_sim.png create mode 100644 bsp2/Protokolle/pics/5postlayout_vgaunit.png create mode 100644 bsp2/Protokolle/pics/6pll_auslastung.png create mode 100644 bsp2/Protokolle/pics/7logikwave.JPG create mode 100644 bsp2/Protokolle/pics/7trigger.JPG diff --git a/bsp2/Angabe/vga_pll.bdf b/bsp2/Angabe/vga_pll.bdf old mode 100755 new mode 100644 diff --git a/bsp2/Angabe/vga_pll.tcl b/bsp2/Angabe/vga_pll.tcl old mode 100755 new mode 100644 diff --git a/bsp2/Designflow/ppr/download/db/prev_cmp_vga_pll.qmsg b/bsp2/Designflow/ppr/download/db/prev_cmp_vga_pll.qmsg new file mode 100644 index 0000000..03154e6 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/prev_cmp_vga_pll.qmsg @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Create Symbol File Quartus II " "Info: Running Quartus II Create Symbol File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:45:00 2009 " "Info: Processing started: Wed Oct 28 14:45:00 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll --generate_symbol=/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll --generate_symbol=/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Create Symbol File 0 s 0 s Quartus II " "Info: Quartus II Create Symbol File was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "176 " "Info: Peak virtual memory: 176 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:45:03 2009 " "Info: Processing ended: Wed Oct 28 14:45:03 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Info: Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Info: Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a997b99684f758d7674b1eb9858a12a28ad1af14 GIT binary patch literal 2303 zcma*p`8Sm99|!Q6sUBmAlrRc2%2t@M4B46?M5(b)W6P3|Dcjg;jI3h|DN8X(DoZsX z+ayaNMP$v8Y%%t2l6^e)^L?H_;CtQYb>8>qoa^($=Q`&)_bmVdfnM=~K~BJ<47}U8 z`T2W#--2r@q2T%fp2TZ#WmOe8N(HHcMyjAtnsCbtaH9Ys5&qvsZ6eSFEC}@9q=Em< zz5nW9@VvukzX|n2eZgh2X}TFdbiUgwxOJNBja?{GuzObBa{t9irlsa)&KChwj`U_8 zS+C!m!L2vyrPFQk;04SnUM8GE-Y>~$G=yI-XA*PT{8UBxI1d5{jtU102thO9MBS7y zZ{kI@J^I_4*L(LXgfYFCR3U%mP3N_q@!BtKlF^3#?_b7^D}pc4JJUrTuuDGqQooKC zO2vmbRP{}uOOfs+%)vz`_N5A_tY3oc>Q0^(S?Uub(^y=F^&PK)QMwjTex)RECi8K03rz*i;*5v{$}&f`7%(-zZ;18)_5SoAM>`Bg(+JTTX``m4qQrxGL$l__s# z{=RX2y=*fueJDv`$tr;Gs_BX>v0Vjh~68Tf8 zK628e88zRIn*D-WA`7!S+?9do0b+q9JlI98dl7k2&Sct`FHUT>=p`2iSXxq8Nwb4XP!}aDiYmt(p+QZVL+L0Ipd;0)_t*wAypFfOXQ_mpS>j_bO z@0us}Wvl;~jp3@;%sg8l2n~eiIihzZNfj39_XC`4;^~o+ojzoOv=C*9h)Dlhf*q=*O%wy`6X;xS5 zKZ7q95?HHufHO!A&u){XX=c=qwAL(di1(W#p_#SjU~$H`jjs88Xq$h*^z^vvLwkf46eq`jUQ>2%||u` zti+8U=@J=dY#6M>P4oIXkjIrf^?zJ*C5a&u>byJ5SPQaD=y}#4Xiz+Utq( zHuoDT1(Huj&ekxt7X3u!^+sZ&qrZ&_cHpwmna z`ncaqYcD&FN5f~b~(sbd>oRU748MTJT-w?v|gpUW6V;XH)E zBiFd@Mn~CZ!Q3B4<1Xhr_`Mn6K2OR;Nq$k0Fxg%(Q%g4FM!yn4x1Y_Y>1LUqq&<6- zfVdaiLs5b{!#zPcw-M0=E0lXFYPD|!Q;k&B^}9zu8ySny`=KTi6JiKY9mf+V59V7N zFRLu)_MCj}Xa$2*xIrJLBg_afSZ5d{04UNBX4WxSa~K5O2hQHO8C*6etz9)C=%?MU zTs1+M3hb4ydfHthK6K%{og)I9X`lk4JWW}WDOVp=%bC&b$VX;oHOmZG9@)mYZ)c`jtO8P5FFKjeZ zmn5K+J-<2Ci)agp%aBHgsOT<*{YQm$n`y2f5HAV$B<_B~bs5>xzt{PV;srtifS4%)Fpk*i8GKlSu&?}R*Ih+Mu(JC&p8hV7nO zEPBgQ?P3L%SQ1%vL+I7CKa+KZ9OhKXR!sKha;RJ69`LQ++Y1ICc5wwk0^!1tai^gA zV9fVJlAWU|!T9==yw;dy3@j0Tl#kyjH9VZ#IP#&^yEDyP@3dp8Kd4#NnR}0cPS5ID zoQlrxWsYdT&o*G7HyHj_Tac7KK0XN0^W=yzd!KUZ3`gnp5gVjq1GmjscmaO_*OLxR z6S_6%0q2&mO-u%s`$(ZaZ{D2w(c2M1gG7gG?H|m*Rs|qyXxXDaN$~>_;^W%NiBT;PQvwcmqa`8I5-T_PRKen6k h2pt5uSe?L*jW2QWtyt0UbY_DyACJL5zbSire*+|RV4DB{ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb b/bsp2/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ab80dbad07547839759b6475eacde3fdd0235229 GIT binary patch literal 1560 zcmV+z2Iu(?000233jqLN0AK(y00011WpZV?f6#xJL0000S1poj50001Z0RR910000O1^@s60001Z z0qmGdk0Uh@$A|awTv%S$NL)CeY&!4ZG#`N@QWW)0CQUQikXa?!L3|570!Kd5h)=+A z_q4o+|KH{6xH{!5M})A(yzJ_Yhqb`z5Q-|@jAro`uy|D^>+6rMI8Ew0Wt%kpCN@Kq?A zYFq83={1{v2(~Q2%8RSj<8Rg|9~; z*rg+v4qZBMY2T%VOMCP8!n%>MZMm3c9xEKXzQYC%8#-*{u<`s}=u5hXW>XJNJm@wb zd6q*D4m{ZRVBx{uT%3b!K;6`{{xHJ_8Q#zEBEvK9Elz#=Vw&NT3?FCsD8n=3Ei&UR zGUF{W<1Kn|kGAGnT}}(D%V}YCIW4R%r-jw!w6MCI7FL(j!s>EbSY1vFtIIJbMB{QS zRhMI_x*SW@T)bq_afA~oRq1{NtwEwl&Q-}nYx^msmn>3 z_>66JU9EAf*E}6(SgQ0xEhwI#1;rDzpp4JL)8Otbn>uXbu(88rEcSUaX3J!5ER#93 zOy=4${Z969e*sg&#Bf8?yAWb*WhFo`pQF;WZ72`0b-(W3= zmfbW`dve0wXp)}E7mu-;Ry|FkL+M$vFw`jR8I8;gsnOd}V8=`dNzjCmRMOCsx(z=` z_A#UBw5>9M2&-c3NO2$fHChPa8Z88Qs!15wXtvI@laP>>CK%MF5+`c4^c|at;9%Ye zlX!fuhGtad^V)?+ez-VDb5S|#{K%X&&Z6DpgUPpnI1OzuP7*`+y||!wu?HCwO<% zPblx3nAM*)Ia7O-lwU$#mK*$M3LW_#J*4szo=7?D&T!cwMG97&5KWYWRoD%Y=B>gG zdY+Z+y40!@6&95FM!AkbU4xWxzW*(`8Na1lhx1Rw}ro%H{73Xia+nWP3nKlG$LmwB<8zQ75W?_Yi zm2dVDDskgC7y8-h^ZFo;Iz6Xm(|^|H@aKbLy^|{u+obP0)=m@LG?H%YJNF~aP2$L^xi z8IUH4OP=*|P3WjOX>tUF=kphsV;z$7P6C!=b|0fsf5p*Q3YSP-SzN2#?tT1iHy8Ku zD^`ggueyunSAPQl0RR7Z0TN2}sKTF-U2EYfvyyk*i-wd~isRr=NQ~kQo%29BJId0#*(o85lZ&Jec{uuED{M z?yeA}uJLP@gG^*#-~f{h3~E4H6o^6Qy9fD)2E>O%20&G-uvg4v2CI{Rs^$dJQb6qF z80;Dm@8RhhF4Ip&Am>66|7hQss&`72oU=QyCeJ>?Cjy{>-fR4 zULWEPWY_RR6??h>edp;0wBv7!rUod;7#Lt41Q`knCy={92D`hupc`%&`dfsJK^dq3 KWIF%=0RR6jw(cna literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a4b9dfb2d48dccab6758b89de972f757e45896c9 GIT binary patch literal 7429 zcmds6g;N~em&IKZTmm7uySqDsJ3$8++}$l$kYIxanBXn}0s(>(+=CB+U_k=}4Pl$_ z_f>7x*4F+3Td(@PbI!f@_3b-7HMe{45fBh+u@Mn0fx!b{13OPIM>khm5l(JeSszDd zTUs7IURrKmE?xmHUT$s?T3uCIMIUEp+J7CdxsZS;1cV04e-;Fh|4;-}>i=+m#3OUu zR68a8(B@8_<$*tG(e%V}O9=!##k_8U_vIkLQd2~Jq?bq@nBNMZhRwQSVshXO9Ag%z z#ij;Uo^`C_r729`lRM`M-*UIW!+DKc#yLU|07urF^2B2b!|@Bd78wVfW%wVh5K z`n_)I67l;vanK!d@+qWSvi#l~-qUNzE&LV^DW4NTW9@l|jm+=Vx*j=?@>f&sT0Pvg zXd?avDynwg9Pbv?SeUHKE0kv)Sr+}GR(0UO()^_M^3Dt+{<~>rs;p*&rkqW#TDvNybQW52ml?vI(nAFS-6E_}{ zg9&YyD~%2L+Nw4y_8R8u)smV@5<3?+?L`5O(UqB!ngU>1D5+TomTith1#WlOYxA&v zKa7jE;5hEExhD`pp_SiQTOqvx4zob93#iFebB%9Vu}lyIZbH`le@6F2juK#;}!W7AL(tZo6d2 z^RgOkm%a>vDvg|`KQ3EA+o5!=u^8WSgFEwT8*~27uH}c-7vM0&Cy`_!0yUNKOSou5 zFBcBe^+~w6rUL*vpwOfMCYS(FZube6Nhu3819J9yGOLepjwv|T+W8;qegN%k`B9$f*oT`0y?GhRp)E=g81m&~?Q z?64PgS4TYhgOanJ47!ZV4n38mRlYHEy>ox=ZAHk!$)iDYfEABIzPrYDci+GkzX zC8-*Bn`K2rMsL!!=UhoUHev!Jo(l07`meY(iu8=7Xkf^{4Zo2)!54D<(Jr2-HcOg4 zZeNk)KDTy>ad%LlD+X8LwDH^DwdpW0zYY@Zy3|OCn*CG$6P?5d_3w=0`P{XL{4N`{ zXocJ!6;Y_%p*ITjRg}g}eVa-FH?wAedAg7 zXt@d3T?Q{!i)Tp2Rs;|W@7AXcT(wjmU8xxWBOHCtN@sE~Ip8_Vg z=J>`rk>25o!@aLd@ttwWrA+bLPiK_@&#K02J}QEVcv`c`P{qG4y%73#Mn+>3luHaH zA6jg1?QfnzUcD7POsYeu{e9r$w9@twDu_E3<|*CoA^zH+RN%3E*2kvr7;!ta!afx} z`tH-mNiB-QNM@7ajvl(CgLWxTDy3na+ry;o{otEg8>0l8JiaL<-Z>kh%)C9AZ|MbL zDNR?Rv71(Sw(ZX*C2twkJkDFE&c=HC&y-EaPLu=_b@ue9F>kXB?svb=9g@GEKRiA|xw*0vS-Pd5Tz@pdYFnVLM+G%^z5a@0kh7q}T}7MB3v)5ySHV0VL* zm{ovp7tux;++6Xe+2Ql@pFk7m!%i7u*j*v#P5hs&B)<%;u1fd(aFMn8dHqV@ZibC| zX>}I%_dJ-Ne1^HMjlWJb4gI%-Ty=Z}`_I_2U0*JNa#`JrOu1?m#ZC!+f%-F@i`?n? zwcXO)x6|{V2h=7CiYo(pry)98ooxI9Q&&1(ehv`V-#T7ez*47uF^J*gPec@l-Www` z{MN8uHFIC&O88CpW;sly1jY%H*eq~u-0T2q@_B|cIT zQHcq7_kcycWvhH|=~YRq+h;L7*xsN=KIl&kBwWa1e4!%Hs;ugE@Y|mBOsh@LLo$&a z4HXXG&FWsIgZm6zD}a^4?B*IxOCc)p(c(!%+)N1sG?W_%pkX8WJvrbk{nqn7lCfMx z?9VJrKx<>YYEn#K{H8Fc^)8N_+tI2{zIb6OL`R)l~VNK zIN3GaL~+@hbTGFdU|-uypQjTib(_E(Jhg~xkx3Sl8=SLTZ2aBJk1emqk_9+~A!Uru z5FPGrDKw^HDVk>@D%#q^0*gsEW%qjcb=*13P#+r=WdfDHIJZz)&V@9kfxQqRSJ}z@ zd3c~^sp=W_TO&VS$(qaS>upX3EY5`c_UznVg`KdYQm}h-O-eu|`C82_%mRYehIMYm zB@ku0a2KAPYZK;Qa}4@pNLdMu{*H*x?B@^og?m;5vAE1Vn?Nk`=+ske_&FyKLQ z?!6=AghSWXb;_?|x0gX@RTU3!KSv2bX6-}3Fk9wgx5wz~Bb$0fxx2F|=lR#UdDxx` zOjX)k#yF|8_sQ_y*msRz~vNeUj#^B*S8x8h1s9K{eVMTUt2$* zan4&DS39~JLSBCdmTU-D{S1GAZ9m~FpWzo%ZC%pdm2FkM3M!<5)f8qq-gN*ORI&F5 zNRfBKlSTE0@mJtN_03q&=B8}v>62T{rG}e+6n0kkZY{)pW;X1$N|e~F<&psq6SE}u z{t;mtRcNFfA)}@XjY?{lgGC$#Hp^lP2OD2&mCz4wgbl7r%d6OkeaS<-$M9YsNR z{?3rV-+yu!o;_TP&)x`f(+PywBh?pu;F#2+I`Bq2M82>wH)KjWdW4Ng2ngpM$h3W+ z32MD1CN)jz_*0sCI_tG|?{4D50Q`FR80D?vQ<2_}sbNdmet<0u-rkt1+(2~8y>LUd zkf+}rCnXlYNgGqZApv z(1|W))e|4 z_H5-O0;cWOMYVU9B1xr`?bdw1pbK8n5+4xPz+7=)IvyD~fHkk)*1`^A+!k|bg-cj| zUTh_!WboL*V{5E)A#|0i(T+`sx_(;E%hok=dM{5K%@nRd_MHTP;uv`)mF>(?UY0bM46CtAm^y+s}FAd0!nR!1z@>6JBi<)SV zKhUjUcv!QL69^=3SAQb%)oFA>n2*zjN_;-xrC7k1XNx0U7V>vIHL-H5Lka3jk)Kgi z%|{-k@wP2AWd~2bW(PkgH43|KoqG-Y8dy+K8VB$kswM7$*F73@e(w)j3o?UvqWE(^ zKxWEhb_P-B{XGx`EX<^nNl8K5|C8c;#70PgCA#(XYwFU)Ewn_(v6%KXzj7UJVF8Nt zgF(DzLDk==A1K-?%Xh2Y>@Z>8Ply>TE~HH+D=|m&zTfelGj%pJ$>K=dE2Ci&Gm>Oh z=ny!OkU)TA(vgIOfEODZ0~&)$Fy0r*>U<)9W}Y#budLO~ZBQC&pY^TNSu)Bf+~ z4@-!cNKW8C1+x)bNN=6Z<;~CMHJTF@$+4r~+kQONK)2|+4!G5OfZZLTR*g$YSJ~?B z{+(H>5K(u0YEo9@wi%8mN}R%(`fbN$JZrA*;8j zhYIX(iq`&HNXFk3pHjGYh8Et>( z%-lgO8FNZ8xM`d`*7U6H`|Cw?@}|Yjt&`_P4~N5(w7JKMo=zKd;!VYamN_SG&OMf@ z^OQ&PB}Ms78KzFM+pGye<6~hBDzt)y&t?@mgfkuQwkwSZW+8DIv|pKYU{B?t4VGIC7;9jwIQ*~BG&ed z4#`LA*0|4`z`l%)LuWbh1-L28Jn>WN(-}|}N})j^jf@Xca}F)ka|vz`TbrtJGpO5W zE-YTB%k4yrbLtJQSG`!R$gBisbW;tgT`S3Uv6o%9%1$Iyv2sI5pxm-7jbNPrnaeN* zz2T8MjLjF|Vspywd0(ie*D|1l@f%Op@z}j*Wp#H+u#*T+k}3f{t480&Bh;HsO?{^$!spG%RbLN;jIx8PH|1QWoAl31kFct zLr13`_{1Ksqp#s3!F>H1b}aPHxZz+=na*)IcbuWh=Be93<7%sw55~~8fl*zp4BLMfj+Zguzy8E`tCNJfK-GF_z-Qt@8K4gQc@h05X zn%-{$oIK>UMi5$&*f9_mSnNm@&w3hFL-cknhf_+(@+?n4@2Xcni2)~ zMx#_Fz6(X!4=catw5Va`I||z;?{X`5v7)&aIeM=JKZaz_h#dT-capLrKGVMp zPOHWVZTTz2uveX~a%xxZ_OZiu)=$hgGyl|>>caA?hkl1)W*5d@VEd0?{o$F;92%}l zwagQJJAK}2?&@@7Un=Sgsfx$iGkZg^RITUunO#<|_KHGIzns3-4i#ZO-!0p3=gP={ zCe4!CI#jU7L@$$m$woJw732#oa3^T8^yz()CHODL(Z0$T#;MEv;PoA%lsw6v;%ywxX!%-|uRH6_T7m4^3Qc!UzZ11v z38^X3bo==85?R<@rjw`vTDmY8>IMy874n(T=v%sbn0d0-@FY0_(#Z-SwLM8S8l`*P32cbYeEkjerdCVW<=vt{;~gu^f+uJX%e0UZ zZSeH>K+|@hl6DMu)3pRn2pxa+!0Y~ZY2&^BMDonL;*}v)KO=_1Y}WX;yMG=^rgj35;E=M--}gHI%eVU)8jE0 zf@{1?)ph5XaUY!v#u^j7$EJn6dEjd#QCpJI*X52ixNKXj2{~cbGNeu2u$wi4Y?$c# zl-@&QE1gdK^xOMxVr6Ns;~#6KH|NoG-tjOr)=)*T+TXOHVdUs|QQo{juy@@R$GLbF z(=@usTe{m`Qm_nGRiHOnH7T3F3LeYIy*J47ndq{d5B*Z(t%JDct#w!8k@NE<&T4Qc&g?$krVt~z zGNqNJL-lujpkR{Op7mhszsyt?)W~F^tyE!)1eBUwetw&fcqw1!pb=wl%cY*EL|6fj|Bd z-nZs;)Yj6#R{Thpj>2QfhIP=?6~dCm>!~Y(B|V8%rd~n)sVn1#uFtv0re9oW3Psu{ z9@ZiRJ)=c`PW@uN`ex8PL%nyPi=|5Qv*<^89~j|PIGH8roF3taRNgI8fC2LBq5z7< zg5A(M<$k60P4LY=TCo197l>ko@ws80HB7}Xs$<3o9-bV#j^=#nt&J?0i&cs*pLY$y>^akW-_@Ie>CxM>J;QQ3R`Pi4!+Km&A)ZJ(T?_ETgeLiDmiQV zt6w49f4{VH89MSvv%g#fN01;TQu}e(elHcupMw@0s7`tPsPKOs}&K3bx8;^w`WJFzEA6UL8K*6(}S8} z(7b_i7guyA&cw>VesGOA??-YeS2S1DgL=nTM1vNBzAsVahW=na{T}Usd4E;<6gdQY z|1@d?Ay8}|Yd=WF4Xx|BH|8bhv|Q0Cx&%g`(7yP7ebi*cUo;2&%cvs0q8`i+noA63 z)*S6Bw#C2mgdo+n2h$km^yS+y;19LOM}Nd;);0)8c)*+dEla;1f2nT-G*g?Y{)RoN zMI*6iQshar#5j1C=@Ai_%264jox+3Yono==ef)ae+HT(w*?!9Cu*Q_kFT;gsCBH~v zKsvni?vOYetPv}+G-)SNN(QIkgFbyf2eJKoS;dQf)*(`(&PKV%guQV}J!O1YXRpB# zGA1KaFT$#g_$#NskE!F(?32cWjZ6d5K~yOwn;$qEv794O%oqGGB;ImnQ+#*AW;12Q_QY|_%2ow=%`0NcKUJUt*gBL`n2UB6+ zFSrC_b&9Y+6%p!66_;n;xSlwkS8swv{Z7G*Xdv-@1Rlt~T=FWLNoxu+m57KmL(v!6 zob7|K>Y!6T?3$R#5GGqmB1^WR8e<(|jC~7Z8!nmbjjW|C zA%;m4Wfv7?oeY}6jiKiDKKFijpXYtv^Z)QW=RD{C<$U@d<>BFZ3~}i|qJLHcav{VI?FG?e)RPa1283K9(ZTlX&G2@bw#IZqeUJ{DYZzpaQ zt!k}Mf-uO~))?(OKeG3DtYb?-`=Yl}o=Wr*wz=rdM~0WMFmd4Q$(9oi4}?a`i3=^W z!C_d8uA7a7E&qEK+T{2k2o|TgSo9Vi@hBsMe2<7de>{US$BshN0b*Y+?Q8zUP~Iv| zqKQsAg{<4TgMMDx3r=RG9j~Sr(}nn%+JbV`0Qcdy6AI*ZBa+R1m2F|V)}ifv#?ye^ z2yWjRnZ6`2TsQ4=D#F7`7xceH0o`Srg#I`Rky5@ zIRP&1z+j?KEL(*SARWkk_e|yS&1)aHMziAVs8`D2EuwG-N@6!G= zv*mpmVaM?5#LGxsiipAVJ%QOZ_db!<*_Q?fb|Jf!U%y#Rd@gvja-(&5wFj}UJJT}q z4$+}I(=^h9h?a5G^)R9(?_|FoQ(}fr50*%>sFtBf!5__|OL_8}GHks3oaSOjV$@YS zaowP$MNQIh7Sr_KV3QYA`sC|8@6L!xo@AHH%YiO~kMgSD6qA%OEjpLHS^pgaL02vu z)WlBzrkBPn_Ij&mMr0Ow(G>ZJ+tzlZiYvrkoe=@0b8&)ioKmv961i3A7HluAusw1ze)ew>NZVZUo;hAOVo6zQnzesI;Nbs_fR;&%n# zTrhncB2ZJ!AGcMP(yj}^zu!~cbsI7X znW294rM#yhK8rfF+pgn=K*jX=iK-LLfI_pj_^B~bhtb-&VWqh=+nZ(6UT#{!cn!u- zAnWARu*faO*oqm-ykcs~Qr`2~c}+SV_HOZ|huWFtt00i8|C^NlYs1Z^9JdFmjG3v47ugQ2ug!VD-`~KAa@tY%i6<11d_&=1` z<o_?#wD{*0FvMVnBS9m7uj?M8yDI!rbrc;gG=MH0$E){N|Fg(q$3ZO2Wp0NQui7 zRq;>bld8DX;dc(#ezwnd35}^2NypWfxHq2^wJ-Cq%ejWR^h!Ll*%1^rD3bfvDRQ!x z)TcUz#huj5(s=WLy!d;LU|tuyCSUcIyp6P+-A6~zDsN9EB?kJbTg>|D_7Mg6lm(y{ z?PMn1Sexhod2|3wb-@|urA6o5v7pPqbhwm%MS@JUbQ8-9Y44V&z2o@*;KxAhrdC&#Vh){aPQz9L7)ZX+ zY+%LjM=Z`sXWh_-l=zD^&4^JN6P>2NY7x%F4@ZV#6Xvb`0Abn81MeJt1LOMkJ zu!wsO9xr4;=h3wAiw!_&pt31$7EyOBvZ^28jIyM}NV0w(sJu=h@=_;;`64v7qFo7u z-#)s^Te>bxoe?0t>gfR}%Ui%S0I6k8ZU}Y*4ROyo2KO54rjnlX zz1KNF^_QMKKNrysSl^v}SPKx=0s;#KV2N?PNN_R?nDiVGUsk*^cBMHhb%7 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..e575d07da77329d68c3591ddb1c8abaa5944bee3 GIT binary patch literal 11814 zcmaL7WmFu^6E}(l*Wm7u1XDl+nk<`oaG&b8@z{x1-=?W}y&swX`v%U}a~cU||EW zaRS&_Sa>Pav4hLE$m|51^X=ZxM=)`Tv$ZpwE0!T1X{RFO>S! z1`;2{!$V{O0YYAxs;SsNmqJi1s4f5J5YNTtUNOJ6*iHFZ}8JqvU?+cP3M*~1 z9J`NdBpzC-_A&8ZKhZeH$|h7Z{z{6hMvNvOz7*;~jPf6pF`@onK>i<)5~iV7qkPvT z`5$;2Yw>k3OPrV0xOn7BoolyT$8a9<@4A*q5d(gVPdfzGOeJJk(Ts*en|uU~KD zXq1p7bDWSn4Z!lp8=Rrtvv1bOowQW^!03_7m6pw0Nw&2!%JSJSrA`_;&}y=&O|j)g za@ptVs>QnVAB6bjgS<_D5dA%MRceijbr%M~TDLrPTI&Z{jk^DT#?pEddtxu z3=9W=J={z+XAV@_kVDxSzAxiy$mGPJU&reV+WHY2zIk@)QOWU<~CtYTO~ za|@I8t*rVVcbb5_@eNbhPLdXXNC&;sX!bmq*`z$+FAQrBD#|fs5&vpR5F-p#=jP-j zSOee17aLuE>iO@L(ij9z9B?raB8ON51YEo@0S>w>G#2z^BVUf|0w_PF8nBnLqwlp$2t~z^E1qO&^Y|hRH?N1win-JcdJ%0C#N4k4& zw8p1YJSaHu*il5kohR1D-U~lA=FJA`pr6LJj@h~)>M-2|(WVYKQReB@Cie*3K)QPY zPisWe^PUl>n8zJ7XJYJsb0(<;73&!RS#$&!auAz9X^{#VLwOqCp=GE>=ZD>74)JM2mB{MwId`KoyPwPNEN#TS$uO0#>6C#?wH)c+ zxOf|py`-KyguM0JGXb!9*pR>8Cd+vK|h46-8aB9fO0fqj?m z$ZvEJfbun1=sf-}<_+T`r3dOaaQwecF_&mPgH__j>94=Cp`3>v+lQWsy+!KL03ywS z0!-rxC24oITcVI84MV(%;p4m2NY>p$4vuR4e>nPnxM>xiRZtTi7|6a;;zaJ-vAx6iEb zC4F)D*y&P)cYSz9x#-UEW%+p-Pm8%S9#*;B7Yfoa?m+m02~smmrLO*LhhVTQIuLA0 z6uewzBm?@rmNcYI4rey_g(b1)m|8kVxJ1zR zOmo?vrmT;*Fnm|5RAP<#UUVaNwhY8GX@PrSQ3k&Xq)KP;Iphqsc@$kgeP8Qm%PKL# z6mndoETQ*&)44$33i6>Qvs@NVrBH^7z1|<4bHd7$R_} ze*Qc|p_~rphs|3qot`HsvnNYRAGA<4q}}J3P%+o$NcIVwp1(n5HJzFF@k3`bF{I^a z6gWg!{vcHGzPO<8Lb7*}XMF<4&KcD&spP>wfPVYzE%x5DDr4CEdxiQAWqUl&1Q(w+ zu`uXuHp7Bdt#6H@{KoIG zpK;#_v?Q9l$qU!G9Y$7Ts8*isi+1@Idmqqz(|s9d4|g$N=ADcQOE$yV=4Wg)|F8p% z$ddb=g1+NM{#M@d8h5V+3P@SmTeg@H6q*g^RxO{oL$#()LMfd=jQGCjLiu zr{doS0?^ZzF07ItFHygz^Nd)~m+l`QQHuAQnW*_zP#2WT3*mdtCr%FtGEbMzrVFBa zG0#X12+1bPX3;TIk8lfRl%6O1!n^j~OP~^McF9l+J@;d7UW`!dmpE6dJV5)$+&=NZ zk)OB)dQl4%l$*V|?$wfSImaiYza=Oc(0o`}$SzXOPlI3aH)DdAyl}^TDAle|hP4%v z=03?9FvE7U^r0Opd3&LLbSWS}0z45bAR80Go>_%idN&_kJj-UTNYAj9`v2?!5M0p;;6uA6vszejVo|a)#(VP>dIEwcs zo|Y%~?&1Ny$%UR4vRMVbR$1CjIAdxi7Ofm-jOqC8C7#uVvJ1aFkrgeQ3;BVZdAA6% zp11l1dDHs|=~~R?UCr6vCSHMc7KT?93>Gwt0UVuC`=x>e@gSK?aT0-tNfq>h?Ch5FJ zEPU*ZWwb6IIUWWXW754RzR+EhtpY^!ZT&pa#cdu${NCt?U4|*$O)|@B{=+l0Lniz9 z9s9x4k(G+(&(nKFmdr7%jj7mcNKXEGWeZd-f1zz0p+$&zQYBP!@=F4D^GCfKk!!Tx zj7zWl|C-IiK_U_*L~inQ+y9PsUYbP=$S@;(o=+>wV(2Y65QsrZvbUfpXh8i8am5MV zWv+l@IU~p>GQ#O|%=vouFS^y2NUH)gy2pqVx1;;}GW#B<4;zw#|hanj!@JX_?0m)+xR)IYV{D^M$g?ruG%w;nvo`aXk-5+J0~2q={Zl?e2mV z9LW;$SK)O}CM&gu<|%d&AJYB1s0=Md*8ck5em9;ZofS|b*-cgTCj@+ydWWN>7_g)8 z-Cx~^FoVRv43EiZSQG?<{0ajUGGOTL)MtU$TA3xEaL3+jj1~Y&_~LM4tx!m;6VHXx z^swjFw%>xex%;-h$=6^Lj-l(o^|Ii)bJ*bSuWp=G4nC6GGp{9spN8p0sH=q(?7iU@ zM+kj<_ekHDt5y?w{8tyAw%)wI@_9JQwQYSS$vX=Yk0*)|GH9G++1?!i3vDHr9GL*F zu6+70Q<@xPlt{=K0{R=`4P!NX14lFP%i<@dhm&ypFl1yg?s^egwB3sDj9)JnWgTa8?uOFvg&i!`1)WKgVCMUNrXb!WOR~wHf03zk+U5apUOQnH= zAy1ziKkpAA{R5nK)MjNzIK?fPlbW1S_t-+)R>cY<-gmWb;S}Vs2}dQ>rkn{Ao$m&; zh>OxjVMVh6q&#g~C{AP2oLw&4xzA~BfA|ahFe31wnXwt4$-5AePUr0`FwP>E7Md!H z*dq;1Ai<^X-R7JdIDbbrnjUC+86~r!iYPnFSb)#hiYjwo9kDkNnvmhBa_M?wOu?H^^?5S$Mt7+% zYjqSHXzC|PLySyG_!nsuo`Sc9Dv29U?5DZlLPazl1&)XLRLf@>bXfWb`l=UmPqXVj zYZOXW$h4u6GPL+ssKvf+=fP1=3io^Do;n3@VWHBZi|9^uf40!SA7^4lh>O(>w=u&o zqgs+Qe^DsQ|Hd1_(d4|FYJb-OYAt;T{iw;qpaE1xm`YO8xZYj2!;IEN$ruR zR_6h8JX|GdN^!+hHOb%6D2&N-7xC(5i*q}wFq7JzA5jAKy$Bu@L|sMpTinNO}Py8p4$ zLH6E&pdCB5BYFt)3{7P|_L9@qj#{B6K1ugpZzI0rU|z!DePH6Fed-yt$AsdD#FfRp z=S+xz{!O zzC}YNY}t0ck!?$nYchw#L0eTI#k3Bht}qw%@Ki?s1ct3$J7rd`g>3&YGIbZZQLNeG zklst=2wu5NLWvVT>Yt-1TFd>wkhkH{1cmC>(F@0$LuRI!M_t|a>XTyVHd{Ip^p~u@ZLArJBa&w8|Wo9FMwsdp8ajs%K?6rwoZZNgF>#@3G#~(%Ghgg3XY1o(OwCeNkoydJlF8nGbM%DlI!+T&00CWYF> z&bAzSTO1}-g?YNh-iGxAJZDHxitTtLMB>Y|*FdxL?`LBJCVO%(vwHSs8SYGneMGuS zO|G#kKk7lwsPg+2r;~7}SqMxHpEGHmVB3DlG&m_kpR(m155>yz-00wYTaga5Es6=t zqo5Z?>N=Qer`!CQ>sQ`{jj-THJf%V1cWDTR4{I^f{a$}wy!#p4o=g{|f{wotBzqRC z^oiN?)M1nPzQmRzeo_RVj1ov{)06Fwx}VVuF8%rVN-xLjHF=!WC^ud9&k@ z3?JCCyz=b|w1n#lJA#exYs$+-G#%#1;q=apT4^6mxKjq#yZLE4tFd-g{Q}D9kM+0o z(9y|*FGO%A_Er7WJlSBAFqQ@00P%z7I;j;$<)oY#ml_ z(>uu9872-(lSKVd5OO0_in?T6^$PZZ{4dy-C128_X+0=1-w@+sYO#s83jX<&`GIa zuF#=gle44_eekCVj%w*U`#Q5-i|q5v=Z@_3TBN>M-p? zPN_}eg?=qFAOOw-L9U#A*%)DH0+sEdwiu+M6RX@m^kgTB8{7Xv$L0~g{6O+@*qwr$l1_JqDrE$y%7D&jp{&WcUG%5iom>4##DWj4*LMYaY$Ee{|=w^ zyMa9WBT9rYaS{Hxk=O7E8wG8IzUs7gV;Qrf$?Ub*n|Hcm%C2G_!G}}S?8TU3v=_-X zG5mJQb8BmQ^Au80MLB_B!Gj1B zFod>q>Vm8)k#}U3<6jPS0#@+I8~!h0_=YK5b=1^!1f7$9PDq18DK~-Lm?G<(SEVy_ zS=CqbZxr?DHKXSkG%N%$Gb)2m``YZ#U#wr$HY~n#U|ZNnM2vR&eYo>^?O)_09H_C~ z=|1mojg<9?5c&jG@z&B%SwL;#C^zghlLIn2$*d%jV@AmaM4Gl|g%(pgRdel85;Ldnt>2YnT=Q_|SQX&>TLsoE&rKn?B5rrc+q9hRHRlr5S zs<%K?%iWEj_ZMdO`s4iNnuR6Onb~P__p#2V8vl2s_Y6+#dDi<5^#v@Q>ELkrClW6* zg;DDOlwi&tkJ|luJ59C~Sn;rxoXH*N)=erL2j`iZ$MZm{v7OFNSbRW}f(?gHD&*qv zrW_w`%@1nW%<_u=`j6#|UW*s8^+mENQ~^oL=I|(Q;?Yu9yy*Fl*2(qlKid zmpz$iM{cS0IR{sRIsn8L04zy(w<`}W`e8ptXlyHK7KVTk!MAXPA8E_;T}Di`N&@EN z)W z+3}m70bpVs>Xwck55vozL6bx)!&F*!zKDYjBnJmBY{?v1``%cqrm@CWj{3D<6Lrk? zym!Xd%LhNh*`DxVYe`z<^NE7D zKA8z4Bv;sA3YVoG!nlJPZ;lRP#Rxip5E6*-vi$D@v^pP33ms`LLG2{NKu6$DE`}JSXuk`Sy8c&vJJh@iT5~5xEhJtsYKDahT6DH(=v<%>jabE z5E-kZ`dBFqrP%HD_(zwQ0{RFqr~`gNBbI5o=h>o_ zF)x?mu5bnfKFrBJvYu7}Sf!uRR%woZ?*wJ12r-VKGZ+E6g~atix)bv?`8%IZ%-_j+ zo)o^XXZUWF=9;&BJ)BAEQtz_H=+VoCrjz7`SK?fESeHULDOrH!$r}ksUbc%V(3n%4 zMUeA{#y*3~?)UAm` zxp}b?$pk^xKP0Lu0PS zOqbZpw|a*|RCb?6W$hgqW<7N~SPej+-C$i@DqQld*|8smy|%aig!$TGt8M-_#YimEQdFFHrfLy=(~K*SVl;GQ z5c8{jo?KvAJOf=(JLyh=uN;}D-w4COX%pScd|~9sZ@ApR2ANq;bh9@auAi)=!fSnp z@q7}`R396%iq@MVv7(*InofU|*EjApipz3YVB52dAyj+V<-S?tL#{yc-f2O_c$3*X z;g~bMb^E*qwX#KR@i}(1Ta^v<)XvQs<*!S_Y_q*5(ws(E==})81v506yrf}3qTG2b znZ##Ou<6)|(Kv-KD;L8qLam^sbQ}zo_HG5$+YIjX4Bf4?NjJ8bK( zGoZSBQ3(aXpDlW7x;{|0l6fg&{X3*A4G$?m;`NewL`$+hD zBCcgkH6)|}+<#sr^h{4k)m((n z+eJ&BSj}2~vzZLxqdbu)jf-?K5FX{TW|BbzjrtX_noh`ub>>YbX}4?yH&d-=ly#t4 zjlOtgoSQv)Znx-d@_peV7*ks%tL3J}2~}#1tjyU8gS_6M6Yp5X zDNbSGB^ISI0xOV#}WOy7bi6Yj8JsZRSTPhlMe zcw$Y2D%OYYXk(wQh<`d7B(iQUqmJ?E3G>6f)?aS%c(o0=R>V7}(Ri}On;bLWDC8#R zzt2(5;%h&am~_=q+oUzg1M57F7YLr+%c7mkeP!!Pax#}B7ds@yZg&V9)~THPvjc7U z4#QGhs}I8fq?p>9h-VN-g(ZOwbZtmFXmI4k6V>+(bL6?@V-SrWgTO|RSX@F4tT5!H zsO$1VH1$0R7dUp>I13bD=8*~-gmMvV8ozT+RpoL};ftFtKm6zr<GzwS%o&ymAJa5hpZq zB_1K0vCnmu)K`u^-)VvIwz=!@WFLk8_C1bcNzlxC-xF!`&qy$bjnshne5PEy$C)-_ zuHl7yO8F5YsQji}7=%Q{ohui z@4o3Yai-2dL8rJGseK|_>M8V-wM(uDRQ`kLE?WhV2NwPiiPMjO` zyPk(=v-R$o;AB5L!4R(Zr3CtN4&UEPd(I&C2J8FS(vn;MoahTXUzuM^J{Fmm^J@69 z`fA9I5of$YXjYpoP+ptp(%qfpWMdGsMSLIJ!)c*LvbvkGRlCI6A&rFn&m@Kt-YWw6 z&Pi?iydqO44ZM<``$?ROh%YT29H3P@#kxfsX?}3i=zuxtTqK0Q%U#07B|S|5cfJj;VfH=w0ggYjpaMQ1YFoX~*9;pD)&3ntt~6aifD|Ohna{ zIEkEo9!v)H1&bV_dQ#px*@x9J+-{0UgOqiLk14dL=6YK+3nSxEg>nA`&;BY+{+e5D z=CW<8RhH?o&Ne$|UpuVTTQM@Rh|Rqlo2+{VPh;?vQ-6-Lb=lxD$|LeCsy#(5^7aG= zR5X5B^GJ`JAZo-`{Fub?Mf|`{fjtb5PA8AaV)0w$KQ`3X^hC<$ok@*kkh|oH{!RoX z~`ss?x2JVG!k{C!2$aGfs~&~ zOBXAiEpr5D+|Eba3=e5yD{`|wT&xJ4e0KajCqz)&+9H;}ql52u3jlt+<9tbviOa`U z#wf@eS?xCclJP!4uqxZ1Y_X{IyLL*-JR!gW;5zP0%+FwFv8|K-J3walH0M8;r2#F4 zzC|&ob29%jJ*UBKC7}8c#39gXx}v(POPnbR1MEZ{^Nvy^2iml2`_&|BJ7#k30!=du z3}^JC55?u<)I5jFvxCL^z^S!7A8{nl)&!5x>`x97hqe}BB1I^TWIyz#C45`!Vx>YZ4&yONmh zj4JYf8u7XU_hT>88H8~0p}x5+liBsYZhI#<&w3oo`|hQ0n7_?x_MEbuY;!IiSoRm_ zzq1d?zlh*VZzDpOoA(ohXsfx;Mb!xXx+Qppv87eLd6<6tPpcxk7D2rB)BWJc!Y%({ zJ{Mn_k7LCJO~ScE1viS8XK008r_U|5g=ROX48Ow^;G(gACTC*8v9 z)Yz$pcS}!`V$~g*$-6_+9YnUS3&u9|e4B+tyKZvqG?k3Upbe)3C{*Ot!rKq%L~J4N zy2J5$P4<#|X3Njvo4XG{=Aa5;yWx;` zzEbKL#Sw3&8+0*p#m;Wk&a%J?q?4u6khoUz->9%g!` z_tM2Rax5Jw3|zmkUE4bZSR57LI&P@$^}HBWrWY6p5NFOKBvHp8?Lt*lswsP&N6LeT zfwxijk7JOU9&}VTcOW%JSFSbSsOK=W9_~Jx-`o1AIJ-Q6ff(O9&LeHC^oUlA z`8m5%rL5vD)Ut!mEZn+dbw(V)Wu2ALB6gCYd*|*e9dGE(XHmaV8udkJ!#%e5cI5n% z^}o75zCzobI}yLYZ7ha1BiIyoPgc1w)#a_{W{DiBS_*GAEg%=6gakF~r!Z|O7pgYR z9sXIcUx=ZVqwXe$%{4St+qHcO)N#(n%|Q$ij$)`&;DIzrQ*0=%54N)pWJ9?AQ8|g3 zB@M_m=g;Oe?^n3AU!)4HI~VDDynHoH6St!mr|3A>`WtH0Tr+YmyLO}DO1zhWbAfIr z--ci*T;EJdRJ_(_in_uZu+Jng+h>x`Mchnc*O&ma&DpZ=_G`P##_Ao>s;xxP?yOpk zFy5ta`?)XLyZLJ?X+(P)T5c$2ag9;3RfO6sB4U$hJv!d%&Q61%Emx&Kw6)3s9D+LJZg z-TmP_#c!;|0>MQ+nQHM?QI+iNd6h7k`PXE@*fHUKQJ~;zPr_m~L#!b+OUUG1+Slsj z+i1&!?&ZRG6}NYrF!^7OPS$?LcWs>>JQ?h=PS_X?DFs5zkT6e39_Fp95@KU3+=P68 zc3N1ZG#?U-9CGYhi5%^$Z0uUl4?{4Ij6A8MGD<845**uHSGq8SI9@A=)klCrcg=ui ztjOOpo2dO@kH2^!)ciYmdOem4d)v|hmWQg}EzM=Uau9)wkxd{!meZ2K-Mxc9c27 z;D;~KJLw{gGC7AiR+e}#W20z0X)O3yLK(>9X-(=d=|*%vu*)c`JyBKw>ej z^^{O9MhAcH=ko)xK{ciJ?~m^+oov-~Yk2GR#gGnd_9q=+ z<2}_2=;i;m#c)vB;%Y4+COK;*zD%e`E4cpvPqjIi)q2HAaU|g*Fb8+{-(0({`Z$|u zd%HLuqMf+~;N2f=z({6Vyb% z-B7C-=FjrFs2rS8ijFG#5VT@fHeD50}uJ9 zUTunv0;zrV!F#kChS9}dFy3NMqk*$aM~VO3QC`tv`1?>FxNL1yZfVVr1he`cup64L z)DULc;X$YmQ12O|Obu$hFXbp`CsGQzo5B%oM5GYWMtm!+Znl36KUa+!D=^cVIWv@C zBRo)%8Lfk$8DWelDE!9GblClgaa8m+ZuLUniPTUh3i(MVuUuZLjsvs`Yo_WOdD2s` z#cV;@&b^TjDRyI}mLVEWegunY)kGEdY#6T=LJy}3+>uv@sbroO!VjnOaKOS!s~S~s zOF6^ydBE*y5oY+X(-~k>m#rxY$Wx?&b_%0IlFn~x3ASXjm>AQj$#wLA>gll#;WWO+)a^(l z%NP*^mUVgA>RrXYh>ZU3RezGv&6~P;i%ZW%!S$u=cB9Y;bPnZL($`c`o45EGd=rT8943qS)0AJ>51Z`B(=&O87ej4O}{=^l4yA%Vw~3gM+t1X)?rcyJxY zvf;rjZo?jLh-(>CyMm{CZrpyfzEB=t7ITc zgXdUoKPGhyqaFG%ll$o8KAucJbcMIC?8XxeDj&X^s8nrR_lMzFx)njZVp3k|zjiVy zT5LsKoWw___NHp{))NJq*(GpM-ZacnbJP5l6cUFm<El;3?%0!cvuR5y+YU(3Y^JbE4ip;K#A;L9R@%C7Jd&cTv{ew z9k%@*%0F`sb^1BxdY_^4J#8HEX&>i%*Yh0Z)*#pKS+sTg>{#8VpHst*E$a-IhRb;% z=g6%lTp^!UPX9{SMWzTO1Fscq%w?exC!_LAIY#V1!aQY}=RT|?2LM@Pcs z9YOwG7W=>MW4juh_*dVoZ@>Rqpe;kRy!dN+O`IBTn4hVxNU+e9MubOIF)Cd~`Ajae z+hnpP<)(_Uk)0}x=*b~+;|a@Rm<|h}F!~0fzI*yXBH)i)mrv-*KiSjynm8W6*Ya@J za=F5Dbn(SK(RTzO{4K0F^2k6Q;+V4;a%!=)6~8o7aE-Zf%js|Y?<>jF$L4RRWoQGm znP-CXhJ?O2Z1`#K$-Bi52EosllS+6~?6sX|YyLO+R?OW>#O;^lR-OydS343E%)>XI zX&E7z?C$!3O=cgY$=82-xDmU8nMX1t+|>WnNW{`#*TPQu9Xd!HXN?GNBm|1?*mDSl zmrIhB4U(D7T9A*k9nA?(0(_Fo=jtR*y#>p#jW(XQ-U_xc((Jt&=DFdAh; zK~>Y(MbqY|R3>;~^dib%L~x~`NvYsDSq0dZ*#%|=H}HSCca+)(OihW+>A8i`V@SP5=M^0000J4FCWD0001a0RR910000F4gdfE0001Z z0qk2_ZyiYy4iK*5eis*E7K9LpM?W^ceMdwv?QAL+kbX7&yA15!~K6^Jh(dEDlz~z&7&yT~C?a}rm^f|D| zfguN$IWW%wZZ>U&n|+Wsn*yvO1&T-5u#~~AqySr*0?W^lx5tmpE*_r8kZ`{`c<11~ zgAWcqckqRSdv>lI{@TGepNze$XW>n+6ATZpZ<5L<5{w%$T)y@h6{OM!->E!(A zt+NwMG`PPE)BQ*3{_zP^{qmGD7n(25mmwY5v+#6k&{*;jVjrLVLLR+IH*em#gI_P- z{lOPl(Iy!F*>)37KLkSP!)ZAE3Vk$$aDMW18@ll1orf1sk1+9AF*&+b&Bk@s{9)GI zEmgH)l&Y;5s=q-BvN6mC%|?UBvQDhNIPYdO&hz1ZwtciYASD;)eJ*81$B!PqMeTfj zk9NL99I;SlPkz214M7{Ja0sWDVMhPLefNGr>L;fJi-CX`@mh;pfpX>n2pqS`9 z`I4dYEC_2jAQ>k?r#SLI4(k}vna$}K(Hz%Ca-84O+yf@JN9|pvo#%aG=Qytc2p=r} zfE*xn^ef369aCY3L6_zZ_j42vZE>d!q*1aOxB*|>PlLW@Ue|7)UytPABI`LXt(UEo zXwx6QMZHQ)}#JURBSFoXi%`4cVf-Ni9s)DU6*oHBa zA2fHt4~vN(785@#CVp5<{IHn#VKMQ;V&aFz#1D&Y!sp56^4%I-!Pa3JeS!$B5?@)`c{N(Nr!=2aOxcgIC zYm)`DVoJtJkhch-$AU1`Y}@WgYnwq6`VPiGjQ3M3cvat`-*;Yn<&9VG7B-f14c75! zJna^1o;L0?;J{0ydj{F z`>S->;+wJQ)RAHpR;T4lgZcMJ+ZFm^Id#S8qutC=pz946>w5&o%!}NqW_x#h4~xEH z$3Sfy5Z>B;5M@eO&rm37?Quv6+8<}KX&W>8n5AmXe1xLRxvcZUd_USyr|I%cz1)-5 ze>>WiWv~pRDT9NfF&jF8HSRSg!V-<|N9A-cW0>#coEYXbc10R)4IYP<51!#Rxp9@j z6XxHgC-$72X`Ns1@!Y8nw9={kSYf#zZB=hH@9mZBbu|0p=70u=O41h!?IuQv9G00R zGZHg_lH`lzTy;aS39e}Ei&nm9>5CS=Xzq&!U(^S87j;C3Bd%v%key+D2eoNR*G=iF zDP17qmlnza4-;}naF$?umOZqMeUpW2LYsa=#X@4DSM^G_ts*7cnp1|odX)|u8 z({#Jl2TVw}CAQtaisHEgYM)aBR}Tu}KR%O>#EeBul8uropria$-!iIukoQF%<~)PWBnN z%L|2yrJEICS-}vHoa7coLlspPUl5t%A%OaeQzgb3KGucgfWookRR!9v*Csg4*CYr# zUe{AQ^>q^7wyMTkqkCDtEsgYi@=Qm8H)m_pZ7sz{ZYhv>vWmGPNPIfLRn1QZkr zGik8}1rOaKP3RiEs8521kf$`(r8Q0v#P_mTnaJ|}5f8PoFYCuoco-XXvu3EefwW2U zf2tr#K@yt4X`KpyQ!PxYpg3xPV064Vz<>x+x?GhlH+NQAn1F^VO3f0EaXqo{e%Sbfl zQ`k{$%%{a=14q$}zYyQIBrDF;)W~9>#F{Nz&`NoJE5+(wHh^h?bhb?`$a*BCJ( zKt%)=*KOb0rFxN6a*Uy{v?`Dw4ofMGb`#)ap|b(yB-TS`|q+t0M8XDw;xR zR$TWqXl9MYXj<_FE3NwKQ)vf?qj{*s#~c;+a#TD6n$F*o-V~kmrs$+MMeBN})JVA! zCtjH*6=1V+rUPvF&nwe}lUIqs*{@QZGSi6KwGs~7m6@{Y6=N{3Qi9|Y0e(ly3w$cI z$?&qap!kXw+AI`tce7AM21_f+_gH{) z3N%{A0=3v0j+uCXL`uK?66?gWFD=r#WjvIbDYvc+_SCAd3GfGY0cj0 zkVhsP*~%5W%W=#@S~+knr1FB+c|kP01z_0-xMEq7x?)+Dx?)+Hx?)*fxnfynxnfyw zxnfy(jYlVCQ598jbhG=sh}+e1C}=SxdM$=v8-}r%VvbrIeFl{kZOc9b$5bOr>NI14xxwD2?zW-% zH@{1R=+g?xf7EE94bjA9qob)(O7>J>Xul>ke;9M&@K^K!6>D7FDAEiU-~3T&MgeZr zBx@)nn!zl=))!Yv`?IS4K8aD|!&TCZL^GIa=0?Bn!4fr=Z_JSSjW!AjQU({(Ouqd# zrJ3j$S{!rGMvutY#*Jyz&k&=)+?XK3NL*}ajGKBHB|48V&1my2V7;o^G-@L1)BFxL ziG)8jkA@m;hUPnG0&fh}IF!9@+VTA{G^5%`OvalIHZ&YU<6?9EQgs`qV7iGk9Eijl zW9Xk!S{$@+*`(#~m4*|n_zad@dL3e^px1N0G41#_|0@e{B&ydTc87YsXgB{ihQ7!_ zM8@mY=-@qRFS4i4*ESOnb7R^u5_4l{*EcsB$!7+-nINCf6$}nwl7WE^hX%xlL=79s;2#tc@9F2}&&|C~ffcM+0ICIKod^*72D>Bt z8tm-h>g)KyvR)tJ4rJHxLlt|v0Db4_2DIaEi>3xB$QT%49t0T*3MWY*1{oaU8WDnS Vx=&xpLN*2#pfZs400000|Nk~U*5&{J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..35e42c08004721bb214ad71e447b56da2312cee4 GIT binary patch literal 5027 zcmV;U6I|>M000233jqLN0AK(y00011WpZV@n!TvbY{=ao;|(i9CmK@?(ELY zH{b8~ee>NQPQpTztDPyHa$^mfTE1E0DmoCEr9w%kLaVwYH3x>`YL(^9Qk2nD4TtX7baYNZwIv|p=%j82Je*{Kf7>uL^3 zH6b-24g=0+^&XOinZ)TxZuX|r0`W~M5y(gA#tsW~>QuX19LIT#59pB_%<`11%lwS? zIWJHzP1G~HqoeNd=>1&RW$7H*9d$J?)5?oEZ=oHezossm?vXZf>R5iAl~pP;^OroC zMkN=+J9kjMG;Kq~|E`GH?K3u2p6Issi8?G{JFF6{rnoKJai4C-vJw8v65Hvrz0lq$ zf^yvTrYx^a*$#&r)X@^zx81H?lExR^UpMWfOjl2dLF&miQcr9eK8al%HgoxOW$qH# zrA+o0;(G56oJl=n>?d_`?I3xf4MKJxKH|vQ6NX7r7urwCbo(=nkdd6Z?QksWxt~cr zU4M~!VjNE$4P0`c&JzQ5=QjO?Yn@!qwhEt+?cC#vZm0K4Jtfz=T=$pRPFoVzQb-OlaFK1qSF^m2}2lM$-=8Jeb%D5mi%FlFJUOSg-j;(#L z(08{9ne@*mpvSK>zm~l{m+Gh5{0e#5-@!r`cO1FxeB+21+;ODG3U#3#E(W&Y!ZN7~ zHZ5Mtw4*M*cKUj*uRmQ@x1&W=Qg-N;7cGoy?GyT(TXOwR_z9k@OL*K5`SqVr$MsM8 zJ4CBCe?(r|_E_Odo!UviK1{Tu-clwpP)9B-lNhjR`K~WcK$dDJ=OJ!8*`He``_p`C znR)H<%A_t;$%Nh}xiV#csAO@Lr>BFRwpMLTM_J}y@PqwP2L2Q7^2JEDb$#L);Y&|C zzJ&WwM$b;|q@J8h!DXfn_4Jp`k(3@=oc_>+l(^M;q8xnX+GWl z+;+0RqtK4{eEktqs6USNP=9Va*&oY7{cX+ZZ{M8$+;*}*-0~0NgI@>n@%S9V=eCpm zy_AEGwhi&gcogc-Z72JqUx)al4~F`q4~F`4+sXc3%)y5z$AkT4=R~Sc*FW8MvOkWy z5I%jLLwWA_W9$gwbKA-OUdX{mJ;)~)`W)n&r2FF9#V6a*cVvH@yRlrfH!_hi7+8J* zb{vQ^Ghl78(m`0**9x@Paf*J#eMJ`3RZqUdzglv^?ga5frQ`AcjK!xdXYTqee z1YO8-7P|RV=<@MYt!lBIm0_c2cETgei(q>-6keA4;_T+t@4ISp-O}cQ=G^Ae=JJb) z<@Qh0LS?PRU%;e{r2n-qz7Du+kL65`T$P67tT{a%cw*P|a#te7W4g?glJn~8$dj2O8t z8U;;#)u@f^cp&2un@2f0RyyU2Sn4?R{ePdS#6U2lEc9qXCH_q8DKz z08JWgLOnnG?9P<%a{h?9qLs8i9spRJCwH=vTV9pbRB+!PGZm(C&_V~UeE=G{!?7 z-5>Pq%fs`%96a=-m69DVlmjOamq*iBP`$T32iNAvX6b=R z!GjOZQdE8IwLF&I4&8qNedHA2>ydb_kH<4MTgA8kKC9q3XD~(aoTq_gYvLh}<T*n?uRN8@un`!qti)^@oz2SwnxGnU5bl`Fcj z3QoeTL_fP0y5qdZ8aHbr@m!jNCorW>hs{Or@|maTl-dV}bYBSdd9PSfUnH;1lQ(RR z0cDfpV@>BQLES=0G3k@8^qz^HR~L0TJWsJ(XuASR?QBi`2Rap*6UaJ6<_97ksP_x%X?1$f_j+8sWU^0tip~vum#6;%EK*12|KQo@ z*5S6sb9%ge#?pAOb8{LI%AF)!sKZIN)~7uWK!2#W8!jIPxliMfM^fe?-W*Neh>g?F zJ^StFoVnOM_u@|GV7S$5)s41m)}c94Iefk=*wpu@z{hxpxnUH>BKY1^6yHSpf*jn- zWGV*`4?dAxle7UhW&mYSmxc=EivWBxdMjkWiBWa)e*K4Oz_KQ!rUo7|8sT^P1EIRn zJ=N9Y388!VxAo9TBW$SN3$e>BzU%Ud>5hp9HJ^sX!hE{10PiLe=OT;n-hGnaC?=1=sr+?s36M4J=tiQ!t#TV1Z@9IWQr&-E2z zGQ~N=6PfSwMx@Ipengf~3hQmkIfotgYXUB3kAbrnGv0ihkuUQ0a^~!E$Ir-zPA+G9 zKiBzXR%s&JZL%)S*zsl9{j=uUc!K2R!=RsPCh@4oZ%sfrQ4&*&hQnKuqOXJ_|-v%7|zvom|Z**!zf*=arC>}NyH*{MC??EWF=3_n(?{21`t zA?FM~4yv3p{x3)U4L<(E#$jdv~Asa@)Gc(%j##aG!t!3xTn6( z;OE8lB~uHTvey}6{95*xr%UbEhN;BlkjdlP{rwT%>t$j{&8K0pG@tT$@0!n=PcUHl zQeHgkMQnA)d+nd8Pr?q;6qb$Zc|pn%{#(3A!rZd5zIzmImg&UTZ}3^mld^tU^n9y* zXOaDHMH$z;Z-AA`W8Dxh-LhWevWkVF^ehj4c!|JuS%>HB@YZ|*)%cv#1W|PC@ zO~b1veP8K90l%+^_bDaFa=~kL`QMikxf5LlQX=s)M1CbFO8C<%`i(?fJXDIz5zj~_|OEt$8f#iPnBWua2SUQKnRF12owjWnOgT_DJp z29!7c{@QsBKChK5pO{>G$>_TU(1drQvCRb^I_7_0O61P?Nw;jB!)Tt?b1bd1D52Eq zXRYTAq}B@tQtL&1tMzN(|H08{566CT>|C|`cRc2*-M<4eSMB~CkutO+uOhPEH{Fq0 z>yfSZjBdObBR+N1{&>WCS>=d zJ3Lu4wc9$8d&GnTw<~yuI{b|wwj=L97xo_z-g#^fVavV`lz|(-`%oOZL2&7}fl$NT z6F@fM_JEi!AKh+uJcbIL`X&%<#bL*9RSp1lsdaO#Rt1PhPc8sRK8Jf;RGw{K~l<(s?^`b zb;9b!3%I~4JfP}2fwTaMI{y&YAm2^;yIEKnw1C!|Kowd5*{BLVK?-fQE;43e5MgFu zP=G2_V_{$rgPIr~8SEJz=^pP3v^(C@H^Ap-p=mBVgCS5_1gacFaRaflyJNh+lb5S= z$hxG@rXUdp2B1k`0P;784bp?~yN9Q1kYkXuN95DFdp9#NfPzW~h*1Lw>VmzZW&7B` t_T~VU@S>RG>3^$x?;U2aPXmB5sKMs!@9Q+fWf2Pp*a9D*JOBUy|NpcG_<{fc literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb b/bsp2/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..41488bddff392e1c234f9d68b92f567a0033971f GIT binary patch literal 1817 zcmV+!2j=(>000233jqLN0AK(y00011WpZV@xBme*a0000T1^@s60001a0RR910000P2LJ#70001Z z0qmK}ZzDwz#=~2@B;IchfDmv=Jniw=zRj|`LI|`HL4dTER$?L(a2z(VqwIlyiNA>x zC&U5q5YJFwjeF>}>+3iYD);Q$zVo@gH28fn|5yW0%4f1a-SeZv`E<5-TA4) zUE?zB>c3&vcnv%AQ{yt~tImdf_>3KI(P5xqz%kHepk$!OK*d0xfdK<7a>pWfEON&p zcPw&OJ}R<*=J%#MyRy(^W55eU|LlUCERmy!|CuGJ{FL0yrI_~i zSlkfiU9Yt|{`khh{B+0nea|+RFXA=6Ry ztiYv-hTyLBjC3h+loXV77%7I73@GVSQc=>QglZtN6LkuzK|wVrIzD<9<3q!cfdK=3 z1}X-643rFX8E^~~40Jqpy=XJJj(zLM>(_4cHTKsdv6J0kbp0)<{`ULtUVHEAcC+=! zGr{&0^s@TE_xwovUnQlG=gl^YM3L@Q7wFKv zW_Orw6%`pa**U5=rK|PS9kNl=4HI%kvyC^7zH=Ov6J#A<%UA5MV;$Y=cAZoj*+t)2 zH}0z0r0sWQ$7kNYcgYYac(0(~{=65jR+K;AFOm)UIx`RNo!=NKC~=f@DJd!GQ_`cP zqGSL<4({p$VLBFJsu5wT5@D(nVX72i8XaM(7Gb&AhtOql;KSwe;WF65u?GiE*qXz` z$~h7!IZMI-w~PZqE1$ty`3%;|XRua2gSFFauy&da*2-?MR(6B6vKvfgC$H>6g<(*Y zVNj)EP_9 zvPhtCnc2pH&)c?92T$B$CB-M0fQ-WjN6Ql$cj#53e3GOym#?BjSVZaUU=`_r_^~ zBxgC=%~F@8MV5AQR1&s~SH&Pp`&n9LX)jB&TFJ>T=W|voN$7HI8?B=0jc2ftioixG z0vn+SY;+>9k%_=YB?23f2y8SWu#t$sMxo^d5SlKMz;G#vV7QcIa7py!sTnD~K(?fN zAx{j$Sk~6njFn3ed21wzpcn8|w`7tD#YxVgR=hyRx+k*qE&2B)-C&6eY2t|3E|5H; zI`be+AW<`yM1tGXi9`}~9shOfUMHbMhwCPlsJnh*iQ4((5;cC6&*zV9Y@jAejgw6% zwLtw*5{8uA6Y7A3R8*_s2kZdT4)9QhQa>P9VXU-T{7YEcbuvz9j2`RzLch34yiR&c zJeW$|kOaEQplH%2nP89y(Up{XD1UwlZLr>ONJ7zZ!X($|;D=Bx>3ZcRjHMmiuYFlw{}lHY zR*AL=t3LGrh&z6Az{4 zH+b8IQe!U+rA8hT%Kz>riIl6eCPBUyN=EB7d%K0zZjqx{Dw~^I^8fM8>DJNSi7&QJ z#yguQHxB*=00960cmWb(V*mnnAQl4RV0XWGAAe`Z5Kn&~!!tPKbnmVsj35;Z4q%dj zfeA><05M2ufNM}NP?4)&NPKWekf)z}Jdha_nH*`{!~#|hAsHAtfjpS`zOKQ+j_$4y zrLOU7mV-=WVBi3g5T&9(HpqPUApg*S_>jl|sA?7VikZw{brMk3tUy`{h@BjRT_fT> zJY9nvgPc7g;{*JILgGFB-2Az@*C~J$F)#>#Nr(qTfNbAjcZ6SqojqKA9Y0vs>qFdu z>>7TkVow*K?>yarcKmJ8)Bpt;0|U&1AU}Y@NfL-b28XytgrJ-5(^s;PjiDB(0%Sb^ H00960A1G)_ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..c3ce73b5509994f08ad428c1dd5bf05d7bba9602 GIT binary patch literal 1159 zcmV;21bF)o000233jqLN0AK(y00011WpZV>73IG5A0001Y0{{R30002d0RR910001o1ONa40001Z z0qj=0Zqz^&bwIquOCX>Cv;_qb&2BcENK}p}35f)xijd{llVlX{*sN!hxBxnSg@SK@ zpQ7LuSk4(g#&$N5LP5n{jc4ZGbKhgnP9~GdZ;VrpSLw2nq;D9+Ucq2=Cmmm4li8yy zE+*#@AD}xJex#EqdYn&Q5D$}B38g7E1-pvHcli9_OD;tV)TYcE&dAkS-9^Q3v#g+?Amy!dL*6382Y`vc3haBZKQvv#N`hL*a!Y@-qhB zEt5}^k?=zsvJp}-&q@mwiV%9cG7#RTKa^3%SO0|``C9JN3L}pb!F{Z}VH^sUcxCnZ zv!&(I3s0p`DYFk)Mwa-=^3y5`lX}prP5YukxK1i zG^=jGQ?qQhz`{-V?V_|hV&NwKo2~c(7s{W#1oog4#(N4qG2Ok<0-F24;Cc-lk6XQb zVqe#6_8VBfNp&oMQ#_j&eI!y*ehOWvIlMP2+r_pHK2FDm3!@?<3Bo`qSMWF=b){Nx0YzeoS<$T^z zxegGPT)RB9`Y)?WV*VbKyA#)i-_xM`wo@9w?Bcp+Kiq=%q1KhJkkz(a@?Q&Q441bO z=Yr=te*gdg|NnRa5@KTj0uCS+0^(qIzj!~_5FgLrka$ z2}pyKI=eW<2fI6lxWY74zEN-C1S{%6QYww2)Y;!RAU?n`$k7*Ke2>e93n0507V@03jhEB0000n0{{R30002I0ssI20002k1ONa40001Z z0qj;wZxb;Pc6mR9#7hEhZlx;aK)PuX67`fq3k5~3)P^)FLaR+;m8IKFwYyE>7jopE zaN+$7^VxASSz3zJLpWf?`|W)GX2v_V?DTrQ-h>e1C*ftnAB4y0=P1T^it(pT5`kRb zNOzq6mHfX@$NXN-Y%#j)BaRjE05*$3V`&rhyFuRRhZg?qm=G2^xckgSQ+Wn+I@OC&rSQ zW<(9uN9$JyTauaMsED49-ar4uME;jxjJtDu#UEQ{eKI*0Y44k3%k{(L5?S$H)7|$Y zRe0(=<93N`sLf#s^#!JOxHRuJyL>RujRIE|Q_3P&mbg*Af|=?|Wq6z5;xKoD`5Nw^ z&qEp?oIH5vf;vY-T3!;uFm*#VsFwsAq( zlIDUj)=h##uHg^#$^28X*l7+64(_1BgCE^GmKkmjFno><2%Z%6O^fnrqLB7Nz0#s$ znkc1-G6=It@HX5yu-;RDWh+Qnc})ZwxXLL$GFVQGyy;7{%<8p)h}Nxww6<0F3jVFZ z7j@Lniet&X!zW ztjbO#>%jfK$V;U4ohju>+-bg0twc_lzduvbi(`K)RBh?Wt{3bn+1yKHsJbeWk@DI# zN_a&4>AA^xau|3zN9K`nuTxwzg^~G5qD3Ji#5CbXeeFTRt(!s$+@V#8G76UKIg`~uj zZrPK<_ZswMY~vu1L_r)0h<=Ei&S^y&t^;}KmLtgV=|v9$B-HJNeG(!d-P{s2#9MXs z9?uEMsF@J_>VpU<&t*c{u(Osuk0~U480#Btf<=omof$=6swXipTf5Y*-~>rV2((HZHQJc>q&w=$hS}f|0v0gBDMAU!sZOx@mDtHi$$TN zm526N1<3VhcPfKDCnW3;CWkepA%fzmQ?^?i{r;T3NvQm@fDAP;oiW{S;qG3p(p^dx zpVpcj8uLDT^cZhypgWk>^6SLcQ$&?mgQJngv7i+&Kl~Yk`bzujhni|Q8t@AM0RR8G C;!H#U literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..16d7fd81082eacf9986bf3aef6017586fd69a30e GIT binary patch literal 1816 zcmV+z2j}V@UEdT%j0002p1poj50000Q0ssI20000O2LJ#70001Z z0qk1Oj~g`<`Xy{s=kQP*HRm*K`o~w?5stTC$L_wN3M3Yzhpb z*wln^5~{sUIxsET+3*4yZuk4iATkK;EI5OP@I$tn=J9m{GHEponh}kXEXfT*J2TWk zdR=QE?Oag~1PIogwlhP3_)n?;x!@fc0_5T{K;B;l$R%%(mk}7r%^Z=o*aX=){&QsG z_)n9K<3Cf}w6ZwjmSmPtyG8h-fmmP}ac86Wt|wFc_W;#g#*zQipse?lE}(;h0%fnqh!F{DQXyq2};`|5R`?_^W)re;sw+MIV$Nl+XXv`yFNa ztSOxXE@NXEiMGGT3+Oo{eArgy^1?S}lJ-#9n+P8Sm!!BXpH^H;Qp5~B#9kx-+whY- z6SZ_sJq%J2icg%hO=r;)?O>hh;ux0$NZd@Rw(4yf2m1kH;NE@nx<}(scIK@hc=*d~ znbvc2wyPt`F4PDQYFE=NU^_a4v*7A*f{JN1k~*HzC`#0Gi9FZ-I=xwKT^{SS?>-V= z+p?_f)j3#G#BaKow&1rJW1dn5gZi%_Vd+l!s7}ABf42?s+Yt3<%~u@;Ur4tlADh~Y zS;s5;aT8<0<>@*^=w^@UXZYYD_DDT6u4VAmt3aB%qOB)=`*I#2G+{h&es zplU%r>GLAf*H9Ojvj;(;CB~;wxlAgmDMIpUzxMPTm609U}sZ*cTTKTViYV) zIUZ3Ce=2<2r28lQU&0-vhUG|=CN=y+&zj6{_t9V`g_2thOfps3Qv1qwolv&a9teS5 zwA6OyLld@f{d|T`UGlSz^%DH+`5A3heUHt8rb=rf@dfI*3XqekoeuY-x5?1)!B2Ml@508_Tjw=j%UCB7L*KVjh7=;JFu37n z0Kw<2O@m_O)HN2Wnz(2*!IH&LR=yFY{ZVWITKp~wKVWc#JBoxGIMSi?eFYuyMcq-1 zlYPF8^y?w?&s`q>q*mipdo=%6t=wE*V2p}P=K2Yl<#`CV4x!ZhMBcb7fhGPA00960 zcmWb(V*mmUAQl4RV0XWGKi3c+&)|@FSHF;;$cFGg8H^wm3=UwDfq@A~gOobEIK>CM zJBGNzG*rG(Z{Y?j>OoQ}kD@d@GT1Xb(mg)XJt#iF$0y#?H^9ef!HO_W29PBl%utO$ ziXTOvpTCRigw=}|@PHL~K$S%SX#o^<{vobGzMJ%Sv#>Jw0VPauD)a;?wAs4In1vw( zs7L`xp%{=2@*K>qzCgRd4*gkZn#%!ps0dU!hyr=p+1)YT-^t6>Ib>bZXH#CVEDM-~ zgcmE24bp=MGY?PKAjcqQkI1KU_ikna8>$0TAcdj`<^pJF{RpkN#RgVd091w=2O&Y8 z@lK(^=Zs$5VFxP#g%QXh2rE7PZ*}jz!^~g-6b%4k)DU*|_jQ`#vWSI?0Tc;7Knwr? G0RR7uR$RCM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb b/bsp2/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c338c256193ca0b072c301f876246206d6056592 GIT binary patch literal 981 zcmV;`11kIv000233jqLN0AK(y00011WpZV>?2mk;80002m0ssI20001a0RR910002h0{{R30001Z z0nAlBkJK;}AK?w~7E!u6bN<%@1XedvP?c7=GOQOWPdj)@kpNK?32||1>Gmc;4 zEJusZlC^KqRpGA>lf##--ft?nQ~qTjlpPe`lI_BKR8WguV#L6X|DFo0X2d#$+X-6@3Xc0x+~PU6lg z!-KeB+qqyRVN_6$k!Vj;Z*{Y-gYA0jtq%8uUALy$)?6J$eX#9)0NvD;J4R9kOI($w z?$qhh8P{E7-mh5sP;cs?REA}v$kN;86fSs}(!p)4_KjXKgY~}Yd#)s94K=KvB{%CZ zcF@$R8@~d*82A`3$D$2HEOMipW@Ecf)yMY1fY-H21TU#7vByK66gm~$*%2=35R;Gi z?W;F0506mSBK3C}BnK~5#?+}W^rgfpiw7VKx01o^@VtcK`zSG+8E;?6{C6~&kooHK zFIkjVB)~5#LdV~6w78Wqk4Nu=Pf4)aHae6jvm@c$l4Rt*Xv-Yan#A0Hv}Z2heG{MG z0W*76mEA_WlGwMbaJg;CO?My&O~bcDlVO*0xFFo~uqcv`3Ay*+WzlDTCMC~^cAfCx z>pdJRnE9K6eUFp-%jYCVuM^D8O=jjb5-c5^z_@~juNP5z8yJoj6xHxCBl?E<8a}&< zoj8j3AUGn(4Oq;8=%Y;Dxc>?c(4ZV#eBozZsunhu^E8*y3^IxjNl&7f^>ZK^X1?aK zCwb;`o|%DXx$I*uqnBh9v&=jEn~s^1ABnWCkbv1D|85nJWC1gul0M3K`*F}k$_M!3 zMKIML00030|9AlsVq*XTb|4l4;$U~bcpraf#}H3{Aj2~_0b<`^cZ6SqojqKA9Y0vs>qFdu>>7Tk zVow*K?>yarcKmJ8)Bpt;0|U&1AVWdnBniYIgF{>+LeNe3=_^^t#-IvR0kR$d00960 D_2S2} literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.asm.qmsg b/bsp2/Designflow/ppr/download/db/vga_pll.asm.qmsg new file mode 100644 index 0000000..a563fc2 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.asm.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:55:16 2009 " "Info: Processing started: Wed Oct 28 14:55:16 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "269 " "Info: Peak virtual memory: 269 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:55:34 2009 " "Info: Processing ended: Wed Oct 28 14:55:34 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Info: Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Info: Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cbx.xml b/bsp2/Designflow/ppr/download/db/vga_pll.cbx.xml new file mode 100644 index 0000000..0c82b90 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cmp.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9fa5e01ca6195d284bf4095fed2cf232947fb1f2 GIT binary patch literal 57430 zcmXVXcQhN`A9lyL)mBket5#L5)~MRGT56P*DlKXYMU9ly2ofFC7PWVZqDn<-&(uuS zh&@9P#Eu9eiOAdE`@ZM?aqm6n-h1xn-1T{$&vQ9WojUcC_4FyHe@WqA`K6mb$P?&$ zLtXCf4c!1wZ`T_NiuZ2Zy(fQ9S^nPLyXrSAjBgkOczfUY{|y~>&;ILm>Xh94|IJQ~ z{lDs|mka-|9(;NW!jgHddm@nNGN^v1;ror-XU;#d>dPJS>WTPI|4Q}E*OTvK`Sm&5 zBi`GXKQe!GPVD@CHn)ENMXuMU=a`Vq+)<0US>@`GX~u~qMsakm)HKhoRF|?pHaj1(!Es2W}?{tp~$^J^Z$5-SvB~60| z$h-F)MMf$tGZ3(0*`+L??YpPeM;F&;8-?^*j=`L!7x3WVJRorL^XRj%x@r}JBCNva zoG7O1F}Y@M&+-RHz?%!cMkqn3xsbEINJ_!?%7UtAaz*ZFlwRjsrs=G412Uvz8xY1E z9G+2GHfjR5+_aA7nm`W%jzl#zffw@lv={Za^{l5F6jv1XCe%shYYG6y^c=v)R zTPLz;e7aVM?IZ{`F`wv6ziDlaAzzWy#NfLt@6<~FknCSrq~cbbl{x}f*7%|7f+P1@ zH0O4kM!=j2g(~{N`c+jv)*|^)YDjW;EG{XKtv$=ue`Q@MH7MGh5ZFI@8PT_BohQ^8 zhy-=k7A>wI*z$E=c2tLGeBO?8dI<~&?C;#ZAKs6*g>bf9FbL}a1b{=*b}!@BW&-qP z`SXRy@8TUA0aJB?4%QU28S79lFT+o&%WCyAN~xxLq*zyGvk&=*>a{2Jk((sPQvOKn zrN}2$%S!)kJ#gIa^-HYlQ($x3a(c62ksI2Y&aBfmtH0W+2@STd^s(m6kJ<(h*t%6U zH9qg}-E|;1i$a5imm&$GrY9{0!PLM&`?0ovlln9}?2)SRxgpTk&?^I_q6S;pIJxLB zwMrbq)b}~6S`LP3G$+==+JD*y_v2@3h4iq6(>q7tAE$d}e*#Cx`Sw^r80xIM}Ski3!8MR ztmb${F?YN=piYqYkjEs;rM)O)#)A;MvQ+bet}0OSfV@a}w3F z&Q^YwE3#EIUh(_-DSs1TNrn@<((NCPJ1#L63p^7+XDud>*J_EE#gK`Xu^UmE^2Y#% z)1<@(%N@$M)W>_Lm+fw?vDAqF_APik!r?+)KEdIs5!)CKmc#L<9kfJx1>0irvd|}pb{<_fw&@!NZab|-wNk`xM>-f zQJQ-Wd#bxv72vrc(uH;==39(YPK`8RZGOnLTE}pIFg(1e=^2a~*3sIb!$ViiySXh8 zdiltgWl@oP!df#LGIL#dC$=+7=-ajFMfF=Z)2$P2nlq+i!~)IfBJ8QOOriYDoBINN z?7CWyD_?{w3aby!=APAS1hhfwGrI6jN<-lHaM;wb=V58Gmpfs9>}0VG@?c+P!#3Cy z0~46Y2(E$k@(S~(Y*``O#_60fvi^3rcclqtYuuGjc}dd9KRGpJMZL8BXT##DX=K2nV9(ON`X^pPXIBo2$d9RJC zpXxRn*inerShzC5DL)n&$ns}<&cHRH`;VKIJK#T`7U9=GGWTMdvAB&6)zp;@;{EUH z64$OkECVV-tkvL#e#fu4{HW(#fHrn!d@^M4Dh;75tUwIN9lh%ynQ*Q7nM?I)KxbIk z_~-$o|9;P>b=`b6>9noSjY#?UN$RIIeefxN*1<2qZdz3Q0_(x=7+d^5b5sZ$MWiPc zg@+o9wO(g!Ydhvrj;>C+8K&jMjOt76+S=~zC{}ooC_(d0Y4KOoz*8%*X_&A8ilzP< zyl3m(e!@t`pW;8J_%E3@yw&(uS3ZlsM(a0a)Z%Tl&%Ecf6msr(dl%(KEQ3ZBJy(d2)w% zo$ZGkr)$3_Ry{Tnmg$MRw|r3T_3s{TVUHvIr4PNWYroM)z8JJ`kAF?LAVGL& zm$G+BDR0${(x0WEzx<^^!JH(9srR#k3$5Bsh! zl$-=4->4nkZ0dRJs>g{$R~c;1MR2Gl!tXTK{r7X2r8GBS)E80bf4zaf z6>yY1NLP(UHw}eHD1Tda>qXtZz2SqmA2K=cQ)K-;mP(d*oxD;ire-LDvba)wGNb8mSbk$f!n?s{5EUYd}e?ve+TT*bd`^g^3 zGxUWrFgh=8>%Yv|mEq*PSpS*!`V2?2g9e9VSA{fK>D?Y>_98qdAXdD;x25h#n<*C~ zy)=G~G?05fshL`{w^?Qe^75^5&MJqP8%gv^#`R`RM_Q%r*Vj=2ZHSf46b=&zTR`Fg z>sR>n{FLU;Tjv|{Z^s^tqm3_jt-G_C0nN<=GJnD`}t6 z$LL-b6yJ=>6h>PP71@emY#z~WAwwJNL}ae*KkT{u7VCz`Z=Rh%96YBY4^9sRAamy- zj6HP-?oy-o;wANkVTr$|(EzFpGP2YSSuu^2PN=+;E)?x^HNiFjeG4NO1;qs3W@D6Zl_gqU*qjIS!W` zda=Y5IKCNFq`P}UN-TI-feT>`MaVtxASjvBSI4*-iB}CK9 z9e=(BuFX&1bksIXfSb{vvy=MT#>jvq0kz4qe;^u&u3Lmq{k=KA)Ef8m(mzB9-?UXY z8W#nw72z7|M{B*=&&3e}dwvfTbnYk+Bm-+d%x z;c+&7NHWdk)_z9KAXH2#%zHOfLZzF;QwK^1K(7+2g){&*7VE*yY!g`T-FymJT9zB(vDa z`|*`Ru_%=_Jj+>-yRSf||_I z6PH>47Hgbkh;k|~NjD3eAH{92I_BuDL8xzfwV-i4F~*Oe`!hJaM+3~I&dyrh2HWf% z#eKZtJTbZLkkQ-G^m(tM%LHhfY^4b*X|^(`A5v z0!{z+t)=jq1p8!5$!pAWY0@G5$dii<8S7`}!4Kxp0Q{@i=N-lw7Dc7A=q^zacs(;v<3`P_p(O2>CL z8Mip;0`-;>^&Q&#*ahJrNd`5`%KC##2$Qq_;zd>PRxaAQ>{g!wt)h-}DL_g4d^KKY zCDOU@ZeV;RNeuFdVd0RSZ6WY^KOWY;bz}zSK0rNV!Si;UNF%J7ibAUr^37(m*N~it zF>%9^*+n`-2IY9@`GAfSNb8h>eJ7y5Qnckcyx}2B@iXAwjfBPlFC$kw4r)Zp)6dUD zG!Wmc%(s@Q{jv^JKSq(0yy!Cj2B77sh=z)#e252c7dw$s!6_BLx=C(9x1r+`q&!1XSVOsoRrw>@Sj*^T6o8uZ%^+p*5(Ol zwy=Y_2M(?zMyd~atiVKl@}nk2s$8zpMCYz`hz=NMl4=uKe zZaRQ>92(2_y~UoaZN_vS57OcesBVdd`Ujma&zGbZhJ>q)oEUaG(?2_x|_jxRf>{5AI4g;K5i!>mPL&nWFFk^+g#){y*k zh3fR4%i&Q!Kx&lLwWUl2I0xj(mMb{+evUjiHwVKw;FHoW9pK09OHvCQ$!l#>u=zrr zU;oZ*Z6*ME>vhLj>#9a?mkR1upd#?0#ntZq{e7{*Ow+&Ah6Z&pYr$or>J1GCB( zI=0-t8rOLg&_z-kxLpf`z5B!y&eF~yTapcgo`QJ*OMbS$@PPJ z_lW%(%)~wI?Wi0{nf)hUFse*>`0RXD2WYm>D%h7kBoP~vb5taIZIyDMB$0`eh3z+$ ztD#`yn*KIPhIRU(Cs6f%vF%HH7XS~}S;>DWxP+|Y%H+%fg8IvK;%1oe=|8O%;9EGe zUIM6Vs`MNc=Q_}Ll~etk)n70zTLI35PpSvNzao5Eqo=gCyb{Fw(t|H#e5^)jvDt*M zKoU;gxyQWP@;YSKS$%y_;1!y(U)y3YGR#Ny{k5#)vi()TpeZwO^33v}ha5G}uA`yq zxbZ;preg(y-R?@J)Hlbm6AK2z*}F2pF|u)j{i)JqlPT`vUG8~n-oV0yGG6q9wbksP zs~QR>QK26Au|YzGWS~=y(D_0%PrnTuK zZ@*T*h3>1k__AI8w{bCxyv9$u!?d>r$_xd$X)Pv^IQiDfx@g8{Qqm>VW1kQtwbU7?$eUU9~JQ@pQcP03UvZ7@iQrPGDU)hdXZJjvf zXAH*(kpuhikd7OM&W`Obb${B4_h!vlnaZ!-+0wgD3{ri$b_e0<7_)-rxU-D*y1k5M zWJ~F^RYE?HCl8d{Jfbv|nd2Mu^L(V{qWpjw@k${b_$KfmQ^#lSal?Sy;CNeyN=sCG zZXb>?eJ4*JficPxI zofkB8VoHN^2F`+-l0MU$kZpL#c7b&^MfJh@$;FjBKTrV9^-Uxrr*@af;}Kiey^ScV zLixYqT8Vud9!VC76WesGEsYg+eqtfNsi9ITS@FD=BHvk0THJk@e21^P{!Kr-p52T! z7#(|$S^2Qj&>RMLpG-av>B+?2j<$(=d}x+7EURW2mQIOTr?r!>DyrMd0<#;~?ym+6 z5Rw({XH*}dhc}%7i`4Qzf>~F&yKxq@`S42?mO$bY*JIvs;PXZM-*GRFS}A`n0TxrZ zdpeqPq}p^Q8G1Y1KA(?XYYx4}%6GK0wVJv7kdkNs?*3dw-j(2!FL?SGAu7(p#ltlG zj}%_r!~7XdVyv2BC#Gfvg}ay*-$l5)bU=jFhsSybIMpfmiR;Kt{NhVy3oF#+3A9Y1w{|jigD}U*dSAysuPr@OF5BWm z^`@P8VD!poZNoT`$Hjj*v;zM}aAD|{`(716vKJ0+P4jh-a>>|pPEmPIXHj*!;isn{ z%*=j}*V~6`J`tl4q(7Q($9!6hliQD`uvZ~UjGt2vn- zq7f@?Rlc^B&ndgl&vfjyJmCp7_&t-R(GzSVFkw6Wlza-2V8!~gzfZSny;6#sgDp)Q z6ZdP#9n{$)@ooQ7Bg&?fnxOzH?HTRA7#=KgH(w1sFB>g+I47o@-=K2=45?7qsc@8Q zICYaFGAx!hp66wRik+*TeKLumB4bIHlYQrfSIDz$3CF@x&F(=RiAm?(u2fs&&cvOC)FptR!*x0E?|uH~`3%oaDuoX;Zog|TjlR~67QSr+^>reRzKJ*Di-SQ3DYTw!F&nx0AQsNlMm52{vO5QM{=B{2 zV6D%h86&1XO5txS)pfk}1X6DL^d3SuQW{s&8ZK-rUESsn4`M5ltR}fDI2*!--XpA^ zSRc$?FAQ>@+SkEdkZNCfU7f*(-Z< z-h5%%OVW7k!`&PnJZQ!QvfzKJj7G;A&R%hQu8*fM;|@cj#pz9)@+QI#Fb$LBf{yj?tWL z%rteSZe{zJ_q^!euKX9*3=s~Zki>t)lw_Dpqhz|qd8NJT`qaF0zrb3V8cp9tJajhY zb%?h@x|Y^II0^G^Jl5H3ebe~~jOG4nCXysi?qK=u_=Q)BN{Xmi4crNS7bac8Gm&}! zf*+`;XDrw5i4b4cHPGT>wg#WYVar7TW>rjuQmS1bTLHv%g;yHHblVZcZ>_r2oosSE z(~arwmwl=vvR+@r+lgUtjAj-)D2@Q6Bv46eBC{Vo%(F=-OoG$IL3_bH|%wOy45?Zg;BYkihX{ zW@eu_$NSg*KWDC%u4~2P0}^v^rTiY-vnK!IHx5_#ECTCF;{{XR1ZXZbODUUDL%+Q9 zL7Iy=6)dk?sJ@?jF7y}1`;+Oi*%MB9jS-6AK*s^jXyl#Jc!o)QQQX%0fre!L=Ty*0 zgj|sU)3Fpfyg-DrbpCS-?kfXpgDa`sgXAa#tn5F>t4JVg! z++BIU`aE`nbRiBw@U=E)+>T49;M>QaSmE2e&`6Kp$<6Sf{?1t8jVh@?kL{pFAKkS<~)~sXw)qd|xi*>V8 zkyp-^02q4P-|{DZ1Ifo^cC`b%^d@3h?R5zU6{P~JVg4+d`r=1Bvy|i$DrzdPr%iQY zhZ06V=dOSWbpv;Cm-jCI-r-LeoeC`u(oD(bX3j@Yr5j)N+*rD{9c9;k${=Q%Fm;I% zuRZ%COi*h-VF6dJ%D`FI(;}gO zNg`V^G2`ej!MrG(XJLjyR`kBiB*_Wqz%c(EBd3=q#GJ$mviPUK4D)RDHfdLyxDc20kEeqy2b4!FvSNcRKj=zuSzOMLp z7fIZVXL(-T*09F%>wL^~SS?rgDmB+Y9r%bnpL(;*jiTUJKEHXt{v9X#5r#9b)DP;P zblB~?c9xIln1O(Oa^DIVT!KfAB7bXvQ`XMRvEqS!L4D|;V)w0We)+A7JR{7?&$*;Q zyb>=_c1&fsQT8dr zYv{S<^{-lfrvlwgO0ISijWWokLImU?A_*2!?qr{cu7k`(pCcX2zwtRKt{k_S(LXY>j*-gRE zw{b-ZMnVCQwO2B-3W9yyEdM-EJjnXbuPz#GgC_8K>ecIiOQDfZ*Vz?7G&@PsazcC} z+8*KFX-jCQb0X|KJ;G+J4;$LdBB&x3k*^N9M$k3giwmEzz2>)1P(MFmGETYkxIN*_ zi1c4r$PdvCODB3slnz8fiY_@Kp}+y{N&5KMifqef#4e(MgL2Sddc1IwET`|4RsQa0 zyQsq0xvYWH%PRME-^Hx_=~a!zd3-56Oq-7anX4k*yk+i}#w=BDUVL^c5-_~xB(wPH zGmY1 z6Iq?8DMupbGyF5{>T&vg9%Q)hCELs9wn$(ydZDlDWlf~ERX0Nq$hzUb)^_0I9Jbf= z@zHd0y}mG5=1ff0-JUg(yp}!J^QKWZ!5$eFT!8`7nQZdrT1OWY@i_+BHBp zJtA#&yL+tl;Srwh`F+bRn&cdtzhu?n4jhH;$e^ zwHrEKK@=peq)r_(PA*}dA!Z(hnv2n6b5DXE?RHup-N42*ZN1S}|01Np$67EDq01nK z^)CZDdf59EIUGd6CFj5WxWS75V3~Dijbe5Df^>Yw7G68Y+B4QDTkaWyTL@{sdkOuI zPx*Cbd8R%kH<{=qG&C7Z^!imPo@pU6NoD+P2>KBiFd6{GQ1v`E>#{AZ=Is4%&+2?U zJOY2KXQz_0s$sQS#JO1qUh?~&jGK4?O^pBp(vM$K`iSi7JuLRhxRVyLEAYMr6y=qfVhNt{R<`i^- zVG?(YB)vWLFKQUw-Rqo?%kk(wxF>=j$ieYh#B3t;4`GwBV@0~^HWB3|9Va`}Js4wA zwv5*M2jq7*t&AbW>j`Cfq8LWDfN7HGZxl-s#%k*tmbmJ$B5Zb1zejNS#|k$(P>2I- zZ{LT;x>=coLV2mjelo{wMc<+4<~n1$$81?l`n&u`dsI!HbnRqZ_L6K=i1`Z<>RbI43!J9);#ONp$BE9q9HM)V%&PSja8R>tv*1^1cjPCdknY&+M=9l2EI1`bX1*CbkMpC*5dde0(|JZPHvjYYFxQHARFZAE6Om< zwryaS{)K;UbG2F@0>1|>S1{v`^B=v%C@_8(KkQD6U9)JLaTqCe@f%}oe(pAxF)gu* zP8qn<)w^qu$TgFu|MKGAlfRA+bM1aG4vJE4&CAwfzcUVQjDg}CEkUfFY?EqgJZij# zG`d1~H40Y_O3Q>-B6dxsmQ!o4br-CBni5|8n!SYe0t{(G#1jF4{`V4lV(-X|EI|Lq z_UeEMoCcemPLH=hmdO^oj(@zGKH(~rD!;jU$oLUe8ZEcj;wH0XKrC(WQL69Cr_Y0} zV%`k;-f;MN?mg9;ran>+(6$Y3sPU)(e;8oOdB}$aM&!;71sUiW(oE0*%1GKhU$p;P zv75h?RI`W}`EyDwure!0b*LxRBXmp=4d>n8=A5a=oEo$_2g%t?8m-mZ?r(f5Dby#8 zs*K{QH1kH(!{>wJSJs}I-GLXChTCZys@4$E6LqB<#|m|WYvUg$Vu=U7YLAxGc-%QS zQV$cj-F1afpT@XWKXd=jr~mplp{*{=_w5&K759?Ug{9>&r@RkLqXUI~8J7>67xo_! zKA!vy?YYLHVulYJFkPW<_E+~&CbJE85UCj}ECWcaqMcZkSipyf9Sq#P=(D;ceV&p~ zgR-j(bZODzpjWYxWaaJSb6i^_((VEeCJtLm;)K+AP^UGtOBf02d;{1Rw^b@g!w`P8 zpG<9$Txq+!Cuo}_z<0JzLcuFcpij5Pd_=NOU4J?T>l*)+bwIvey%2dfx4 zEJ2iU&%L*knFE&p7&vb-OP+4MsZpPI8us<>Jw4hgx{Nigo(xIwc9$1yQ`2!R$eZPz z0v~y==kzP-hqM%7P7&zBlNugNiD8H_ibLe~+S%VJgoAoE6^_c&Tc!u22fxj8nl7fq z62b9~_zkKM0-gJinW;Sr~|2 zw4XLC&Qo*$nh?#gEyO;!r|XS6ZeetrQlUCm_On>%9*MHqrN5xQI{~@h)srCP7YAU+ zwa!qVEnB+fnk}g9s=W)ut5^Rog!&LUA3fzPS2Kd>z~I1N-**fy8)m-i}1C&OyU-GP!*8}`;-1J3>NO20|1tJr1g;T@9ekb=#RB11jvtghgJ2grkd))0j3 zL|g5=YQc#f%);WC-D!;v|{v0<2_J`*sze+zry5oXWHdgGL(vmKlrAE(-~`gzX?}4F8E_Svu#b+Z)-2iEJJF^r>6G) zt0l)kn7i6PJ_uzZk@dL0re!DiH;P4}LK`&p& z%+BWXfi;3{gMtRS48i8I8Nb>a=nbO4)ympNR7YzOJMD=$zSaX5W*5mA+u=U6kVVJD zk08LljP8x~?ZRM3Uv3+G&v8Td%2KIyxOMO=u+E@5Y((kA`f@l`SLg8Zu?J-z8Xeyq z+?uMVH)(=)In0<`XeP~w)J@QsxwjdSPt?-)f|cKfcrxC;yV@}Yp9(*JjuCS&tc)RD zM+x+cZ0*#Cap`m)&gHy_PB|x)n_j3^rRIDh_k+m%cBEcMQK^W$0@JecpEg38$p8o6 z6skGrR^K&EOKY_s!o^JxwJ_gbb4@i&4Z=ml zK={nON}pxr&%Er8vIh}?_r!vFS5?_tV)i#N3o z?JEyTJ#ctMz_Pjx-Iq;H{KIplQYUtuSA^;Kyh6bfa_xw!3%Ngr z@r{^lXpXzyB;&P28SjB%!yd(~I z=BE&1@|g}4^xV_5T4BTB2fR_v{_JL1U%;HP4zm)JZ~MNJE`TK;z2kV!rL(E1oE5YH z32mKHPX}=L%0d)Z2_^^iAqqOjHj8=XWT;&m5kB?@^DrhL2~#EA2^y4@zjW*bX|6u; zZI(WK_i`dsWos7qyHsz%Y;}X7B=K5S%*&dVt6hSU4pkn^Drav_vf5)_SAps41lINV zGCogf>Y%8Nul&caKz42-?$G(SNkMxy+OQbcVxs;*YH%2w3lG2V0T0wOP1Q`!s1=)M zc54QZ$BQ);PGbrz%#xMVNgJ<;lPz);1Z^yKY~_sF`{HO`#$8qLu!Bte7x@|-?1A7E zbdsx`+3zfz8pGK$QgB_YC7i7>?06_&aP+i)4%-(Sy$T$$lJVi*cSLaZ-Sd8L9Yv>i zvx~paL%n#*;UXWa66fXLIKEh%-oam22U?%Z*Gd+r5;o3z9FMe3OqO6u&TZn%My=m= z-h?C^9QO~jE~RH%NpUc9l22B_K&*}v%Enx>KfVmETw)+vayZeVsK9HX!ZS?BB~pem zmvYZQzNXjkhh~4mdQvh(yd10z_O1e&{}9kEQriGBiEz^A?o070%%pzjl@(LyWQMyA z7BOUA^ZZQ7j5%mEb4UtZ*%B?t+Y++Mcyd)EndQV^iDCce^ag?ZjE>bNG2Ay2PI;~a z>!W5VTuBs}`$!UB20~CzUBlEL5iQupG6}-6O@^{yi|I%E^c~cZ;7fI)B+rCzkP#*JVl}R%`$BXYqoIcw=eh$-ViF!Us41pT|g-@xV4l< z74`nOmmTO}&CukjdyR|O#>QG@Y*kRCTR3FciNQu&nJ~ps5S~SRu!F?DbsU1;OtOoUo&o5{7@Os{~PPuYO=|eLw>8%w}VdVjq9b3K;1u;wJm{P0S+6Z zoG4AS)g`DZhQ=zdlQ};Kc>t#nfvs`f>;-0b(Z-WsH#9__FE%He(H!q+F9wsiXr%2K zm@MoG+iF=0i(X*RS;Os3_F>JAP=$g4=1=g_Pci8aUBu&PoW^iEJz=O_N2ect2egR(K+R>)8IvR{8>dq5};-{yj(#)h9Af3f%U*P!Nw$=!?-dIq(A|Q2@noqcpR`t z4!m80U)JnPpK#{EwIBf0YLi=SPkG~r&v>gPJ*9+gUdkZb-moDaKC6B!v!H#N@-dUQ z!R&!R6eQ;ElD|xyyW#7#OcS;3ezCZNv`WRUmq-Qh+$EyI(YKD!%k#d=3gdg5C?(V{ z1hxPYd1#(Dm-{CSsxe?QVdas-GMulnO!a>Sv)Xz8t73LWv{b<%xK-~Twk`INJQYR0 zk?79i^~7(k#7j9`zOSe89HKM&d+{GL*_y;JwwBDLJ0FLxM^(+c9JU(>6D(U?Kjy#9 z6)1v!J(>M3q~Rcr7sNvaH*C-nJh0HUFsDFMOybN*tP^`v1MS16%J{T;-ltV`Nn{OU zAnNZ_7q*IjX4G(*iMRxsj_PLP8Q}G~XrN|U!43QD>nSMlkO8or(>#cr6`QPj{3Wxy= zuZMP_2+S~E_`HbhnOqYm!>^5{pOlsHaoVn-Q{(?O^6+6;hnlt>Ba?`LwHpcwR9-xM z7<4*(-*@ln>wd{&V$at>uS6zS8s)=p3wT4>WUrkBoM_;oJxh9fc*WCa38?tfRR$=S}yOxb-+_U8+>b{@OGdZpC z08sfVKuGJsH>vA-)0F({(%RxYlRcXEUiw^a<5kWNwPly?3Z_+v073>4kY=gX z2h$0mb!w}B#+OWQeLuFBUQHLisV!N&z7`(Ol0SP~r!N0&j|4;l8*QrohNE4PFEfF( zj$F+LcsT0mIeGcX%Mkg?_}QMo%GoU9Y5z~a<4m)QO=*Q}ld(uDZ)m^-|gdG?2 zj^rz(KtQvT&-iVXA4gZZTF@O7{tmm(G8Q zH6xCAiqW5Hwbi;({B^JA)|UAk_?aJOa^W!P6qI@;+xU?L+ic1OGUY`!%Es{;j@JWH z5$=80eu+bgbryqaJ5mlrl}V~VtX(kSY~OeDx1a-E?-TM+89UPc{yQ}LD>&F1c2~JI zqtEY1Xp4=LZYhWwrKMlDP=4>oawHvlc3sG6r|vh*8gq1b=ky>mJM!Edc*AftrjUM_ zx0YL@Yp=_LLCk6$*4`&e+&61rUMJxhNlcqAp-RDLjMmG7*jb2c*i9L4Gpdb_HQ`X- z?>Av?;=lHgQA=n^@j=QJqy=ZbH>45L;m z-?Lm&!>ElA*46WnHo3PjO+Lk1j9i-LLi2{5GBYXb(OB=tNw8qoHwA3jt4LuU7@I0d zz{kTH@bEJ4(%~a6)ka-AJKQ5bWbRCI$T7QCX8FZJZM6npx22B<#Q*$GryQM}4!&P{ z9s9_S6iEYIZ`o_@31VBuhh6-#uH7@>`?uTsN)O`iR$$h1O%dQv@ayU*H%+HHu*M(7 zt+U5ll}uS(L=@OpvSN-e$37tcw`SdiB_2fd+o1P>to*!l!rACOKz+ijgkr#V%Z27& zUWk+c;V=%@?rIfJk)^gC^dCAg?*=6AS7mI-UZ+_Q_F+;69Y|SM+@lmS%97yJd^HvK zH;Ru}MUl1RCA4sNeXq|vUjyc3JK%Nsl?wXP@8nkGhM0Ha68H@@|D-=o7E#zUwcYt-f24c=$ z8b8CFs&FYw?Zky!yL_z6X8C2!?(o1hkQZNY`JVLNx!=By2l^a#*QVb{GR(dWe@#Y| zYT-VPd^3xio_ZuAUFp?sd~G6XyMB_iFL%XRG>z0qN)04lEUa)3Jpk&qi4C1R7i6)y zs?WG%ol?bSnj-cLCrsd@K=@|%7S!d)2i0U_ zs2*b|ao=E)sE%-0f$UD`*a_0ZQz!h?M)*UaSqqgxmJY^^<_rPt&U%Jep<{*4e3P(L z!QIW3ZH_8|1CXbw)jxR?=o@!O$U6`aC*BkN$paU8mcCKV;;T^$oQDP#Xq}YI9$3-+ zP?IjlCR4*ZU!L;G9P(eLiH6TaX@@<9mls3zK^cexd2Kaw8WZ9xgJ@j93hCXiFqC)o;I& z>AfSZl1?(FoM1UJbG-ug?)ALUz?~0!y+os|o+)d0j*mz8mSzGxDw^rQj1X-Ni*L-j z;9hPM8E9hj^Q}%|6~wXMaj1VA%B30kFJ|x`lOq5MI{)t9v0N|{w>G2h3Oi$DXz)94RNO> zu4(eO3o{cMAh~o|9P>Yc3l@|-sAYAU32^l`?rE=6sTce(z*#5Xgu77XrSNhqgQ)Y# z5;YhCOe2x*u92ChMleuE5GMzOhld#nGMuQs!qSar{dTM>R_swxO~0U6nbu}`wnZa# z$Kpe!m&1*HK+|Ajz4fHYi%If~6?!B;m(^2?^1|8!6>1)CeK-Bg@@uOq++Uh$QYIstX}Bd&ZMG@= z+>AeVUzOhFzs}KFjOghp6lgXITivGAZ7`E&V1RY~87t|&3o(k6UXTl_5P8jGr{oew zKkwu@k}1+~Hkuux z6jQ_G@YZZqq1L<`3Ciwujm(5rDg9*4>`fNlGLaCM=e@K5(#3;ghm~AxZ0+M;N>vhN zd50eou1zMicfPEEb1d@I!C4eA%JT(=#%5ph%=GE4H6raH>;qVv&~E(uI!!Cw!*&G) z!Roib(Swf6s)RNGc1zQeesgllYl*!7&Nxat`Y>mCqUs;HE|GN44fEG*z5@7@`11d7 zbd^zUHcb?FDHJbKN^xs(OR(Zl+$FTdT}$y`rMSBncXxMpcZ$0O4Ul}i-=Cc1oISId z*?soj*^%cHw9oWkdpWW${I9_zlvOVCZJK-6bu9O~%u|Rr#R`sfH#H)(skJ=}x|nu)t>{s->Cn->DxbzaZmq4|Pto_KRW# z*o9p%1rsF-d=c?hP+sTxfzRSN^Y`5)5>?8W0g4HzCd6*!WUCxfIE|6-&WMC{zs}4 znTXfgIQK3h??@qK-yiv+H`U*v=BzJ*xudfeL4hN&g`LGSokH20?9resUWZ=RA$ZoG z=NrzY78M^H_rOP|rV+S4>LY&CP-p&3=ZEO56Gi$g7cFR`W;8tF&+{ofdr#uYhPbSG zVji~qqh-nWO>`I({uP>LFruje7a{3ndvvsN3?By3{SG$JKRZdGxePTQi06FJ?To6V zk0OFqJGR^o5{lOTS8Uz;<0J6;1@iUKm+6M4BWAAFcO%@IOBA7D-e7xTO%`g-CK}N_ z8mYr%N&v?@A%=7+J>qM^WO84WwmK^xc$;Ot&VUqj&na0|a=Sxeldi|m@d%-$7#d&m zlf%vajy~qt$vd(ikS6iL&}a9T#6-aaPjlL0bDtK{4|X}%m1Wny4-rEkWLRbCb1B;O zW|{Shju#;BdT>|x1>+xx2MEqrsEBqq0m2kv6@{VROmOQikoex^iOtYpYa%jd$g~dvFF>y?y@1@oml*#YT(jvJiIy zb07cTLs|9V0@-`$9)y3B7b&ZC&^1Gr`Z{+X- zi$6WN!o*t^d={t)J0G9xNmrafj@B8VPom)xp4SWjK+A$Uq(Nw_oJGJYLs0Wg^` zk@%4Pd_e~~W%YWS>wAx&%n@?6$=m}Er1Xv4Y*d)IMp@K;sjy^tWKQ(u9L(;E%@SRD zK9tJHn)Yd!^v^QL_w-Sg@gc3{wEdwB75OHCTTo(GH)P%W$TJe}uyh7M%a>HG}v8awFaOu{=p5T)3}MuWBoqaXgE*@3t2XL-Rk z@NV4kcW$zMH*lelVxOjvy@}r)gD1evkgaL`LA+~EqXD5sD`%zmU{}(#Dk1Xn+uW*{ zzLU{QL#hXwSq}6n&ui`BEZ(MH%!it0Oe@Imou1z-jQnV-zMnkC(Ergj=;!_P(xBmYA6D`h@r_wCE*YyR4V2(^eJ zfJkOQl|68Si9jwksmXApQ>`wsZs@{8;Pr{~oAV=gDVTBA2tg;UsUM}Qn6>XWi;u99L{n%l2DR**x@na!Tsw7Hn*VO2}u6~)>!>*#S} zSCb_R{pNX%Hsl&P*30-ei#^ofdeC1Y%l&vEn+7L7*?E!f%Kt0jXh5g-(uEgy&8BCu}3wWoo#Qv8sKS8dT}M z;=Mc#f>z=Oi1270(R`|&qi*gZ=i5*|*b^>@5U+w^A5Vwh&u8e!I6N4%f8*qAvh>Df zxuFh~AuoivYd}=kfT>dFxS2V3FGh=)H}G0SxSYIijM3f>r!+jC(i>`DDF$Dh2LfnF zp_J&CamMCQ&T^=46lQ1l90N?vHen@LtacLPCWDb+bJr1xgtIL7<+927j6HRiVGp-rvanJ5wG~IFxS=g+rJCuRNKh99XO<6BHS$g13`S8dI=OH*j zb#YQ*@UdIaHDi^u*YQbVsV^Ih38d4>Y~h%$FS&<3C^&&Xw{R|#A@d2FXfN2Dni#M8 zxhifWDr_&<_11^5m}nYqW|=d|rlJ8qE>xp=S6FZZ*|)ga4%nm&K15D0*ikuH&j;iJ>UwR))-NAq&$_z-$C%rme9CP2 z)I|`%o(0V9LrFn5xU__cAuh0~e&^T3j4Gt>s&LfRCS51T`_XZ`%I7bL4bSX|8{swo zOS}9og<~||<0;|!f||z>WQ(XswBHQ$^TGaJF$op+cl$~_UD+&3oAlZcN$pJUnW?qk>C-^r&C*EZJZprDhMf z1CQLx9&A4mNO!8g8&=PA>{yB~l+zb$n8qY)X0pQE>Gi`_rkWqhk^6X7KTXp@Gmz&&EvS=&Mv~Um} z@#mL|_fhPZ2L!WH6CVI%X{9J0fu0);1%T#|n=WU|%lP=W$N)vWHOcGSi1r3PK{EdJ z_vp?D`P(pnXqG6G(vZ_p0by#Ngq6E=D)oBP&B^qLA~P>=4oiB z{e6FuS+$JGf__%!J<|@BG8gpR(C^MX;EMtDHjgpG)0QA)Lw?NRxi2?|(D-V`skOPT zOa~?Q)fyeqw%U->5P;Ohz1vm0wz2XA=KDxtvNYa!R=y4XlMg$*juL<+^b~lMw8S^6 z>dcc$$} ztr)5T;Cl##p7191$Mw#Zkc=Nc$v!F1E_Gj%FQy*so`T67$A2d*q(ViI4m|MoOY^CP zwWoF_7-o=xV6NJMB^aqpi2?uEwaGH z2+{d@r!1#qB&V!_&8u?gKItjjaAY*!Hbow%&CeJK(R*u-frEqxRBzFs3HcmkM1V|= zXr4+(KajQGeuM5hYd4%8wjJb6dx~$wVD@&fkbN0uEX(?6N+7#JGc~#g2r0@{+(c~2 z5D|}LC6Qp<(p68`tRBq3^{(-HgPDnsrw1#^)zD?rQl*f(LN}_!0Ne+f>^ytCm|G0+ z%VeOjK68|s8P7x}!S%E~3s$5pR9YbKm1x8mJ*)}7GQoUmNGcnDVo&^d#%L!Z`lHH{ zLsi;tGmdpSbeNqd8n6;u+@Bm|Mu)c{?;g$ZSl4akz7tL*F6@r@Q^auBt!`%zw}vk3 zqeU_mX)vk}$_rw$6-P_4D7C|Cm~6^o;DxfrApx@su9))Tq2R}e zOfB$VIhC=eYLxdUPG>c4LuiQ_#% zZe(~H6@G}1z<#xxA_r8KrjQ4s&m0=H@a2#yBe{?@IsR01Nb)cW9Bfl84G^|7^<+Du zV}FB)oe!Ox|F7;wE$=nAoCn)O)KTjbNxP?Z5FbPZ8hr72LnCK2ZweiOicMwmMRw?! zo*iW=h&t>E>n-g#=bx|FPfH3?)(tRn_&^bnV~7Osmr2eY`57b@7sD2Ljr!K%Dzy1e z#IW2??^43H@ecAv0?6_p%0@^Gb|(B9%2#mH-2_8scv;t}{$!UpE4& z7L{HN>UJ!Z@BZ6um=FB>>9IA&OWqvmLwP_QF`Cbu2RYG=8h%Uf33VmoBVRNn{%I2- z(39M^(G{m@x&61o-)V{SS}(;oq})AR9iQJSDDy2sZB}J>%u_3)Prr4-VdPy_84Yyt zFn9FnTMubz4zZg^o|rl>Of04e-$D@UNXmt(fNPt{X}6@vU?I!DRpXkg2X>3k=Q7(6 z1)-S#IFm0Be%VlUvOC9kv1p5wHKsRIHp7*I zpL=rpI!KpjQFkR#Q%-ec&i|1FprFrzZ`9O&fD%V&08TcE6ct1U&`k9s_UDoz+WCsP zN@H2ngN9A=|B3wZGE!AKfc*S+Q?72G2^Q2S(H#w}odV6Al$fM=r0UtfU48`A<5j1O zDi<7C%z3dJAr?eYe7TD%2HH%<*jI01BK64AZI)g>DpA=4g7p_PaGL;fjG;;tUh+jwL};*l*r3V$4;;4g5|j#T->q z%>}6M(EIJc73p`$R&@Xx6{m(a@y8mjnxwbL=UC0?G${NQco+=so(E zmn85u{pI;DeEQ84@B~u)BsX?^>NCcnQZd849KOX2u||~t7^UL_NMnd&D975oOpJUJ z%?^SSPb7-u!njFD+VLhdsbOyAEe@-@=bIM1Ll`>O3u+c7egOLGM;OhJ?%3Z zt9g#-NfSJ^o-QR0FG>q`WRP$Msr%(k2BcE2bpE*+N2f5rQb*j=(ZGT%b&`kIK#>{~ zJ=OcI6!%!X5TRu9C7K$`wx09|tKvr=cS(&FQw_0)I9}LIJf5<5j!aD*#>9{L1D!xk zQJ>~f2|dz(5b0E^C41>?Qd0dr{l4=JW)3~Zw=rq*x~)RXcqHQ^26JgmgO3E;k+JeQ z2dTY@KXExP=MpN0+1olW*?Ugxoo`>9Zf7zn6k1i^aPJQ8)3(F!RyGS=Gv$v?>6mLIYTjgbnr80~ zGzMqjq#h>VB@L5D!|A$vZeL46R3=*ib(|Lx+xO~QU0S~)P%5IU0lvr1Y#%$-a$GIH z0wVP!oL01%RI(n${&|a8g8bC7+z|mZ?4ICUv10tqlAu)tJPf@e{DQoCO>(B&;()A5 zB&BKd?mXd}N3Qw80ne+_o0bufv-YiLSE9l#T|%%%oU#c1@gjEWmaQ&c;cz3hd}KQ! z05f%q@vFQa^z+6Bay+YIj>3x>@(K<65v!k?Xh*#UeEN5uYSCsTn8QH16NJ!?&SP4a zsnv*mla_LhvlqnAXP`k|krQk`ug_tiL0sYL%>t&N9a4}p z(LH;MF(XfOTk26Ya}Q1zZkz6-e4jV_XqqL6-qcVTu0XVDjsPIxqrvogt{WSMUK^?y zN1h~0FvEqx=U87k!MR&%utvmr-wRq*q7k@Iw(ZFVJ^v>^U% z0YV8p7S)4o?!sM+zqoMIM2tD*G1z`um;H5T$cRDyTQu&2a#S5TQ8jlwjUffBmquob z^&~&FP5=UcB2^^aO5!CG5p}=KP@U=Jo+n#4U>2SHB@@YoHAXJk{e=PXm`lYe4TH(_ z(+dpuyc~6O+BZD9e%AVQU@KLy5@1aDIb2!Ioii8nR{w3CytPNDL?jg=04r4l^;yje zh=w)h{*)z-ohf*KL~!zzj7mFy7f zWTefkoanNH{}UQ8@;+6u^oiIj`K@Y@a>RYj{x1XVN9(nNvUSm1%wn75m=vHpX5GT8 zJV%!7Uj%7SLJ28AaxAR2sD4NJn_PxPM2|23$z#v<=2DrV!eKgNfb3bi?$#=2W43qL zdl>HJf|Wa_)!;p<`ZxJIqT{lM5vl)T|deXo~7);SB zMZ>ZVg+V4~qUDjct$8zZ4{(Wk_+&xGk*-L9p66S$kLvB54_YIo2zwkz#i4^uqV+&6 zXHO(^nUr=}!ct$$z&17~BFXLE@)c8|KGrxR-U9 zpGVyCBN37>L35?D{S!4_H~}IqoFm3+Kql)^XfMfXfN-e$m$yRaaMBsEUs5n~QBC%q zQcP4rVHi(`J}0Uj7k4$8V+!AG*jia?RPCp6DUHU!bY_7ZEKEXy@*!(ESlp?tL}Uoh z+^>ffjECMamA`9_LYlJem$e$8CX7Wo^;BOJf(Qp z5p0y=7kggKf;>T$8w5vE^327`qPW7V%UTVs275d!Wfj z&LW;6rf5qlS(XW+5fvZ^k)*~Cq~;=0HAXKXDXA6>PgM2yk~1BhqcAn6FnDz`oBu27 zDP+i5Z0?ADmD*k|&)Ct5tGbS<(IOeSP`q}k&X~)7Z$lI8ViDEz=Lp?GW=j|7K}cyk za2|7Mq{;~0jZU8a`t9H{8@sRFamCf8y7QD8@Ep*?T@ZQTT_7WZM;VlYD73?)93Hl9He z$97JisX+!B(?teHq6E2i5l*O_hdXC8w@PqQq5q+7no9nedJr?{MLefmVlO1XisuC9(36mKm^E{?FuRo|`<_L^ z!WplfG&|NAWxqY`6q9L_ug_4whxzTn5P!C}Wu#)+I`?ZecNF5eUUV^Mp3TuJ9W>6? zPeDHayIWF|v(nlOg)#qD1^VF?bG)7_k_*cNq`ci9dh#L|_H z_K3=VuZTByZ{q(5e*W6ql)hXpUt8Mxjs^yqp@>d78Rl)%{Ot2F4T&^3J9*(0nY0k9 zdJ@@Xh(3j_&Q!laHs#g@Zx3I1hsg3oD*eiR5e`Q`bov*&qKh$Kh!~Yvx-N>%RR4dH zqwfDtvH?Re&c|R(Sn<%yLe}&8x~Dh9)-=~b<)DcGc%1+@K;6JJV1@9e&0L2 zv3t`eY#8)j&LACwoQ*L~IR6W>mz7uGkXP`3c7fcH`q9xb*+iG&t~{h68tZ%m0TAn~ z-Vb67O|Q-Vn5!V14R9>)mH(cfMHfx3G^CnQ^y7)j#a+9E*xBZ6=>w65fk& zpL=Q9ayyW;?82~_(m!|ze>eIv@t|@+c%S8Wi?MPZ)?jcH5`2PC&--b0WU#nqf2wv{vkd=j(Eg__s8=DQH;=VH(6w+=GoLtOQ`7@Ba)l%mON_ixkA2mi#_55<+}Ey zgD<`g)P8N)u>NFNIRIpV|^Byhj38uAlQ7Pk40ZPE4+FxH?hcBMUU&T8)-`GXS) zst;f%4Yv}Mapb=zvUofY|HozGvJ=_a0cozV9k`D3>lcYa(>uKHjL!E(>{k*~`We7< z^ks`8k&^w`4B3rtEAczba%%(syv9EWu`8oF(j&=fUA=32_Qms8v0Pk>GJ?xSxZ)IT zilKe{=kr=IKaZp)QA8}tak23n%NeY-loP&D2VY7Zi0OmMz3vv|Ez=BXO_J0)4KfFF zLZ~Agxk=x=DGL3ZbBp6T8?}jh@!LC|sTTs{tK|yA>BMC*7hl9FRBkR+H}4DM5O<-G zXFM!ne}9eXi#V}wmf=;=E0Uf47^4P_lE0sHj9)?;W+FH1L^fj{*|XQxLwA8_O?^xW zDsB?Oo?cF!ugSGYoe)7ot3}MUPXCW&l_!@IYBx>WMNiVEED;xV>LJ{d;li$)+zIh( zgNUS+BHdk#sl3{w_egFHMCX!z{XR_}%{gmy_&ijzl`0s?Rc`XM0~CwkzN zX*FcnQ27Y}7PCj>Uvv<^L1nN@*P}r`T;IxCcNC$RvX)ZIS1OM}&@gMox_PPK&yLsr&jTmP6Q-N5Vfa>; zo?`UsD%`S!=~{4PWEZ-$<2>W+kdCQ7q~)1Ch(z+uU{4}ed>fv=`4;tp5u5ar(hZ5@X&;?A z9(sy#_=9}7c(MTu%zV9Ru|>!?`8(-9JQ-~nZ!9QH?X7GG4pn|N0mU`2OFl-1WpsY_ z!g|UBXT}@pXo@-r_8tF{^eE|QutN~JNg^qxk=|h$yHoM?ssjWDCQS!IZLtn_Ux|k; z5)*ygZC723GHqNWUnKPN2WfQZRch&+3^z1pojC@(zE3OyUP<==tDfsOf!!h4UUduqbOh zEjE63o#7lk_~vrA2L^86stCT=NY`>XTqR|EePuMRw34Lx?^Pyh9$NQaz~-%M`~kuT zs!?J-ZFq0a1V>B!h<~bD$@u*~bvgHM7*mmm*o?QFJsh(a>`!nfHr2y*!Ph)oevO1^ zq1Mqg`K2POU5l7(Q|~ZVac8v=r94Er^GVVrj-@p}tsvDv^vqP&Lbmn$F`0>LXKS`b zj7AF?>G2r+?eN9ED&@oVb0|uCnc$# zNgXXn`bN#l@O$WBXMn4+A5TxEP_sa^^ttqS&yLXq=ei zsE1c3ii@hB(091sN!dc6TIi%Yb>L~#r2G~{3Qhi;70JWHN~TTfLv;FUIOSWw=}=+G zkhZk2C38axV%SUkKhO6iq^O%&+$!?57};KCD1{FijL(Kg0^L2X3ZkA&d!sC(q(jFb z&`Pmu`npLq8=C1R#+uhR93Onk&hepsG6M=H@7F6IJ&y|W_h+AEg@MsO(dV2^kXV4R z<4Slb-Qh@s>wc!*|JJGMEDiPm1 z#rHUQ0bRZ^lY@V<>%D{n;wCk8zUAY@djZiknuWjfR}P{l-l=x!G5h8(aE_aiv8HdT zoT!1`Z}K9HZ}8HA&KKkVcC1`{GP`Bn9&OKOOYASw)&KYr-7J%n8)h0_fneD&A{Npr zlHH18{M!J*PhOd06 z_L}JSR%a${1a{C_fSSXwE`Gs}^33SwS>pidSdraoIZrAvTWyVfyTA%`Cb#JSZu8x{ zldKiew;?|3ofJgG>HkzIGUT$aY-D?zrTNaua>Iqkq%tN@{PdN~y5=+7F3Z0rTD<|) zjY*+CO5nqH%?@0&LjY)vAS+Ta++@p~j&$Xn#it4554ek(pggUjuUh4d5x3o^vXUqUv}TEeyPeCL~?C z&~;WNzq$wDELOFR|Pbw5HRZ^?)3f-WW}j+L|X zEu&Y>vLx=GAI}FQc8E1TJ%{Hkbfi5gIM$O! z4F7BM4rs6|!pZPIs5~Q%I?UBD4u9sY@2jndNB2(#oJtpN~GSPUH_<*MDd`Q~ol@AX&4j zh%nGWHo)H}&OHiM)OP<2CR7f$*Z`D5w*x)wBPQjT*{y1@EvD5M5uu2Ag!+!6Rm9mz z$WSw^Gjyh%7}eLOO!3kAB_*$%W&tHbO*xkb&_yfUqfQZdna=I7 z`7Vhm{FWpZO1u=LRB0m*oKXQL=dxstRmvo3KIfikNVl1F-a_O`DjqZWB z;f(qY<*dB_!KXH1-BHPO-|9O_tx2yv>Djq+<%qsPsTg~=4bas$4G1po<|Czruyk>! zD6_!^^anaC@8I7ol_P!@;L6EGb&-wX(s4JYSJ|poN8~#Dv^>tX{E?38T5~B;$6)CV zpWKf>Bhv9&u?vG*GvO|GhcRegZ2fT5Z4pGsUU|(1KEUg z-K%MBKY*9VV(_}EAOR$1sG~QGWh1ZCg?w#m!To{N!nMKX!kH8{HJPuoqq2 z{`pev&9T#Q@j1WibYSE6w8dD^**}QTKbR5UeQPM=$jW;A5|79A?dpK)TQewj{%~Z% z0O7~;>-6lIj28KrD+QK%{!d8IE5H|iP$#QSKO0&*&+KNNk+&zQ)HznwkI?r7s^|}w zZEG6@Sn*jbz{#(+uI*L_NhO=vEt#{;ii_h;hcxOkSSoT(Hne)BKjmb6H!Na!|Mnw0 zIq(mpEemP3eA@<7d#9bUc{nNpa%V^`TGY5|l$ua1m~Glx6sONlIj z`D&hxw8k$q@~OCQ6DzdO49cJpB`1Pc88vpLm##@nn)a3ja2+Q>?6;K|M<&}?O%!IGp6CL==9ZZjy z#>EqO=rSZd^R9$>LBb_52q$n|y$em%T%r?St+mY=&CrFmfEv4g8Mm=*$Xp-qs>!gHlyMZHq2kzPnmyh>@LIN5w7jD_Nlsd z_wrso^Ih@ZixjKXa`Ml0hPAw`p-@{4RfEDAlljBu{ZT}GG4(~oFR1OG?^-JCp9vEO zmlCFnXK0j^iTit5Fr|fPPLFyE91E6tBWnva>b4w8EqL#5R(h0U9RfM6)i+xWS=G9G zL2Iev+p?VEmWRho|=*pWLEtof?W6?j*t ze7n`^0Bu^Y{lC?xi=!j7yde}>%vaK(?B|@*KJxWYj@$!uK?>$lF`NT;eZI%8%5nkE zqi>dW&WJ18ik+h!HgnyH<$n#Cqor!2&-x{B2(=Y&51o!0Ud^`V(NVzlX2k0|e&nMA zS=Wd)_3p{?v($@UC@kaMo2gUM{$3fg9(A7+@bKlDahCG*o^Qq(lvVSW%2z)f#s54C z!+WMizUNKTXgcAsc0;r0O@#*Ic=4Oy^um!4S}PsxMM`3^?bl?SzIFDN zsI@sNCw#B$Emh<3ER=8cMGjN*K|a}5tc~#GvA!PYHeo1HuIN0~H-3aroSHxVh>gGU zsd9O+VmO-s%<#D{8Fz3mEs@($-fiT|J!CQ+L1-x^V7OIgYzzp3c;KeKI@^d1UEPj9;jYy)-Eg>h>q}nQ%B&Yozkvi?s_dPwhG2=h6MQ=p&4T zNV7G25;wD;vr_Y~OA#3Xyc*Eh5~5+z0yVF1jU_~(G@3_h8$%WpsO=m3?L!;I zLlp0ELE4WLyu@_4%FN69JK8^w)qEI(K-zD9%gYGen=B~lC=r-cy$1R`Lhxj0Xgksp ztfC{`qF}0LRVrVPa@_+83SGz(t|vN1pO5|6m{zr8SY9XyX3}Y2D$oB_B{JEuJl|lS z%jPa*WMSRQ_2hFhJ&uZbd3sK0mvkK9z0|4grC35*mI2)VzQ4;XWJ{2PTu@t*kuF&b z@7fky2G2ark6KHym#M5+n1pPPCm7z|X|PJ2W4D{8MscdHHXG&-4NKVsTpb5@ zmr}B9sT~LhSnsb-ISqW2gh~34Q!f){#97+2J)(ltd_v9-L!-1L0bZ#elrJo<1%9=C zgc{t|3(PzlP<}nFzQe1zb0h|x1-%39leGyvLSk8@Wa1xW)58vny?Hq+OJ~qosHS&W zZjX;J`44Mq+lyR0wc|wb_lw(KFwv93oyBU1+rL_d@RF5xSLx7w-dqrAqiLwH3BbAO zy*C+6a!vTo`_fxm&3_Z0nKvU+!ao0Fl%bMLao;QNgTB9BfQwSPcx`=2(J;m~T>4p) zcR`k%?y$P&$y1v-l|6T+(=}mRI7;;hqHdWz_@^Px6Eig>uv*hC44v^8@YM9;&xW$7 zm&64dOz7%35B^s2=CMq^vq&wgvv1=`xh}=;TJt#IZPti|`sU4;=H!@XRTo=1l<3=@ z2ac25t#Pksky4%KBDs=Iz~hiHo#m&XgV&E!m|4{{7un!O(fXX^I(oe9apzN*xS>q~NSli_2v+C?wL_ZqULX0 z|M&>{^ogR~G)`?&Eg726uKO$n$Oe#Kgh3eeJUL}3BMz~YlPDN-5h)hX2dnM(zYDYT z{Aj^5Z;D;6>3%asOsyaDwmb*K^879d%U50b0Li)vhwioqui+^;t3rIm)b|kS z`iDd$vP61nlEru2?`UKDDBaYcwMK-3XOP>ju2D_J?b3h*SH3Lg?kJPuoiT{Y5?$ay ze1aJHN4ZGz3PSXmzi(-M=;cD_scEITB)^nfN!nE8JkPL6JP5^7+FNmd2|?F&AdSFq zZ1|$<*yv$^Lq_}8b|m1gy7Zy>LF$iWUgUXf2}ZZPL{c7xddQnElwcp~-}D89v|eXh z?nEDjx6Z|$PQ?S0OG)cGD;K%Fjc-EUfTHhDTwxeYV}AyZ_Hd!7gLi(2!<3v+Z!+9N zsAtX1Gx2u)(HZ(|v+9s-W8(mP z&W7b$x)>4dpDCJQXQ*wqbde-{cIZOoq@napQ&7^=2kuLM!%~y;z7fWi7a`mYJur1G zh1y&*T>%~ECuaL#6c^O=inRz--mTjwe+UdK3T}|bIS_uomoIYFm>C^%MovrP- z(Fn>_M}Mc^8eDNHm(RwuJg-bL(h!1FMiqGUc$Hn&Fdiy7+ z474)U5Bw(hxBE*79f@b(ks*2P&DIS!(yi^MX8txAB^tVF9wm+6(Jtaic_{U?cd>Q) zCXz^dpZ(EAiCF!(`2BbMBp*e-qT~~p+22=D^9JZ?8g#E)Y~?*m2GeN6csA@ke!S@=TqQpqDi@|CMvsz1mQlaFUs&?81O z4}hOmwDC7dp`N>mrN?I|QE%Ln*|hMp)Yi4Rg6f%~6s(d7*&TujnSL0DC;PFNfJus4 zPpHxhx~tbzKiJ)4HIcKZi^!;sE_wP zR`l-$#=^|UyAhv@SuRB6>24x18M;s6C)&#SkO)iv#J`WkR0rg!M-s?a)yM@PpT!bb zW6=nG(DjR5CVyL07n*#|5;5uS31BFw{q}-9Es0#07V=rOMZ#xXunlk^g1&}$m`S6S zvYqzz&us4X5PqgwYk(dqaa;|$U`$L$-6>n#x^0Q6@}E3iyyDf?tFcC;UqJ0o91N>q z$34L0xCOUL?Gw50ww+3=4`8w|n0EV> zVu;qooUM>%M@uphz=jmiF?Q@S0KP7Y{0>C~-ZAc8% zB^gB_ZX@-Bu3wW?mN5Q1YF=MpxkD;LJ~d3h-!>>hoFuL1Fr3>R9)Ch#j*sr%XLctCYRu_KKh`Lp zWHIb-)_(LsIpnutF_xZzvmJ(3YwqzVI{`%)??|Hy{&QRv$ePP zq45vBZJA2I{K($9Udufw&+`0LL9D0m!S#VxfrNEQlORpfj}jiVZbmHvnkh%2DnE+e z3!hOzbh0@3^z)BO`@R}f!6us}DFp$a&-5MO5=H~$i2CB|vB#>5!n42RJKRM!OU7^3 z7~qpHmTxEmwyMgeZr15%6)!DgO}|}Q)>y~c#3elbns{>NyS=&vkA6;R!&Vb5Yr1xj zVz}zWCjZrT8Z|6ZxHbt{n=E$Q%6wz7d8%Vrf1#;&b?uQW`FfDcb~y=!%^W@l)$AUx zocBhrSy(xLS0q2^cB(3hktx)x-7?@=yzQKU*#}O{s>yC_K^rGt-WcT6hCu!8$WebUDnrL&qXs1=b`;kwD`UJAbS@57*>P`|?X<^JX-dr2d4Q58htUt?!% z`>TD%N8*+&r->j?Nk&N^Mek5@Hn&j@f5rDop18AZO6bvrFlzlH@Wf(hB0akK2X zTh|0Pyd~0?!Mp+N!c3v2;V;7@8Z?UpbQx zwh3PbdA6dCqUjBDabA!D2gMPMtgA(j;BVvf6Q^)%a19|-?Lh z4ABwy1e<8r5R48&51My=kvBEdrkY3G2D-Kj{0JnGT%&jQ{vS_o8P;akL<{3m97=I_ zDPA0cQ(TL*#odbrcXxN!;w?~Ii)(O-yE_3w(39u=&iQ`kO7>3f$(~tjWX}MkaD_muYLwi+Kc{9BB{p(QL z^-eJd>@?=hO#SuKs`YiA=~y-Bb2WGWLEdvu{>g`~bSb%@wkb$>m#LuMK*|wcTbb49 z`t;saj{*ctJ*(e!%IweCRO{qvb2s$_8_MTLe03GY@Qd^3XLX}4@4DE;W9 zOnIdteU2TB8qX`PRHSV?^ZQG6zWF{++a!OP+wn#sOwdNUcL-s+mdxR7@E){=`@OZ; z|DrA9P{=(nC9hka-aAbIa}M{LgT+9a$^o8n`~Tie(Ms=+B{NMl2|P^o6z9YBV5Qtv zR609?Pk99m$ADoed)ijQ2a-e3q+pTvNdy zQp>Lpl$&GkP|m;QJ9v@XT^EP>K3@4+sC&{Or!YOxxj;EZU=7>!^iNzSvIlrhT;OnW$#D|!k+7?4{&I&^c^RX_ z+$VDLM})GM@un|k9d~}+(~LFjzRHzb zIzT$`+;BTO!>d@2iV1=y&S|XwWOr9d_P1R?+wH^$>jjb(ACSbwE}c6WR{$s7X{WPI%(2wTLVooXvhU3zD^H2p(6Rtv!*QG1TNo|iPJ zU3MIo*o2!alx()EPpou;0tD_8lTzwIzK%2*h^+JsW#e}Z?={ER!fSLuyY#}`8M*?C z9*Rc5J_PR~iMc&j!E5krhD75-<2B&_#K21{^*ZO|_}!)8Q|HA0+i+AYczNet*+Q6b zAkDJ_5ApO9Mn9cP*~=OsM}3#Ci!@h)4O?YnpmfL+auzpZW-}I6I-`)GxZhNc{CJ;E zdL46H#k?>@mpJFHFPC&6!(R*@*nf!2ygzmjJ~H0R0(;Kqmh7XB^Ql!nDL?C5ef=BW zIX?KK7n2Fnm?8Wenm$nMPdhg0Uzpl3%X&1?!aHq^fXODOynyR)o8hHDd(2GlK4v)zlt8X@QgT{CrW*%9|@q7Jc6zuB0&les}`DHNg!wcu8 zBxX>pHNspNA>G!w9C1F)ba)&Ii$EmJ5Atj{jDFlU_-9dtlz7L?&RFnh$bQw|cDWUb zithWOB2T>kJP;7+bH8>r9Py6-YEg02&jESQ-#j9L!`>mpXPyI=l7$!F9v8^r=$ys{ zI)k+}sr;hOqucSGSGdRRI1AQrncsAyfKAC{#h{wsmCd)gKYfsnnBQrQDHdYplB*p` z@C~3dm11E2-X$xccqFA22!?4ul&POvRu0ug;IKFn;Qo{GFVSzFg|qLG-3_~_igt7o zdI{EWxAw!2CPKd6L_SwY!<@(iN^aip#83)xhG8<4E40)K^j;xpLe_}0U08vgLc8I3uLOUqlfgwP9G7f--4%s<>h z+@IE?FYuchklrfw_seybPUQ^56+mI38+uqVtHKG=;DjLcOo{b@DiK|z`RW-GBfT)L zj4K=d3oEF_lph?frT47e*t8u`RmJiMYPI|K#8LBoqBgi3c6ei}0UY zu#8-Oa4XC0tR@`QxQ7k} z%}p8_|I8Lc{raPH4Svd8ZAt?k z_bw6>&5e?)#31Y=6GW?;RKV{Q}qX%UOfiZMSkxQ|~{iTQo&@pMlRVe08cit4Vd z=a43`-Ji=V(wybRrqU&6t=L96()(2bVPeBxs)U?I69qk=nXL#Nz>7C=#7qwmGJ=2UbuNFhxmL6791)dX3p&)@+` zbpmw(pP+5$9nYEp@q=@i5Xg8Wr4ofpNU^l^C3xiE*tt`{NTO>W1*wo86VNdMwAb=? z>b7?!cEChN$uFhl$a~8tz$$gUW^%eXVqpsgzHM08tmifD7YTt9KnfL}q45y=5l4ss zXj^ofBW4R^zF_*G0L*0PYp>q(EEBoqAmd0pH>#d`Q1`5~ zq|NNNhHM(TtX~R1e*%$(oDhde*3i^VW#}e>d!&@Ku5^JVGux1V-$&o7Es2A8lF;{5 zUN^N-IDV`-vmE}rL=F21BAiGot)oiKpN@IbCiFAN|6#|kzru_YZlIcuTAfqrvhN!v z!8@jC{ns@YHq2@Y4KpF9BqT6-83U6oayPR5ZH%4ki03n!rj;9BE>j{K5WNmH==CCS zn~KB9Kl~u-PlFe2Pgm~dOAoh6d%kmXUBWp0GGBZgKd|>pue0dqK#>S;mR^d;e-iEK zKIL8l-4CK^+=a}W$me8ETs=nL>r;l5ET2>d&-ZCX)LNC?DpwCpU4VrEj-CKh+M~@d z@5s_inbi3vdqLSdxb@?tpxlv_18j!;7B$t>HIu2%6^frg=8Rdxjns}H7YIw-w3xos zzAyJs&eHoTGD%oqI4Q7oKY87(pmY@8Ny-H3;tqg!bR^ymZRX*0>KHEHFgb{WHMUPF z^A`S$pc#BWicc44rc!Q>wDMhV#I-)V0U6{~IX|B0;v8@jQKpF~Z1yi{i(?CBkEA&zux?TCUxf%1Owhj(T(S(?Vg zqv=?NYT{|!NiAUTELvAkQ1aa#eg%uam$7;^^}AA}B3?i($u7eY+*q2iMViLXidgFH z@EiV7LH~v0y-l{MjZDwr0aN9*jc%fj5!LiR=O`klm)NmU7^#M(5-nEzjOMv8T=>K& zDBu}=fUc?q^Z-;)Hci;P+{@jC2cAAN*;#=o`0*g%(rc^6F1?q=I_jX$p(9}@*dtrL zhpteb-tpC&KHVgBJ>PQjf@19jqNJ!u-PmJ;xXxa#;r-YHw4IcwH zHqn}VvuA@8jUUZ}_}l3j(3C$A24WYIEA8PGnPT4X(|sQ15Oo415Wvf2Rv?uoKW35z z&2E!z5ATfi)J(<&^0U%19PtOgMXau&74x86n9KbT9d_vGQudwW76>LY_UwnJ%wh49 zE@sihK0z;>n}1)|35UEI8)&fp4MqeSys);=nNdpZkQ5hqi6}^x-WcMI2pjzjTOZB` z+m(!~BntzKd#?htR}}J`=W$Ms1V__js_3Tk8Z2Vtk{QHiK zl1n?*rRV+caGakmFlDz5Pn2g1o%=oo!_o=9?YE$~p(#~1a=0&ZtjC87goaHeBRyMB zFj6=`--gJ2s88Q`glXJ+8R-)3>6>9Zdi3g=BtI1$$?<3SHF-Vr$e?YbzqkWqXX^3j zRpC~!OSq*?*~#P{)1&xqz3=1kZ~{PMg0*m>CsDES@)qn7^>B3Txmd@CG1rpW>@BNR z`Rs(`!J)M7azxwQ+|Q#oOtTMg?n6MvuC!i$yoaMef_B&T>Z2)9W(MGhQEovOZj=Z) z1MuGt@*fdVZ#^8&ZrwlDOzBw3-%B&F@cQ$|;ChefUlFQ06l5U^q!K~+oGUwu#r zQ?mIB97j-4>`=VEsLv2b^=Tql%dn9VZbdS>1`G()- zS*T6A+oNz(TiMnH^(|n0W76#pP~d-tm%#au!Cryu_m7>ultF0TtY?e;im&ysg5$-| z!pHrHPq*{ZOscP+S6)wEqoO!1F(Q**5zW|g^#aYFx(HRY*GQTCJ|25+bn_-OhP4I$ zlQIRURdw=wlVDfm6r_JD-JRg1zR;1M97hRvv<~)6p=F;4+U7|F)iCUpT_3#h)m9F< zt459#I8<9J(ja)l(S@JOrQ4R8^q%RNK20!{H~u7VW?1o{{&jYB+1yQA^)^rbI;(Xe zyH8&oIa!-UIj(`M))!#uf5+9hQ}J;Et*pK=)7lmFyR3_@ z$O?yW*W9L=raAJ!UW`Sk!UH4$3H z)ZTvU{MQC~3(6Y(dz&!z>1h`!JPho`1Hih6kBh?E)Bt5>XC)@jlceoeGzcp0*heMy*4~0~F zz@x)R00F<2@Z0Wa6mhlB?bKDJNb6vvqtp_lKDd2uIRIU%=Z616k4ySN6j=rVp=5i0 zt(U2lv#82%$E>r3W8ZVW75&@%4PzSA?|)$=(}1D=@)A2yNXaH&^k&*#dGARho9V{r zM$STI9j1GVG$f=e`f6mOJv3#&_UaNEg25 z4s!i3@1gx>k!+Wps9tQ7e0~=+h6I+NhPh{8cl?vjF#&W=vxx2DAfi$7IwZi_q0HU**pyg{Js0DC5jY(}+L%Y4cGvoz;8|XYOZr#bH}`FS-8-{zE3&EN!r-R;!z)V=>Eks@B8tJn=|Zu8TrU)ae% z>=`kF4BdQ#2E@JxJwctE;^MX1yM}mR`J4IkPq$q;Viop0%GDKny#MiT| zfxd{>4Vd|@4U@I>iCuSU}jXinC9AX}Sn6}xddz8f=;2!#)w`H{wI+wrmfED#ndS?q9 zK^^*O&qW9%zGr<$LSgA>+}kIO1R2|Hw=~{MhqE&IYI>i)QFJV;xN2*h>!u*a#g_}q zs4nzwtx3exqX~+}AOpRpR#C$3DO^O+0y~VzHIMI2?;$&hJ6mhr&sOHk}2&a{NNh=+ca=iN9&l_{EbcKte}>*Ng0im@lzPu z;2av}^>H2TPPVF>o*^(5E)rxIsb@eAN@6{dvTz+A zIShY@UgL)5=vScl`@{N?BUoIIi5Pg^0iH?Z#1FCkXQ7d5qg}&;$;NHI{0`KE#l*CU z7*|*wZVPYBMweg@F^BpuJ94{ADfY8LcE8g1#c!9bu7v-uA%DJpJ9{go)vT$!IF#F^ zgY8a~R`TEPz9Q58I-kCTUm3iNj(64`$7T{GYr|R06QXK4j32w;%)ri_$3zvH>6#T;RZKmQ}RS2NNl9z9bX=&(wJuP`p{yi@0|d=`Dp)nFVF`)xO1Gp zV(zwgJ~<-@$+3N5&(`D_pYuB~RZsVGs!GGhGf|mXar$yB;S9ImvtPcxCa^Kdi1InL z{d;?0_%NM65-q<()p&czaGhYH`uOdjN>1G4iUoT5TOt$0Tq2FdttWbkfqVe5m(HmP>J2=4U5;CoX- zcsfCKE|Hwn9|39qU?j84t;HKYO@8>lpng2JkIy2eq(7!5`XoWMDc*06DU>X5+YZ|nc>IE!GUW9*tL)B$sYRTc0)3_1`eB<*n= zntQ#G5bzjju4rqV1cR2w!+nQpGO!5iYgGbG21Wz2SO-SSM1;|d`FxyjRCXSCv0^(K z1+xH?qz0k8^y#E^BIMN3t3P?MU%sDXBk>WYFvg@5LjR)O`aAOsyRLbG1(h&Wj@A((kG4mS#F&8n6opCUM48*xPd|5nKD6B4?E;G& zG19M7jL=9vHQUVT4NN$(w*ElGbW)aZS1MZ?`}pA0-X!tp^0`Vxf4bM$T zZfp#{n4<4LYt=e_jZhbe>qSIH`(Fri9jy%>#?Rcx2R2L}NTQDA66YmlVO&HGj$$Nk ztIOU3y)V8E4;FW5S=XM}5WS`)*}`&}k8AXj3P(#$Y??+y;r%X2 zHh=SYdE9Xglf1f0AcG$9FPR&QWYr2xhgB}zn}vZwnT+?e7^#-N(asT0mAvVoW|L9{ zb?(aJ`yCXz$iKqp%dTbm*juC2L7`8c6#L+VGPX6Eo~|F{H(5rC*WI^%$$^n)N-XYn z(+n(<5Y2aPV;WMpOHs@b$vWw_XP-&vxikMWnqLLm!~PYWudv>J?Y z0!qE4(jx;s`iTkTI4pTPQumx+BH6D6{wqS8GtfRvcq8Ie8u_;ScD}2h{!QwI#QZ(1 z_JO^sNWNqc#Kb4!W>`dz%&IgZ3rhjx{a0@QA5*|^Ye!8$#CEL}va1*yfBb-SD`Uys z6q*n(QrgGG&V4pB)7)@B>gxIA>bAv{hP}Y!++%N%=cG1y`SSb3ps`N(^g)c0fH^8i z1LhcAH+TJ4lf`0m$nK!&*pi1vcD|e=0r@c8a)iD2%YIknwnF2=ytz$sV{K-1d=-pbD7L5I4?9h z`mHVDWM81bJNF1a-0%D&&hZ}oKTs=_#0gxjTy*0_TMcBBE}q7Q-6wk=v|AX;`OI?D z^i8j`MV2z|*R06}HJ?JqU~~enydgiBGEfb(AOA&4#+)xq`dj2@y3k#<)WsS5*7>EA zHm!~yl7pq?ZQz(}+e)cze3XwR2JuRfBQ|L?f2~5uE6#Iqlccp`Yjz(dqZ2^sa^qdi zFri&@2@kfp%9@F>14lk(Md519MLe?74A(%| zRkX4XJpf_#xsQ_Kb*B;KYPc&LX~_N+u-jN!4CT87(*(# zfL`cQZQogn17G{UGM_dxLOWiqbgmmj3$4{U@8EP@CdIoJ7Ahh?U#SYoiqc!}vGQCj z6skG7i%9j~p-0L-keeY?rlBcV*ic#04-@^Y$2KwVlsoEXgypE5w5HenW>Qe-RXh2p zdA9EstkgA%ucmMLCTE=>eSySKp221$8HGBGVqEppTV=|e&L>F+mhSQluVfljami<8 z{p6||@+n%M@en|NXl6T)&ue$*nMdUEnsKz!ng9;vN_2G}WpO=pv2+uDQy^q-@vq7< z=enrC@Hx+Z=OX@SqERiul5e`qX^}FFE|T^G6X)k{Zc`yA8>h`=|0GX<9-0j2KS7NNYt2MNIb6}Pj$g#g51zr+!wJSDFv5RX36ICPif(w@F=KqNdpSab=7;+xpYdt*-6+h5eQ!$fyz z+d0k-KY{7b4!I&jA(ZB=o|L~HjV@67xdAuvZP4r3yfWSz(fqB9#(D8q@elC6HB(};28Xczv14=y=RQWpdVLO!iy|d-hJQuQ8W^jyLyP2)hGewo73=bq39Kpw;Ob4Exu^Ck*Nh5xcjo!0l^*f;vb$J?|u{D_=&;kTp8c`v5p z_bT;A?DOald8YTYXgRQ3TJnp*)JI1YNx)es74p~_6G1E19+chgTQu_YGgaAX93#A{ z#63BKUsk5%gO!}CV->YoT6DtVPx$usScOBlWu^4Wk7?1g5IcMb*io6@@qMkx9S0Dy zJM_bd7cw4-)k`Ny2B{qoYsBYK9R1Q?ua_FzX3(Oh7 z0*Kal{qd+3w38y2fT#=@#HgUQg4yrO4KD&R_5;4mA-SqJ196<+ja>^TO;S%dq=OIt zYQs@UOcBbevr}wMb$S`p=c>(jPn1w_9+)gxUOcY%L=_7ZRr7O`h`^e1w#CS{c4j?A zex1i%bUc6sy@bYpyItS}%JK5cB6q2t+YM$79tAY9q8<)(0Kr)s;m(`04hf{I-inEybfR%2*D&e#eG!S7jL9pT0_V&%f|U zdphz0U-b`+yV}e-fxgEiiuhs)?mvc~Y|&iw?Maxk$7w8Nve|;T+$(ZV*{pjl6IHZ$?U?~KZ$ z+%#*UY-!tI72|V2W6aGFFu!{F1H@Hy*KBzKjONWbmg2Ssx8Duqefk;X`qo#v)_1G3 zw`>5CyUE3wcQH%A53T`m#vB+#x;+RNsB#1F&XC+?&!$S~3`fA!dIJIOt99`5KR8 zfY?glaDVydS$rjq#j+oV-}SA#l}H1x-4rk7H(f_n*3&niww`ZmcL?q%+q;u%%UZ~` z^ZfNse_E}$m)$pOGoD^|rWOO_z(z)sDc_MQW+oU&E!2~U7Z|P$6t({L5~!|6@0D$+1yW}s9XMRtyZKo zyYA6crXjgrw;LL3YBe^&Lb}Hn8^j2QXND*f8E7%z`CPZy96XF`F);<%%H#H}g^4t~ z-yx)^WyqzHa(y|2W7MOFRc|-NM(8mqP}2aSC}j zpUjYFjpW6d@_7e!?&caQTU)x4XL90|FOsXc*h=Mci5vSH+SD3mPlRVJ1wpN5=2hGk zQl988wt2JJFupZv6IgD$>lvQsaACypJ%@YO7`X5VvZx(WI^aH;%<=I_$qV5G&V858blFcnDCe>CK*gFg`$I%qBsIyBpZD>8X~Dv{u0||q zb9qX^fIwG*?9K(yt*d%3<*(lodaz2ryU?|!i%DI=`zY*71)P)~iDJ&E>Q z7EC{k@F0DrH*J&L(BUW_%8c&9R_!$fv7RiEnHaGmdYs|r%;yrz3oF>JhHIZ*EO48x z52pM9>Ltz2ZzkZ_A742k1OpVOOSre=G@joJnH!&51$o6;RHuhtat$vgE-P+b019h_ z?5^4M_>geuOUz%}%*`HSmzCL6d!jO?tWd_osYy~lNj{8=ho7W_=9VFq+&xrz zT&_mK`lKK0KYT)ZSv_U+@i$xx!X$uM#nFFBdFys+tpU{;PXgXPt~r($@-blJF0b@f zqHBT+qm%vDbHfV}KG(Oi-ZjwK!izz+NwYE@dy6P%=KE?452JHL{H)&y_N)!q?U>(e z#8(=D2Y;o=L=2;i@4hUFEq#gZ&RB4tp6`Du#MiV6l^&xkTDH$>-|UNZ_ZZ2)^Ht`f zsyXe|?%)msJhN1^1vkg0AC*HdWd!EWce5x>4}tsBzP^j{B=F=%cC@K^^M?AviVrSD`?Kvu0LCZ^w}cB*`M5*R?C{ zE-a1PBoudbX-#=IQJ+*dDCdi$+9s>US%nt=ynC% zo$ccYQh86(T>B|`pa=)p^*&KDXK8(H+%L+!vT#ud;(TZMyJ76;(66b<(JMWP5bIY3 zV^g7$CR5|#+`PQN0_ojx$?3ejt4$u9vPy}HpIe*h=b6j5pXbL&BWjwM`Yi`_$yb}_ zUC_x+n^W&7LZw>o+jhXxnyZgv<%X}j0EBR*4d;V&-Q|A1Lf&wq6KlBrmn9QWx^q+W z<5AVusfGkw(!9GpA)Y@8f(xO~F;MoE*s+_pk+1LOj!?d4!H<%9v^03M$yF$pd^{08 zGWhnbJH>1Lb@oW(kKB)35rgr70ON6^4Lj)9lRDm80WI}-xry@@dVSY8oeU7?H#?*Q zr?mOV)c&CM5mAx}FH(7<)4@HKtCV(|lk_4|XA%uV{_c|Qa7(|1yT#!r!mbwB3E(^X zM|)a=qf|oW;riyq3%oxu+>EP4zW(>kTUQblV_c6~no7tiEf*M^0;e3OV^r(TBLtum zs;}{*oH!4w+$Qb(vtP}!S;TSL|028NFDt-Uy{Q#vIrPHkY*haZ(r%Ek--0(ee%hYw zV?ZFLx`1t*UwKzit1UtTp&NXebzJWv^y2UD{0kAY2usBB z+8%_Dym1~Wa)TYqN}@H0A6QT?V*V_gsk?x@Q-E%l9-Z_RJ6?n&JQoS?Av=`Y+2(iZ zEeTdRBMA?=!-Y7I#wPsMnQMHYg7^>zeHjVF?vIpSZHEAGF}7DsG?QfQBXrrTrC5AT zV1DJ`xC+FTFnJIk`=pa?k-w;-MHZQsmK*FcvOr&n<}_h#31-xzLMjR_EH13hj73}i z*ag;TMj2nCVX`%7@o&ksHIiJsJXL~d>=t-}CrP^!^fCq1P|k!MaSCP`ZRl>k}GU++$1F{gSg1;a>~drqw6OWlk6jg1FqEjzIl2r|G8W4Nea91uT2x|Z|-*o^?WDHA5!^valGQZ!ONeX z@Ol3LX*G1%5L}1tFKKsQKRSa<4HS5HUs;`dnh!Kg7e8tJN{&o*8T%nwR=TDLNXe~O zpwx5$?U~1~hA*NkDs$>?^omtas*!OGGN6T}j(dge?P0-1BQrphr`%;tPD2+$^_P^@ zRFT2dUrWDFn_8XWtni0Gq5ME&C~&F}T}g11Rp2kc8t8&xA@ zT)Mhy`B`!<9EW|$;K+-S#JMqVSE|vrmQr4cDJw;YMhtcq=kY;7S1-Rm0FGPtb%+75(S;biiAa? zccX9<1n(2vIo~srD(suvu|D2Vs))Hq~=Ke$DceUREr7W~@O=H=qIoVc;Lzfsl2|P9eMivX51L zbK%GT#>uW3cTlIRPgGQ{B&LD{e!2s6Dm&vp$XDSAVIMY_!7c*pn4RiI)@zfx`YxNr zuZA{I%&X&ad|8p3k;n)N*4zYwMMPIc9tyY5 zutC85K5jowwf$_cW8hiBwj&R*wT$X}nF`!>A;;KH%Xl1bWX?3-Er-%lXWr(9<+j_9 z^V&@ge9E4+Z4k8*KyY9u$O9Kaxrs5#SIMuQyMvisMl|KmFvtA^Z?2tNlZSOFgrx)( zM85JYL1t{`!y+VRC_H8;da}m$gl^g?MKt`hD^md;9$=%juX<+=_%S{ z8b*0epI`q=Q;Z*Wle>9Di=v?p64Rxem#t_eY4)ThmdI&8)?ymn`SGzfOt%b9- z$pF|WY2e1QSf~4Y=RzyRLnoK?TOD4}MO5!vPQflx&PfciH(h$Nq0(VB8MRkR z=F02Y&L8o)cu->bdX3o#G>z^6C7zGyRj+=*P^#TsJlNRZ3Dj}t+x}9mhmxx;i0*1u zB~)|e_-K{M4d)vPAfba{Lq7IWNX|)iT&Yt)%h>&T0=ujyca>*jJ}>SxcamX~_Y>9f zTz@|FJYxu=^0(t_<|z zFCWBNa|>8)gb0pDsdmXx9gHoIEw0=YO@k<&GQi~NUwVQnR`Z#u?66P9Jy(8v#e6!1 zF1Bo?`Cl3Iizs?ChawrVEqYHY3dEz;c!!nz_KWrcBvhPcQk}7_sYN?J!jcyyqNYgcpNBiv@huencfszd_=7~)^ zrAuNfP?w*bYZp*U^jDxpmPBVyTr)CMM>C>HTZ#paW)CQ0iXHZr-kn;o=4ZdZ#5$3v zsquB-hR|Awq+Z_=gW0gJ>-{Zw24c?OjKp2R#cDY(uq!%~N)(#d z4}C&A?49Drp#;Nmv(|bIw zZ(pidXaPdT9=|4^WExY&a5~{_vdL(*oCaklU-}Sue~&OBs|~~Gj{{p-o&&I`w#@<>SAlZrs41YTGN>q^!|ptz%_cO3QE zbOqpoM=amQjh{e;IrX-AaYw~soBG(NbN0Mk?HKhmR_4`t!%791vaRu-*yMZA@E!VI zP#K)KNxC-i)9+KY;Wp_~Z^VAP_|{bQ74w?}%=px~I2oPvMbvB^%Mqa>gZa z{`1aoCwT6Ix9#K;ubVE8er%M9sYku#`7vLS3!rp#-W``yIzc^Z>-GnLSx|yc_#3^$ z0zcOuke!OOXGD5GE8K|5wch7{VKn+X*Ba&7fnoLCrs9J)+wu!pld8Ju3wl_9g2?^d z8fu1uq?md}f20oiY-Kwq#tL&EhY=A4R^?|5-#Dm{BB4EX_*P{gJ?kfqCF}ycvKDwQ*ovUBa#U@9csp4Ntx??$W#xIN9b7o^wd*R3YpV9{pU1d?b z(b*sw+NO5nS1jAi_YV*~v6p+m=;v+lUlEZQ)MTL@;TMrO&Qm>mm#V?ThE2+h{9RJ<|5$GmPYl_=rQOCda1hH*mZNar)G;G%HR2K)H`#LOzwshe3`sD028j-~yGju_! z09&K^lxX)gtxWMoj77aEl2Y{MsF0q4y1ALgU}mFuv%^%fiDT>fVm#2kQbB+m`3jO< zriHPv_Fop(Kp+)sdxf`P{$`7pW z@IE#4oX@SbIO#mXh8*KlNuLdT$dAT_bvZ=J9s1!oT$0ub42%}*NsG-|GP^BAyFj-V z;`lk-__s%uRV{9>$jBO!dRkFN5^mjP1|Cg!93DaSyDCc@p!XloE9IAQOGi0IfDYk^ z8+GXopavG~e~APUnnq)NCREPu`*p+DC%<SV`h?o8z5;#!PR6co z*4yuFLhW6!fR?ivPe8K(Y6&iR`6;NT{>myq_1_19hwK>lC&G^&;v1wcbG>HYmK-H!y>wKr^7pQ zD-zOaw8=ADeg-tv4i`zgcxEj-scgS|acw*OR=1ilegO9O@Ty=moWWMz1iOg$Xv_5G zuo)DjYzr(Si{2;Zet^2YTz;78_+0rhzdcWvXPMIGo^AJdlRf_t91*bW{geD~IP=Yc z+Iu2os^zw)i|6gMMO;r^M2&yy#lO!%%s^z5-ay~AwR>L>C{l0lVOTsk)x&xAY#fu< zW9{L;NbljlD%H$uHsT1Dp32BFITlJ>9LLHvgF6?tD9m^LJD3aFMGE7_4EHfTF^g7qYPEoiyzdM zc)W+3|3Pv1{nw{_tX0fK+t1D};jK4oPyPQify=Yi$@Ag@z`5BW18T0W)mVW*GPZ`Mi1Jbah_FlY*-LEsz^#$HMoHw9w^-2s`tYN957nOs zFvSO>d2##Y;i&}u5$FCMSLbhPOH(}yok4j5DI3$GhKs_6*p-6heTP|-Ujp*4o+*4M zT`7`5yg>cul#XzsasTc&-6<+1%X=^}Qi)4wS~^` zy-H{<;P+$!!Q2PEzt6^O+d*%<$5LKx0BGRxx9)^eerHVAz2~<3SBz7iF0-#Q)z`C6 zoCS+RVbdX+M5&ib=XSmwiEcC<84$;-gi9L0H(%Z6yP#ILTDoU3x!bVvH%7C{dH_(; z=;(F$O!GA9cAa)E4;6ukh~(%BjgYaLWaRMK z^sP9fr``Lcdw~aQeTXj_Md(~BFFvf>7=Um#}O?+`ePLE3v%Rn#URA~IpFGJ{G8os zg2|;-fUdV~OxxpBQ7yobmE3K$q72|$aEdgu+e6hxX%R588HAg#Ty&POWc8A?YN7Gp z8lM7TrvS8j8#hR*WT@F0e3q1wyu*qwZHt1 zK6{p9SQe0IJWCih_FS;ZquR-$7<&qX==qwwOuVA_VX}H~efzOY5-0D6X#R5fe>%GI zc&7h9Ua3^7m2%`-k}IK72y;t0nxh(pRfx)wB6Do3Tsh+V&9MqoZY9foZ{-@f&p9^? zbI%yF`?v4!pU+?K&*SlWypQMW`F_5R_sW{6zeJd(!Z(5?%a;8`PpJkXp(pa%*;7HC zK>gsqog?gvk0)nluVC=+t%ICoo8!RZET&_eYjCM|T;i-BXI_Rw7Kp)hou@K2)) z7HheaKw$rqLXTK}Dz$n!sWZh`eH)aVc$x_NX32CR+YF*W^InG;4mprz_PKg$;+=A{ z5F|Er1_TL2D~0MvHad`=9~=s{9b}xz&I7;uPW?SW2aRuxf-SoSvQSmL4XT-|*yNSk zCl{Fe4_=ZgIxlMt$33Mx`A={0h#a5g&gy$S^z9<*OC{j*QI{kKD7(KxLuNxT=sA5f zrjzYnMd$i`vs~&e_-w?#y*d9{mCIBVVwEF$4cp{7A&(Zr+Rn4kj|DQ6!e%WA#lrd- z!>ilru1>s+iCQ|vbBceYK7|+F{)Ws51Ol~&aQ>ICPs|R>-Q#O@TEnyaHbIWttXo@> zD`!x7SV(1;<@|0px!ild_ughnT>9T=lvKAiJhpd3y0k$C$Ev!Ns8jnWPi;5Ph~xts znzvgHi(_tWS%9lP;I17+6lwCY7AIye>!)l{rN(PMUVO`3EV7cLPSEEkVD0_U4Xe;b zo&AyVDWh6Dx^ByzjM3@ZsmE%vfwIX^B=UDa4^^blcH=cV-i^GKG^Hs0JhEd%dNCdo z7d})!1X;OycKHW4VVZ6QqD$M^8@_lfA`lp!4(8B>`0%0(af&Z%{Q?u0OWT3hLsi(p zSZ3!>rL4vMi>1Z#%&jDMA5I$YVmJ))mBjR3O>zLRJ1Dzs7rfPtBw(Hqn~J(~bTyYw zk?qQIHnJWn?R|i&TndX>S_g+)%`Ojf#^f>kjmz zK$(rU(c17OQtuO(Cm%s%p(wNM)L&n@YFY7!7xDB)+iagZu}E;R+G(e9KjW1 z76ChAf7IC3Ydpck_{9ww5OvmzCb^zYETYe=CpeyL<>f>B){c_cBin?co|K@O~b*CV|^qw6*hsSIfkdh(ah)9 z`HAU9?9jpbk|D-y?u%_T0%1&2m6le{gZJ$&akJWBziKmF|G(nAO~Y;fQ)pIQfa}Xd zmakaH0%G_H>SxP?G6;_3Jl@JvbmL^6+c^yNWX1W%m`9p1z~k&kJoI(LYj+P-I;3nZp zKpkFkqK{SEvPIGww}#>0Q_$+LoEw_ehbeikL~rs(LEV=y_f(~~7ZTSov6XcGz*|xt zS(bD?8p1>O2c3%q?Yh?0M^e~E^pAd--x(FeEIs{Qo+eeS>1#z6(JPMIN#)CvYO@c! zJ(c(ge6t*U-Lu!&B(^%IzutvIUtb)pzQ5?|vuUCbGk~b~*kMEa$-yUnQ@xBvE+rCr z5u-HP4NNzp<(n40>%heC>V$g07c4|7b80SPI`uX4WYi6X%zXDE>fU@z-OJU`ys&Nr zm)__IV|CZTPkDON-T*5%5Ha)BJ>7k zwSuk-wm_KR!6=e?s!VkT1TuUq<*ZAAIj&Vr_C)&Ji(#wd zI|4~ARtm_hQenj8=Y8A33m5*Y?&-RfYDamtB9CI~B8qwYIy-s0W!rd-?CPSA z)QA*rDfJCEz-t7U;QF7N{lCGpVsUE3gPZxV*6?j?6Jqp(dB(v>M2u8s`9)1~Jf5u+ zON_t5Hc?Ju_{;Sn2$~*ji}~`1Rl=^^(1C$#W(ruFmBw`LPa~yxMvSvj25|sL(n1pL z>2CRRw7k`%;Nzn}f+x51(yX*rv zqS2R4#}kEi@RjkmkQ|?OZfUQ;;N0zIh`J`3dw2wh5d*PW@Rnz&0O?;wCC)Z1GRkZ{ zsrmtO7w$H}2}{{-4p8UP#8#5lY_LDoHQD5U_Oi*##YXRiHRTIIJ~jz)yYoQ|LltP-su}qYSURYeYqPoHXnQg zTqeA1)zH1hLwS7|%IYuE{K}Ad^B-Ai09PR+C6AwOBpl-3&9|)8{D+^F<=>%{2w4}| z5p@l0dzJyFhsK!15YB ztfGuG&;(EEhzUC{aqEz2ZB-O{m~#7ZOSNPXTD zLy4%6N{wHgEGx}ZAU^R2XNrU;rmi;CoGp?T+HZv;U>{UK&!c5|MQa|70LL{ru489H z=aOEc-c(RaucCBC0}}l2)q?Y%&&4aQ^7nn!3f(AC6wAY|Bd3=POUtZ_Fxx?}lKxnm zKowfgFL;wkYjW7>@Pm`0)B_4dMt8*K>-#tu4rsUg=|!1uc!V{ok$yV*G134EOEh!a z97Y0?V8x$VGf#UjB<2Li$-QE>DnW_STeX)|U=ClY&z0xgQ-Lzi!gVgbC|D6Tx&}gf z29(hwJmoT1FJ6v#?7WR!wldmffjTr+b!N7Xyy`d1{WWvr5>~jdU z8Fc)8JkN;VF_O9XM{?vvA}mj?LhQe(ny=w#4w8ottOsuI)_FKW@sdJphorlUH)$#U zk#|$aS!B^I309gH%9qz*dmhD8cGI)P4`{5!pQzGzuK4{Ad;iOpTjvDwD#u)6S{}%b zEVYYa&owRs!*!ctF3PDKZQ~m)&MT54)Nh-<%1dyIEr5y7pUT{$9u(YV&8!;sIH0~f zCHz~H%iDjTO~yV=QQiI_-#QvNo*beL4o zAY)lDq5Dp*CLwsk3R`^!`l4hQL>S+h#Lk5kbU)~t?>+TXEyWBI$-ENTd1IZGwh6Kb zAJ$G(XpOta^sCgRe}4xp!V4`R6D*M<5k87?TZ%7B5r34rT&GjH3p8};AE_?)XScvl z5<{oe`nGv0G~Tn&6IvVg42o!ymwewNL(j}bc4A(5&Kc0-^R#226nx9|uaz%S0AHB9 zD$K+q5;C(|yyDJ7iX=krtYq`Qnyx$J%pYwMt{D4g&Gr2oH7Hsos3tG`z9t@#gWDZh zp|sU!c&*#*4ebLGCHmC3)R31pVwP~4(2F-8F)Z2l&u_uiPRynrS0pN(E|h~ZgMguw zxX+z2KQn`$Qh-t*x@XoeU%7CIM>_o>dc@FUC@+QjxLhm1B1~&0U%X+(0>IREw8|YU zP3c8Syo2qDZr9nAz12--9bkrY9sX8Fbz8=vX!;j?%mzilY%zO7&%H)90~=O?cqC_! zfyXPR01Lj)is>dC`?>c!yX+&i+I)|}x=Eg+OXqT31R`Gnm6>%2>`P`y%=yyh+uvvI z&i?SFSp;0z%p>JGSYYPZ!sK}V&l0-GOjCA+Nc!IPDD3Xrq<;rAs1>w7dZ^lNvfWcu zINV~=_{MG;?0(qZfT@elm_V=l!Q zi>R=DT_2EMVJx7(ERVZ&K<4cMtCl!sT~W(}sJ8FI7*fM`%8~w_1kzgS?bC+GtU(nx zvF#}0dXxUx#_#SKeP_PuAhp^Go^&s2yp?tXn4=SD1zK<{WKqO8Rt8*X$<1E2+O?HD zQ3%6Zfw z09IIy+r4w?sZ$S-RE2OS5_G<%9)BjyPSpM#v`~gnn zFE`rN?FapCj`Pk$=TD<`I}q$Prh*hFuDq1=ui>VA%-Ld<1`Pd={%!N$_4Z3HW1gL9 zjHZy1Xb@H-v^f2}$klMW#-ay6;KzSjLYJ zMB#)i_w@^oYU&$?1AjgqyU*j7{be@@2Pwp3$B7~z>qATI1;OVa1+^>o(2+i0+Vr_k zMRH$?-Aj)hpr7h6aX)$pcqh*5wcseKuuWDPv&}AA+_1C8@e@yPPEr%HVz`Q9IgZry}zm(nHp zQ*n>jo%(rr$5s-%dXfawiz`BN0V6#P$>t_w{=!we@LF7HBa=IGL-_uiaKwECb!Eo< zXE2HOujz^XYXuO}JA1q3fUG4GU^#Jvr%t=7^kz5n4^``nB2n$`9(9sY-L0Cd7rFC8 z|3>0@C$})9=2Y^_1L_&mBA}^=B&M57N3dqOR>MmbHd!{GYH6;CPxnKv%8yAt7gbmm zbVdt4;bf4czMB>*lhtN53J!$j&(dmJ2Fo{Yf(P42yRGH~hs1zt@4Nxm`z*jtIAZ@fy)x7n)9kark~FtNa4B;Oo{6g!W(UZK07FVIop# z0Rs$mr!@)Wn0Kvcdm_B*qLyP>uS zletLY;#3}f2F*6s;%P6DjG5Q`gBtD;m6rocFL#(QN>lmv3yjL;bjb-Bs@a!`)4 zl86H&G3W3B5iz`%$jj0ZQW8u!T0)NdI%*_nrK)&EJI?!QZiLO#m)Vc1|@@v>owB|@@2 zo3##An0na&5*Ioo400k&Jr($J|4Yo5W4fB#ef}<%pdDbDTqy3s?#4nxaH!2_LwZIyi$DCVk!Ed0_@f5b&C|!7>6X%vwiO< z?&d$T!WpA*^{wH7GrbN;pjL^{(f#~aSVeTSeM%A{?8|y(iT0!esq$jU9|<_>FHGNe z(gB4OgCf5`BplR!{g&9QbzczJ>U(FrXwr7P;+24LIhYn{TvQ0uhr)M^ty z^};_0`P~ACjg}(k-JT)|9v9bM){Oz+O#ZKR%^uR0rTESkAF{NKCSi_&R$Ud-TAYcj3;4geL=81x-oRM z`rqA>^rczdIU_MqnAD&v_a3Vgo3FXD<9-F5Cx?E#2V8fp|^sc!Dz?&R+k>w1I2C<)zFF&t>nY-&vY zy20e`vL0__AoOpM+BPiyhzpb@k)Z^u-PR1pKtiMY)dGwjGWPo6%7_?EEw%|&PZ4{D zQD?ya_(36cyRtKJ1h_>Kh4#m0icON4XEKEmi`29|*5sD0lRdX)9cm;2 z+NB|T*6l+h(UlQ`&>7(hmP5MQo%zU;>^d;WITRU`ib!r{i&Fblw0K_9p!}?*0=ylbc zaH4-guvFTn>(lT*?#C8kiXb=&(ovo4BS>hmiLJhDV=m16by&~QZnidj8exa{e(d)i zx9dHOsvyaV%^>rof+R=9ieH)L9}K=hHeJ8$n=t{9k|261uwEVbl5kt7G#aax>nl^w zI#zR;k2{kmCo#@F`Ff;r`xD^X@I(v-iFw-LbS|tm@>6-1V%WiJ0Ca2Lyu3_8p_Y01J zr^MG@{^MOL(S}y8@tky0KY8eT0^@@(kMU;ao2L8LjU33|;L@Y=L%NAKQp>9?1ve7g zqKk=JuZD5;6?Ceq=uKaRM$8fau;z#-$G>2{({?~JjSUB=e%H^?e|4^0Q=WXrLk-Tl z;B-zem4e&-0j^W;s$=^dIkNQ%&8l2~{RvHHOFCL5vC>tQMGs<#>I$C~=86hbZ0u%_p<_j}6Z(Eo z>J(#7M;{C~WLFbv+0|UcgKjI@t!zWX>uK@x|A%M6SRP*w&9=RJ>wcmn%h<^|BvCI2V*v5}jq=EI{U>MH(w7 zO{FZ{6uMjSN##CkxCkaTs`qkNr=h*Y8qU|I%cFq#_$=e|%S!&C^5jV?dgHEX#wjw` zhE$NA5=7voCu6K9-mcj;WInE)qRX8i)q-~{LORUW!5puIyJ;4ou4o-y@bjw$ zAX<<7S>nwoM+UVeJZt7pt21V#C@3+WZeKee%|;QPR9LQcCnyt2zROR ze63LXdhSn4b{A4eQiFI)C2texbIUNHA^oD$IK}WG2&Sy%wubTy$+*!t-44H_omd`X zv@2tSFMp2nYyj$^CBYMew3uj*&nEOl`cvWN`5f+s1Y+jFAG0v$`eLUE9rRb?YZzw9 zk`;V^-f{&d^UbKm3`u5o783$PGG@#ToZ`~Ym8f~z@Q*sRpJ@&?%1xtXIF&RaQnfUw zig;{cs=`>1W>FfTku|zo;&|IHplj~xoR83x^L(*$zE|d2R+M9=)X~20cQFT4Mc+zX zeppZ_yKm|AXYt;hG{zB;!hjgN)39|}%T9Ai5PCiYl`)r$SUaojv;8cqPG4ner(5|{ zdq7*p<5Ix0od8}6tyR*7JaOIhWkWhc{L8yyga<5_Vu^gqzMT@X94f3M7YxiU_6^%t z&2ziyH|1vV;-@=K&2hmQTxPI)?-zd|TsSbUVzYh*Ka($H)==n=mBc!F8a=P}ZP*W( zoX#sL%}-VHGz*@K{pl(%!BM8;&XGu*aHyI7c3$saDg5&vA&CrcX`20Jz3)F9&>sYa z_lm3^*<5Qh>?N&;&)%-I2KCU&Z-Ju|2u*Sr%1y!~=w>Ei#elOYS-?MbfkOL!~luRhOE zeQ<;iSPv>plC^xya(#+6PFo7RNj{y3kr)FYF;UnO(?XU4r6nH$|N7}b+y zHkVUsmbG4o+p@%6Cf1w4X41DXQ6l z#$QhB49sNwT#zS72I;3cJ>Gm9WaISoE^GguJ$ycFuSc@2_bK}mc+0`IeA{$w-{I_( zH)2ttg?1*#j!d8^3sK!z&+;gZcYs{sC^>_}2$utZcYVncc{?RAK|Xxc_=D|p+a7io zLLFBB#DCRFW|$6WG%#L&CUtR0U8^X!-^xiVCp7FoYh`~w7g2CDS@+s^xdT)xU!Net z?!%gSaDE!$fG#vgKqofj$Hk zNn-$Y5B*wXyq#u$P)?IIi#eRB>k54V%Bebg# zjxi(be~_smwSVB6X@=T`{Nr~NI*?yN(&sxhzNM}YDiy9OZM)~t|E^BW+tqMcticC_S+cgPcC6>sZcV5AX3B zZ&Dd5s>)4UkPrzLk8yf+>Vsc??Yzq-V1jT&VdHdX__ONF=Ww-PQ-Ikq&2Z5*jlES_ z2cw%BIvWhp5voV+On+An?XyZiVnJho71&$VtMy|Av~RaJsSNMXYg+B1ZFMKbf4BD# S7R=?UHoUSE`NIG0lm7u^%$(Bz literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cmp.hdb b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d5b1c8028cbfeaf8795d75013d448eee2a380bfb GIT binary patch literal 23392 zcmagF1ymeC*Y}A8cZc8>2=1-{f*oCWUlvD~Nbt%s>e{;kO@=r?T| z(3h~wnGRE0OU-G$6B&7cfC?pCXu(Io;8=2Qp{-M_C5zopKSRko7=-kSy?JgQgfOy7V1*Gpqgwo zCap~8@lG6jqA({$_t~}?hU1X0d>-ysrUGnc6aJ4q2Us~?REcDLm~uT1Yo+gGz{o<@Y^U$=pfN0l_DSgOv_xt^B{%36n1> zKb$L|=5TelaGx5br;27|O%M7EY!zm1j$521J%+lle-9d>Rv+40F^6qIOYkF$+&dha zO!p<&&kRKSgH&!}U*yWBZ>kDL(8D5`8EVO_r7&mUw5h|dfVx9*f(}P zWjX04_j=H##H|evw#z;gmYQI8K2R&I0Ff*CjeCAR@}(>?vMh9TxKHxu_T2VD$g`-{ zr^u>ct+P4Hnc`{@%I2{pO%WrSuZdv+iDcYqSeRRD_^Ps2R~whVKDe*v8~2EIi5lHC z&IUL-4y3dQ9|6j8J0`?rx^J;xeVG_{oXrZ_tdN?|_uA~&ll(~z`?4~R<<<@^8kAzE zM6TzQ+Op(wtaK(E^K+hZAT(X4tW=k$o&nP`@jk4kr~E#)J~vd;DF1434&4>Civ0l@6h##(y{c4*Y)j*`==LyX#P^BRo5+%U4ZOXCGHKDR`OgZz`vD5M~ONJtmBfZc6 z3{7ZlzOYoug~;`%aygqriE`!Z)ul+lG0TzfRuP6&FkcR$1qBWi3gZAhefBR^gwH3^PW%@p}^jSkr?_vhCXBEcKr)O0qJc10z(% z>d1L1?{FK^^1{-4UtNabWg`C`0BC7eHP&aI z$}!_3_bFOYQk@qy9ajU z(QY$hXrsL8G=%o79RrwLGb@MWGEoN&2yA)n$S<&%(gYER)5I%0t%2TB@0(>^y7d0M z|5U;8jWmR!J-?*PkUZn`AwT}^FgieEYa2cs>wki58=m_ZKDPkB=)aL6>=@i-!lQcR zeIZo@Li7}>|04PS8bSX%q&S#aIBv0{e?J61hHk*U<}AgX@9Pq@{+3LANbr8tBLmDM zHumpEfBA3tnPTeyb8JQYnjz^>`Xbetb!4 zFS#T&>~q>v?ZTqNYE?~8P(h)7s5HzHx!{~%Z5w5FR$QIg<~H5VaW;M(P3Gm8&#fiC z=y~0_^t>2a4-#vLy&GSq(Q!7u8(&m!31T=?T1oLW3cmLMd(s;@+VGmBiKQG)0&MOS z$(x6Tb1v%=`A-K$=pA+TdW3IGMQs3&TV3T>H^SilC*Ajm?TEQ=X4hwZtgINP=-r*J62OhHkP^uA3jCF%k=fz^JkyIBRccioqXB} zPw{48v_g2&=RZlfockZdusyh<=T&eNff`Dy(cs0%N&Di{jd(!6En1&Ab-c{;c#nwt zgWMo{+LA2zSvnyxCM(L{QcGT%^`m=ci^U!y+2TbxampHjlqRZ&SFYa51@(IiEaJw9@kU!I(1bNFq)y6%N}{P4WXfzh zBH2FG*?yjD+|ZynXve#6Q%I)-VP$rVr~0NV1{KSGm%F8NpSHrUMG3$fU?9Z5IjJEx zyql6&y_KD+D^1R&`I@|{ah|(i9|2MLU32n2S0_w5GwGdwU!TXi)f3YxIFodI>{M{P z#4yoTy=tp-$UlF#s&%)b^)%Vkh5Gt@=UKOA;rp8Wfa5j7M8cnXvB}wZ&y+TeO)~gf zNhW5ntbS9V*-=YmkB`QQu{L_iYLU6kxO>;a(YoVn%;vwW+qUfdP0#ubHm-O5-8Q?+ z6>X>aiw6H>w)sZ>^argv-FthYf?MZTfZf`$>vEvrPQ=`C$5Wf+YRc`ks<=^8jek7X z>$6Qer1_+P`7RGS^=h1(*mu$8b1qZu0W0TxjQ=Rd9BFf&4}i0HNR86)A?9Q$O9aau zzc#EtzF#h}aa*V!F9Z0~JnKqc{ zAqvwPrxj=z!u2Cs`Sm5VVLXnb;4caOELY%U5>TtNZbtl^JM2mm>r`b?Ef)i7Zu@Zu}wbw>|1@GMCPm3j?&Za<6wCW`UttUyo+TLDEw?t$NE@J zt3!ML^=MmQh$i)pi$}JkG_xGJ%$!?Ls5c^R*J8lpEA;`rf?$=K2Nr4hottMm#kcV( z|LV|^48CKkuHD}ke*jh^JKc3RLPTEmhW?n03V*iOom3vV;Dj?61#(ZmInmSe#HL#5 zcD0t+$jh3HjPnMF4K<+WiAwYBN2UjSyQsHzCGsjrA!6Vc9I?AQVSd(kJ6Oxc1NBBK z)&L|atCDAga25>gjjBOALJJ07C^C{W7rM#SHE<^^_Owc&8L|o~yQfQWpO%wP%9A`g z>%NOtZT}gKMKY`FPhV>bo%0s7HmG#3JwTKV?e?i@vrDycc)T8S2oBrhyxA$%T%hnE z6}sOoocu<|?5Wnq+9m=hR%a3wd1ik1mLZ}q9{o^KsvVlF!$S_XCseNI=8Y-W0cFd~ z+uKbQAE$L%&$>tJHGWS4xl+U+dbUaXZ6sH+uSB0t%wH;q$@efk(Y?m+rQE(Gsm@(~ zg#scdP+34$y^7-@dLlnY?x3XyAZ;UG^TPeWA>*>z@*kj{H;hzLBWo<^z z6?2kkTP;<-!2qCJUF0fE#ghf=DHv#7FYBUapSS6?CzNr7uY_>~6Tl?X8;1FZ_bUJMML_1t zv7FJO+Gj3fjhIhuQ?*(~OkL~|5@Ym>=5pXVK@7IagZ9#K4|(22S}iC3(!u1SnyeDz zeLg9s4Bqh=^v|0`6@6*T{q3cM3-ngcU%PR$yOUJMZ{z&c%boX9xB{dy+|Yf??e}oG zL}@b;w>Zg$ZeGHrMlT65se5z;!XPT!*w-&%P=E^svR6w2^&7Oer z;Hri4mEVA2bkVpvT|(PE%|Uz9Rvn|xTq(xhl|V|Tx zl74cf``&xR0a^G~co=|;??7?0eTQ!Uvyqd1@#fA8OG=ZyALU*s!(c&y6ol`4V7My1 zb&GPOCp5X9FDz?jO=&!Hg`di?#b~*ndqlAB8wJ4TqPv4@jqQ}HZI~6l?X;kM`Ebi} zUZMsl-x^PFDRe@<4?OiK6sfgExA9$MskQxgmrWk&hUiWn}Y|Yyt_f>l49*jM<-?-WRM4a^dF4-RWWChIxB(BK8AmVFud|KDsSALQf zqf;lab~9OvGv{Akcs@8P*7Xm*Uj5i`-KF901?_>R0zDW7L4k7=R%7D>_Qw46uJ&*V zsadAYW!ecYeo>{S&_@O`Laz2J2ajIOPpD!)@pJk24}NFVs1Nx|(2y=9XQ`ciKUKD6 zb>nL0KA$eaF>ER5j{0hdw!xc?z91*^En=IC7xw_LZ=oP=gDAfwp$)Uh9l;nms%Ml66>9eNAQs&|eZly0 zyc_#5Y_5WV7r6|}-VR%#0ArCVRV>;K#p+eo*6??Z3b5+j5(kyPPKI&4m9WTVS3j&j z&i?-nAaQFz#2btqqB1N2$8H>aRRBnIA3eH)wN0P`WxN3MHfA zcs3nSq9~M~$hgxo`T9yr9^=@+Ag$L?&M^Bym4tKkvF0LcY;#m30yW)@Enizf(t78c3O3uu z9oE~Mn*X6{PjlYlD0ludLln zaZ1`yveowU`n(_8E&zK-nc;NTX34*1dtR=_zni>2`cN0GTB^jL&3hVT|J!5F%}7*d zdp=SB3wHrqv5DrR#5Z_aEb@Arq@kP_@7r2$z0RPm%gG~D-dzD;4b`=IOzfV4%5J{c4cT__Q-ha= zI}A|0asK~q6!dbvl4{j&hk6`$hRvl|{$LrIsP}3pn8i0uZ^>uLM!jKBOqs8~KBUGl zPY>9)d=6lvZUpS(a>;%-0y?k9;25pHJ~=8kUGWEFo@&p&?c}t-(r*tjH(FY>ml|tT z1CJBii40^;7&Es>YTF)-W|?-pq^p5j7_~HS+D*}WE_hZ7ds|ebf(}kRAPk^7tj9I~QeF6|j@P9-rjBe4CZISlG(>|@hc`dhqh5P7_D1x!`mw8Li zsMAeZwO~EK&}saPWq{9ex6Y&oaVTf-8u{eYKN0t=wwl^g>k?RXa`0l9s-KqB(K)g2 z%#WNKk-0mY*u#QNjO(}9t_hStHH+;pUjD0Idd&_sO-I^?J20GqjWbAg;PDGyW?wG0 z)Vzd&sMxemqKZq%8zf@&0m?CoAN15V;{HM|)TmUMy-TI0E(xc0y(nx=hDS<Oi|;!g;-Y#=EhT3j5} zy+Q6`7+axPQ9Z0vaq(yPe&T!i=rZphGJixAFiX+gn(c{ ztu7vkg_%7IXSD}+L zya%f(zBuP7JjL)%pksCt*sA}+d{V{kZhhD7Co%!G-!^|8$D+spK;rA=?;0Ng1B+GL zE~d??H}ti(vZa zG8-pnGmF5Z9<3O51ZW2sED0{})!7+JdH^Pb?B@~m#}ljg0T$z_+yE(snRTbDPXV4; z)eK0MCu2*ermn&{&^@j=49qJ?g*zPx7y$HbNB72-a%ny?)vO>|-a5?u&{^iHz2ygV z=B;!7=CQg<7J;Q7Ya5WbB0X?DUU>#$lAtpQT?c^Da$ua}Er%z&Bw4D7h zvDu-_rChX9OsPp$%*xhF{!}N4h=Cbtyj;Svi|_cWpAUkL4)M7uA;%bJ(CY0xPaEAv zh4aQo5nA;9r$AAH7HY9<2mU>6l>F@NIY%L#knTzwtD6-)`z=G(6%FEgX? z#weqt#=RWgic^*4PmRwjp69+A@(`QX+)H~nW{gWnlMULZ#5)hV9Fkyu)$P1#Fs_O- z$8*$Ip_FX%aW&6;VFAazos4Gcy8q0j!LD|3CWpxu62^}NZr$FpLaN>CrS zco*gI-ER2YYQp4%kqT!INC0pn9HTLL$qaR>1%@E`JNP%k(Hcq*DG_sTYx}x`e?K31 z;ZMJKSE$B-y-CA;fc1a%;3x_)w|iciwjXT0wY0 z6Gvb9s?9UNpbDemOCe8^o{Uv12>F-MpQ=MQtE;JF7WNvS5^9yRL|XW)uK)fQ3_II< zMi-q(EWO@s(wLq$aCBuL|CL52oB}1!{Mnacn3;tm*Fq=H0-1ivDmCv9yl(qK#a|~k zY9@&@whlhEc9VaqS77`6E;B~I?}e6;x&>>b9;o9b|0JBIIKCBNr2ZD%Prpz37Opv* z$JJf*z>}d#u{C;-Hp1Va?&D9({Qqf$mZY}mW|BC%Z()0RrE+Np!nyf(Nxg$5F(b8l z6a~W|6#LlM!l}?yUlp3_3q!%?9u%ZNfg%(zM=|RxQN!)VLOYrO+R-Ne>F90zo0kYE zAcuk=D4>A${0k5Y=x5E1{NHhjSwCpTekb`UmQ*xgC$6WLmrGn}E?XJMPKmo?im&T3 zdVr6T)iR!zvZdbn^5=9g7jla3NfM;mF!9)-rX6vA|3KXl+XKI11?d`LvuF`T^h^#`<*8@C3hpqB_fW#d+R2C*sc>g;n>8)bR8{5ZSIp-UrfT5(TCz6I`v_ev&G7@Nqe(+! zbg7BqBx`aYEhk9sS!-aO=GY4v5L0S`Imx;cWH(L}viFErZ~Zxr{XqLRm*+1X#L+qB zioZ*_f9skLC1_xc4sz}U1szau0tF>d;K&x?>}nK4;lOB;iho`M@+K@um!pz;Y?^+$ zs?@sKp7)%HR28MA6uT28&1c6yvS%eKBmd&yfNkD@r}qK!+DDT}IL3#o`(aM#5MM4X zTZ786ITxJZC9F1154^4n5I?3)wTv+s!e76FofT-*4x?d%B!i%{_OKfqAwG?nzP;k*PvLHZ~k>-dT4 zX)acQJ};%1Bgp@8f%|$}W20blAv zgFf~0ErH)SsbU@yVD$V~5nE7gz_z4^0~KPaSOt8pVx2wVjr$M$E`*oPCTwOSpcgl@ zF>MF7XY|+yRa2wyx9TV#FeJUZ1=!Obqq4qRG-1(k#UWx+Doyq`B(w)`nJ;itR=v;- z4kh7EhZ{8}R{}lTZua}*9;6ndI4q5bx&DP-xx#+rd9#!t{%q3pKs_|yYgtf=HJ%mR z8G5}f0EtR_rDF0`$Cj2+rTD@KrlSRprm(Lpxx~v(u#nSB*)y?#C#?^U1yJP;G*~{Xu zart~}G5hd?LR_T^UzP z`QfgVH96MR<5<2X=Q&&%>m~Ir&my2n>3JVM-MDY&vCX420_>^Olf1yRIXhiX$1BgZ zzM|x&aK<(KizFajzCeJ^>bFm%u|xib2WawTJy}fpTe4_BXG)~vkc?UNpxdteP1QWZy~^q)xiEi_?^wbh zBY#kAYP0AVT(?d2l(*o$nb))sN_hI%;bxxgw$tA7)Mz4ap5eCB!PoJaR{9kz#C};; zG8D_~db-^;=MtpVAXZ$|CxLyp4x0iV_L^vaI~ksx1%`_6RMK2rx^#;+*j1_z=8h70 zGC~UZ#7zr!+_Vm>u1^jS6p7gEjB}NKkEH~Ys~g_XDD3ked6l|;4d6yh^)gr7KmbOO zkgV~@<8!_gHii&{D{#dI2df$Yuefio6DOW*6D^ zsatAKf2bcn>QZ_ZmCMxnWA_JDz=Z+vN<1CEqK50U+@It zIGfyr1=h#M-S=m!COx&R+0y`w?dHYjl*C?7@{AGVzyGaMH@|+&E&YI|m6g+H8%syk z2nY2FKFDhSn!}ndC~bMD;@Yj6WbRFR)A0E$5R}R_qt$FwVMhvksH+!(6?zk-Ze3P& zepuBq5}LAb$o`Lx&pS1HM#@esw`|n9k}Q~->sTphxQ1ia6ICFpr66>`!y;llrElAX zm|iT>X=vz(u@8s)7(k3#A}P4zGAZeYLgIE$Pg2y+DYWgP|BQvQ7LgK0i&QITjfnS4 zQ(h@vt%YRL=2)6gMReJ6`m&RS2*V;3@jWD?x>kP+0GSoz^ z@A;Q;{#g0@Q!CzlPG!0BgeK-sHRXMv2q_+*ys&WvC_d0`<2ELUr<1;yd?T7Rz>Y|t zXG?Di8W(%O=~XH9qtaZe$|b7IUH+LXuXWe4p!CvD9|9K zr5${OWLw1_dh7Odz5P7walo?x0Yl`MOe#hI%tOtcrSp@I^tkkmQoPm_Sb|?<;x;979&a z2J*D4x;2JCPde9fXiBOnw}3p#=Ff4%%JI%`Q-{rVV)lK4@&`9+E`iiHD{v}vV$fC# zhq!4spVQ{++?=!o8Gh#aX-#wfyV6KUPYVhGbg=XVR!!3WLZkZJC;nDBN`|IF1IQWI zD|8b>gC0kWmgA+mU<%V=7n6y|K|pGFfqa5 zSCigBI{l`elmjg@G~vkFY!zpp^L%?1BW~!HfgtBh~uo*d`KEpm?9&S>e<5OUzha5 z%}ss~^x(N(k!cj%w$-4P#%q{?aj%G?9xpW;`vq#~bF^drSZKnjBaxUwm zOb#V={S__U$u>86#i@-4R1v-`X{G87A`_e+V-^<&oKmO$1yM-vkE@*-U>)PWEQS6w zjX(P_*6mRs1Q)Jjz9ke)7I8Qu4*$-tjGm9Lz6MNB#~BF(VeJYjVrl zKNooX$;kKt#tR>3qSFz{nOb$Ms2Yt}Bs9yM1Y3qPAYMo>K|zVZxx62DFPQXCrPn`G zpXaRBYgW~OD|>>3}>_VK?*Cxd`LAVACaX*{*^39pj>Wz-_=Zx=y2z95!EOc zHF{k}S=bQoj$RT+C_G>j&x)_!UCZM5YFZbIHaLn=XY9Jv;oAYPVc*DL#mL~L^z%@t z#ZC}zS(&ud@o=Q4;j1?tC!W%$k7c-@8$4%iA+melr$D_7gqdjfwylmQs@z5@$1{~k zQ_N?i%VMI)s3T;e$O^3PsW*3QB8S+%*!V;g8D_M|3X%nRo-WN6 ztnRn}9Wjx^dOzj*) zl20_{6=rk&Ohf4;qog)JD)!vT*p4gXen+C~A0BgCuC^Y{s5c^;$ILhgcjo82C)nCq~0ei0m`d4 z+ECQ7Lb!~c(L@8^-B*HIW@9{WK^A5O{bJ^1c?O<8J#t;2+7uI@=X01|urO!L{;Ff! z5lwVsDOtJiL-Ue?kuZ|EbeK7~dc`KH@*Gd@XQWh4$QFNpqS4cBBQy?XGU#sgiaL7y z71}_lOXcWot@G!>@WtWnc%i_P7_0M1ipbAIeRYj$0PjGiTjCku>W?vj_Lw`gK>k;H z$V<+LLMRaU`U;8eeILN-Pu_y*a!fQ+Z6=R#+w+6Fg%Dpe;{?IDV(rAZTuDXvjQ`@! z%t_i>bGhOM`P{O|24G$-JS6?A4QIm-h-L?F-xXAXEOyftrUI-8=&7;78vmqRp3v1P+qn&4dGj;NB)P zjFNJTFLR@J%LM}HrV|GG(s;WchQ0Q$0@ZGZNCOdp-BVjRw$c#$K?dqK!JT>RcVaLJ zuqUCF01Uzb(bmx%JO;qGII{IP+noS_8PsngJ7vJ0AFX(t=PWZl$g8-2y2HFVci6dy z6~)n2!yQ*)x)EGSpxg3Ozj5xQ;55b#2EZPtB)-w_SYU6(Kkoi}RjkB>h=-3~&mosX2F*-UhPT^zVcfh45&O%i(!LRu?s>T zVF&$ay9vNx;FHij=FuC*TtUM5m>ZW!hDQWj`k1!yoMjf4WZ&Q6mWH8|pKd2a0V-I_;sGZ%B5Hc;9k(&iqdNG?V(gRKj2O~*Cbf#JSL-v#^h@^l`47kt~(iJ%seaS zd1Yc3&Y>dC){jTB!2;<{RIn^gz2s#56W7cGRUM7BUIcaz0T_9QpXNY!_p!Ip9{iA|(J_0NNW_n%#*Y1c@-(!07F}h5o)*~K2AisaS z9ihH}!6>bKpb7Xe=S3ri4&DGd!(MK176fDn41=ydY|9ALo36j2Obd!poqiXw6pga| zGI{^6wHN%u)A6f6g83>;g$5ZVvB2~%QSa%N;sj2IUNGFBf&_1F^LUSCs?F#}yFneA zZd~vp5Jc0B$T`xkLqj1u>1A0E{-_L$#Oc8R(*w7{A87_JW&A02u&Bj|z$4gRv~T!3 zRzUH{M~6X^uMqq}6ItjH8vqTkgRsEI34zOAd3@fn(HwTm_xC4d4oHKF_ssRmS=b%7pjwA&1*#>e=Arr)pFM12sy?rmY0Jto+4Pan zS|1CIyA~LpwKUj&t4;4{6JxnL*$@6?x#lWOu=Q=$@7G?;h5f5Js;H&_#>xSM?wpu} z)4|$jON(CX&6L-a0Z5c)7Cn;3T_a89r`O2n9TWXe1`GYB85#zwPnCk_kcDE;Ks!xg z@pDn_K){K=XW&T?R3T7>Lj^Q{R1?RNs*c`fcyh;*FYany(#-A~R*w6=TmGrZRRccS ziS@b}OPq%e6H%?M)SkmiIw zxxU*NAr$%&yDu6;B$BuDBES-6LB;AIX(kVOOd6s3GplkwkX-p!5Qj%KsgE5INnQri z)Y3qOhO@j;#b&Y)yW^NcU}c7lPh|pBk&SubSR-54X4UGwkeZKjYP+sF;hi)iTMQ{I zvl&eV*4>Pbl%)L4WpO$B^TW#Lw!7to&8`|bVqYp%76z=W1eTZUSCeU33NgMW(_hc& zlAs+K&cg{UH~pCAWi13K|Bo9|t@opR)=+IJnG?SLIE9oi-4)3;q8cCW@=c(;{^X?6 zvs~QqtE?Za6DD0UN4!+novQ~cs&u8K%w3aQJO+KE2*axfKV?maU`ETuE&nEDb=+rs z>6|@>N7joC;0}6jP;%#e`BpjWrnj4Wo3Y`rl<1S+NM(}0i8@*=%KEBoVv@fRK?vIPI}IqmSl!1iCbg_x zHa4Vhv^_tCKOv5kO>O#qnm)Sh`#iap+kklJZBL~((Z!gxf9+48n_iESzTddo;D4Z- zeyRU^@>F_`Y@+i(?pqt1x#Da7C&vz+0^a9qjPDaS7{wb;HA&Ug>^DMQ=8c9b9h1U; z6pR!BX@RzNWEs8&hJ16;{!1oFGtbO!Mxi?16YPph$6l1S4Py0Ur43?1Na^G02iNb@ z{>v$1&b(IX0c}c4+qGp2i#eyO3pv$>UB-upt0af#q=)i#w1{6{}8t2S>r8uiMjC)jDmH6Ng~G*>wM(jkjl3jUE0pnfQ*AkN<_tCuNwyHUO~bk~S87c7obYqqdC*+AAX%ow zR|eRfnIlIt`t@zx(L-{x(L1wIVc5Q)1U?Ov;X@jPx5hH4)p0>|$^C}2kynK4Y}H?rl4G5FAkmK44TUQ3riZI0 zj4}ixHGL-L^~oO@S*Q>&`p5wj3Hzt9!AnNgYj-D&S=+(-;K;~mt$|IA0#d4^oJ zbN~ky{#|?x`9!qWVK({zV}_9@6o`F#73{Ca)B25l(zz@x~!79E3j98&B*?<9+1=#|FBT{ zT+dr{S&Q-t%Kq~JOHOR`MiBv_MSs#EzLp@qrlLwH->P*oY%6zO%NkDY+27Vx`3|ma@#BZw9(kbj9n|k9lx?5eE8}_t!kiN9H z3+wRbZ!Lm4U2SD`_+Ru*GFpXXJkAYPT08Amu7?+^g*L>z=oaRH`SuXCACISxj8t|x zB_ie4Q6s|$cayjjL=j{dz#g`dh%_>yh+ITD_o#l>zo?T-ge84!n)({T0bf}EF~G&X zms!e7YJ?B+{zGgZQXELk2&ZPpk}}4L=;T88(IUP^lz^N+Pyb5x3a6%o3ri8+)aPeA zKjDsJpQl8O@9M5}bx0}A0tdn3<%b2)E$ww=y?@7?9QlFLIy5qns2WCHfG*|qAMxuZYv1y+!xJ5>Is;34kv*p0C8w5?&dO!WM6O|qEvaAa}mTR#PYXCbpF z)6c!%X7nTQ{Tn|N{e)*~Tli{qr**Jv%tUG&EPhGPU@~XHgbkSJsG8U~C%mw^4nj&TIG!!OJ1Ov6})7y27KyU-u@VE%M`U3#Bo%|d; zF9erI6a76ytUzNGWgR#oj>Q&^y(*5qryu`%$`R`0iIeVDCpcuSSr<>JZ$@T+@%i+}ZSW=rX z$g(ttANNUNd*72^8o-3VRAFg4VCH7$Tg#F7&0;#zJw4cL4L6!6x!sJBcwy(edD&w8 z5FU-76Tz?op+L32N$hu5nl}n*o`hAGPOTk!?=L;0UK{x6gjnS(%M8Nj(1ao@eybbf)-q@L{Lz$99}3 zN_Bj!27wT>A2PoXl;5S5WLF9%7Ij~+TXZ=-u&2)myP2{Aqs&X zwXYjF_K1F5DRkRG@26DRbp69J)501_=2hk%oEUNG7x zDh7TF_rBvF_Ya9mf9*XqYFIgODl(A>_ALQ1PCatBdmApe_NC$WB`oa`epRRSv$IQD zGb6*a?Q0QAM7C37A3loOx{I^Z0h$xLZ?)UJg>Gp8kCEsj*qvzJ4c+!um){kBaOeEc z1sQ{1IxY7_K>o3dZY&d@l9hQ6oNp6GB8{Fk+gwT7fW{SQgkw1F@m z&X?Bra^buvZs{1FWwa&YO_oMrLCNkVXAmpdBtr0i^CgL8f?Vkmj-aJ%qffEUJ zk2oU{jkX`c%8dT(-PiA$^G;nvJ%jriuI7n@nF@$AhL%eqaB)3z30O2LC`9`Ug~{{K zSip`D;Y1e{MbDy)$c2>~WqwMd>~VY&EtH^b38Sv<^C$d(gBFS$xW@cVJMaLQc)=Uc zu$JX2Ohrcd3v4}>J>(s-xzf=;IC`7XfAW6@mdZ0n<XNiLT;;qilMB^`ih`y z3}7c6W`vaXGW1|NcRU@A$b(RXEIH18c*4!}QLrU3AMgY0)lMKOts47X*^@Y@%!q_m zKU+CosUDSP8slJ&l$KDif~h(bC`RR-@Q>vvTUTbKEjY^rp(I*ckDpsiTzlKNtDOqi z$^rED#dcN0=ERj2VFtGH0ErQ3++F`-FK}T>oAz!HYhIuIN{-^#8|w2n#?`*Q=GY@J z8@2CGT#lfIe(GbWtsbN=d?g?jQyl|Oo&4xNCdAio9DAW=qd~C!BtBk;K6x9{IJ>(N zzgKT&IFR77-rNPMXJBwoX!!^2M3k@EIQC+;``3|yJ=8tW-`A4(!uxEkJEbhRu#O(v z(+PsQcF$!G(K6_M04FmwyaM2Ed1 z+(_jg*{10Q7jEHqL7NiToW#=TusGk3w>c~wi5u9^^(Z4m(6D>d*f5w$Fqqn?fscmR z;3ujbSSq-dEs6*-6u%EmxyZmRyNa+h5ghDzahv3TmT(;hESA zYy#-Ux@?h>QAp>0q+LJlt{6go2tDV*KBBmIDHMnA6^7)ibV@872X>fI8j?V!emP_R zHo^Waj?I{ODL(~kHX7XLPeCY6hA%B50`!)~29Hwh{G-}Qq}usIweyQ==Zb0vNVOwJ z1y}2*`E9Hu1SpGylYm0B_gj)4YK?rr89~H}llb7^i7A9mjTVl#I?Pevi3H0l#Wq?k-1jxQy0X>dlG ze}M%b(!$7k*Gb$-NNgXKL!(}Xt-PgnT7x6Bp$*WjLHAA+I83>Ld)05Td?CipDc4eNZ2XMUJ?qVh|0F5J} zaOxRkDK^9~;V*p3Dh?F6>mr#R zDQGseAX{?T_G}*GcI*S!B!c*hU{`9Oq*|6Qcau{{P-J$?d)#LLkG5p@RK+7Lu`6LM zXvgM+jd*0N_wk;5^g4OlKmW>zBgvo=y0!!pSN{kmM@q8Ib@x+T5ncJAolHsuV%|

u!HyVwf=x(dgIBCXOx_JJ~+V^(wVpHz4wy5I9DD``c)Md1ylR!aAYO| zJ4%Xr-S||}6T@V+)is@H(%262as51Wk99>-xo?e*R1E}vplHQ}#(|!5YoH>Jx2!CF z{=bet#KoAUctvr(wRMF)^t=0xm|MK6_)C;mz`FuZnRB4er92RWn6s5n_>w|Yxp|;? z(2Ezkq?dsNr?#a0N`I;#5Xw;3rMVuVJ1+GZs87!Q()Iv~{pl)SxznwlJQ!H%agcrs z<5u-jAJ-kL)YDCHiKPeyij@vl=oE5t&Gw#*o!Fk&1=j=?ca^6~@_u_%g2YPV51I1M zc0i$6{qxtgpw~pD^Ch)B-()zaNvH=rIH_3Vi7`2=_s2zFM{1KhM8^3u$1qa3W}5GQ z+|8)?US9MK2IGk%?Klsz5&+Bv(+j>f4pEMXLbDBwVQIo9enheyUbGyTidz+p3m?;9bPK^^Vb89*g-)yxMLy%|A~091Bii<-~7d(3C0W z4B?EX8lTYhDr*fjQvXEo$T)WV8_DE=+Ve-5#*r?qLJ7&sfhI-X@VGR03-ym%92*B> zc*2dhO9@pE529uBId4>1C{F!K~Ca34({yI!O$%DfjPNgFBI}r+svId^*C`Em>F! z%BAaH6gNG7<^E~uoV{QI;e8kzo-ozn!SgXFaX~9hA;pO9(<ABUG6U)UAcp?U#+ zN6gF~e953NJ{{J!D*U#&`MlISu+!*^$bWGS;vM?+GFHOIP9w|DNb07ckTXZCr0Hy#%6w=I{J7PsQ}3o2D{&XagC zN-uxjuzJ%SEA6Ra6bTEO<{O&q^I4-EAcD38Z7Qs$2f<$!KL4KnpdrJrc~*#i*U9SU zZB=@H;g8WP1#4?4%iPh^)9dL)*gLWe?@FuBuI^bur8-p;hokPhR;w>NpMu|xX*~Jw zXt{YWZ8vyT;!4Iq!pH?KpP^qUBfkFFx5-)H80prkI9+vN*}>Leyh#20ybzn)3$BUaFzuhc(|@jGz^!CXa2)D%vnSC@jAB&Y0vTP*QzoA+}Du*9WVGh$%`D4gHmEYj@ieU!6s*;Pe zsgK(kkpSncZs`PRy?Nu>Z|I6w-+BPe0U0Y;gJ+?}`(i_;sd*2JosDiPhnS<`{6TPGeoEXx^=v+pThm1+Z@`=r-=ww zlKXhKKalm_cE9b8q4nN%kf~eMLrTrF`|bG*HJW8-?O}BA;yAN2v(2ilK%Bsr?*g{{ zntXY`+>(IGxFQhbZ(@?+j+qfyh=DTxtHbty8O21>#5Sw!-XkyDkc#z_fw1(={q30F zTtL8E4{M+n4eq`1{KEYFvuV{&`1hSZ}|#?e?0Ty>LA6$+JUD(o_&V_dqybr#9Ym ziWoW~>F?CZ&h*ba zEaeXP@QC>E+u^c3;B9OSzyV`U9Eb*nuRno?Wo?|MZ2)*tbkyj_;b38CJ}-)y2PIC> z^(-8ui_qmk;U1x>NwF+Yk<1Mk4Vse_s~QgGhlZ&kq_q(AB%M4^kP5^ebM6#_5r0Xr6r@=Rt~6f`cBJ;eM^cB3}5Gm;=XQ3Ya>8hEq8IG zZ`@@yOvO_-JS=g;UkkBJf>kDk2tq;J&~*dEUD}1h0e7inM;%k6{ldeP!T~%erSP!u zjZjhuJ=BI2A_8S4#cC2X^Prqb*D^Q4o+0uGx^gz|7)q!-H9C#p?oJ?e#I+8>fMz9Y zqssvCjucBw08ZP;=V;-B;_eD2V?&dKw>gCKxMy%*!E88yf^G6VPF7BY{oZIU${-rA zlV6;q#7AF$_Xjz7n*RGgSsAf^I-g`Xzv4(7eNWI+CR(>R+csde*dBazb$L?nHQ6@M z)99aM)4Zm5bsK!W0NY)4c+lmaNo?$W@U63h z-znRD%G{gcW{4-_ufYO0r;* z`!hFkIvk`tF+{&iqS_*(oB6I&{}b4pZEZiQS?@Wcfo_x3>d=)}TG@J?h!ThlFSO8b zgy~NLgt12(6>#yFs?PlB8(D>0&%lX%HKk?v=ALbDdpnKI9_RomBT<&s6+K*e?z*-%z*|!>YK8J|o2I;PiEg!kW$fXMv{uMJzpsX5=+Dz_SjDR+CFn(-lAR@l8jf@O@?c5SNo{Ji+WiX7R8 z_fr)YgWI6m?tysQ=M*gYFzpQve3Z{k``oe$W88dJd2>f9gG@Xrh6HN}6bKQ{e~k?U zE;38vqh+yO%Bc{Aibt?~n|>=9d|0>OxUiCQK2#(8Hr(0{v=WVtEGSUuZ{TNbnncz# z`V+a4-?_Md?KNmmq}0LkV+V~C+SuxC&Ymm~%RgLJbiu^_FeuX_cb!iY&MHAJI-g;0 zs|Ov;a{zPK&+u34p2`dQYBvR&4i{t@G%i6q)7f$@{${;858BUt22{5Vubosn(3VOu z?ksWURBS-|9@9+J)y-ptg?4<9uycYCxQ`xjlU+ z%TEAok7w_L7|T|QX+V4~E$qhH6ye-P%A%k~6|zU=!w(8UQ^dD~d_%0?%cJ;6r8IU^ zXOu&+MWu#@5&yp7Wb^k5`OTL%a${Z6t^oXEV4|?}wZ&|s0%3CY)j+~#I$%8P!n+bF z>!y$~`oWOBAiWpK@gfFmQ5W*tjAF$@LHtFRF(h^%>;c&h;>DR|RI39p3|;@Rc2kP> z2*kS>St(+=S>7b48BPkT%ePsV{dswa>G68-g&t7-}h_6Gmi zN-p;O{AzCi-;}71(3O~m_r5U_755$D{lc$cTp2|wu7_qP81CgXZw&3}vnx%jj5614 zG==}7w`zD=V=)I_{^IDGxgFPi^+t_q5LM9_gz$#GCcc3cfg!d^MJ{ z-~Y(@Rfpn-o`lugH-@>{e5z!T!_MWc9P%Xi$}_3j_oEw=hv)`N9tV6z62xpXC@J=i`EISpMi7eoeeSoeZw?zuemX&PMSac`o_{#C*A? z>thUL!+7n?awp-w_}+XjAx@GS5zJlj)$!l?N;%2&E;D2xcRnA)GyHeOr)REV@H!^e zKQCa53_>q))0Ko^CB4SHU~|!I6cQlW><2z!%r^t*o zk-@2l=fTCC!1!G#Zg`9`#KBs&9a-_c@tjWzz!tVD*ij`-1KhkY_73W>59*RC`nsps z84EA=-{1vOX6X`wHvgQPtqNYfQm%cM)%DjDwE}26LwE(8oSkp*t?uUg*Mj|OZGqt9 zsnDT>>!WSDx9!BiW+8hI_5CA)!IN+m*LJQkry9; zLqNSFdJlQ6Ex4j;+sSH7?TLR3%{gt^PLls*y-?~x=bih)0``_o02h}-787U zx26xC;d|GL+tK26FD-lic{=IM-0GS6A(uj}A|?8qn}Y6^cL(nT!jj{z(78yjYQ>!ygp=3C^( z1}7p(-ewwIHSD;pjb9=!NGwR)inR=`yRtj}@I?ZdU`k<1F$b^=3qV4YPIi56@+0I` zJQ4S+cFqP?*V&pOSeGGX$&CpluN^^5Os`}Oob&LMUGU=1inwI9rf3T5hbwg{ z$h6$sI{QbMcR}HH7^442UX0vjh@H*jfA0SU`2StdYjMr|4ZZB6I&}LJS&y7_0k~tf z{9gX0Z0cONRate3!InZKEeI(ogx{y$wKzUg;E&wTOR%AMaM3BTOtx?3t;~hUELV_Si<+;auPBIqpWyofUo>;G4Po}PGp+cjZ- z?uJnjBX{eEu-J4J)Mg{@YR1uX$?@B`tv2q0S5Ro}M)4146SO!Z&8?Rw7pekP)!B=5 zR0nqb=k|yNO@d7lMC3n|ARq?e<-1b+wWJjYLH$h`EVT9U#$=en1wmh+}*7zHY;cq0fiLL#u7i>Lj*c?*Dcqsh@ zX1EC+Y-zXnE9f24c{);rbvg#GrPQM#JPwfcyn6JHxzma(<}%pQdd%OH&5LK7)tGey zXE%{euL75w9+b!M0``Zn$K2(1T64sCh<%{>!Z7ji=WCGr6(V1p4d8g3-|!Yz#rStO zNvkPMJ?u4b8@-w>)@8WsGIe0K)NaHSy5utgT3olf`T9M?@9EXR>2M3Se706Q7#kOl zkv}dZsg_U5Xn{~G2YS< zqOgrl$N{=T+%TH*yO@|`d()sy^{?KR+>mcobdz4uo)A9Av_?yL>u2*4ByRhmIjkD|ZY_G7L*!X!+gYEiIkfPk-)#T7Zmvcux z_aPGN{rk8d=J=$m`dGvj0vI!g?C5&quB?Tx?Y|97{2T{5sU)qghh%P>1UJFFvy-n| z&;uE>zxz*@o4xD34RiPFn(W#Jv?h4|5o^q+LVf zEjt@DaB#8fa*Myal&`GU8p=F!)^~oA3g(|>!V=<_ldjFfMCXE2*0bw7-7##Zx9*oT zGqB*NO}OfLwgzAu&ht0Ce`&G71s~D$XUSqkA^PptH*foXpWh5La1z^uTD1>ZSfQj) zvGJh>mR46t8hsHVP}&tsXa4w!SjX0C=7RJG|GB`^_Ys|V4xxL3zWsmc0L6k=5%-8u z2n(<#u0S)C7?|f^i!XE0WPc?ry8_b2b(fnZ%HqKH?hYI-052p%?=_tn?SR3{XAX^D zc=t8GG{)9UI>*O;aC*e_=FeE$X~zd?z~~BTEYa`U1YY5#UuXAi8cXH9jF`;yW?m|h zmU1$vQIQ>&36(y`TGiptd~eRAq6@=~cbw`K$%y)-t*k3vhoE8Fu3Ts5yj?cv%m+7p zKT5ZQwb^L~K9#^1IlWd2Ui=Ef?wWpccufevY!t}RW+?pm1fd=heQZ8;Ag35``B2?` z`iKiS|LvyYDCX(e6Ep6pkdrlkXRyih2#v$Q)$fxSfD;@4+2ZvU0V>c54}uHf+a6TfZwL-4(N(d!gh?&8xqS1d^miETgU&nFG4F+zqYp_Ib3IP<7HxyZls#yu*h#hyO9;%1OEmGg;Zlexl` zZ$MX30)4}(TC(tKSw^J_gHz#o50l4Fzx*bpU02GV8k+Tmz1~w@llmEI2_cN2(x){q}hL0;zO{F`ui;kO?f?Qcd#LyRMw`FlE*6&?qG1uoEvJx6&{E@kO zW)iWGF;xA<;W1zBq{E*e2FcLlo5Hp{K}z8#cT;DrNsJ4bvOUi^tqmX-jas88*JERuzfvjB>V*Biaoz zHP}@GdRQ|SPfIyEJWdAxDrC9pw`=;hyzuN9?e8YDpj^rHaTSE!zK;9*Xt|8;Qpr5` zn0dSurynr=@lgF?=E8U-?tA{BF@{jYmO}G=8)*cQXb@3{33XJ$m<(<0PyfpB9#A@< ZfR@Ia%c3>J3Ck)s-V4~l{ojWCe*j$g32FcU literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..85f6acf66e11ec9f6f98eaff5cd7575f7afd118d GIT binary patch literal 26483 zcma&NWmH^E6D~?{hY(zYySpd2OK^7?+!;JLL4#{>cXxLuxDW150tC(3dB1c2-F4T6 z^;GZOCEZ^}ZqK_nYEKnn^g-QvFjiXG;ErK{EdO7OdLKZLK;l1=yL3Aj{N zD#l3AavAAUR7CMv*n=U<6Je{X@vEyAo*t_>CV1DfD)0TUu>DLmCy@^&uwM^^KTigI zg^mo`Z)N-Y;O==>Rj#KozxgnIahYfHpcGy%U~u$2UAC~eNeTR%xl8Uyo@e?yyDdwc zcYfs(%Ko~AJiq*y$#Wkn;CD6q7_%hhm$j68*W- zoBuE!)Q?>s&AV2Z!Qri1MpuN93~P5+t!2cWK{EPZ4-6x01Ad^ZV+2Th1!^642MoN$ z-n@0ykqY<;Rp+Aw5`H3S{$kpJ8@n1|LdrlKx93#C_bq0pPNYY;Iu0}X&YkY>NBv{G zx>FWL;)>Q1l`sSWC%@TNr)MgE6Gsc^N|W`)L4kUd#?c-9?LCFBpVs;AEDQ{Yj0LS` zZ%x*{l>Nnq224O7Lo7OAF(T)(vcVLs7Yayp-$*h+spae;9WY2|9N_4)w}YyZ3M zyj55D=imBIb$a8sxJ9`W3Y5gz!l+U41{|5pHDr+lh6`IcM&ytQ?KuM@A+|#CT(b3# zNYuX@netjRkY1T>XSeEcfr7ong88tq5NhqtI}*L$6@x}lE?UXjfHF5 z?cNp>V~+*b#JFR_RQ;`wT0`ZFf47(Qhgl|G)YO#L2c;d`8AsuaBjk6 zr2OVR#>5)1Xr7YVx;h$cIp=MKOsr3R#nS2J<^Pdx!dQEGQ0mD zE|~q!QV+Qnk)9BD)zS24&YVal`)jH5$Xo!tW!k4CZ)Ij!96Y$9r>ERAUlH|REc-() z_=`eWQh@=}03**#`>bv2Uuc@rlPSrfq4T(&2x#m5BleX#nzqd~yNemh<@LH1jOu{Z z9)g;euq`I}rss#9V$uQ8>tK418?O(Lsy#>g`!>6wzrzUd`<|>XhJizJ`o*=K0rzh( z;_~5MBKp-0sDg2UPJfAv@V^_sZ*4q_mXuf8LyqUNZM0^4{z#sB%48(Ohp(%Efu7&{ zB$gJ%H&W$Gkb#prq=;;rdR%`S3B{zXHWu$JiaR<2IYlvlAkbR>tA-H8SRHfS24Jwec)``-WcS_>; z--~RNX393zkhKMp65T$X`gB3lv5sA%j^<&uRK9*g<)rY(aDZ_BBU1l}&Oc)Jj|2dO z66+r+lF$?kb7C=hWd0Xc#g3RMGxt5wVdJ%(nNwOR3>1HFFEP&(Rm~*T+Sl?wyW=Mm zNdRH6${9H^lcTCH0*@4_s{e*ONyidEVu_vFLkKX85($P5Y9^z1bt`5L7n3E~AN_)w z!f2R!r13)z9uR_SUm(Un^x~oR-}(drlPSuEIB^9BZ}RB7ZB|=%TpTc=d`flUuVoI|i6gy6 z7l1J(Po>zUv02VqI;VRFr=eTXohxU%h^G#!dJ1Bw(lAmfYC1(JZ`AbroV@f;==QN6 z?z*z{XjoGUM3le-F9^y^m&(c7O@cne8A)H}ZFKdA8M4C(b6IOYF7HQ0Ns@6i7 zDl{^`TQu2xsISzt7fV?zR~)UsT^;1VK3mHEt;EoQoBbQzqEfDSxKXm>5r{X1jMkT2 z>5F0-mL6ds2YEGs95gfsa3^hfOPyLPqtiUzY5?UH~X1bdyLW!fQ)VE ze8~pZgDq}gVR#M$R){0EiHR!`ORvYx0j8R%x7l^ezsL3s0l%Ap1!4W1Y^}ggU$LGY zay*EqXWC->;bpMDRY;E|f}Oc=;N+|DH_zP>+espQ`fQ%chXv>SvnZo|qv3i73FrJ4 zK}GGDU=2$Buay1%rqXM-?JoGX!eC*8xJ#9|qd#H1u)~(i!R<8!Xahi5FVJE5F%bz#qt7F-4=<@UsSw<@Y_+=LMQ{EyyE09fZfG1G}LMsJ~YL}o*oj87!q>BSC6_N0^62&7wUV?DL`0l3T%-6!-x}iV~4s8PG zL=e?-W08GF5uThI)|jJUe3mLMRUFWOnb4LjRlv4{i?_~qAqtd)nDi7S3a=j@#y}RI zIYt3KdS#*vWLKgd6dk+}`g_vK;&VN_;40Ai%r|q;FkhF>cP7i|UxKs=MFe~2$#7$) z!+f3s@k~?rRxM02xSYd}9UsQ#qMbbeTkK|80q@4_Z#bvRlPx0}xWDed*k{N#4R59@ zHS@-`IWbK^StX+K@mxl_V~toD@TFLSIq6|yhoT+8@?)pVMFblPqhHO~%`)%w0iN|Q zVv)y$W`8D2^M*QuAcw2(n+^RaY)C&@D(slX(7;8Brfj5jMoilrnMBGus_JT*>OYF3=Lt; z(bl&XieZPYvqLL(4{BF?e!QNQ69%Pwwb~VO#onp$Eh*8_*qcET0uTJ;^Cc<21QvOE zd&~*N_76>NCl`DCHAKFSEL${kgsb5)h;}T7s!6LJ%B%udIX>tw5Y0ND@(ua_hH z&X7I0QiKs)L~s)z1P309{*3_0S+1STVQ=GbZZ>kgWA)DEf3l(1YE3DwaH)e6D(i)F z*MM03GoAcP?W>l8)&Vh~e^Oql1Q;_&MyUkasi@}wjJauuSpO$SP2bB1Xs6?J&betQ z$^R#(tlh_`sAci5t0(2YxZBQ_7KVOG;fGOkLjD6-H)yhoiFRWp=c?zi(^ZoAcUtcX z`VYw{qf^x16;QL~u(_?p@*xS<6|MT4 zfaAa8;8?@2EL8fb{y~yi;q_JH2)X#8D=ZJJUIb@$qOV0d@vVvsP*FNje@bC`=a?in zxNyD>F^TyOzcU6&X`huq*QT*AMF6bmE81e<<^5YbI4ZIVooVWP?iXtzNFp-bXl)b_ zKIF-ffiq@r$kY>nVw#$K5-)>dvUGSoK!=idH9C4zhr)HWvcg07TGUqYXBl{FD8AM} z`Z}H)A>MWyE%9djPKDm(GiAI3AVKhLBL%tFREmO=7%Ih*>UIJU=fvV)`PU^1-a?=k z@uMbyT~4e=RiN(#--yqkYPl%mZPngsPs;35{b$0L85l^k1my5P7VH1C?EYivC;w+1 z<$u;^|Ff=>1U34sf1A1};ASMdfw=2YNw)gU&{Rfpku^G2T%!d(>r{($pw9v{EOpdR ztMH(QgR)O#A4Ndgh38-rSj$yVz&S6bJqdLJ^HF zXrCN6V&Zb@KOudLUfSV~`#A1;bg1&RRS+KP=}D(*^4t*bmqSOUK3j@qw2QGfeiT8` z%Q&1FXqbL}ZUFGf0WS-_Jg4R=uWP#xD^vhY7v!~rQ@bP+*=f)a)qdqGM- zt1;75t3}6eHe61Z$2gSXxo86(<$tWyl7H4;ZtMTCg1q|vS!c5?39n02om~6FWBS|T z?6@q!MR;&{aq(%?!t00f`9}&=?E%Ovf&*n`zPsbWh|T9b?VIYcx$Xl$aj;W$PdC`O zRHV~97cw7@%Ud6Ne5Ji5Z?}{f#p@$CPj&n5SZnv8eIQnNzv}J-hTusp?^5`aCh8vZ z*ZH&CRI`pVMp%a*_lziEBp{5?=?z?SMiNl1KpE}UY3Y;y2rd_1jiq#PRist}<-FWb z`51f!<-EvH#cDh#eRuJEv{ClPCbmNH3|WOX&p@|1t%$33Wkw}v#DLY>%$P8y zg8%7c5?q(a^`*y}Nl4^w&)Qf?na_=R zv>hpo0_%Yhs${DULcol6<9_2^?EzH{F}OpLr_ST**N+g%G)5Mpj47{`_g9ix9oGNt zkv|=F{T7{yDQ>`=gr!NatC&2!t4M!F1mRG*P!ndDkp_5rWBRKvoadAM{2z-yW5f>h zuF18~8DJ)a1c43l7D(j2{rx?Tu+oBLDga5;n;Wre<3pBpK>GrX!i!`@%j|Ht2>&nB^rpRI&Yn#a8xVQ zf^_LS7L;!2@}x5GzMIN-S(j=k-LPC|VrY@(jvBu>2db9E0iqQ@mEW#ccVDWL-eJA^ zlTCzhJ)x;0U$@>vLtTEUI?`rrO+%Ggr-PxK+Ez=l$^4?cG^Nr2XYrqqi{5jJ(}xiR z(qjpo4CLwRGL+UNx^gQ3I8>_8SuiE5U`kMddQOCw}9Z3O;ufx8-LS~<~(A&TuZ z5Mhp>mW*&UxxSD^15qF6#fa!Ve^s#q)<7>e^C_9-Q@R`^tL%c|_3airYfS_Cq3!F+ zCn$>k@9>tzJ8^13(hELkFfr#@sw*L>x-ldnbz#Xv)?wVbgfH0KQpN=B?O58h&`Wqy z;TmB-9!GRP;5O@gn5QVVu`$(k*Hlzgn1HP)`vx+w;^t;&9;_;+DNdeWTo`Oz=*q~D z$nkr6M`#(Q*q(2{p-^I{{5#COa{Ysc%u04?1J*3ZxSy9Es!3ukfifS#0DAbB$PE&7 zkHXl}2L)E~IPuw1(mTFp3kB;rQPh^7d6bj`&w@R&hkD@ORXvHt&i`SoNDtdDhOdO=+2#K1t&G&d0z? z|E6q}&TaoGdPYd#cPM7GIQuQTSdv6-F+t;plJekBf2LCj_qTTl-=jM%^(ovHV(N@( ztF%&NNYq%%`cKo^Yj8A2xT;HQ1&Ujg)wO?E8*WRB79x9hBsMe9nT7@1>$!1K>Zaf> z{=~~B)BJfeDawr;r`DV!dGh`aic*+Dq`Ue3MD;J#Lw~5p?{^U!`yLR2ibm3SgP1G> z?vC9bKa07KRB3;aT(Qw74z12_xSKoLSao4ipG6UUc5yeD4^o#n8ZC_m)d!)=qLgNF zsJ7g3<`hF~loQ2FO8i*TjZshs$(X1Ymm6pnaA;iA5+;|~crKPIEbzCVDXGD!9Ii2) z6E8l8ST6&?- z$WQecKf|i9s$jfVdLg6dq^0UwHHq{VR(hWd1EZ;5TGfO4?EUjdxb6<6;TFN?z@Em< zI{kr(I;I-(fAtm4gn@Od>(?AB8vA66=W1iV5+s{A$}Of^k{h4qFBneu3^9A$$#V>- z{Yh(3OOopDGC(gPSG9Hs_Xd^kI2w1B+=D-^_}x%^Y?t)$nC zyXdyFw-_hU)tj|hOkpEHn6qL3g%M>(UF+7q0nvuG@Xc^?o8w=%i|d zOjq=!aBtAl_*ykvX8Dg!K>1zqbNQij2W>e$Nz|dVNf~4m<|Q+=jY25qw2R(cNsZN} z!tC^V8*R}$EH0OF-?f$ERKCIFo`>l03ICKsUAl>h1adv~{>-ly2PcYcdehi8-g$g+ zys_Oh^RA3*>~61HLga0{U;{hRB??b%sMB97#N|1+k>H8m#IaHlrhZX^q2Gq-sB$n( z3bdPF%$g4OZFuejP2;YoELoeltMkIdxu+WUJgW3;A`9Z|^ePoslC2DZ?c53#9pifc zbkXfs>A`hQEA^O`s$5-Ptrps><&)}%D5V2E|HZGZ&L!mbR&5ai<{ZeCmAEo)r^BwD zQN_dJKQ9bdBTXf>jm@l?Awfm4jugM1w}&-2MX_jl77*g(BpWu<&QlNtUuT;!agmT1 zv+Jrkjo1M zRRd)11gziOCRPuWlcFNdB1jV2W|L7;LvQZXQ6HZM4pfyk%(1wOZkyX~iuHfL3GP*` zH=?<}pS(yy{T=go8~eShWF|tt`1Bk!uQwZ$?y8$1O&8gv&iU!iDXG~;V{xUx zt5}UKi{{(Hgy0HG&}Y|%pH)gOlJ?njhKqj6S}c{?H0qbdOw`F`x7u8)JK-7qTus>O}_Fv)lk473UeKi#hI^| zItW$t(K_h-sUL7UPVe}u+IynpK|uUKib1PJf)yLLpu-x;#OyKME8RPNccLE5%T_oPo=yV1#L?_C-ZrF zTnkouFRidzZHax-Fa^ApKm0V+Q*GgSow*@a!cS4T2|8o=sY;mU8g$+#y#p9AM4|b3 zu;<-gu+>iti`5AnjXcP<7Iglyy#pNLyPLJi>U91+8vU5*<&9!DMAgF>;x{NT|EN3+ z!^qEjCp0s`K`rVzM;bqfHnW0uOy#9Q4W1>cW((yqu+8{9-Tx6M+n8H`uR-5&qO7lCktxZi&h zsTktN_DK6PCt){mmHYSjakiUG6zixM`#qgxN|^YA)?z_2inB-8e2R`P-y%z@r!`ZdSpIsoDZGPI8n|6w0`>UVMT|{%t*F0=F6$7pp;LZ&$GDXxyuM!^om> zbi&>h*Q-sqpQ2{-&%r&i{9`eaLz_KJPn-Sfm+lR+jHc0v3ldx}xvqZICgO;aG7(FG zWm^6_>1sQdv{;w@#ST6NFkBPyGq%(T^P3qzJ$2>u2V))FnY|HHsc7tgQHL~nLBSK)<~K;g){?jadw7qP*?ZES zc{0M&(g5&i0UpT^P3>m(+`5DEiG54S@8)$i@AV7%uE>((hg|z9_W7IT8lozHJ5E!} zY)aZgB>rfR18Q&6*A)+o?rw@|_gSKNSLd*fL^1-azYdvFpnZ`qj$F?zyYi}!je@Y$ zKLW}9dHi8Fhh>O`JU;cFN~~my{V~??(jhx>ac%8dm>&yQ+^N^2=ZC zZutTI>yrxJKCJd-{C(yvNHl|-+iM4()))Rm+&hfHh%lHRihnrj7H8AzXflT_$UA7; zUt1p!V-mQcc~SUO-@=kQV@G|N`OK8v&YUOqc|_dt)H*B{!&@TkUk1rIRsWRLi&X2y zYV41=chp5bwK{NXs*)|+4+Fbq`;fMz-|qBqYuVPWUX<+f0B#-fUDE<69)V2tA-BTi zxAwv}Zv=zA&>!U<=uGG8O^(K@CFKS%Q34tl1lkK_HY2_HN*d2_xbFHDu|Y@`9sVi1 z7MQPupR%UeReM>e!eyw*qYnPEtnjMRR#>MeKx;^ zceeS@5h;o64-QBuMrgeaZh1<+;*~d-7Mai5`KQ%pwPq#j zEbW&PE^cYyPbzR_DlzLXAfH~z(q>LMyVC1}B>}r}!w3!ASww2z;dXkTA|1{q`bBHEuthowi4K0EmzhR(6R%fQD)yFq&epgi( z?v>kLLU-_Oz^85pLON7~aU{&bX2#&lps?@plS64;g^nPjf%1j!?L?zrToWA}pHB7Hp+rf#+G3qcCSW$rP?3 zr|h7uC$?fDz`vYel)yHJobRl9nO{@Jb}qJp`_7B}ZoGdK`33vAh9?Wq_(@8JFhl=Z zua`9TFK9-bwAv(IzOBL~51YW9(Q^x1ShHyPrZPFV(+&PY8uT8qf*5RP^W>FHD(E@; z^Ci$9+p^hCZ#6p0<#0xauhz-sIgk_wQTgAtAmzV!k0EFKEf%zxO1YPLMQx!6M;H{e~_p<1YnxM>alW|0q<tfcICPbVya26{(OgSCav$x&U@|GBPNARKZ@4h$KSsO+L@sS7y#7f=3So`rA ze54^;vH5nwx0afwV2m>Dr-VJq3|UQd&W{>cVH=z`q=`DE<@)a_77?=>^9y9Av1Ei3$(6Iu&1~S6ONozHaI9yyUDjzw4e@dxkL7I2Upvm}KgMrFy$fKXrQ+V~;!cW{)>&qGa z=H{jvU1aL%NGnG|Fiwnk1A@-r-oUL|N9ha91j6Cn&`?_JN9<3EkV0;R{iXgpHmjhC z>)!tEr_S!aRYsj_hrE}$p{!^SHh;AFuuR2{h#UJM`PMCPp16B#z(Yr^HpjRt+@Wp= zN6=J3mhZN9CX2&ETf}3@SeA~66WtlyL+97j59P&s3QPI&TPuhE%f{7NVgpsPo9s=4!I~kItVKcSV*1&Oa@CDyFM*yvT}h78 zt46kbJ1?H8^ACE)f=Utmam<1+c6(+DVLQQh2lI?R1p$19)0jaiW_M)5v4P#Q+~a7j zgzy8aS30wZef+?#NC!cCjuMw%+0jc}-Tt4d{cgf?0vVZ1Z0R4+=ap3F<`VZCTU&R= z8)K+9eeDT7`q{1*M$515;(T#?;dfRI=XHGBbP_(!?b<^ZH%KA5Mq?dv$!$nvhykDg01aT*Rw2J1Kt7SioLtVxN@W?nx}742 zy0s#`x;X%20Qvy50jL8|0iaM|NiN28-bawh&2QKx=IY-RJ#|x_GIhhC1Yiz;R^@c+ zzwdjLvtFDXrR`!@d9zWea04J`qp}{>W)9Y+Rzcu4Y+5}8FPdiVX`p88WwNW+Y)u9d zZcXM9)=;eY`r-5*f^mBO#Qwm4@4vruY}QEJ94fyh0o!51x7@kLN$fAhDHDx%LI)+T zXBlVs@;WFG|Fx8*KVRSu=3#QeX?kt;c<=_@#V}|fztDFUZZLUZUHxXelBFv>@h18M z46ktPz%kri5o7qFDJ|+`U&BP^G*6Ps=>VS*BJ@#plB(EVCPLCW@#fz2=2>j^Zfy2x zZ1!Pn_GN7LPHgr`EPBqG3D&zPXb`83joXL+Z!`uGcDSB`bA}C+6Q$asC1byQktdYY zkeb<|#tJImJ8wAQ`Gue6O)O62k%A8mlbL^r!nW7}`LKl#*OU7BoG&KG3 zi7Ac@K7o#UpY5=Bab#q;zdJ~w3oDw! zmF06>1LJ-_urxlGuuBb*zCltWVi{z+A?9aSrkgJ<)->FQ5Pn-^H<$6=yEss=mM?^I zkS{a_&$@LiFC-5gzCRj{UqS2$K*VI_@F0yIagRY4|n(Mhdi0xCtowssV31ox(Ln|2N zz09BXW{33{pBkrS}@0CSCBt>qT zN|VK!mt*AA0b^2rm3!eA(^Xu?4kV(Xg-QA$DlJn1U91HYu`~024v@L)wqxkaR>Q&Y zRTy~=g8qge5eT!i!z>{=2$R1Hlmi1WyYGk2EJd@&!^_605Ua?VCP zi1WvbtmgJf21iE-WQ`pYA0e9?U7c_03qNreO`S_Ou9mkA=}f8t?((|`#J3=%?1sJN zHbkM_N->_qp_2Zo`JJGg{pcil*=DT-ij6wz#A(jWK|VYsgd2y}NBO<{cCpPS40+@q zzp^y)B+&vR&)L;vd>UdZGxZf@c~exxBYwF?@wmuTb2ubNE;m*zm$eqZyTH4Q75x%oCSe#G#`9QEAC4KJa6@fr z*p6$p?nTiWJk(ym`67y>EQG)}WVEadmn(F9+D^CjGdBp#yCHMO8fSk`^TU(cHSK`4 z2U3-wcpl5& zc~tYb8zRi2RaIHVMQwGVEb4cRg(tOFc2G2^V*MZKGw_mF_y#oxmI;Ax~ zK)R^Fj*SD)&-pvTxf$1&G<`mqB#@5CFwZTU{*GW+RyI^9%7CY&X+NQGm6fFFX~3i{ z5+q=C=hZXB!TH)jM-j{$zwI}Sezhk#&|%2E^Mk6dV;a`>;97t7TI9i$TF~+X6_~eW zK#)8eleO~FuQcPjpFzqxebAm(urm=D!KF?Ab1Uo>!~@JrPYBrpToE9DJzYQ0;XZo} z(N_dtC2k9EpkJX&4m`iw^!ud3UdeiZJsAlhHGt@r{B^cXxMvOEHFNHr*CyC2F~QC* zKy=5ZpJ)KrV-jK!?rAzZ5c#*9rjutwpt&7sAcJ8#F+lnD>2Gu9!K|dut1pQ{?)?nTWn$8Mpa1KIesx;;qNrP)tn5PN1pd}+Um{t*$ajDj2QIpp|o2yf~ zM`g_a3JVERb$)FKbOZyKx_K(FXWc-Q043^5$S?_sySsbkk&_iL1GIe|IV6z3fH#e_ zK0q>HuL3>5qFP*?ynw^-o1G{g=z2T&yF+DXmNS~aIoqpfA6&26h&a#>Jk#`cNXzaB zw>(`>!*wF0U_;a@Fs@8{ePn>j?x*W)IB-cSHpC35l>CaPh#yd7yOyHSbL8apZO1@G z5!@30)RogT@LZGs+*JZJrbrF$0fj%*0j}!KxUOdHd;TEUIfILKMGk~fKJ3-shJke) zjP>8C1d}Cf`%R*= zTCNQMJ+D>e-sv(5@*G6JVvG!HsmQo~(+Kh$u>M5+Q>h7Q%pfZ4;l>6s{t^s(<>3J~ z0;2u_@X0#hx|V@;HLlJ8Af0-Wwttw0ds@z3zm;cP?~-cHU7?*{cNbfO19TO^0aSfH zStO7Fz>*%2Y`RF0men2MYHPryir^lazIDg&hZxBLA4wqof?%&|Ng!%KRQmy<3`h{y z^Xq9~9vXmIm!<}@HV+7@0M`Vd2N?3#hM;h~hvR{NY1R2(v`#-rAxZ_j?xn27z~HA4 z3po&eoHO|dL;d6Egp=CyjbOto)0$8VZ63O-#(o?RV;S7BSC1Pu0*G< z{f7q_gG+nyN7)HG9pkru)HdJYywd^#I(D&=81k{NgMIt;$EtT-K0WG3Y4Qp)6j4J% zMQ~iowjclYYbXKa$_sqJ@X`IisTB4q`|CrAC3vU`(qHMhZlik@h}Ag{b!9aPWOC!( zrK%#hME1HH7Z`fj!&3fEm35D&5fbDB)Rm+q_zGAEBV*TUHQCx)o;`YfQV1ZTK44dF z`$TFm0@M`;XXgVDIn#@kJ}rsc2IyeCw4K+IaNg8A2bMk`(rv$R0*Eva0r>^Zsj}CG z(BLiWu!j?%jpI^#dnAZ56r^DCx*JHPb&ZBgKNH1*BPZ@{;T6;?0b5B8Ws`zt`*Nh3 zv`>@{TX3CQu}5};bbQr6&GziurC00df5-zNAM^D=k2>_B-=^P|Q$FBKKhqn>fwZH6 zuW)$_sX>22zie&$B5nC*SBWpQKT)eFN{+w1ek;>o;V@iY+Jn!IJ)%l*zr~ zcN8Sv8`kXf?_|>_*E3<&;laWVq`aPrEIWJUtzT$<-P61~cvTnwB>4M&$^R48FW0`a zS9NFx7!*?8xJ*ao6E*__TWO*H0j}pId+xQPMuG2c(LNO2EV~NAC=Nt0$*O?T(Ppz zc%mz*YH5)Y?Q<^km1S~do8(aqlMIIrWtwRWR=*f7(5mI33w^|aHkWl16;g?+Br9@1 zN;14kEQ3N=E~#jJOQ_LEs`6!#nncqoxt$1%%2$^3?UAbHZ$%xmZ7Fv>8T+6`Lu zILYQ;WYazqn-U3y(5Ir9m672hOMf{qpC~d{wYyyoYlA8BmsuC(mJ@FJMV*x0RN!X$ zrPw3aeEy7;ft%yGC&=(*^{T2%dhX0(uHX~lvlU*|avaAEcacYIVd{%^b@4L4|cbf}4*&4@{M{ng>Z$Dyh`>eH|;>GEt-=_^{?*6mhdCmHFKNfry zYpTBgZeOfkmIMqq9J{;PbXhK>6wRN(NS<*ccJV0NhjZG>Q+0`$ADt!S-+2rEUaQw( z#g%U)TtNdf1!>;NHYt8gaLTOtjE5JhE}2nKW@@#kY?-L%a9Y}_mVapEVN-2QSCB-N zV+c)ssv#qNK%>yFY)_Mc7V@qrTQ?_UuH>A~FTaZJ!1(VX+xAJ>-D%ZS{O_lBgPMxS zC0n24RcaJU+wMIiC^IcmTG@PX4BKW^n%V)oUUK78ZX_Q?!>0VSN82)WO zyDI{^iRpcjtXp%^#4GD942UrTVkHW`Q>8zsPMUUpE$v(^M=hPDrvJ&%@#!jDzW3<5 z=D~gsT{mFgy~HLh{1@ij9*<2;=LzS}p)+&(^SuS;zC^+iP zJlfu{#&FIdq7KemV^VdrHqi@$cW^-8nIDK6hr7@!S8PaUJ0^{}++L=$z)!PD5dSDQn7s_JJ$P(( zWmDlKhGBEOkGbP(^L zcDlLK<1N};?~wVa$80y&UD7QRbu$I+Zo%SJ?9$uxQXQ=&suAV8d`?iJHgZ9F$Y+5X z5_ln8lgX9LXhxL*nlmojKc!sPrI_LM9%`pB6GZU3IcPq~RliX;;1Ouv`D^TaCq+c> zI17dm_Zy=m1-`J35RNyMcUUMm`?2i<^HF8q;n!*43 zx2-~rkOuRN>ru&%no1g_&~={T*mxaM-u5%CKb6Wz587rTty|!-5L5W6FQtbuOvd3K zc^8PDH77Qkk&`ouPPzCh|Evb8oR|wG>gMT6l;UtNv1?@LV&sOtSvD<1D%NTh$@BC* z>{O+VXiUHwkZghK9iAn;;gTB$vOzba3PP>I8k%RM3xvcPTFO=QEKRXPnCI~}ib0*k z*xJve^A^*)y4`YFb$=_mMLrR9jjnZlePp~53Xmi`D($~YFV`vLa1tSP-y2@6AN}zu zgM$pyWr_K)%KKEVB$CBbi_4=-&NIN3uCH_Oetz$c2L+kjke@u}fYx?EOEk^2PEqKumH-#ZWJ$Mp2O$O&KV11Gi^_@28s z-R3M&vKIF(N@9-pd8_t}w8|5HRPgRutIDTGS8OYMu1sm&qbiq`tm+v+bgVJ2 zG18JxZ(1Yr*I;jI{(M(wSL%gaSbeS0M;c%kwicpcuu~D#0u5*Mw4r1`ke7Ev{8js{ zd=9Ufp?ES#y+!0)v!rTa>CAE=9ET`t=&h)tNS!E7U6a{wT2A#W>C{W>3lYOznC{rf zypu*b7oTC>j82(IGw4oRzIZ=L=ev`}pn4Pav*Ml9Tne&8`rkjk7L4Bw?OBvS*$BN$ zhKm_dQLA%{8RRor9%lV}&Bp`g8Yk{lcliSRm8GGcf|?h&Ln*3V_@4X;k}(`QH+)5M zO<*8)Iw)q+yC?YpUX85AA_i2bFO&BtplG-wA&! zz6;k5YH&i|;u5a#*hPuK@V7HH()*3JV{!8*>V+f8BE1rlD#MkfBWO7HbJn1}xSVjh zl!O^{e0=5a=!UjeT{32D%*<&cJ){Nwt51-^2+TCt{FL6V~6vz|Qs>A9?FxoG~4 zw#r7d$-`RU!d{CI=AvZ5FjMI{r>XokX^s4(vBdy{+IUq3chcC?t#RRFTO<3=-fEN8 z9d+cC4R9Z~Ud&j4LZCoune(dVV_V8=Xv6mWla#&oE+I%zY8i!2qGHJr*J3U*$)Ilx z(}5}BIPLesed_URZI^D(&=(rn0MCLYwM=S|Q46n4Go!__|FX)nVQx)jIQo?nGL+?_ zr5sdN^vK(H`d($nWq*DFs7dOb_t)C6F{XO;b*e6w_T`0z1+Mo!IGQd}XljVE7(=t7 z9e!@qw8705;ye>b9oEmXOTO~IYi&Q(bLZRR5fSB=EZ--y@%1}Qc{aWaK56osGcoR1 zSutj-YW5UB^a7ctzz2X};Jcc%iU)c<>gxJ(OPcirP%mv@;DwtE=7C1EJy2JUBN7Vq zfB}S@|5zCtJ+*smw-uBn9G|LOy4_?%E=s1)3ET4No^3XR!pu#3aR*(!;=VFK_pQH< zSmRmY!t$?j&u!Mw@jNopJ~JnLqfJVHosG>x-ICLD|9y?{1OjylLOv)c?mIG)0hR!7%q=AIdZbqC^N?zD6ld1ot~ZL}%s(nm zGS9~@!|vE&kOguQNj)*$FwjtqIC^IPQ28S4{Qm{M*B?=Ub~% zD>I~6C%^FCvflw`fv0|i?ULs~i~07;e7p7uzRtI<@0v9K&H z=JF6M-K5RkbmVa1?Ye(2+o8PHL}XK{9_>N))kBb5>riPTOY`}A;ii6vM+silrVf8& zrf!G!oI{yG$4-8eUYd9Zeba;N+7GMc%jo>3iq9P%3oP!JSiU#vnJ%MWg@5>8)6+sM z;U2B2oWn_Q_ch?)CO!{mmnTQC~+7VOgXBOPt0tQIw8 z$N3qOe?@9Kgr5VEv$K9WhB%^H(%E8tz!T&mU(e24(ER1gC057$4!{3@$E%U@Bs>+K z4KOk*i}zk@_XJbPa*F);R3qbqzYSI>a1C8&KOhxbb&{s<1j`*1FQ&+ilQ?Ky2BXD@ zJ?_?;jcQB*4_gBk-s8CrvDFIEmV&Cf#z5VjDfTn|%WE z!HmZN3(gvL(MDVY-1XnHWstv8Yjez<`edQ{nFVnNr20D!{Ic$t0rr+L) zc)*ZG<$9&4qrm}d3CS-erb!)l$p))BR1MWUGN#SJg|(#os+Bpf!vu7oWj4#T_y+#jBhmz|nyFGoM~iJmuror(oGyJ0ws)bo zuD$)fjg4QkUGRvMhRdgkGA_Mwc>;z%EO?$>d0=h0rghZACObsdxri;=@OBkddP7xp zYEHKg#htpxxu^WAhvX*Up_ak4+qEEMe}a!3{W_Y-9_6HpS$wtkJV{!qTGz?5b!gMy zk<`i`kwvy=q*i~PXc%p~1ati?bt|Y`2pUnJ=|bfWn`5lZl>_T4*nY!FxGXI`$wODq zC@(wB{Inm=$+)X>(*hN}CsRaTo$J8X$Kr+AZ}z@^o|8c5F(Q~}H9VoBG%DShh6sGNtogq~=uTqZ+pf$s4i# z0_v2f8Scp`FrROD;>TOhvXO~Qm}U2a!#Yg_vaj6qH_#ky`G*jc-SP@f!beu1g)!o! zCmxLTolm@^Cze5#f_}miYX7IHZ-Hm}js8zWqPZnujO0>Dg=*8>s})7MyCwJf%4M#@ zOeps@w=Tk5R?-EDa%UJKnRP*~v#F`Y%&@WH_gUZX@Av<|UVFXvygr}jJm);;oacPb z`#hgLnnYz>%_tJnbKfyxk4JdDmpv---2?9AedC2V)q6?J5A=>yc!m3X zlX=`@Nnwo!ZIwIIcU>`7FNamkQ)*r{v zQYZJJ0)7%X$~a#i_)rA(yLPz}XUVr=H6&h?d>ylZf ze`e@hCK#pui!ELJ(GauIB1#^L77lh!0w2g04sQ#B+yCWr5d>F432y-m(}a8k8KPuG zA)o6r#E^GFJ`Cu56Oo>)Onv}@AN)%lDuKT$B!W?ioPELxxiN^Gjj8pYo!2<;wldoU z=+j#dY>4%!hwGg2^Q<%T@>o=FK9tg}Z@KUE@*4tKbN2U}Hoj+JiwtBJ8>ORX!baDN zl9OW8l;aP3y>_ft{Pi)cad;nKmO zJGcL^r7im|#G28FA;*QXu#nVx-*m>u0i~sNb*qo&mT!~P#wTML#E{flvTTF?Iwnt) zoGYB+1R#EpkR+By>gM`A!aL%^GC|wzPV~(eca#<$x z@WWjSg{BKAouCG`ph1*e+liX{!@gYvv)SNOgW!A*ICmaZ+Qqpffp<$~PrEc%nk$@6 z@RB(mwYIWT0za9~_QK$N<2^F|xFfnbCx9zUsKfzp zF{EIIURQk9d_vltTBz~818X*cwsXoZt7YY(;MOy5(~m&_wG{}>%{@Lp_DyK+R_Od# zx3Dp)hUyox<^ZxM{dE=v34FJ(5qV#f{I7S8#QBa3`vPydf&Sjf6(v7%7p!{-@b&=L z;vavxu1k;k$!>H(?*p#ToAUkju=vV41edF96my+!3u-kzQX#Qsf)lfy6I;l{GML^(I>$ZGAXLm%&uRP!o_H5%HS{%BF9}PHy(qX(GfAwPG zKnmlm1Rk*H!l-}CMy|zgQuSyY1L6sfi8X7>I&KH&hEgMOT(0Z~{aL=31b$<28JM=e z8p=$C{)^Aid`+|02(SA#$~^~MVhR@^jg<{X*(J9Uelg6w(?V+zyw9E*7nscHK`zSY zJ=yo^vRijZ^)7M0YqI>|iGF!KA*YFB z3ILtqh~vD}A{nikaid3Vi+w`Dy_gXkFZ`mozi}ThfSGMkkVm+=V8NaZZ|c@p^I>-A z9!p;v@_Y!YCfx9xYztA$3B+3NR9gv+wup3G>v2$}e>^ z(i`3(O~$0`tYEmTiAwEGiQrZj6Y@B9*J}f++ya`!xnD)^>9^Pn5RB2m1>bQAEFJ$U6Cx}yX6`e@&ncnz3zaAZ|mkmJL|m~ z4u$l5UC`!~>NM^UygLjkDY3}C+R1`OdzgOn*@bX(w_%@k&foqqTLn3$#HfEjU$?FH zhxI>T^`ZV8obFu#4g9gf8ug$J>;hAxtzDKIw;Mw4li3rsdPxI;3DC)<#Jb9E#!OOF zizhwgxXD6HcZU7^jn6SqyVmwQOBdN;cMVG5B#)2K$#aQs;j)FJ!{>KqvRo6IHM_Nh-joo1@+2U^Xu;l|6U3Gg)xT;>qv)3GXH6MIa z`Iq}fxzAW-spyi5RrBS%LE~UfRAH+Bn)I~WbGgoD>J7oQV@sCiPajxoKxUASNMZB{ z_WBM}yMFFsviz$LF4;Ao-9g%OKU{6R-spEdAtx8BXIg9g2D$9zJShACVJcIBkFSY0`1cS}qAm2-?q(o?ez3 z>%AiPLDF^g$B*#$p`&=PYtq7oLaSO;Wk{%T{~8#H@JOHDm!{5D4_Z8){!Q;9E_=yO z7txeNV&+0uoxzXpX|2B1^P_;*HvOnYhHma%aV0@=GPN$Hw-FQ>$(|i=gF?#_2**nD z-7ExE%CIOUXw+*OxW_ZKWNk(jgq_%iH9!d1$}lH!Y{P*1<@$=zk`tIkZwUm;0!Bs% z+LZayX>Am*MeCP+neeMuYalTbZ4{RvQVTjGlkkN|X;Ea{Op;%rJO_ghSitylu~w(B zKNARR`}!#c+O!!u2)nZ+Kk$@5)iBst6|5$P9X|N`(xmg^1KrgAYc<=u5qj3d4*i%w zOh``TuG9+Vu4Cr3J2c;9vbWqds%kOCVVh9kbfOjH@yQ|j!!{#1vU`3-@V zzyFFA-fjkA`$ZAXn(NuhuqP7OsD1qoxj%LLkwKv%F;Z-f;b2%;&|<*Xu*FYy-@;~> z35K8SgPK#yEBKoZVdR%S2-3mL+rh4vkl0>h^R}w;`vjQ;0-s2!1qgK$2=x8ehaTL* zc%&o{KDJQ;+bBl>bD2VcBeP)pz6eNG5(tO{;@FRQt%^$3mrz8bMa1D2y{JEp#5T(F z^L7=_BgYOcEB;_yVJU$@Sdf+3#r*ur0=gD9dhe+5^2wF7J_D=sr7J1*)MSpr z@u}xHTt)g&(i^IYjGqVSPD(Yal%b#zG-`l-e+QIPyT8}a;lM2-XLr%KV&j;6jW_*>bSmvFwpBWt}ZXWQWc7 ziZwhsj#k@xJon0`)4EkFhg{uZ0{{h?P{vfVPatqTg zXi-4|fi7$e)9zFnc%8HBDQRGu6a(?(24 zHbPFPrrF4?En#ws(^!|vw&l$GEJ2B zw2ampRG6x-O0VWaNAJygx-7wv?`Jm{RGi=Hhw}c8?5ZXT^fTvh^VV4!3qC`vQuqi{ z=Y5H}tuW)0_mO}@2IQ?-sxcWhB+7T{3`9?Na{SPB*lI{$!VC2ph5#+$K^8XKvjjOn!C#Jdcn=vIx^z43%riD5F#dZ>+X$R zCIVzW-vQqcuqKbJ(W_wI^rgS6_}D?|0^&;nUdyvJAWa_mRRY_^$*+6m7Ln^|m(Sf8 zF1%4q{U^i)Ir|UpN)Z@*tV$oCs{T}~F5wor{b$NVJfk@D^@@7+!5as7ltie9Hh>lL z8Sh=euA8wKP~M7qZ_YhJ*wY5;ihTLX=WayPL3cl#k7d$$8wD?}ULkG}D~@nhp?RsB zw8;&f!)*C66IzHp}u~vwrSBHPR z5w45QyQvW5)fi~0KK!$3tIIiX`MoAb`rI=3Ug8$G_i4rBUziQ9ahxt*u3!c-U&uIL z*!($YGME^ zCr@4mFCLqjKRMHai_@a%$A7#E=#iEjBfcE-w%;gS0&uv9J6aQn&sC)mf1i}b&B#^} zAGDnXnR}RIiiP&xRzfTiT<1T2l=CnDgCVgCUsUk5`X}Q}n3ahpOgL%wPF?hNWP5Af zH&_;Zq?5uYl8a$b6IGKp@ENIx4WG73lmIPF zaTTFkWlWI+ORd%PCiP9JN zav{2!0GJic12PfF47dk*`A6AMTY|t}q#((YI2$|vO0!kH+pLk;6|yJe=8blvYVE5c z7|X0}#~UD3_Um$j3Pizk&vRHEg4*!Fd6^c);93jww6{y>JPkWu7DLa{92h)bwl1&ZaqK`c{hLfX7abblYoRj~U1dH4+il~%mF8d9LqoKR;r;G#s(4-H*dzR~Az+*T zpFnFcKdki~X?9z{iAW$~r-5k5vclm`J+6c9MbPSSXkxYW$R+Xt+xqcNENQ z3JMY%;f`+S;({Pr(5)e`*XD@{ZEZ zu1p+QdCVn{qJ>}&(9llafYqY%QNuoe&PtW8Y~hgrkk>dUH?XVO@?Y=c!PmacxLwSe zs2I4)JNs}imT_W$lfoH`!u|_<9RV4lD>fgG_zG`q!-NhTLy>d+@sV3*`UKy$I4qXv??GmiIbjvoraOvWUF2A zDAfSq`4PeE2?w{T06*!gIgHuylEZb(Zu#XYqP3>H! z*J*2TinjGm?4YO&F7A2E_As?>1Uel3*S*mPheQ&g6G_cGXDN2`Ml6J!?tcTyjphU8 z3z0;=47!5SCCdNN6j+t338{~fLuQ*I{MiKlouyc+OyCioC<_8y6ePYzA081~OD4O# zCSJj5As%UBS+dKR9F#p!tg|w6mVN%SL9YlM@fo$274*Q~LaM2k15yUBv#2lSeR#YsglX9LGVG z_;!NjW2D2AWI68Su&`%v$f6CEXIjHjbsSkwsp~%EQHS`*=nb%N4trBv?s0tCpTuq{ zW|W0tp*v%w!Q(doo^STP`S~Pf9CvLfETOg4X#vPzNRe14#!NTyNl0+cQx363b**zp zcLSD%6R3WEyfB+w*C@w;?T-34ho#3G z7()8K;7W&ijB8yr2LhYo{N&Ddv|sF5Xc(X5Z2$JlGEwF>8QXwxC=83|gCeibeJgHt(l&1ZFO5H& zNnoxM8v+L89~IqEGX)H~_U{Uz1K-wd&w4STI_P_>OG9gMn8hetm-x-$i|AmN9>M8~ z*&XY>a56Crd$1VedJ8%dUf$K6=uS^<{%~}T?q#gPSAQhFbK&|amkju}KJEilKF9m( z5TN;V&zJ+t{zxwA)`=#vlKau?$SJUH{ZA*qHdw78OX7^DuPOEy5O-mPsKm}4zP((L za}W0xLUrFSERkSUmuW?l4t^)jKAjx9=G)S}-Mwp*VW^@#cY@TND&Imp&TWM4yn`Etc((3#a_z=Zscp zr^+oU+aVm6llg;)@>?@@kZ99Gbgp-D?!*;#vjW5#4ZME$#VTs}U~T7-in*Ux7%U$b zCX4rD3dg$d-V)BPmGhD`HThI{U1oi2gK2W%3v)|s8Gl;>4*4fkvu^enNpigI8&HTm z3yuLBB3Smuf20?zAX>G3Lk8HRN%{_hC9qY4&}wApp57G$QXvjVv|w*Lg+!blqzR%% zsl~Zk8ti{@Hx>)>sdSs6F}0jFldxgZx8GHtozjeNjFml zpx`JWqakN#)OH@7`()9~Z9h2X#U4y3It6O-rHvAOz8|uwT1y%pB<+_5pfI6;p%+r1 zPG&+~cvSGW!P@Jo)#B?;Q)SoX&7*7qG62_h>M^?Sy`yA3=ypFNJCRYPplT|(QXR3_~Q?z;) zF<;BVQzT+te9!hRHUJ5wg$Yb-A?~U-r?~ zA&Uvmsbp56Z_K?=8_UP6W_D!P|CcA$1opMfCSnRu2amQsf5zcTq)3>~y||_$MTh+_ zESR}`7_TG}qUP5o=gmZJga?rrPJc0rok`oE0o zMig&AhFcu;>*!&o2+38;w@OpOimCTha@e5rftL30dvwV4t1Y`g9U*WsHI;+e;eNOE zFPH_#$VRT9%{k0#)#_t=AC`Cb421w`&wmL7bOZzj-dgc|D3Y1ffBi$MYdJ7+|gZlt|Ue0erxf*nxvAX zZC2$236I7lN z4pB4FjjFY_s=R(@!$R)QHI=z7g6&16=TDuvdfhR*RKB!L%|7*|=7V3Sr_7rp8;7j* zrNwoLqBYrN8^;g(rqp7FOipx~!3653I?b?q5B%)0A2M>jwYyk7f74yFQ=Ky}Syh)? zUkl!zukhaM)A(=#>Y}{a=5 zNp$8V^XuEivZHY~gZDnYVN)69R=9CDfcJ)P w62^nrI=M#Y9v`n8t@Mpu|9ww-^QCLSrCWa;f3_A1x;|BKZx#4+oQ;kD0jH&saR2}S literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..eb66445e3045e8b3e9d468ef071caeebd3cbb8d7 GIT binary patch literal 4993 zcmZ9QcT^KRzkrMEjRLZvERj`8*+n)2vSfpTP)6B8W$#g@mI8w8Asc+zWva-O0HTNrZRV!s6cfDuNC65GT?Mr}R7H9DNxhx}nc-z6C#n0foM?8?SgT;^DTf=N@ zY@P*|;U`yGN0(|rh)E@(2~Yk-Yn#wXES7dV@BQRon@{1-uYU5}QOL%LrdwU{g#WoF ztv5*g!pVDu9+5u~Ix{2U{Mj-SrGKM2MH+;_{SkW`h7{UgrTuh`x$=*{cxL%5v}1m! z1@+q@bl?9%#-zZF%SGvgo+$&LDtooGq4PJ?dO4haWAKX0=Yp`PJv<~0ciGy16V~#_ zsYqes`k2(J0~)$`aDB;9D7Lc%LD&D-qh-GN(YU@KdBNzBP`gyd=1sDn!zW*~U|rjI z^T_>p*#851j9?ypx_~=)cWo9)P_F46>ED%-yL6}hSTH5hQ>>q>?BqZ_boY$BL*?@3 z$(apBqNV3hkF$IXAKO7Qy4VI`m4Bl2T50=4Q$6%0m19oy>Bqfu|3VZUXelmn@ekKY zfX=iyKJw*wvyH*Uj8E~;I>Gh#{|$8Ea(Oxz&VQp)zHudpi?U#c1V6bPXms!BwcXOo zA^&@wGNDwskWR|Zbn(WL(*ZXj9iG#qyKp56TC;yWc&e>uJFiiSAOH<0^4# zY4^pyZQZ5Cgh52lO^C~Cm5eWFeTr-9&|efaXgw4*f|*BWR#L({CJ&hKH?gU_h;DA`quy*rudIwGYfM;^$prL zv!cf5gt4sh&9h6RZ+G$1?aFKhd;CTctHi(J=9(JT7BronnkLOvPufpPbp32qZZXB< z@JvAnu~z2hk{5Gv4{cxItgph5zr*SF-$-}E+x2CB0h9vwu{-B;X!dCC+&=*wh*TGm?1Z&)F@Q4 zpW(xI@RGHiG^2Qq+`*CxTk8=ADeDIfuTq>Gt$VEUN>oPDUyiP7W7w^R$}-jhHL$Et znJ6dT6rUs+X&|E?tnfXe4otB6(Y( z(MhWTtz0TclhYw|9cc9<2^}~1w!e~goO#O1#P*B@)yQ*gg$&8kk`@ z_rQ(4+{M{NRm0#ZTD33WDQdMm3Z!cDj_M8Qi=&_TbAy()%!KsznJNN*jMVZe6ye6v z`jKY0mM|FCxeu)?MlwtG!<#X1$m<5%M~VMaJO| zEVc>**QhHhT3@tHUX-UwiHcM4Is$9ybCL`U_WQ!M1M5$0EUp*v zVfI0%Ndi4g=sP)>@t7#{P3RrnUS)zZyF!4m_*)0N5s1oW8eeJr1519gkoVh<=ENn0 z?IdWNE(g3KfkA0TO00Ixk@$xtgPVpy5%oSSwCR&_k0Is^kkfqz2#-w+`mTp8YVmbP zZXew|p?zw5R=i$-8INf$`unh=TaD^=A1M2 zzTz}C+Y(Esqwn_)Kwe|vWdWIW?>6s-HU@f&)D6)p0u4C3Vm4l!5GJ1o zCydd1++5n<%s7|Gvta5FY!yq8I&WCDt|yUDfkOqgR~(?EL&wv_=1(8Xw>!@;?TOzF ziFOsMcKV9wmZ)PWJ}qw;oD;c54ckMcte`d=J0&MHAEUL=DblXItf**EZTFC-ST4r(_}_pEYZMH5wqW@ zv@1LQ4vY0T+lcv<{Ua7bIY_1vcafRaeW`Bxv^7nvi-3lOlObA-gB+bXKa!KM1L#ryYwuWNez9x#2(B`*kp?6FECm~b54nL6`pFn z&Y;B$4cMN;c->cxhT5&#U0Kyp1X(k4j9^&%8AZf7D+sWu(*?+^eMksv2UAC!Uq}Ns z2iF0uPU#zp@%A|k27bHyWZiXy^zjT@GVv!!Ev0yOlDE}B%pOm@WeZ1a=C$=yPzJYx z?hG-ekM5X-^hi3e0YfAn|EUe2`jaCduI*8Dg&Rw1&Zj`K=r*NfHs39}dm?er#@{rJ z666FQNMOYF=AOOZS#t%Xg{qY|`RnV|i6L8W&;-KC=MEnJgxQ|jRP1c!70T%^Y3xL` zZ3wm+>BTMe9OqzC?SI2n)}Q!52Q>yV+q>Z2Rj~YR#V7ACwvjhlSinK{54x)i zMt;u*&qzb3B+z<<)XJ=Aak}^+Gjm2AC`XTJFrocr;u_>pw~X?yZ(kD3;&yXN9emta z^1!?|n;#PXynnLc&9Wz>P7ELO*uPaj5A3}@JtI1?Gjl#C!Acw`Bsorrev8|r@G^LyyecVu9? zDHx%ZLCN6%`N)~)0LZKcYQ^vkmi?fFh4$p+KfAT`vrplCq(vL*6L@yHu(p1Q*jQu&?3!P>i(v$sm1I(yOp{Y@#^WWyPZ#|7?Ne%w zpITi$33S8kkA&17SD>1wkaWGrg)I*YXjK@-`_&Y4&J&VS(!byjd>b<-`|M*SwoF)I6(a=qAOJi=78C2RJ=5@pt|eq zvI;35RVV6lZz!V+*=6PU!+fz^c7swL(tlVul2QUQwU##D8edt}5uUpX1RtHf;~bjq z@ZNIMnD;F;DW?SQdkffLR&DOy^(@ez!8jeoQ|eCbvHo>Jrdo>SPK;Jh9v3%=`LsJ9 z`o8l}C^dh&Gh-}`6STy?+Y9=puK;h!$Mik?*j@X1{_9tSXt1I@^vlKWz*|(ObM)fX z?;Y&sRl_W_E8oOLJ&b$a=jbhWVM|_4M8=+qU!|+#H zt!+u(p%=0g?gPt)!d7LN;I81Nq6kk(oG5lBf~I!GFt*AuF~g^(GSTQv5e@xPzf^Y`%< zBICB{cH3mn>(OMHW}r5ib%VXJP7PtrESl+LQ1GB=de-(Zty{-PkeXukfw}j}eRILZ zWuce_%Tk2*mc-@W@{mW+$Ay?PLUbcdzt&(y0|B82vHkN}HH` zpAc;V)Z6C*$|Su-k`lt~lrYeS@YwF=5k3LVvg~uL>OD$>2%?t#OOiWGl3PuZyGN3n zN0R%4Bv&CLb=20a`1_mgGJY8*_f9MMa|~M4TjH6q_SkG6ayF%j(#B$Tn9+XvILqqN z&NJol?4n>u=6MvYg!!TECb8lWduLqMUT9|4kGQPf&`g$vxU4+eXe+n#Z-r|@&yl+J z_Z%)#fRpJ&Sv7q9lKd)V0=j4W7Xw_(CM0g}zQII|SwhX-grb@Z;dQb9BwT!l^cft( z+9UbxvDZ@(melo&SJ&ikVaymGgWSgYON=^d{s9!R6e-)`~bI)P^b{}a(S!I0vC((a{n@dB? zkK6KiIg1zHS#(wu#M(<5_J~t_SYiG$g-8f;mDSLZVqY`5#rzhlbV5|!fN4&E=rayO z=)0(ABFpA*a8w1ABTd?Pt!PY^>Tnc`DjY0v+e4Jrr3=947yfE3&^QxW(mO8y0EqM5 z>%)h9!m>8k(S0z~cdsMcn9?+C9c!k0P0h)jBCh{Jf1#`@dv$hDNllvZ9ETNf8 zQJFP;?h=}blI1G63RN+Q-i2n8a0y(fXKKW(yast^eSw@J z`GD5~P%R&{llu?OJi)%rgMDp!jk%Uv(Ij&iP#CQ}G8hTkIaoB@*e;v`=kFX$q=mcO z9X%mE9dddJYi&=;Bg20x&{nY3p%-#toDfoXR_3#$bz$U@1g2ESHB#JVr5pIJ_B@7+ zI^F@tU9B2TqK?5Qn{lfW^2`NkRnip_ymePt2Qk>SqtYfv5pJuhVSgY_|1!?;q(bu#9z|Bnn!96>Bz#TteI;ou#Q~4RpzmIO_ z3~25Y-m#oqV6c^Z2Dm9m4zTG1P&ObZ6M!335LilNB)Qfxp}49IqSd}ryVtx4$U;Iw z03PV#(8dO7XCT879AU#FsD%mdwEay%*rF}*H`$tJfPE6VgyFoY6 zKZlQERi7rS=2W8+o-zAGq)-^dd{<^=kmONL05h&X30EqxH}i9MS$nN}t#zMu*4Z=gpFDX|f{pUT1Q=Yvxz4o`}o{&)N?^xx3~ zW#1R08LU}z-%p=S@HX^R?)#E{9H9jN7m-F0;+HSt7_gY>Z`oc@Z=k=&lwne%W2BM% z!OIi{KOLiwlkk6%5g7NLNUq_*VR%2e>b%u^bk=30#nQrlYGJuS{d|eX#&=oIrjE%F z_`Ca5Gw0Ki_RY0~8k`(nf>#{-5k8V}!L;K7%3L0ub#qpn;^NorHl?|IkkZy>h{z;L z!7^ac8!Ve6^FcRGE%B==PcUBYe6^}IXz&-BsR%Pnk&)*s_4%Hf(-X)CN|^G;7qw9z{mRUW5Z zu4;TDz7-~ZYxa>XF#$kd}=#CnoxM*2`G0*4mMBZM%!*R5amp7 zGk}4cg_hFNO2^F>z!D9rR%ugh>uy8GP7ltmq*Q~cxrOI^s<~M@fV1S_>b7d7oc$1v z9B3k8-qL5ZGgmRoa!xag#>;+DVX_sv6+fKSYHm?F^W31%_G-uHoX{&`AbQ_nUEsaL zgcw`D&=SwX1TVy@=&moLDw$^~>(;paFcoxFRq9}zHDBGF+A)`R%idaSyHko>w|?6z z%ftN_Ay}c^2*0DfN1FGG#W*G(pXy_~>)}0l5lRwnnibEf=+sYa@1%p<+qO6>ik)ci z1yv_lHaH;$;UJQD88vs@;S0Kaidw6D4wbUe7C_uwH4DM=`{^J2$^dkk0w~WJ^zHcZ%RQ(J^;tAX(bP zN=%@chna_yB{>N}4OMqywL>;;X16v)Nl#)oFjnZ-cPu||b|*ICBjpvE73Mq^cV8|R z#aq}2e7Hm@_>@x^Uzkv+do8ayXpUM-FCyo`*@ZeRHKV|xQA1BA@rEtu%*4fRU%})1 zkx4KH`RO0R3k`@W|;P%IHCsPq8mrzEPYDQojD(?g`%fCo`#V+~tDddhW zq_0Bwj$>7_Gw>@07Vc^6(RcJ<52?pbnD{R#wi#Am!?AY!F!2eg=tSOI{W5m#3|x@P zmeM)sCnJ9iV65psx_+gQsiqJ-og1nIm}SnEqzh&M#xxI`(=q*jV-Z@d{is3iF&2Gj zEz1~PT^VBqes)IlV#h~=y8SGBHZ?65aHk#ysv{~IJu73^h_XelvSS9S-E_H-(ee3o z54qMnNp1ZYF{-chux1*$fAtcl%V~N$cKuhDRINuw&v?a7Bzi@}pPf9VKO8MJPX@-V8jg+B{~q`@2@U%c2#nm(|S`R8UX_Vrlw(}V}Zx0>$4FF#B5 znz**s+2(h=MV#eEPVEIY4gL}Fei@cGqT4Kr=(l6TIiaCX7p{CqHBj<#Ag<61HV{@K zXO#VoYAWU%3DZ>!P{>bcdo};)^-}BV_D7W!*8F_WWD{z0J@f9mZX*MEKy>`W%Ba|% zuZwuDic!Ov&C7+Yl!l(V^#co)UiOCgy%URSgm4+gHrXf=OQc=Dr|p%7ib*%sk9SMaT!*8l_U_?>Np=Y5 zkc}F>e^bL2Qy+ZK_Y>TM6*!|_Y(Iva!vn9e(hcTfK(Lxd(}K7ZV=rMTnKQgO`QhNZ zs8e?kJWwc=ym71exxP*`ZaQNSwqJaggNMfI`H8L|lwx#PKAfe3v4W%`;pj4^Pp?q_ z+d-S30sW74w&c6_THoeMM%<<{E|$9X-p|3G?Fookoi{J?7<^DVH#4IW`h+;}7@=P_ z3)jI=|M%X8N$uY=@91XtoBIX5XD4IW|cmZkDrY*q2UB{U+N(!?k=;pvB3d_U{7E4()`d zjEXUP@L2VU;!yGi63zxXIrKHq9J&z6EljH8r>WdqQ%R6wsH zfd=Vw-mXQ*!Ci|(WvppK?(vQ#hSZuZ(A6W~NQ8P~oKi5XySWj00=v_zIH9r0l-!9e zc?p&eXMA@~N&VT~COT$REVD4l?_%}&I#w-lqJ9Z+e|U9*dN4qFyoX0YrNtW+ep(gOdM+ci8XbBTVAVhQ9*PPr4K(HomX?}aWu@QV z_y|nnE4^d5zBumNtLwwxdx8$#g~h*_hR@r?o~c`AO1i zyYfq#y7;ckXWBtp_O2y4dNC(A#6>Ju^;zq?%VBKb_2Yrge=X60=J!iYn(;nor<634 zQ_4$fIxI|^y)9ll7p%Y6Sm-^&JpTgqD74bZFD>6;=IU1))MUpqb5iOilH>0JC~B() z)l-C{X=6dp=+CDl2PReJFom~*LzI(~O@X{flmzJz^5P|G!h!K>oSVXYNzYwN zL*@BhL1)W4?@6U$$3)??dX_$mjR#vRjaRcZ|YUbDPnqq_lUo+A zoO}!h zW_0J+U3M`mT2TMm^XFa2e_l+Q%iRn=E|pcZ;{y0hz9mRDDKM*+O<^~EU3K$ij-13M zcsU=u>elqXC9>aE`5TPh{ShEF5zm=!vUydxJJGjmf@%M?=Z9&%64Ao$^RcPloC`*! ziE3xVXfrB_cwIz()z&#E00}o6K!OyID8=ov6L^%UQ0^s z5Y@GGIX3Ay@;KcbaxRpT0HSUt^-AY;%l3~h)mqe}PzGsS&)x+!Jrj7F(`ri?JTLV{ zAIvkS$AMGFfCebg>~c(D2Q-$v2%g`2G!bK3-@*Y*qz*fJ&n@F!S%*5Ye7Hqk;M7GN z*BL*z&usyd2G2u)IM}gv;o{#hWsi^AUnsv=cpiW4OxDHLrJ_Q*u$zRKiXyXjxjXAj zf3)IDW>0?Z7X29SS5zKT(8+`Q%UWxwo??#vjE>1x)xn4Qj@;)juV>G`5dKdQz&K$n zd)FbWy+R<^k1iX&44xNz1ZdppC@cZEEKG}2C-oSE*iOfr_{T)qlG&#|O3ZLBym?Fu zpycB6CNJ+Xn;6^++}GGdk6`XP9aHE4jYxvv`M;0y?56dGk1pF$2G1iOC$<3v*uWyn zpw;svKy$4Hnf=70sc0ZLtbpWQ>?;58vhj=|fCFH30#|xXA|S`_zgnB&XJi3?Jjah6 zzLuNv*1l#CxZB<--JrwX7g^~ut!HDdr_UuUdmsv)AAdA9Xj&in63A9Az{p%+;kgc( zJ>?_h*e*v(Ho({-Rq%Z6qvRP*-OfF1iv98YFOUzuAS%3?!z>W4lt`~7GC_fSd53>u2^C;=hxo}SdNZ@{0-{85u3mR1rQBHeb zn1U>TwOmv2h$*LWaj0)P{d?;;AAPkGb$h}s@TRl>_O0z`*lGeoyrrtUo<6hYkBR`G zFhd$rQ8%+Rh24de?_eu&$c+5|5edMl1mM)FlG#Valht)u z^hgQeZdMsdoM`No-q$)RMWzw?vK0rL`RL}fW<8eX;%rBs@A2G~C0&D)m;I5p#Smh- zu^LT}rDjGW#Z=IH^_%HQ?FHsy!^DnytFprH3rS-VK}?E47#W@X_QVI4v-WTKtzH(G z6*#_t@5#_pR@=jaB#5ajDofBCrd*1SUJbgX7zd^Q`Kxs{Y@~%^tS66a&6Ho>ppRC% zlL{loD;ZoABR()=et})opy?6!v1z)jb5$nakuo+?XXbZFr#b69DvTJW`V~undp0D9 zqfyi2=ST1EvQ7d(hz$_Z87%3HWu1T7hSkRYHi#wJw!2f!o;bJCR^>_)()gU1{bMBo zwy|^35zZk0a9QUijYfO9DTPL8kjx^p$Y7zo4?u~kYj!tJurvmG|K8#oyFsJ@#u6Z;y5}Bx5>wd@lQ|&7j z0~dg^!=A+Gji zhF+>0$cbYo#qai0x!Wz$wx#eXb;k_olLUNwjaU<9IB=w=u%vTnx2Rn640i|B4GNX< z&A`v+EWQq&#PC5ecSj6r36ifzvH)BEMh(Klu%n$y71q)QVhbqV+I)~>i-e&)(y%_vd!+y zvL|LYnRWYDv_dI*ph>qL$&V3qgZ*%M43~!lyP{DM?t}o$U6+ z_Z^j@``W7M{>K3<=&ms3h2VwqcP19A19pXAuB#*xdNM$kCdB`6vOk;OLir&|Y$G)0Jj@^K;^n zkCo9_+D)4E0Uw2bmvw5&q1P?9w{O3-ss$cAKyo|N-kX}& zVYTX0d&dLS0sevc_Bq=(frXl?!`2S&{G*2?tU;-u=>_IX`$m>PEDRpvgg~sKM%;e) zK0R`cOD=U&+-J9z3zC1_o3w$?0Xd!eK!~*PrE4m=--Hnsl~bylsxM5|F0$rfO(*CCm2nX(gLTw-7MO2~x|wbJH9S7PS8Cy%@9%7p z$^R~`@X2H!PaG`9kudy&Cb?6?13Q>^V7RkOCjZ4uyG}}hBcEO&_By*fVInT@+B$K{ zVWd$hxo1>D`c%KLh?7_h1N&Q}%@*mdbJ4X0N70MloutWFc^Ns^{`x`Md(Mfj%{VSP2!;c3-xwmZn+)$Yg*BybUT^U@pJlN*MW0V!6Lj)GhkS&Mbei0_9rbck3*)+|id0XatXRmbw9 zhNe%Hnr6grc!+y5jJCJ@wy0$ zrTe6T+c58?ka2~C#_6`V9Xm=MBke}*aQv3QW0Ap+CW@OTZ_JCy+q1ReXQ4Gp*^nU#-;;2fazOkEmzgOe86|JGR8L=Cd`f4vH zvD>62E&D}yx=t9R#;3!*cGJkc78PL3abOC&RHL9`&;yqG{&! zUtXu1Df0qV?$ZOS-7f@)n2&P*o1E^{sHas7vg_11N-Ys2)=0u~4=N~k{cp)z8j3$7 z(J0$~S}iL@ZnI9z^=Rq=Z8h^@au@X4HSBXgv9idq^KNLz@6y8cm~BTiE?dR(uSceS zVx@2qw-U9T$`PcIVB-fDwDX3IytT~iG3!VKjI<7E{LW9MW~;!W3@$kGNW!Azl==Y@ zk0=7MUXDzGu=jX~-Hs^7V@;WhNprs(+71_#+b3bEcWLM_D55RT{!lkXauTOus+*Q@ z68l)%CT zY1cXs0?t#TO~z_Ys3@#Wj4je5#noP|-H3c!za2mZ%?WQJ(P!I`m&vW(T0@tw%Z%d} zrZRKOUBz`9vEgUFSbQ_M%2T6c$f58pp7oSShH{59S6+AQje0-dWh3IO^7A&fHKoN$ zsDrX6*WR9WI5zu&QYP}Zw#YGjywHru<7Dco&2-)_RMOVDsM}a`UvZ-*x@S<%#%h-3Eyfry8C_hT z)hbwqtwH?Yn-|Dr!&GejN;+Q#{~UXK~0n*GwW9Uflawbk^3Q6iBEA% zvCG>6a74K+!o}^w968ZsOqH>PAk0;Lq%X;rCXu4(F7=(y&()N`qyuari#7`b-gZ`3 z8w`t|1~QingBod_r7}Bv7XR#DYV==O8TzLl53;nP4gnhR_7yq9QMeolhSXTN93@2* zh{{c~F)f&Q(bm8bHf4wm+6## zvbyf7BM*Mb_uO3e3nq4^Iu6oz3$o z-O_08|6naL%ajyk$`8_MiR9>0weMiX;h~uJAiwCd_17MGZef~)#2q^Cyt9qd=fv)c zIM@=GWis7QZs5FoO-9_!;_eL2Xbxy)~>Yc&wD=+yyksA!{5X6 z$!4F?4i`^dYDhV*R+fF4PFP=iP1k-X_nkhifCWzu-fsX-`(D#pN&_e5FS~tJ5f=Kp z;p*M$T_w-lx3uOfFALJ~|KDX2C=Zf413GV|W2 z??BiN<7VzPY%nWAa4KM+J7R72#oY+|@$k!P#i3d$>J{r9jEzs}(W#MB_bhHC7C>T# zvbHGm=!cHxe*gCC8R;JJm-v8fg;1Y8lFLp6d{n`nRqsoM0k^ zvOqu`TNC&eZvXzx9~6B#@GDn|ML8Vro&MV1Gr$X^qMw%DT5_M5;jt}8sAU6kpXPXY zAxY_l@L*&zkhD7MkF1R17G{=LoWj%<`w>yuhjErvsRy`=n!El6u1^+8Ph&Iq&Jt&x zqZC@?ZQzGtA&scjl|h)Ip?Y@&I_16Nybov8DlwKTH?RR_EAOK=V@PU(P?L^<3N21m3|Eanwj zlCi6-^99;ilm2$@zc#5YFd>PUrLwZY>8%ph$F%53LflyzmRDtb(dD!d$y(S-%FGyN zvz>8abnhB5`j(nX_ehF27QgewQ0x`V+1wI3-y~TxdhIT~uc#s$6S<&zZZT|r&KGLQ z<#FuSFt$9n{fMvp{0#MjnE&hC zd82*;YKu7bGm9@VJBKb?(`t!%4qiU~4rX@3q{}b-9oFteTl3pi$-pxhhyBt^>x3^WKeO3fzzID7ie) zH#9&aN)(hOOdFLRdN)8dmVKa4&k!;lc6iRCA@Fgwt*BJQ>D2D%gnvVqV4ffPFa}Z; zL*?36U&yi9)G7aZolGVQ!s)X{rT$^mgQ0nqw__8e6vuXWfEG~?AhV8 z*Hi>8ZD4C%&~YZZ-%1Ea7~~pbME+cj7rGT6l9kF0X>7H;WlYKnRM>#VzUxLzQ#wk+ zs>A!DO1}*s&IH1wL5l{2;*HtTpx5~xds%)pvr+eX(y-4mp^&*u6zB#N>UF#QY^GUx zoiAK<>(v-qpU~QNcFZM$kABT676(pcRYk3Olf{Z`qy)6f;zDS|DfW)DFw3vfY^MYZw;f~b(J!FOuZi`q1Bt&tTg zuavux)?u}T>+K9er_&yEP&74o<2cL3#{g;I;3ajR@g>6Cpx1h0t4t8n_TubOcU;sF9foO05I6D4^H$w1@ zn2tXLJghWyV65J@Cn-(?hqH%LBMhLryIJ?-pkeDO>JlRw@lGETYsl`GsLRdE5WohE zO1yAYa9c$z%BL8*OS@)8HliDRm38=9E3PL>8Suljn>&WcX+*FOYArfzL52bkv+<@x z7)0CmJ4*~FebDZA(xc2FD~p>_clqnUzY=oGXu=vG{f;Ewc1%bC!@Jan+;MWi18i6p z)ZpyED)9iM_wd6`U3`JBqu>n;ea-5{rTgM2CtX)m%wgVQm=Kk-*V*8B$7a4bM31t~ zUuUbu2o+urLAP*eycK#mj3~-iSqdn-1ag$gTMF8j^9Adqp~CQ=M!C)LtVG)4_BG`k zdu#6Bkc&a@H@t8UEOlN9&OJ?dczZPptb#3uvVb;7qHxF6>`C!-_GB_qdVt+&H{?Ip9K?3c!>J z#{~Cx5!8_Le@p+lc|^Rn{p1pH0k)njZ9=hbbIrc{dX?ljDFik5-?~_VDhS;MK)k41 zx!YsULE{PT*`d@u%`>hN16s=BUgY;KsP~ENS1Dhv5EYF%+)v<6mjtzSfH~f)fVn=M$Z)F^*u7ouiUqwayMw7sh< zkN!qW5i8ug4(&#)3RyHje&}#8fO?*hiOeMN4I;c&wpCSprCYhRPNMcaJ%7i@+%kUb zUEzqLHjKCqPE$B=tlsf=)-&UR zpGAIO!=*!I5Ty7)%^?6|;FfO6jbfNub?)s- zzjD@k|G2vEjNkTNLoc~p8PdeOPBOt3jw7#0Lt7F~Wl0vzHR2e*nZIv`zV=&SL;}Re zGs4*bJc^*Q#ZAgCpE0J&D_5M*Kk*a?pVSr^PE&$J4QSwQqbu8Qh7o*%f?;zw#9JY0 zP4CtW5y-|=9!R61%V!fJyI?0f+v&4lY9%%T=)9$)^r(L{8!|qY)+>FzAMm+>(5YGp z9eQB{9~mOwYej=I25U8lc@;>5?29gu#Vo26md$hyP)#dAC%ovc)3H@~%F1US0f%ZPa8R(86*J2-ZKy9m+l2omXtrPyV z4iETt4#27Tdgp{*JqM#G8~^0wXrmByFgT`V)?8A>!jTu-Ex~8>ji@Q>FPb5%*paAo z?F$mfzqBe8sKKP1Y)ou|Ao*b!BP#UJ6Y>Li(0;>(WFC_?S{g&b?4CSmHU{C^v$|0n z1SrN<5L_X+b((IsgK*$We1iYxT)irEB#6t_9@s7k#FaMc@Y|_szf$4e{$}iRA2@)v zy5qFQbRbgb*F%n{>V6m)x5>mBpZIPwwls>Zl}xdTK-!Kb?ZH9Z&g1 z0e_IIR!b;vTCuFv66w=iD{rFW{>&I$J%ReIZWc>a_$6B8UVH;m=}`Ub%=DX$h%ri< zfuKbGNH_kTRmB7>!0qU5Bb#j=xL~jaUgH?|N~bRdKI1zR*nyCU%o8Q~{C(3(RwVaF z+6&6Cr4vPrc1eNz-Zh`rYR%}WfX02<)sR>Jd;HIBhTLw?Q^76PFD290X8*lj^h^q` zZW4FOL-4>@hba^F$SjZ2syKQZ=}kt3y&#QmZ=wgaEiw%{MaNr`W-Hj4r1nT4Yk8{Q zB=6&2;V-uR@u$rKEi<|Jo^tiJ`1W{gt}oNp3CADr1d^%%OtHdDwXt zwKaTucDi){;WCGgoJbs^%SkdPC_fcv-*brbv%JVA(Yj!6ryS-tKi)uwRT zP|(+fgtgZlZ=734dAH%igHdd>sS}o7%YB3j0m1pw%w1OGB7G~uQ}!y8TLYfJs{7-x z>)+s?Nt2l&;<48b#aRu-SB%gzwPbVfFg5+1xHoG^8cQ32h|lz~f#BJWfIlos_)?hM zx_gvJXC7pjKf(W`Z@5n=HY>-mwBWMmVwzk#V&j#rRmD6`x2p59qNbo83G6fGXX7$7 zW3Cqyx7{H%+$0~IrR{<_wspSe8BUV|?VuMS2xv?*OYsV<#V=1ti3lIGIo8SSS|db6 z|3WW1IgA}>rU;;#`B9Y+AxsbwTXB@x(^q;OCq2_Hwr+Tg6p~r-%P>RScX=GHi(ze? z0a5*2Oo>L$(c>VwvCRnHJ8*LRoVfX$c#mI34}(*R{!iq*x8qMUaQt3@4s^X~xSG6h z6Z$n}QT#e}(~1RSYPpw-Ssh*cn!=-vy6xj@b&LvQV-4Rb?}rdb=9SWbdL_RUGykk} zV<4_$H?c;a*HcJ5x=-J^eycjJbqg^zr{{1EAN}cxS9#8k;vYz3mH#gq>h_1&<2iepsc%Ezoms+ zMQr2T9ynLGgl5IR$H$3WrjJV_qWr{h8=RFYgJB2X_6`f>z=Sf{GA{ycC|vmozDB~F zBmY!jJ7o^RsGHu@-4gz`i<<6g$omkIoM{9zKJ)=YgvkQAaYVbejedy`g7dC1de)I$ z774RoZdCfywvt)To};ow}>C#eM{cpqsVR z%Xb~yi=A_JDcv&GJnMS%?-GI5{y^9K?LZh0-uoI!s519*xT_{9rSfVX>+gBr)tgsQ zkKL=^lQdq81owrM%_u8d?|k#6owwPz(_1g$dRx!Mre}lBXB9D~P9tUr<-CWYWzP_@ zXKvuA(_j?^UtsZc`;ze!(o%0_tNV}xmgF@np0Qg5Y{d#68j*dK<@7`R__1w&2YZ&r z?r5T9j(L+Q=A5G>OJV5CyD7SVZN>A`h5TpKTwtf_9tcsz^f>_xI%c(Q`fE4si@87- zg9*hXbXDAzOLGJJs>7r%!)$)8vR`6(Pn&yj*)mdGIH`H5R$8mL9)Q_ zRepC7{1J#+6_`-n8WY(k3<(7K?KGK_@;T#uUJ8ue7w|^YDOTtPgK!qiZ}K>eD58zo z>#+KM1f}h3vQ}8;&BdnRy4?s= zD}9Gexh6?SSh;;4XnDNRKJW0&Jc$0@e|>R$JDHB~pM5dXS)b>=JBo&RC;hRN?u*JF zE`RCsmmZob<>GoO$~%3x6ibG`k{@ok{2dK++#?s;5K2bz4+W2Kja0z9h89v!iWIs_ z^GVZGy}72!UPc~qtuh7|f-4SQI!b8IFLa=a&cC&uTwd*7d(kpzs5&QCjO4vm=FdUU zRIvA=JhlXpA{7T0Ne_b0?1E*$=8^yj`i{7?DgJ1-R%0JW%xL^P(bvI-GVv(6NRLh! z2cPq$_Mc8S4Zq0Ezq~no~cHlAMrR=>}6FP(f&|hBp0W&`A6Hh z+z~Ge1FAB%-5LIh-{~FXIBSv_m+D`4V@tFTIdvbu8kCL6cAiIy}{aE(C8 zNtbRa$BL|eV|Cl}H1go-(uN8@^yMD=c{YJxYqi3e%U_HD_fXdoms93jtEWC_#>9uc z1jn2>Z3KAY*TQgPEO8+Rtqs35C>L>X*$jVrB@i3fsru!p?abX39#z^C{Lf1cLc3X- z?++p*`D!v~Wz}x8oU23r-=!@5@5Dbv@BA{%iabXN)f5B@YTy6&5<4!$DK7^@)Hy- zu85YFBD6`p-5^ViKgpiw87>3<8#0d4ur@anrS&B!O=NGNE!FP_s{^?_`6l+<&Ld*V zsyH^=je@A}hN{$V3>f$u_|vq*f7~+#TV0Oyr_~RPfH1BBMEI}pWqOLN>@+4OK{7A6 zMGsa2%r;bxDUotn&au(w(Bh!~V8KR)^KVFxC-3f5FGgL45HZw?k&U}W@WwDmBZ)Py z10(1R2TC27B@7mMF%^!wM+zLFr{L3_H0QW;hiptJkSh)VuRT7b7dszWD|B6V0U2kU zijFwWq=Ik2)3S+m0b=e!2}YEsSE z0@w1q4N0TTe7hHbFKppdjI8F^cIF;2c|~L@?=fzcZPmOM=TmXe);=t6WxTv;Mf$yr zvAd&9Xq*x69)J9vEKfe=HM%i9Xsj_0lBpv=t}`mJXqq1Dp<^BW!)pMb%$Gz?zotlY z`|ks|ZyVfm#Ma`NT4Nce2&Pc|x3H*;lb=(aI~_^n{UxszbeNiM|sE4B_ zD))!RM1kr~59|s$YxPH2(Bwt}I}(gjE$Gzx%@tAPjoLw3u`GmpzoXoq;+NjM3!!xz z4ZT#g^$D9dY1##LAUQm4w+)X3JhEi!*$;!pH~_oZg5yN`4OFgFPGUA%4MdZX#c+W z(c9nVaW+ujYT7ye4JavW()T_DGDqsNT}2r+?Zk%z9{6)!`UYwlnErPaIw-spDT{0r zI64SU_Wk@bqlm`t5alTTFIV4%q`9$aM*FGzfwUVd;|WAc6QcJFr1X{Aa3w$LchsA% zDA_)-1mZG5C3?84MT;&m;6}cf7t1+qmv%_j$5rzQ${QVe!Cm|&#h2_SNz7LW>J_NF z*R2)hY1Bc;M0b?gTXiGrIxw=aJIdg3qq5@W%QXn9`7f)9)9>?}If#f!hjgX`2#g~> zVeJdgD*OYT5IIBq$d96mz7FZstIYopIE4&x)u1-S6u-{vi*kcDD(|7fG={}k7qOwp zMkXrpxfig{4(Z2P{~UQEgtKZQx7uw^n@sZKzwdvk-Zme~uwkCgz}6@JA@-@Xu{;SH zA^NV@*S98;|9;?23VhDCOX)asS`YaE{14;9g9i$sx%rc)_OD1V%q&UsJS_1?{XJeG zcS#9fk{|9+uDE2Zx6xCh(!PhGg!n&&y~0()*WBHq_(@b^@I>|36V0w?*`}Lb9*yXN z=z`wTou8#g{%CQ2Krh;mP~&*^!MxraI;zg`-4q9h)g&eWr8|%b*UcTrDe}Sv_xKnG zufQj3sS`_UGB8_%>Nk2amMR4m`93+-4i)td)l2G?b=32mi7&*a%_hcP5+JlG+!Ojq zEI-m|%py{bC!yS&9{%#^!8Q^q*iUAkpWI0q1o{Z<99pbeB=wB6n>^Jd>j^C#Bg!7L z*rPFL4_1(nBL0PSB|}cu{p(HV!Y+0-<^EWDP;P?=PN9T?%&!5-myB4ci{SOU)MkTJ zsVRD2el$T$zGjII8qDtJ-#T$H(7xu0KLm}oLCD_)FiS{caDy`lseDzo4i<-=;xT}K zJ!N_+^Sc+N>kMku!{$~#{`433^FKt;n5YXkZ`%z=siFtC>1ETiV+ZaMCdl2)SC?m=G{BGZ8Q_(KE5q zGcho55-3X%h`HL>5d2HHFAD)U3kWEw>YoP48T@anQ}?&^06y=63@{bfr1$eiA{fc6 z8zGuC6eqdASYZk(3SVI|OJ$HIi1s+ixOBnd;uV&kiVq+$^n{>_YJ1Biy5v)zfATrK zvschu&*Kx_QpPW{0U;7HVV3;evsJEKnyUP;;9hYlV@7uLYn|^&#kZ%nqI*mIjI;D| z74XM<@A=b!fuoCoo2$O*9^uuC{fp}dg3YzYtByz>>g&2F*D=dno;UZspTpHsFgPOQ zZ$Ve3*9?Le`lR=dlV~p4iT<=>B&%s99PaMUb|)W5L3H8>EjXX>`}0{V-v`v@>-DkS zlNZzhZP*yJ+7#t(ySrp%G_xirANDcF11j-|Vw|)z7(5Pvcs@S;(%Rc_g%A};nqQ~m z;B)AeK3p0{GASbAdP>mBj;_-m2qwB~m;}z7Vb)2&CUavzbfB054&0y+4@?0B+@Nh@ zL-7nEXP`{XD)(#m;SCI+Z^X`L$IcrFTX0t$W?P@=7of+2!IZDo=lN!A6Q zoyUh@{d-d7x##Qa7+tq=P;33O-s?%C{YdOwiS8U9{=P3?{E=h0q{}PQ$HTKH#Lej% zCKJS2{}esXBnh`w_RwPa_WZI7&3O5GeP>NGeYbE|{6_TL=R1M#vhu*G=7(E5g~X|Ew!SnXEoCl2 zhLSqVeCd$`emwD!4Sg5M2fwGw-VuM1adxEZSf>35?(w!-L zFQE$`{=0CZc%)3(I~UBeM6hFcuR9%f z=jCMc+I128COpQp^TBVS{Jc~Cqh#2j3CR10yv?s&@b`QU-LF7P;yJaZT9zI6z44EIzdr?T zf_njsZJ)cq>CQsw1?%_y^b1 zk5f*-=f9)>-#vKYQ#=Ir8Z>)pe^-%@yYj97SCWUIuY7X;m$cK`-&+Oj12;jszIZ|3 zqaD*au+d)2y8F*<1b@R9kepouX(UHattJ>Q%4 zxJLnB!!`IGXoFvwf7#y)`~R%Xe--JE5q|{wbPCoZyo=v<4BDikN1shUwaLQI*v8*` zlcB4{Ti+yN4Hm49tM56;Yi->scz)k^J_4o3j`2%izX{ciJ#yFFS8`sAzf27OpBql6 zq6{@6^YS5h)R7vR{8X(4!bv)$c^De)Wy~)H!^*wAWIm=xt5*ZUg9(?Ih@^#zF$0De zXs)LBOD?@osaV>eTEiL zR`>{2e9w1`Qn=>Lhr#rZf}L#k#>6;7ZML zP<0s40t@X6l&<9Z?vwn+`cYn-g)F7Igp<=sFZ7M7Oid#rh)M~xv3ngJivsRC%>4_v z%o@}YyG}ct9n&*}Z#aid{_ft9R8?xn(VV-!>Wn}j1@WiVhm=05gnv`_*ecgG56sd5 zgSje(FE7T=caCKHEqj|s1ua>wZP;)r`;FyUOmu%L_ltKbf6k}dEOk3wzL%BOaZuHt z+q0+dKb>QTc{*IGkB0oZeJkw3KvaF(J!~Ft<~Wzml6HHS%g>ti^<3;Z@bo@DqUu*3 z&Yz{#e{;>mQTouS{J<4%${l$>Q*r46ZXN!@8?#0(*RV4@vg zOuq?Uf&20vuLXAF{&V2qUkzO1b#oYYKC9AG&3Q;MoUWcC!+|zC9Q!nT`@I6KekW@C zbW`dbcE6SH{BF9G%gn`N_L~_uHdJvfYHu8&v(uXo$9d1t;ou-j>l99#bj-e~jUyjPvkLW4uK=$?$EiU_`+ z+4=ViFSE(B&8`+UWoGV*$=8abRyJv7WA&xXaPKWXDsnFVkClU?^`>FoDSQ~XrC3*C z*G2WcTXJ1HXKSr3^NJ+gB>tz9{T1ak*}R1q=VK-I+2M_=OqcgJ64Mv<&*H_L=EoYp z^-29&dFJ58`nVUf@GON10sEd!zm{+cYYYCFqwY^DdPX$IYBV_Z{3A2pMs1i5o(rF+ zJ&-lNqo@rSc`uUh9<%E8K5no}C&zaySmF04n9vgM^h1@41yj8KbtSg6#+Z_Asf$OO zxhb4Ud-7|$Eji7&4?kMX?d7*Xx_W+sm|Jhw&dwArYII#-)ttGvGB4&VEg`t_E9$$- z{$5W}e_V9qbiX@Ul1eh}@Po5k+PR049{pGsi<>QN#`ymesc^7O(`bu*nmYVp zzpz!dpkFqhnBsLtg<~i50FkdRu9kXsf!@&H_Cb1Bd*L#+NZZW!+sAOeFtT?*HaMjZ=Mc5xAbD@>4%cPOwF4cwq6CiG5Q^>+9T~%ePJ-7at=T@2TujT;Fv8I|;B1&cAg+ zZnccz?ibw@jxW2NtudRHZt8pa-_RN?A?teI|9C>*(i&oF z*?4^vT`7M`0|=p)A4Hb$d@nx+TT|f*`B zs*UKBt8Q$)kJVJyr{6-YrCv|NPJ^e-R_w6ehijplo6ffU z*LOK-Z(6>QHw)p^(8>YZd3UDx zDR;&C9kmN{ccJJ#Y)38j=u|yVuz0Lz=tCKg{69WwQ;gw1?f-n;PM4ZMoEP)t4L!yPmc-yIY>_Kd0Y>ui#t0c=^{=&$PmK@!x+M zXm6jt^bZ*58u%fqv39Twp3nReBWk4YBokdwx(Ee7Z<)DX`D;rhe}p8qcV2 zd_22*g6ZhATH21)K8a?0Bj@XBVZ+$AVo>P$qT*Zq`Tb)6UH2%@sNfenEf^y&qz9fT ze*m9&sTet0S`3Zv+>t3gbPh?iykvN$ilxUSOb+tDgz83^9 z8v?tv19fx*b>ste)B|;t19kiW@-_u_`vy~q<16@vxNy~6R>)s=+lwuJH{K|ocF0=9 zHN1|7%{LKa$KyGE%b4)qALCO;RW5d=WDD7v%YV?kzVyd!@{-P3nF?RsUEv^6*Bs@WA zGI6g#e!x#NuY)d3@XnR*qyruy9(605^?i-+gFpt>~`*$|Kr zC-%S^4+ahSJU44Rs6E;pGLq_tC{K897F3W4)ut?%;80g07&NtS3>q5DeFlc)xM1?o z;5UYKbs4b1p|rLbG&VN<28Q01U$aZQfRBdT4kchYDy?9jtYbC4D1c5{Ff-(T&DNHT zE4N_Otq^r`kvvm^uzJ^I*mhAy^>U_A&8M#fE|p?s+g?A>kTnuqxYX1tezXP+Wi5n7 zS&U*+&>#jB(bfV~R(l){3}A9Y_h>t)nKDdAKaQld`KXp4tSq#rhYxr3X$4u zt)Z{8C2&RaVtSy>^c-*yFhriCD?E8jjLFO@sGGAcr8vLc9=w-!Xhi=kD{wQ=3IadP#WE~nztDdI|O?>0nV zbYH3??RL>x!RRnz;z)aBP>GYZ#qN6*X)Fxd4}@2}m_AtD_Q_(0H6kd3IIv~C;%V_fD8aqi~g*|R4vUW zl|zL>k&VqtM9D@cKBWQtY{FWcl5_Q~H|gH)#LToGZc@bE+EMw>dX!CHca&pnXx zMX`y@04Mw|nH@acW~IkqNP%dNw5R}&H~_){@CU#h0DAz;0ni6PT?tojjS%TV24%=w z6lLfQ0B=j7wUf)f7U3v-snJQA<}7hjbBVm4HPo z@=G{GM5`*;fHqVke5=d|9{+b}=lfar(9#&2}VC}nkc9SN6; zQh1TX-T8$(B*q+*q0>j8R3|z5U$KY(Jg!E|(bCs^COM-Ec5M`15pDC8a_d=hgP1%> zDQf4sx3utFP1|~Q&o&*rM6IMAQTuD>+8eCi`VcRs9$}BQ@N8iSZ|1zUzK|vy;)!YV zP1IKMPt;Q99WJHF-<^$>zPKLDy|>?5`su!~^wjW7(R;btw|P4`63z&V3;0YD5p+Kz zBG~UiM36Iq>~@iY?CJvA4?d7xYIU%IC4(yR3N+p7qq~-{rdxK>oJCvdyOk%`JI-Ec z@X0D1zh50D2z}fp2#E=do0!4n*EJX+*RcTD0YLMW5QM!P9~M7wC=H=?ac9$~0!NJ| z2A$0gNA)VKPK(f8i{OZxQof3Q!ueGC&gFHMCn>(A(vxee#pT~<^|_loN=tm|AP=}3 zV^uM3;qhlCQy|y(oGZ^V7a!K}2VSHb^vXos*^2o3p$vJR1nF(%snJ7eTFg6dDb3pC zv?UoFqsOnsV~c8lcCbTx&8iZ`+wpXPVY}wRbQtk128pV?!biS?@$!dyA<}_AV`fd6Q*@7pt*_vg2AmtJ&YQ4pOYNzW>tHma6 zVI)(V!<3@xBE6=ZBs8W_Icx~KQXRk3Z>wcyGT0kCPGhPQ>G3r80pVIs-7hyx7RNcJfh7kQk6YH>x`8s&p8@_Kg*3%?X*?BqM8 zIHcPBv#Bv^w=!cG%M3bxnk#&&&~>WGvM!aVVw>&{SQorZVsIih*Alm& z##c(R#o4-*tcP(8i~FETHkM$Yz@YjOPgHooG0qhy98TQQ%#z`3+ivrc>BRYh!c+2| zS*Z851eSKG<+-*w4DVDf+n5G+w>ZzZvGqLGQC*vSg)L!YFA_jkLY~M2^+anUx zEgQCnXoyKx@ox*Sca)DCRWBBJM>YZFq%WJQ93)q?IEn5UaHDT9;rkn5!ne8ogq}w` z@twaNMttNU=+}RK(3Qx$E=AgD;r;!Mo6=(m$-eufBh_MNeM7dwbm*tIh`aU^oiW8` zA|s0ZAVyTH0SszOZZ|o$An7I^;O3c$E_~BW7q)Ay12eeP0=RKnGODE7li+Pd7N;Cw zN4kgN;nq<4^y2{E$ZRX0g^$K|HVt(G|9Cbql)+?J@C zlqHqaPLAMKj3Q;k?sjnLG-emTwHB^%nuj&iF>j9{n~?6>w$GnqB(h#lR}?<7iO{46 z+l*9NOXVB#!3Qf^-wdjEj^A$oC=cVH4GHeHUbDH*<2onX8ZGy$<`;df8sbD=WUv3c zu@=R^h^L$7!@w+3vj=+)N4L!F=bfJwKV)%-;+#(ghEK-*wo@XX#m$MWpY~u#Ur&}) zP+uFq&d1B@s%>yDOE(+1n+*}KxcL!|>KRoaKhX?iwZ%{iVu3Y)20#n>2A7P*Y% zC%36VaxVrM#ca}%IL2(|5<3dohbOdsZ_SG!kHA{x2vv2Mm+9HAq0x6!Po?js9@ho} z9c)3?#2jW>neqS|NFxB*{e}d5V_K0FDMHwUl4ngjXs#?hdOO&Wxj6WiVd+z46qfx9 zXsD1CPE z*}N@HeU|f1)OU7Q=jdo^f!*rWG&PgbD3cR4T)r)}2=vOT6Vv6$&cpf(*JAlDZDC@o zDaWG=FUUHp7GEt_uEPeuC`obimzvmfc+Ks~ejARZo1|?J>q|0ksd5yJ`rbc5*GA%Y z+=?Ei^EG-Ih5Re`1fF&=I!7!mF8`1hI7=cZ!0=3*gXjsZ@HFQ*OanOya=4bbdUk+n zhM$;;V5V)ohf*3w(>$r%*MMg8OOV3wb9`OC*}F&jHFkark~MmIK^9$m2-b%&w&B2H zh!=e>maVH&t#)vr3*9ec)yrWzg5<_yP8*FLEHPEB-HN;FyAf;a{b=72CREk`a9mN7 z_)G_JxokH&qppr(8hF@LG}+&4tNmoV6Aw*SZtBrU;lqJ%mKo65RZ8`40k{DFI5{(@B zSG5g$R~ee;9@cHcz~a}YGRN~fZ=YN%kI#MXIyLXkjm1+9sn=FE zG8=cGz}%}A(J0js3pc9LnSDN9RqaHDW?py-6C0vgJ^nn0VP#IARA3f8g<*A01Q=H* zFsyf}lM0PDZV*wZgXz-gyzw1GG4!sYw>1L?sZS(uqufa0`yUa)w{`=Bp7gu%oyf9@ zq7digq_1M0f-LI_9|`&{{7EfsPP3_7sZ)w}+?J3+*5afP z?D$U!@kC*~rj%4$MSe7hGtiJD!i@WXB`M>jen@c9WC42SV2z~xi&f|%vxXm-=>lof z^QKWH!ZED#PrU>|lCOdUIYszj43cu7=_LHXGPCd?={VZtoUlbnRnWjNXZ9L6@CIR3 z!~+6VHQ%eV|Pf9-Zq2y7M2 zD>hY_moci{b?vi@UV`RS^e{h}EdS*};8$P&O7-{i1|<+|UGoAGa7O0pDW*9u6qYp^ zRIVu*R+e!YRi^a=0Kv;CuR|u8G0xHK;8+M|;dZ?i#CgWs` zhFO=ANx3MmAkSs=a*u_rvMQ@_to=t>6)KlwKfPHgR+j3btfuLPipnBXj^^T@ZVaHS z@!#F5o%1@Zc(P$jM*gbsMon8lm{it_>B+hIsVqD74QMbls$=2srYWq}29osVDap+S zE@euSG}ep9@qPgnMO9yfc~YFrG#kS_?um3HHKB(rsSZRms~xpINZcRBsQ7nZPp?B- zEq52I$@TRmOZw!ZvqsOG{i7BqaIF-iq3DF2QJo(jm4DYunxptPo z%aQsBy=YkSfpb~UI+{1pw2=}~5#o#~6#7i-60=S=fi}G+oE9AcDx4A`T5zP9bm6Je zslw1?PHKf{q^(}c%K$Me6eIB7^{Pu|`zwpg^0TY7f--DNk1Er@tRZg?3C z*NDJ2YC+>?S7a|{ib9NOF$S8#zR55p9LqYdW(753N5x)rS~+Vw4_j$N!$mbnHWCm3 zcVK;6D7NUG49iKb7ja!2QVQpq*(?BE3v^f%%YpdL0dXn^Kd0wJy_8rFF^y2-+;*V<1{S7y;x`s%7u9|@yvOZ#xy3m z=821Ais@P~(>tm$m!=FBf({{_elCUhF3k}TQ0{hh7pUk)&7a3(rKZDqX-E;tW_8Hp z3`bb4?%yUvcR+#ph-9+K%SXjRR07k|VE>s*f%q(Mh5V>~9y3R#QNq)8i|G6D%Iz*m z7ON-rkK)1 z#aBt_*$LuI1!}@b_naC`jmU<$uKKCz&NJ|-0k7(U(HU-71Z$E+M2=nV688nGLW>34 zsqHFGZjRuZ66nBRR_ky#X1Goe6p+pubIM^6ofEBlO)KW=QcY&`tuKm)+wkl5oNtiG?X>Z4*B2 zMTOc;&nTssO!ugpFI)$h+sV*t?or3O68C_!0!3BvSRTxz(mZ zVFMM9!UoLle-*HF0wu^@m)woC9*9fdm=gm0=9e`u>}^iEQ!+rvF$bEX#cNcjBF3fi zx$x9$kl0=Kg&oFKe$_zL2{(xiZ-Lh`ctUD*KHyRk+eU4+WXKIL)R@RdEAvzY%a+Wt zI1^-7@>NG%CKyA?lPRnwTmy|KnM0pnW|r_gsj|qpKgo*2q#3rt+SKz<(6$FzM~tDZrrc zrUr>1d(6ZYBJZ13>HbY6wiCM=6g`4q9W!bOy*1%q5zmcH0ljxzk-E4$FvN2xRfJx< zCNz<{DFyyyjHcEpqw?!e>=H>xTswSVLsm21A5#2$j7F4qp_8`-~ z9F|;bSdw$)oUPL?Ee=dmEe@qNB$D;4CZ#a!2*K{3Bs%qbrRMWj7sjTrxR8{90t)R{Y z44Z2X?%_HUzwXo}C>#Iqu4+Se@N&6LHFblRm)J;8?vrkutyUte^p{1?N_Oz9(&HMu z$}eWe4*kMqXJQq7sF692uqlFi;p;_71G2X}IdgmZ4t0?|OgU7j+|9F*En|leS{ew_ zHXw|psB#a7L#k}65_P1VeV?kQx$7hQz{k-eVZHBaVU0kuM zchz*4UCts+vc+78+dc6Dsh}(tz;yj#wnPC;HQir^#uC7&O9B{MslQCtA7)Ahz|flg zWuUA8jJU!dKY@Q4&p%9$@*h7rf0^Sy47>UtKR^C5>ec|JR_m?Xzl$Er%fPt}TJ(ZQ z^3m{HL1LK0*NmWq77leK>LeEnWQl6$j9Fo9cGQ(LEDCw-_e(c> zfq7WD+@`SSw0pX-6#1XW35(eLS}KT$F;%5B+G{t{P%Ee<5?&a=!IrKt9uV?b!>o_5 z0*=urvx8@*Q6YAwA+Zc0M%(rN6%lH(VKyM-N}q0+kCkCeD7l1PkH9Y%r6Iwl5v5Uv z_hzC|CU&SH!L%Kv5rK~@PD6rCBu=A34{W9((cpn$d1g(qwkS?BFcnFJmM9xdgjRv> z$4o<_$rP(m7Ivr+kp~GlMf)U9qbwKM9N;GFU%dYB2n`80e{!RIFH(|zp+wf?h)^qw z*wE*WP(HY{7*KtMOu|fAcGeu=->7kuA z)bkQW)JSJ^9wp>}x(`*bB%1Ebu`-aGT*DG+EiEk{c38z5(0G#@&~&-Mqb#_d=LR;= z^R*Ww7BmAUpc54z$vdE<);US;9_pO_ZUdDwbbY4m7z2&RUYWBK3%{C(R5G9h43HojzwY)0_(K#4;1dnzF@Zl^K`+EF~AuEZl9O%{J z3i#1*_aHaK`EG+764iK6w^nHWESObMwlu(jAqfUeo+)lWVB?~1iZIwMVS*so4e=L# z@LHl2fLL!Zd?%MAg{tB-u0v?O+bnLeUVwY zC5rO#4sCN)LYRf}0!eB%v{2U;V^}H8O6tb2-Z;3Byqu5<6Q=0%mT`H??)>b?5QP*_ zM~Bc`H#i*3ewy!+`y@@vDVcD?mARO;ujQz~%TVN}89-|$&7~>uVB^;wpe3gzAf0R8 znCYb!_qjAr4t-o^2CZ>YV^f++`J7+GUzrv|RQY;Vl(0XaS0FVMizrjNdq*q=Sgqay zeV<;A8Tu%b2#j7ADn5RO`)~Bv$ghLwFyJ2r5@JFBNcZ>w()>Jtv}_6>{fXX7^39$; zyX35!n%44I;TJ?qX1>bz`K*odO9ehW0=Le0zD%|B^Wj-R-EZ>LXRetFPfD*a~ghaY_z zOq1Px--SN3_fsTMZiNV=<#W?%u$Nc)wmA1X!{`U<{EM+r!|w481&(5k`csk;wY)3% zW?6^ju7Gz>6f1G4m3q$0%O3Q%81!=%n;%LRlOGBNJ71-c(R&d{OIG+z5|+lEFen>W zW3$R8|NLfNfdNx>ATh|AK`ArAa>)xOlPIN9E~R?E(wtyi#CJ8?3mJq$K_LXtv;}lo z1)+pwf@o%NokJe}tm|GFDiQ}X!MG#Uy55OQ%Bq5M0B#}tw`;kJ> z%%(1Vxy6!ie8a_5&%|gaRjk2nUQG?%;1TcdH3dxMvq1%wpR(m%m3#uhJTJe;6jyg} zrSiUm@LbIPR1J8eM*O__1g9hnbX{0WI>BG;PsL7dw7Q6Gj-VY$MeT@P#k+DF=oeuQ zdZUKPY$Wi*3ke*{jNA5TIVp~R+h-#8TOdw|EBX!Tih>~cme{~=Ie^^T&Lj_pgvP-j zFH%ghKz1!=qf%!_Kd&e-@Jd9qESu~>6z{-@n3BjugoXM=goR6k=u(^ξCk8luHS zsW!)1X_*UB3FNIjEJ;LJO)18kc4W0L!9?2U%YpOSCvW)Er8O+hY8=+wbl^rcjI0o= z%Q4)>GAlkvf6Y}D^We`gniv{YPby8hncgAu_C&WFL1($RLqObFNg;_SvKC2Ss5JCK zxS%59qg{534O(mWBFnx~p6KHUfsYr#vyDese3kwiS6z_DL+{y>E%pUZTWbb(wR@}5 z9uM{!lJfW>a9~P;Nn()L`3Vs(B~cw!!X#`{ozBQYoy$*NRXYk%W=&gr+hN5Z)az+C z51g+(WMonr0x*7JawXKFaDHC0HIbxcSrsIbORBCz#FDbQr@p9`X?T;{nMHIM@g!0j z5{WWtAtXOaNF<$9X(V^TY}=jt7l9G&mU+H_SoOhX)sl-!w~0bo8d4@y8lD_CKn!2z zjMMhj16I8KSF_?zr8LpDn9&;`j`$dzE_Vc#q$&gRmAPu2t8`f~DbYHn?X?!qqnzFbrfXk_c4unTIa+NsrKm(3 zJmi_7=1DC=PFjn+33xX!V~9DEGHKT56gMK=NmjGrMG_8X~&5)jpdXw zC*MS5ElVw?HukVbCODXP9EIT~4R^9|)DCx4xovtp7w7~U=4xI^1L0CZ%aKyyoVR!u znH|wsTdjjF;G)($KyCeH2(EpcJJ{0sAY>PSr!z*tRqA9QTn~qngYI!LCC<7n5f7RPWLtts`kwWvi11c)|GE1vh{@Sz{H)-fsAMoHoXX1jBU+0 zi3e8cYLZyXs3>1u+Aht&vWZKUZ_C6GT0qe^@`ySIA)~5KE{{gGH((h+`-Eg3wTnDmEA&7g)f|*To&oBeUmWp%E9FeJq!0@{?I5 z=bBVYI#;2XDirENPwycu{$gd#VKB7PqG~9&v`%EPu>BVHV4{Gm#`4u_xfF_cz77ME zb0uqW8=t|LuVX>M1X|lnfa?o}~LXzZAQ@ zhm%}pqsi4A;OQD;$l+r3g14iO-$rk#ST5Z`*Qy+08U+uhiS>Zh8E~<=QdR+$-c@o# z^KN}5V`;ZEZn*=uoz7J_pAPbmM?V?kWWNT~OZth7GCEg9HnP6uwOhqLjpS^G(P|$~ z!xQWmr@mym7B^SbAtW@r_mXvTBN668z)X8fN`z(VJ41lWto8=_%7-w{w4GJmuAF_}XQ`YLmnc?ff{iixHrmH=920We+6M7S#p{5%+x3wtJTcgGRU*h?___H_;5}W*MGJHfBLcu-*dezo8wGG zP;EgMZf-ZouqWjRzpjc;O0Gn2Ofk^k&=r- zWgGdTuq<;jAm9~O-m+YaW7&!|{XKO-!AGF~d-ii85Cl+xVgTuE1W z)-t7oEFh{jdFyfnC1Q$z2(0p45>_QqGIPPD8f-y;%jK91%r8Bt}I(5v!ai6|1?sQ2k_~r2T}}5wCpc>tu^c$nGhc zpI1~EGM|VWf2SWmH<+C36%(87|Gzd!q*q5LYqP+H||Nz|dnZHGFggP!BdR!}GA3>;Y}sQ!cpdBK0r_l(-fTQEFQFK^Je*{#21=0Y)4kBw4wz{uXL#U1-o(8##j>J2tVk6&26wz1)Jt(@+nyzxWuQFGs2%vAI;Nxs52 z&z9Lma8U9D7?FSNL6FU-)0RH#r>cGoDl2>pOj&IeU9busoTomk#nadLz7 zz1NByyd_2U9eIp*n5it?d9gd^o$~70QSsBrlHyPWi}BEpHd9E3x+ODLyPecRRY^?k zV_eD{CQc_Oo1eFbhl{g^fvdjlJQ=$lIXJ8E$F4_2aRj+DU?YoCY?``yEPc$MjV!S` zTR*SBxL*`LZvv})96ay$j67@nfTM1CS{ppP{6tMxvX);p)#&cpzP*zOE!CSa0*9BM zo8;i8;t-dk;435>H7klR45p9!@kvQlsic8RnX>cJKC0e1TQ(Tfg9)3-EIf1+4mLnW z7h^CH>)-um&D5iafv>Kv&R2A`x=bifQ&MmRD&*=qm3BTDgXi@OosDSuJc+$M;~uj= zrs%0Jd@qT;&Eg)@Us3dfrjE;rL8Wvd+drTQJ#K{{a=QRs z`jG7xKo>+f;u7XIMYVVb<{QnVa5HO2yu)P);m*3K1cy5!(xh%kh%@^CqYF0mBQs5# z|ITqBdG}I))_xqDJHexp9?+7R+=f|abl#8wpq|l*88~==3cBDk+HmwyNf^s*r2JTa zK>FD9Kj7(I!5H4bX22?CHGQ1P0>Su0sShNAvJJz?hB^%6bpTW(H_+~IHs7PRdp3_H_X>H|qnlk~PxeLl!x+oHDleRU{b(oV=wK&i>u5)| zdb~f2i++&$%JvOEmool2@7vX-KV5gn?;p>XpGUhLZ~uWf`jF>eF^OY*2iK0pKyN46 z4kAMBM2}RtjwMm*eM^-1awP{K!~ujHDS$`;5LyfN#U4|p&G-V1uS*JVWGY5HEb}8! zDc5{dDt+GN3XoT&LZqFg0HXU3Q3)Vw0mN{DD|gF1)LX)AJoiriV2bCt$S$wQjvZJ^1h#7@~)y{lz0+lUtPtWlnHE*6*;iGdYpQ2RN z>_}ueH!#7b?J!5Q*Der7hxP%&X^XOTAvUInCh2u&yZ$~n<@h{*#2}@5;;VQk$LKfe z9BNC%BS}ksbE$DfIq3(mm$G(U-U6uc$O7dV_k9k|t54zGE(e=DQ{Fy0AIwgp#dSo* zvi@+1G*=moE%4y6gH?n_(@hAoV~WSadcT{OR_FKK@y(H&Z~8qV{ifseQTtt4fal{+ zVG3l~BtEAtit7*LNpq7pN4ZcW?3qE+UTyI<*#m(V`>ihij|L^|7U!eK>hPA?ufRpq zTslueXZs}p>JvAW!`BtjLuOr^;9Jl@?sQQ1_4bbMn)~^R*GKF{WOM;yMaLl+?-TK; zZsEiE=d4Hjv;}hAtpz&4-T-(cM(-V~+G0!T^Up=Jn$CDw8#e>c1D)*&w2h+EG!L<1 z`Rdq`mnZl7MU;NY$JzA#>l3OVN?8_9%)(A6#2$_Pm?NUWM`k1o!lx;dh3J0d=cSCj zT!pT0+3TvNOqu>O&QeU>doB%q3a&mzQ4xT{&72Zq8g{;#rxddLSe3y`5^bcTV6!&1oL`%r0 zFv(tjU9E_&Bb(esdt3=TCpv=kO7j9!LGcQfk358MffnZiu^L~85cX87%_BpovlxMO z|3n6&zYmcT%^;trV&WAv|2~aO#kzM5=9g91My`_Oa_|HvJVRzYvkCkkW7>M80mPJR z3W#Zyh4%jzlkWCzu7d538X!I1{oVgddRr*;09UbYe_Sa6%>S4k(-KDb!dL%48bgNv z7fn+G>GBUwu5V!7CYJ%BtW1XGfN~1ZHK=D9g0va^EhwRLjH3j#wrH-vGZI#Po!ar= z)e*JJQZWBMkNn*XCpZ4b5Jyo;HIY1lPb9g4Vf8ff_kwBU4rcP>|a^( zA6d`8WmEqpyAR-c5&D;_kUyH%e`_ZGqsHZrruN^O@&BkX`J*X?^7uJmuv-)8Dk2^P zMt==NlbGTO#FFe8FdKgY?0OrD2SjUp4dhqOa0=YShk6b4d*`_f9HH|kXdQ>zA@H=q zA+VHi3Hbadx))GWbT8;LXi-1 zgTgWElG9X98kXc2BwPADqMBufeXRVW`^5&8{9Wm*OqgQ1gG2xCK^%`Yt?En5-dn(NC;nkvji=lJIkV@hI$O$k-p5^~O1xN`hAOx-*q<;!O zN;7VrIrRRwY%;9>M_uEYeI;Gf_AO57irOtTBPE}!TFh}NZ&*T4Yibiy>kkP;M0n?u zk>_vM%d$#c!z8+*;ap2w#6~d%G_`tQN9@R}IJDy()gnhZm3NgUlU>j!twg{lu|h%` z#oCa~Su5h1-O?-uSE8aDrDP^EkkXt=iaH$Bh`AgV2}rV8`*Juc6+^S@v-~U7WUfeZ z3*=a_TAD%xAMS&LrH~_KU5>=yB!bW_VYLWa7!dw3r=Nu+0%B1RCu>{f(~?y z2hnXSKA0I}Y6k8&qaLuU3!LJPC>CukInUsv#@azo``V$;f40N#pEVh63`1157;St> ztKU49s?*~)6YcT-o{LfLpGcs3LSC5}fzNNzOX8=%KZFueiYwG5g(;_Vh*|YD;TuIe zv8O~#t1;8gL;xA48420OV`e+P#m_Qpaa z2o@KYq5|mFHU>FV5I47?z&JB5y3mpMS|RZ}sxi4`}a!`A$No;=VxWvKpML z(i~X*Xiu`~lB`T{i~shuR`sX?r)ZVn9SJ$6SV(jjDZu8m)Q6!;Lg zzVCOPv%mfA>-=}FWKEu#xwB?wJu{O#^USOZ4UN8btuF)qS>9w98d_r_U9;ri?Ad4Z zG)8x^3jPU+ya_1n!rSolex#m9|*zO z+5OCB*}v~bHi5Y`J9)X0K1J{1?}W~{cJc}{eV*@Nq!&LJ--7Feq;|PE0*8To6%_z} zm6s8)gV_JB>*rf=wh28)>A$U0OETlb!~|!fl2jKS8&)IIiuyZQ^y15kxo?=Aw$*_E zsiAb7{Gt(*9^*6|mc4F&mEh1jQsOtbB16@)r@e4HrdF;uOcm?Wxn$wwU!)Hp>O}|v zR63Ni;+Y4*Vqh$e%wX3YdVBBVdI(Y3Cm(q2;@?#M(}2>k|JVs$0cIPMAK`P>*?I~8 zv32+R|HIb*l#^bfTljBF5`T|Qi}*~llk!<)=dkZrT14W%N^#uzZ>9Y2W*Q(&Ahe?=;FQW98L(@qgTL9dyk?NOpuGsO zas7Jq8@UwD=I_NfNZe*dn_ekwygr!KN6mz9Re1^f&UH=J9_icQXT|wMcw$G9j;f>3 z>YL47Ds6H@3%!VG#)CnKG=DG2lQMqf+cfATyt=}J1#_4it>0aX^?GO3SALTxjfk^= z-Ssb_Zr1yzjSB?Vkq!K?Lfh<4<*Yva0G(4L0b*13!*w;nbuyKoawbn?NJtpf+)67^-wTh5CD1ktR3#;;1PHca)P4t|8?<{w` z&EJ|M0$ofL=9zkLF3A4XnmAVTx3~B(BC(h=p?w-yUO}2mAds(DpbB}1TkVentQPY_ zufQoil*ONT(_>N=DjkvOa-|gD2V^>9Dgr5C^wL#U$X0TSjJ7T6QbWH2$L(-kYBI$V zBc|=3zJy%pa%4y`wRUVA`Bs=z-8H?kq7v6CR@1LhP5vNkl)NvX(hy%r3yc&yKu~&Z z`T~zKn(iU|=0e8#qraU`C2=VI`K$N=!oL`qS`_G|6h%V%14S;6rLRPme;$g&FH(_D zrbRKnuc}B=ykGTTh`fr?wW4~3WkvPhFb)4;0RM&2{~s~^|6myZ3-jTB#LWDI;rK6% z;s1!){s+VVUl`;65p(qqM*P1prvD@64HS-%|1XUBf5*fuzoru5G`yZLoLSyXJ0KaK zf%(tZ8+6w%8m>xA9xXmx>k8AxkUHkcu$t_ArCh!kS?KC9vWkPVMynT6m#}2+&>wex zW!Y5b@v?EO{T04;-|)9&h-zX>Gxc95oZI34_*U-Sph4;Fp@DBgDZyyMW=U4V&*0O~ z?ZLRKKF$%ZHpT%}V`Y!m(L%1 z%SZsnS>KmlcIxFSGwB;Rk<7S;6G!pbl+dE)S@$#fXu*NMHyW6-NzbsTW>HR}% z>qJL*R<=jWrv|zIA%UaYBSOX{nT91;A`y_S3?tSiwZlH;^d0B&i1gyNmVXnyWf}JW zH`(OGA}Uh3`1KK9>uSgU)H>$B(O)KW@;cVQyQfiHGl}}xQ|KT1cyy9EV>`1=-`jInar$_) z!gi+j$-fzv@QNfsUY`utOImYR=?+#CwAx_Gbi5{OjM&07vJhM@@GE$dgsb)2FQ3TdJ2AM4;o4Ol zH<4ZUY>5AlA`rOa{7I}?&G@bL`l`|slDWXtmLmqQ1iASXMxYo<+?&H*W-w$=%i=P} zGNHOjh3Ir3Dm6Fq?_e?vIvW@QTAIsN8z&e+m}_dfk&gTSRs#*#2;J3N()n?php|9! zN5|OD72pk)y?UqbfAf3HK6jo0`Vt>U`u<=M8NB!i1f)}x3C`7SmVDLU)klPg7-S+B zaTlEDYm;Jrzs;KxXc1}?Iw?GT6>ZG#?amX{YQ$EBQ&GR@7b0W_FenR<(y8JzQ0e&b zd~g;PR%Bgb%ZR}GxspPJE&Y=k)6LsoxfW_w&VA`^O37UYmZ81?ULjywu&zVYp_GYN(uXl!$*i ze=iSG4!lk0+lDzg1j-Y`PGxbxx04aTc7)zEObSfZ7mmiI-?!~=f3^jD7m;4QfFJ0- z`$@Wqa(-@k+r#-2CmgS4orkDM8ZX22ctq5exm3jzjIeA&r`S1Ap8g8VY|zLG3*WkGk8HWpgs)fxpEYP+aT23n2_k&TlR#B? z2sK3R#CSDOEwY9TKd4L$S0jK*)C>>54p9IShbW@0&P9G6KVBd;ZTkdrPycZ)~&grZinHo2Bk$OK-zW%3gnyKEDl-U{$GK?e#_LBggT?647DiM!iKloGN)!ZctYPyvqf9CSg9WNnTMtj z6&rwx4P0L~02ng9$o*CXqiaeHlR3%df2Zk+%7xRJnLUC8nd#vhBg(!^dg$oLT@~V% zU=V5CF^Za};_H~CtXBE;ntJl~+Xs%bfHO<}Gtvhq_8*Ew@-OB7!pB82Mr`9uIicgWe+3yVW=jHN8()-x z-T`O>BW}#dKffMq@V|ICVmUkLk&rI%q!5R^FQKGoAVLNj^ml7|_^M*k*Uj=EG)>47 z6!7Y%ar;XeHx1jeP*Q$8xxz@9Fyqf63YMIfvgKD%6LP`yCbHzI{(~3^f$5s5;q))@ z@DUZra+a%t`-;Cc^gSEL1OU+Z18B>;sQ&6j@d3wafTGKyNl%$m+X5tBnnRiGyai(e zn)~G1`{C6;db~L@kMS|?ZffWVw;)#wii8Kd4vr^38jK+Wj~$d3SA-WbDGfa%YZ@xt zX>F14ii}uIBk<3X%`Z~P!f&V8eSbp(qx}dG;l)jBAt0C3xXUJ69XKj+fgRmq1jg1L zLE+t@B%Ip%L)gzFcq>NXRuSt{kTvGDu)iz;RG-;@#P8>E9E3zeZhcVtdA5DhDKFNJ z(%3vl))s*3jbJhR0e{|po~%9+COMAV5s_~AqR38^Dz=z~AQdv;wq8ik zB@iO`QAe21o4uhxT>`jYYAvY4J#!m7!e@)@M(Vo{T*frX&&6&PDt|L1H_{{F$X)o1 zp(UXj-|J9F;PiVVEw9n9N>f6bB&0`{AViROyFthrcS@!8ZLP3E<=N{k(prJ?b;|

|%X)(|SuiCdC1Zga<`zJ!Pec$!|#i`NJqBj&Eg)t@ret; zJ2pe2-%PmWmjuIogj@2QYOGD9J&MUJJ0{|MVkq4fDR&Rn+CLR=AcJn%E~OGgMX<#M?T&2 z5@-uksd48Njh&@05&+aKf~rJa+Q~%68xl0j{pJA?Wue@;2C&YnazN;+5Ik#_uP^IaYB@i>TP`6iFAE5z$Xiah$;>#95b z$$K$@<*6OZeJy@#PncZp$spxf5YHFbA0a7?!ii8t9J z>f|qojpo zNVKLY&M75}*v^o&;rTiUI(A!4ca;>;xb^D*W17fcf1HUAUQ}(5l$kDI!w{^?gkt zBMx<>^=&juw)Bg7^;E`CX@Op0>DVt2;rKixPQh8B>@06#kwbHcU}h@BV#? z-9N~6$`#F^thRN&R#4Hq@~N3vX}U*G3zBiMDQ2IMq_g;!=Pu`NY{a`vr{!JWs&@ z_qX4YV<3Xp3xua)Gzt-5-#fK?{{l``aZ`2Lx7fF64hki1e|xmIY7T>~*3_pyhO2Q~ zR;0V_`xhTUL8>gCHiaXFi-Md&-ZN(@`pfrI){@Hc9n`l%_qkN95$M4u@L-=%t^D)( zG;I&|-#n?$=X9i)@%xNE$t$8dsJ<#UxwymeogUx~9R*Hf{8s&5@OIJTlDbJbSLqis zF|aQxX+6b0&f@Xv59v8~c%JJ*eE$13QHPI9aL1qXo)0xM{WAS6l!27%Eb3;L;L~HO z_kFVk8_bp}z6^U#QM2*S>C=;v)Bw{qr3l*4A2sJS7I>DFN|Rsp69T-QQGTh~sUJQS z){q2r>>bLkVP76g{1bHhtYC{+e~cIthmF@%?-k-YKRy-*J~{~cuKm3_&fdm;YR~19 zGv&DVotVQ|$st$1yvna3fl*vWTb(clbTpeC$co3md+!(2Y4+G+uANfKG55wArJ|$P z4e`EnT@-+|#&2|EP^X4?ms4GS-`Abm)!C_je?OqUjEy%#gNf|@&D3!zXwuqP=+@9$HF{W*=`z)MQ0UEh${XK@QsN&sC^M7U zAA$_{^R&tgkLI-cXW0BJv$NMf_6KGGJo1O8S8kTWkA;_|!(`40re8m{(1{a}Irp6} zc)Z1*nu?&n$W(qda}9I8*AP6qSXh$uky*y(ro%lau;c%HLAi%98hPqCJNJrPzbGSJgWrsMEu#RZ|aYA5A4M#wLrqkqH>LNCY zdw}MuN$Rv6z+%1jnwkNCB|df0Q+*HK+)c|)^Jf()-pxcW*-bur>=F#dnwJP zpX`FliZ!nCO5X`2?dPZ2=!lD=Twy5m3F;`&t)wg5rjIgi*Qo1%_#;yL^SIW3@yfU* z3q2}y;BuL2A*igvzs{$<4AD-$a=z0b;1=%tGOCAu#`iq!wnH?ZaVMt(FiRXc?`U+r zKdj7A!@Bn1cpPjqFMg<_;#?TCS_s#h+w}88?ay?l8;ihI-j%d$vG&I9Fay)o^P=EQ zM!OY>6dt~lJ$$uaxIMduxdky)^&65tzBp$^o&=@=L68O%Zw;}06njDAtppW#0UxOs z5v+O*gV&_v&amo7uU_xj$e&h}uE|r(9|{D7$4e87J4Ki(1=&mO$R+v^4}m!zzhFOh z&tMVeZC);@?RF#s+8tw>r*|Er9{=bYc#z*alz5oN2azq@Baz81$>lgw1WQMq=xoEaib3IsHs-8Ttp z+>8(0iZgZvmUrXu-yEYXi9PcyoXwz_wxk?9uge5H3r=2u(M07H#q-p!wQ7pmFKoVnuv4qqHKvxVICi8KGA; zCLX`Vhj#cr&_Q=eD~E$FBO8)4pZ*7o5-Wa<N)wcFn1fbb{?p2A@U>s=$;!bog`sI7@tdCaVHinohb2cGjzl^@vb9u1b!%spMc9y zac8KZL!rJbJUy#C1a@Evt{FpcnHfknwNRWF?BNT+f=^_AMU|u;fznE3vE}L_d z%z0x(0_8U+KG(i6mdRgS5aOoqEB6!L=@EYs*fJpXPyaDCYj8yX{L1{U(OL; z^JXubYRtQ}e;3dDj%70c!0Zr15?&v#A&|{ocR_I98F!?b&*m2>dbgc|bP7BvIyHay z7(ggSg!sV;I!rc|0pM&eWBwQ5c)gPSDUtHg*lO$vdzh1{FJXJ^O1<0)fa6-kSdtZRK&)(=S zZ4v?pFw-}^>$KxBr!RQ#Ut_PSMU;IHa$Jh`i>J8PplR5wC4Q`kV1=6YDbg^}-Y|(ER31U@b??RDLb*#O^*>IdAOOZ_?DjnhW+j%4x%`swX; z@zqrrtiL(pY&7(yMNrC|aAp#(Ze>@2_)0VsZ4|*G;DJT42W79|BIKGBu-BjY%BDW! z_8IBEJzETqy$=m!T zs`v5is!40!Mg6ZZ^U=1+{EfzZJIQqj;WZb>kvtP-J4E=;<0heJ7Rfa?;k6V8=7mCK z)1pEBF{%B6d6$|w$7$~~V^}~3iJV_rwefyfM{4h^pLvjs`Kg<5?2D}V9~kz}o38xR zU$58q^dHYewkGsN-@l9Z(0X)gs(G0rjJu*1f7FMYj8Ls)11!AnLmO~Cb6?LvqYCv2 zOcx8BztNtTjJ~w-f82#r~nfgmz;csEwbaau8G~3-@7dP_dS<)H?OEJA{C=U z#&9NX=9hQV314am<3;vcUu@i;n#n6JF4sYFrkl`nk@~L>)HGLHyK}Rv(yoi_+JrY` zt9lXX+*P^)>=jifiU2ib4eeQ(X5@G2}J#pv(ZWy@?+$GHX33)tXev7;EPI znI3_8o9q}U4{x)`vkdzFR7&YkONVMm5Pf#(nb@pjaVr2Tp9a6jjT(uKJ5Wvz+lc4L zYK5e${cABkPNgF`mg;iO__%+;yh z*WbKn8mUMz?9HHd(P@4J?CZKWc!fzffJSfRZh)bt2CqPOyW!2?)puq2veqr0d3j9nJOl7Ajmld10_r?Bp*<^kG%sI2 zr!GlJ`Fbm7ns!S^W1J@OM{-)qqDj|$5b;NF07i3d=bL4NHAJUMXZRvi)2C<;)>l&k z)uqZ&+}N0ZSh(3%@`VQ`K89wC5pstS^}R>dPA->}SOKuHAMPWxz9v7N%LColUdrD5 zQsw&BzF~eI@=dP8H(XPQ>3I^cWX^9n>tE}$*HaMFM-kIi5z}K4$%3;Y5QTBxcv7Js ziST#^s*LbM(+g-|!D!wJH-M=cvE`QPq8=pPG!uRJr& zD=BT}od(F%Ap>L__5)-%@R{MnX(0Vuuz=SmKHhu^-x=dh&rEv~lHJArShwR1Sc|92 zrcqn7OSSQ`z#O|1Jd1y9{*7wfTvw)%Q7(fl1tX1Fy*!f2EDd6@5!__SaFf}}bpOV~ z<1~^(QklZX<8;Jez$xD;6HH+SSR_B`LSt&dDz0KM+n>j8AHpY4{mFzrPlQ9w+@)Hm zX(IV}kP5KSdTga7ITsD+NRQKhbfRgeBaI95*?W(UV8QGxJ~kb}G(G%A(S(n~?kq_| zZhI!#Lyva5=Wo!G`ImH4cb(M0;>t%-X`3v|P4r9$>Gd3su_HIK)(Ja>Y$)q@Rl?RyRyflg(C%JL?|J zUU~3@HXe(&pZqQzM%s25Zehbn1%X5Z>4)^x!rp8c7eE5;WbQ3W_P$!$7QvL&V(8}# z6TP>r=4_i4cX!b5e>lEm|Ma_Q7@l@IEYmUM!A-9la*J8FVP}Vs+$t7c9E8lBEb%S+ zd~^n{T&??UsN089ay2$jDp#n+Av9M{{zV|9xYCMwgKA^5sQM{ckJZa1HkSM{nL*hz znBTWTn8N$)3V3EiQ2n|oR-M%D>O4ugx(sLi(fO2XdK!szdO!YshjuG5&c0LhL@a{u zN-K5+xgam-`z9mvDx`v-^BU>p(j|G`Vr82-(guKW7Yy`q~YFpf(ytcpwcC`77^ zh@n0hF~yKSvoNXBSU_V<3LpYX-<3v^jmgW74064<2v5_*$z@tKpR!3usWIx@stnpu+ zc;ygQ7K3J`F@b1FhiRchE-JmZ5-JwuWfpj7hZA9Gp=WV$fx;ykE|G8vPm`G}o?UcP zk%xbTpvPm^ov<^!S^jCjlix$iLt}PChR3-BMJ2VDLxKX~GmtnfVlkI2l8R`rG+IMm z=9{P(X8-<5^*`sOevm2?gJh|wZ`Q&EkoM{`MDjOAb(<30XXOBFCn_l#rqNds`iZtP zCDMnQ^efPH{&+qRrIA4|)wfM5GsA)Kgbp>_S&MNy;jK=xBXh1FPu;U5vDlrbr?anx z-OC{7x*S-VA1Kzb(Rd0hSPhZzbC)Fa&@&WDxvc4g4L|piE)CDyS$EYgJ2Vp5$|dFY zpSQxyk9-2shz+H*imm0`1nJ2*Q%B{&izVS!##2tD>!NwX&FUp}gCDdyKt0bYR}SPekp1zK;v|0v`Jrhp?l(-ioUgS)Nwj7WQp52 z;p?p4DB+}q!)m?Yb>v9x0FW(lHo~!9H2=|*whqSovs{cO3-^uC%bd=!n#wS4Ke0qi zz)v1~P}WZNXzQiXST!+yi#fnUsrI6;!;oz(}Q2dgmm<;j{Kt&B4Fo$qf|rcrbAP`pLfno(K4;w zRdp&aig3w-E8p1QoEa@_vP}6SmLVdW2QN!eQaeZwUM9NdP-UaCb)2!kcriw6j7Pp) zG_O2ue`Eckt+0tbSd#b_sAnxf3)G#6_Ey5O&t1~9Id3gu%92FrxEAyp zlRYLH-Z|6{k#D{h*_F#E zoi*mDTNF!hJ|d{?s%=N)J3|2|qCf#HMe{;x3d(KVHx>k*BZt-u@00S2Gn)w8TdfO_8|`eO3ky z;grY*(y^V#)epueqCVM-xI!(YWs#|`fbzRvBI8Of{6SkpkOX@CbvHknDoft7Ex@Fb zSdz}UGlV|%%aY#A=4rMl9i<4>S5HR=$*-I*{6(_gcXEE8bK$R#zRcDq=BUJ&umS4DUZRJ;HRFRtY2K0C=XV@=8i2N|)D zn@p%U226-6#ym1d<%Vif2ALe1Ew%?K#rUVmcxwlR(y<$5LMXDWh;1j6us{=4Ddxszg}v#Xc44 zUz~IhbV&EKpf;IvNEg7U$Ox(pQjhT$V>>UZA55L1d6A@v4_l+mTn@VZ-4KDJ>LmXC zK}aXX7-bJQY|GZnQg#2geo&NdvdnH%@I~zvk9pQB0wnK3uEDgUMPp;yie0+?LYBMi$#LFE+=O5EgepyciAX}t##rtMI$x}cs1_4YR6VET z{nK_swJPkhw|M+M87cF%@GAA6Nq5B51k|-dkv8ZjU z7E^ckmF&Hy%DtCCzgd|D%J()#2{THGofTvJ?Lk{hlQe7D#6IvVVfd9YjYy67H@)+* z`oZx8RAjF-kow={fI8hM>Mq*_@A1^q*sQBVO$22fFalI%brkgUP!~KP@ zu${9&5=@n2mL+NC3--I?s{A|wg^TMMa;9CvRfk?vG+n90cTe?$ev>pOsxj>xZ0FDQ zgMdQWXHK?rc}Rk|TFj#&XiHr&v?eP@h2>5Mv=u}5nMA@C_aMGSNBl0I(y%7I{VOqZ zcEBy@82o(4HO!o|P*b=kqwA}pHMBXUlr2N!UFW?UOv&-qF>TS$<4P+f$J7X*&LW&J zGQl#Xq^E#1y7o69!t?DsNlsWcogk0n&oV`Zxe7X2Xn)%eZ90jUbl#3B!mQj4T}68S zB$)=^2JX%SUyPyLEjlgAOJ47G5L1NdLgL@Euw7a zLil+v2(y>n_g92j9v0%|HO!?-$ATrCZ79KxD;w z5{bsY86xfR4CYIoC(9k+hpnEKwzRJo5ellk^)D@Ba4$W#SrGc1suTw(@!c56B0y(j zEeW4MCs_tETO!L>EX!9U%U2>>trFuvjrX2!bIvqX?|iv_@M4n2G>6znEb6qS<9(GS zOO#ptDy!MV&7ZT+_0`6Z1baoKrf7Bc3F6|CIArboZjwY&s*IX`+kw%fW&p8>lS0Y; zef^-J;?{FvkU&5`tOR)~ulwW+Y5*hJS$%sfompr=n$_P0Jmupy1?aO|Q%n$N`YaAT z{j;0a1|?naBUS4&sM4eF{9&vxA5cL*vZ>u!4NzBlL{j`6H1=LRL+5-BLLZbwd}oL+ zsUCRz91)l?xvXrj{JNhmhA8Z?dbQK$mv5N!OMr-C5m)$H ze@wDEi$7x2=@qef_OP-DFl&S^>Rl5>$;PvXPnEThm`0Em7sqW>w@gBg)^1nJ*cUJ4wQWHjfCz*M_QK8SFreu_p?5(J^p`c{}WIj7D>|;s9SEfri zc?i5LQ1J3rR>#LUm5oFHB*jPkEHn+Tc&Z?@CF&fB&cnBvbCmHXtgDz>Pzeb;JwIzCRUXiS~x8>e8WM8`|0s2Wo^8&env zEyQp4&z9K}cgr}(P>kYWp#Or={_Tese+OxZexlsNO+Uab;LpqWYLmR8XYx(MtQ;y5 z67}UPI)lu#cL)`Kv-i`Tpl8Qf`wNct#eO{TDZ~_uqEGXV_9i2jmG!W9D$onp%vW&) z5dvdBqq5vw;|OwY0Xc7T2pk^YeVOk=aNuN&&<~CM$f*S_oO!=ib07wPt(N~4<`!Mi zwJAP%ItX0T*Im1r(LeD+qwq=OI9|2`4-O#LsPr`tz`l$>tlEPINgCZkC1<3?uzf${ za`x8f?!D5K4QyS1GtJdku?~}gk}y~-%aA7LHK%+dp)Y6`0A^(n08J7j&n9J{sq_|) z4e$>}i)DC1v<%b`o|PWyVn_o!4o%2i5ynqnPLDh*mx1at+HCPgsr*&z^LC_x9pVGk z2;@GG{4GI$ot)miE@#e6WNhBvDQ4(v?|ENUpPKL$HFfU2vJDGM$wd)=PVp7`zN8at za7X}icXoc}DP?QV<<7y(@ye*l&B6Y52j%XEQ{Pcoku$-OQ{%nQuUuIJ?u%&H{ns8FqntI3VW9rA>j{^X?j>1rn^zR## ze>*M(TIztR2Dln3`xrw^+(S(LmdRRN!%K;po#JHM$3skdT*Duvf`{#|Kw<0&=IrUC z{#;678U`#zruM&up8YMg2wO@cZzNn3S%#SGhnPqbHK}L_Rnq_D>Tl->o(l`y2Q-4f zPppeo5*WoZEmJA|!&*g+);TT7PR7k?nSX>6H3ON*wj+`>R~4D&@qVnW3EN)bTnlkE z7_05fD9hbVSSClHy?HoF-G7tkcC{#VmC34{F*MScsF_WFJ@O#-C&vNrTQ)x)N&7oL zNXg2A(XnGkQTxKl5wCjJnUqaUQ;XX^)dy_Nhwo1VCfccaJ?ad%P3rh;-!S)mdrS7H zi@q|qo~%%JlMG*yR=@M|^;)};cr^*BdN)Z|0tVG1#bywzepgJuXU{A z1mp0Zs1BRil+yF)nPb`+`}dgL_9Xz%f2YXDFS2(L1qz(rH@xoc?slpb^Xl?vJuL|h zWB%2Vl@$nXYMDE^@)KSfRGprGh~XQbxfkm2KrjHH7e+U~s2Y$)Y*|~|6xY4E33K;m z2;QCD50e~K0x(l5B6w!E^BB8?}2RmrTk zZ!_1@aX=DesZ-+5_>Bxloa@r*d^ zZaD0{A~>&I$GF{_TaaWy%)7UrO4qWo6Pjw?9#VS1g4M{47W&1bv<*Em_4jMvC)jX5 zZ28W$0ojOjN~@)jN^I;E;+B1NyO4f1BX3#4cTp|)G9JsHxYYwGAKv=A(V?IsJ+U^0 zN|F=j--n&=8wB+}Ci+fiB1M|bJfIlHj)nxrsQvtX?M)ZLvoso5C#z5Fxlgk9igT}L zj-7+Q(KZvo{d8?#PK~XnH_8iK(em}5@ku)&v0}U7eSVZIDL3Kd_5-9`Tl5n-9JAV>Cr>>i9E>cG58Dx1|SXk zlY_*4fnxpKT_0QQ$m6&vP#=qa$g?r+>pg+^E7E^qGX2b}TJslAm{i@q2uV#@)rWT> zqa7wM=Xxb;ZmHk;3iDI#zAsN7)Nbp)D*^3TbGz;?;(aA6&zywYx z#oo;nsfiu&`)BD?fcALO@ETrgneD-;X%6kKw}v09iv!{54n0s(PyAysqiP0Zd_SAS`gV&g^>s3Q63uP=#bmfoUJs{c_~ zNV~etXqc#-I4i`-7y5TGO&DC*^s^3M+73Dn6OL`v%o45^OI*I&ci>M^%&0#`LrtPG z2t@$LE3q|A>}r{Dj5Sp1*>Q~DsYq~qFpdXR|Egx|CPm|TWnALz8PsJc5(&B@3gt_} z^f5V212)zm3!|QHCUZaAD0aDQGT3I!&u{lyGW3rf;#AXl`FvvGp*0Gucx08GBTGV5-6CL2Q-0(yz{hKI8Ru7p`lr|g9PR8&iVUvZ>5?b- zck30nU9MV`v((4k;FzPIJPhG5SYw$omy7S1RYBK#vDL;Ze+4@S2S1vD@dLx;0l9JV zsU@)X&Jof<%ZyzGI~W?94H0rU-??P`-N>jpo@9{2IN@x=_`OSYijH7TPt`IVVm_Hc zdG;6P!>TxCPQVY~iwg9^zY>YE${zGl|Autg-r&VQ7ATxCs=h4t`XEUqGn;WD0@7Y$ z=CBiPYryN$C>nL;ga1n;DgZzBwH$c!r%F0w!HM8EUsxXgHjX|dTOu7YS}(HV>m|?I z+Z}vH`{P8T|?oxKT?nF*905vKmsiVLPJGz@UH?{z|z_NTD^$6H_K1``eIHobuzu(>kiqfJbZ6VvZY zzdIC}Uci~C%6?j4&8DWR-&D_BC~rX7ex1N|NJT9*Sh`WPa1jiAS}g?eQ3dXOeCQ}x zdJ$fBB|%SGSj*{-mq?>&i{r8|0jwk?Jo0_AE^(u4sN_|e^2;cJy*uXA!OWI#b}e9} zh_$MUN{W>$vE^K#8^3`zA2X>ps~7r1pPH-ozf1dp#j}~X%RE$}FD`}TPt+9z?10Un zw^!Jr4YrT*j7pn9wyx)I=x|~ovi}TLDS#LM^(NVy_k%mZFD#nHPtmFIwK?8*qz_U5 zzJP{3*;Zpg#;C@aIIt-7aF|lE%zTU3HBMOv+LRrQ#C+G*m^4q(4_0Db5_sb(v8gZ~lgET(nC*prZyAfVGR?E0G-ERPD+!DYAq&g*p_u1U|aIW?IWwN(^(*- zEX~@Jga1Z2_UTuLleiwHm!?#Sq43f}^3AH}OYAecJC1F_ujT#cvHd|4^m=-(`TB~8 z9G*!g6N-0OdUWNu?(HZGZa`^^|8!2Vw!Yy#=_;c z*_qt2fN1i{>UvTgqfBN;7s;y<>_^S33X08@&RuN}EBRD`YIwgMT%_PE^1@7O+U9bC zvNb+@;xIBXa;P*mvYvP8JS7T!==SxgZT(ma)URRV**WBu3vI}_??nWY-bzAt4wQeT zEZX^0O{N)Ej<3WUQB$U`eG1gu9d7s+_t-Jxn6=+U93i{qx;Hi{V^7g%(#Q5|jFxftF#?_rR4aR=3B%3^$`8&8^r|mvaWE_G09hhX5a?jYCRLA;Pww8 z-$kQa?tLAre&A;y?@W+BT+F!bQjQF^A{23t^xucnMxNS|D6C(ItSKMH*w1YD+gbHP zo@o~fmNcsRCl%YUDsc4XcEJgfaLD3T8J(}J z;bW_+M{6c*^Q++wrQ*WA`dFq_PJoO=d&sf-T0d3){kBh#Xc936IO*;_qyf3am6eA} z*p^w3B?colW!TONivhlY!y|>v@iWM*BG5N26P-R`PqQxl` zw-(pp#oeK_xD=F2}w4VOg&!Tvs&s*oP9}ttH^?8vtvQR_5aKabBt~_LaQWF7+k=-ZaGI zJE={Zb@*Z-+^7&9tb;7(iZG=DE#=$URg_+hbgKUL$(&XAgT>BWqptWtHzVp<2{UG= zv>cXiUk*h2Jc5G%IRAmN|2Tz@;OkfY3eY5%7<2t^m!(znrgC(=X7S=5u|MNUc&Q@~oN2Ag zKTPKAcU*ea`aDZNyvF>jsr)edrZbQ5VOgL^$+qFy22f9bL`7Q~$9m4B$g+j5rg<2PBs zC6MttKz7%lmef_2(B7EJinQtMW^ifGMl*;5F19Qs9K^AeaANkE`O}~$b?hd^kWHX=DKI|SWXfTzU;px?*65^m@uU_vV2M6p$s%B>T-r_Z$?}c; z&Lr|r%GCa{$-=uAtLfU<1zk&ng^^g!C#`iHiy;}(q77VMITkJR9>J$aAYJqbc6iv{ zn`>TsN=2mQ+Lv8!x20q?X4#i@m!!s}hl$c0T;?=?*Un6hTTXD`=Xc|L|1|IWcO0rI zqNnfX!PtpsUc$1GWQxfjb+f=yCJ`v+I9Z#>jMvF#2Ge$`B9Tu=kS5fygzA#3$0!PW z%D`Nh90m`At8yG8kMfgWE5J7%Wsa90e$61 zbzWEHJU&+y`d3R$na-?_q&z#&SWJ<@P|akP&-G1Q-TBZG1LJzuSB{*~mzl2OI$wV& zi_aWi3Hs;jGfLkezTEMtJ=vb;8biaGu%|ZW>sy{{E)6-t9t|O0 z^EZfcQ}sBrcYWVo{dtm}u7d{6*bgS_{EP+W>k=*I9dN?7m1CotT3yH?j!Yz2eaV$d z{j?1)3E&I`c$jwCi>xo2_myA80I~yJTZB&Q)s#K+ZKY}dgtj;PS*`{Pobd>pxeA=c z(A;Q~cT;lb{2>o?=yuimPB%D{Gp9T-Dj4^@=5;M~bg(TfJ9+V%*eZC9X?5?_tDv0Q zr2$i0vA0qNn-FXd*f$2LvIAXwD?aej77r}`0N|hL{%u?+L1ndiPv4|Yr7%yO{M$sH zM%^{R>-2I;3m(~+OqS$EztpI67BUKcr>|jUTNIyKsCA-ViAg_ce$6*Cb*eR$)_I-x z)Y8;@bTVt->D4z|yAS-vw2zf}u?>6g9Jl=c5i|8xkWbR8;(R?Qe#ve&Z}+rB=pz$j z!;iDPP;+-n>PrdoaWL-OB!a%fNb;7eN-1=CC7PeRr97h(j;|eK( z^CJ`|E@5OYp2g-(dyQXx-}S!3PsD$GneTh+J_2)UI_Y|n82@6)v)4g&a$s?ZswKa= z^ponF-bsGLlVyi2Up#pXtE&9(`|Berg?}(zlCkGPnPhGC6e5Sn9ITuZ%Z+$jQ>zuOYp}ZkewG zXxg;Y$Rkv|PsKYq5@1yIesl@tnnz{aZu5TgL{cu(W=ivpwbxrVitJ2wzAXO-hHp zTt}bwrhe0gxw*Ylm$&H(Sr?`A*hDe*^}EmSWcDIp%ReStKKI$!jT+WuFMhQW!25un zYxnB|;|C|ox|om^w# zX@4@?_r>&5Veuy}e9<)0zwnQ}Yo4$%`4>_wDAnZnNFVt_bn(O0$ihv@dTSGG7nBw5 z^?y9ZcX)*6F)Um>9}|f78|SmE;2A zHsph~@PxE2`K%ap+eN&k);ERgC;LpID<;Of5T%UyG&DSKa@}0A+t}vYOi9CxnVAcz zQ=G|J{yyCj`(I5G?W_T8s6_mn=^CzfmyYl9$9@>%^^ci0 z+8m2_rNMKyHMJ`ks&S!DIHD(%le9OfXL0@wqhWxeB#f$C5OTkQ`V=SV*Bp_JB2A9P zOZoxYt|%O*6uHa&hv@En-Jr#Q5&s+6}xbo|vgEcSixAdSBxFF2Gq_iNag z%_G6LeI)Atkpx>s-1G5G*eC8X+ZY*faZHG;BT4Gs?I%Lw01 z4|b?VpJ>+4jVf)<##$b#q?Eu(m7Olu#BAKdk{eT971@JEZBAxmLoP}^-bb-|UT)HG z>l@Z=pb2c|(Kq+b&|gdl{Z#m2gM&C221gNF`pa)O>^sSc3h13?xk_1O@NaqTP8~c^ zU8D&4RM9KV4ARGQfH<+#riSGOOI{seV`tj10C3qXQ_{_&!%!2m*Xj(X7sf&R5r?_SCA~8 zPDfPqFl?TLh@xnrXmNP5RW#{fmQWraOb7=YM$)qm66Q>$2<& ziz)2_k>heTIo9&=7tFs6hPWU8jCoT-0}$QZG1Q3ev6v-@CeRYWU2?MRegO2Zn+D(1 zORD8qo3FQi1(=A*5=dd?|myZ`{vJUJuflpTDx~8!h2mZs?RxJ zM5V}E>0IJUq$aA!8Rp*mZ|EYvOs&Wqix^L;>3D5ftq7MJ>5>%#o#izhjy^UcdcG z7^EnrYb|nqOJrA(G})ENcx|1^sA_%Xe|{+`-H~4$e5>Tw6!{%|NCRT{jbZp z2eXIgVw^};mNE$emK2xndfL>zS%;P8QtFZ(iP?qz#qIIphn8G)@^IQxT<*5P@laH+ z=N+?kSo^>g{X16jOT*ibf;MZ~ocMwQ7n)%T zR#b_L^Ic_XpNFzqL2DGPD+7kmC9b6O(D;yMBsc>DY?A*lf6PUhM+~I z#_`k9d-ibxBGJLh4Vw7z^Ll=RW?$8b_7@x~P;&2pd<-L}-ul=&v~su4w{{juD3!e_tF+(v*vAlTD!w3HpGXA< zEcR;@hO0-t&SPtqy?Lr6E{lz%O|q*}5@dA8gs$&BFE(zV&CU{z=kz@-@KQh{B?xd~ z9T6oFUs8xwq_h-PWwJ1_tuHJ?koZ2TOv6dpV$T06Ko7mY!`qeRTj&4v$@^hH!9+2k zbroBUd4E)kJJpCwi&dqi9ccS?sjyW2YcGmhF#bAsELr<=kY-N3+306iIN0{^4M&3i zjCJts@6+g<=xL@-YoLBj=@Qj`li9h7-DZ-jVab+ghk%a2@dW-E)!yG&2dgt}S5|Q>> ztzDmgrk$u2VI2|jZGGmjCZ%)1-zWCTwpKLqhlDkvU7T6&^5{1gG*aZ&X^W9q7xjh9 zoQ@?Qc&sep6$V2b8dwcu{B6@3@%X@{_s4Bkyl5oNViN4{$_p+OIFRWqPef5Ck=7Rh z#6OA`7FC_mGZ2GHm$P5YR2lm4f;~SvS6BNX0y{F@XS3B{f5JioyTndqpm@>cJcG`? z$2og0J5pYpEPLUFJA{AV$piR4CwCqj)-9e}RKxU&omvG2y4Y;jpmooMGm}r0O@nJi3== z_G_HhJ8wpfznT;awpVvmeP~^G{LE{KI6rI9}pSGK1nBe0io42E0|_+`fw-R zG{6ek@c}eLV!ex@k(01sM(d2Y9@ZcPV$=`EGEo__vwVrh9aTu3U3`#SwS+4{;2;vw zcJhR%ASR^ICo+T|6MT{RssJFNpkNVZC=IMZZUX@`e0)c&tuH!9pepj^F66V0v@;$2 z^1OB}z9GjELREWt%JD2_@s%x8dprA>A5pU7lXTDPeqQY^BOk5%jF*rIGy=?X7T1*8<7tCi~H{(!N6y}8d@~g65k*FRF+SYMVZIh zEBj)s9gtWI`4(xx6qb7Hqqw`pw8NpUOqxXUok_k+ftDbPF44{jNX1Us^Wjr@WrY7C z;8>l8C9g*E;q*W~UML0q{y@fR1?1Na77UW*blo|p=)<*VRkV9N#v+}jOO-QMBOTRa zh;%`23r9rVQVm^eNR-|-4Td>DMNy(*7P1$1agXQ3Q}EhhBfxpH^D+1|!w$6VM~%Qb zsYC2WXEkdhk0vqipjSc4cp)mqR4hjw-B6%o&{mhy+2ONfeM3{uB$T6T?EW1=50;V4~J>yoewPPf)g)DA|Fgr&~f4VI3He1zX)X za6TN6QWW~r7Ko=mQd`*5Za^}6;?@rb%+U{g4u8}q9`K^vLaRcGaN!vQf&`FAjv&h@ z1x-jUMr86<4+i>Uj2{+KfnbIZ!a^Pf#6YtRIOD(0{M&)`DyDj1{!OC5eq3q z@PQB_M2X~hgiki9w;ru#kBJ(?LDyoSpAE=iqPTJFXtpBXKpux59Mg@3oF({208xMP zfe50&4X?q0j}dTngmIn^&K>udj|br~&q71!rG>UI^JRR1#8|RyqL3=TH`<3i1jO0t z83z(oNlvAdlVWY;2~LVw_C|E8XD1uG4IVRcf%nq4t3Qu6-rKKrjx|~xGKW5tgq|Jx z{cDZ`caFV`_RIKNMQ8V(VFWQYYI7(hF1Cvj()g0^)GznYD$?zjfr$txuOT85`oi-h z7k}I4Dl$!>bvjSagkCDOi?PnS0 z;G46|VG(AD*bZAg`#kGaImIGJ7TK-fhII5jxVsY+??`{m`sJml91Py11rez@1YnOH z`cVcYVxX+$y?omB@phK?k3E_=@o3(^R$xX8v(U=}^d!-;z_T<4dDJsBm_t*7c`5O5 zR&Yn)S)cRPQ%Bk_VzX~KBEppfzq5Q$j#SFDE8T1rW@?{@HMDiWJ&0v{oqhbbop--* z%*sc~bLw6E+0Q%=nMHI1{M%hJFc_WV#Lp7*;I0qjx-P1$rwcY5=ro_m`O=#X7@zLjMW?rM8G2*bbEV z^r-fD2j**7Ix=xq3oM~7U<#1mz4BfPPSO$*1p3VG1cl6gAN+^#U5b#c0DQS0^-ff` z91sb#mOb>d9R!|peTR?_>?$YZVR& z#cf`JD1P>6GWtG^QTbZy01$*^)MrfrB(LyJGhHHfXXC<1I@lu7A~Rh6;myQ$PTeiR zALvn<8GvKM4r@`Vsht4C>CS0&U5e0@o+T_7swV-IaQ*GMq}}vkY}x29a%%E<3IGb6 zzPnc$Z}OGtp-DdU?KZN=5JgPwIEHBQ*-F^hgjvYzz3Dwbpi{kw{gT@r=-pzWz=?YJ zNe{XJ#B`%@&j%Dh0Go4oXi^CW&}S2L((-usTGDmxet$!mdR5a$-W4B>VW{DK$NeuE zg~Joy+j2f#3$<5!W?34$MaA_F$qJtwVmEIK9vpsKne#1hi)t6_u1s9jN^ zquXc4l>RF>4f4?;2Kq1ZbTY!C!hsl1D&6o0np2w!s|cQ%NGlx&sY-r+R$1iIwXs(& zEux1<{a8e)y{;TcjuT_1HA+G^_j=Tfb+>v;X>wPLkOhL=MKaP3=LM$!Wiw8FAKaqe z34d@${wUX#$M0N_uGvS*T#=qxm%wZ5Vq@#zvIHr!@x31xo_)?xj-(4-p4e^56a-RV zZOv5&SLGC$ZpWuy^H^5s=3LZX-c23$!20%boljl&?iYt;v}Ap7L1M{B1vU8RsCyr_ zj5dzPWnWsArI#?~wR+4?fK*WOQN;}O_Ico7L=*q{CE!rOQhge*c1VsyV-NbB7%t?k z=6hp3R}66k1`jU(_KDZU>_6=O&X3|ij;zwJbz#fU-@Un@bvVXD^cqpJ4Et@_wO?X` z=}bs#WLx;okxA1DLw471E>3jf^n~RKiZ>ezHZO>IxI;3$JP=1rKbvP`_p=9P*APh0 z`(!zbIrrrQFVIu@OC1?zdD2;?2-2`$5vYLsyWH>q7(%V+-+ExrdzO#u&_@G7%Y-U- z`)95tu%JZZa=q)6Ky3fpZmIiC@4S&%h0>zBtk~w*`3C%!yOa*&q-*OQmRn~vt}wgS zTE7BqZCOBGR%}-6SD{faI)%5$C@A*OeYEEJ`$=R%Rsy)%!S@Yc#Kykoe?Ig23 zzaSku;L)hS0#ju<8U8VWSEo_s&9*ISB(W^A`D=pbk~i+50(VHs2Efh(9ls0qxJw9e z*amU`=@&j*4ss6iCBy&bI`<0cTxGXlT5=f)d^(aGPo80jq}rj46)=!!F`no+#x0Q} zvGm-iU{928?KN?%;-R{rJA#Tsg+rx<6s__4gCLB1G&7YvjSYG%_W!cCmT;Y=|H83% z$x;=BQT=diujCcD$}Ux!-b?xU|G-GF@1kHoA0ZLGGSRR%k;W1?x$4{cAHx3I@lIQd zG27z#0}P!!Q=TpcOz6$LvJ`J5v37%*xH+&ktbfk!%Y%!+95XZCr@&4850-C_;xMPmFP2>yuc+7MSJiFFcilkDpw^dG}lAg0MadyK) z7P}2~Q9R~w=+2+pZVJckv6L|;BASR^_$j6Qm8l&wzqKrKNY_jP-Qrsil#OvbGd?h` zR1X?uPL-nz{lb+NR`K7gfF_KxF!!>M-y^J1HCyTA{K$UZV5I$Rhk64r(%LsOO-4K7 zCldq0TWWlC>c9N5axj-G4C5{hdyV5L0C>1Omq1=YQ%bfHbd7%nkbPjXAvU zbWqp%Oj~ZpSMn?(J*zdV^(ZnGwDX?<&3|I-Kt~n)r075c`2T(ROJI@=3}z%m z>cj|5&316YJ7NT4;#e|3(|YoJ+Z=U5EyytS!9&$?yhpB(LJO=Ei{TNG*+~FfkO~v9 z;F2J)-#KFJ=$#b$N|Tud*^2q-O3=Q%#oc0k@EHPc*u^6I$Tco%r+~Sep;$1@!AL=U<`Yf$ z8Cw#{8S6@+Tr<)S3)PB=(nOS%Mhl_Aq;vS^3Q2Yl1Q9k;jC!sg{jv(%`h?9K68xR9 zEp6bZ5p{y|L1dT%ggn45avKly7x!F^c9VmVt<@JDa2yLiSCk`=SNH%&R~LhdS!Kq3 zR$(@}1z_z-Buds1LBiL>`cQj#p-{sQ3Yokkne;Pf7rHu={t!+h{c>&&a)KiNJVy3PJ68e7gpZoy}Za( z0bXrDGH!3xNPzi?YAOQUr^?YS2E z_d>g~$2H@8v)C84&<@&?p^GnZH`jXPoxWd%mAt&GQQH7kp92-Ld>@l3`*b39SGZEc z)U4#Yc{*5FL{M)lZ`mV-9m9+6Mr*Cx5@@IZ7{?o`T;)*=@yNY~Dq z#gc5iTd~K7;o(cfSPnbBNjPH<)WRx=52-TQ$Ng2H`!sP;YjXCdT*5_7Yp8ZpP{;Ed zovo698JVpwUj61QA%%@Wpq(85kqGiF3>NuQ$1AdsrH@;?QU}kYl)V_8F0zLnUfto# z_xeuXp|LP9Fwp2A%&dwXLXqPO#<7R5=Ofb&67MDY_&S zTvWN!Kds{%dAo55Rp4$|PXw;OJr6sq$GJZXFo7S-4kc|l1!ifIcJcBS^Z*f_<knLy>4-$MOfz?RJ5>64!2roQNubrFNAu^zX&k= z9X{1fqbVT_?XOOfKEgQPQeY&$Vq{1`N;;T%f=R;kg0dsOaR!5g1AVu_U(Y9@@3*cO z$N8SEjCoH81ZAl_q|kB#nM=8 zl{vps)ZZe^r~rh_KG)Jy5?Siyawl3#Bbe>bS$h1Hkyk&L7A=}yPdb&W0>85dov`Bz zUjWbUPfQ%)U&PU*-R?aN18MWS69SVja-;CA#hR9}tn7K>|GL<4N?|4ojFVHV zeXvF(=A;VEwQf`6HxF!#v{ncyLF0gvz8D;DABAaS6Oz!{D#pC*WG|~Xx>+_fjXy-g zg5P5BFV}f}dohgr^Yda2Fz|4W?o|bOpt#LE-QBC*G33R^&mR|+52Wc{g`XqJx=Z=U zrl-#;RRwXr>8EM`45n!0&WPOf>C8IlJhi7NHw?H#2PrX}s72O-s|<-&E0+Xilr{q0 z+H}sX4@<(4gQ@3uvjxWLy3@Z`s`%~PuL!Cpnn5V*asa{ZhhjU9qAcICY;!g{P77C` z7n?=l5U9B0yJQgpf|b123Z!n^Rm%i1+X$Mjk#UL$9p1UGj1%p^1P8H)c|{xn29giY zEEf7+ZuHAjmK?6M`I%rHzeQ~IkLZ!kcQYuGh5~fQ09(u%d9^<6`UT6f-O} zG-AE2ClCr0GbLT*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=HzP)afblbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilN9HF1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci b/bsp2/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..711751054aa1f2ac2260bd6665e0034601d5eeba GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=IeP;xQ@lbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilJ$@#@ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.syn_hier_info b/bsp2/Designflow/ppr/download/db/vga_pll.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.tan.qmsg b/bsp2/Designflow/ppr/download/db/vga_pll.tan.qmsg new file mode 100644 index 0000000..1a89b30 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.tan.qmsg @@ -0,0 +1,15 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:55:36 2009 " "Info: Processing started: Wed Oct 28 14:55:36 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Warning" "WTAN_USE_ENABLE_CLOCK_LATENCY_FOR_PLL" "" "Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" { } { } 0 0 "Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" 0 0 "" 0 -1} +{ "Info" "ITAN_SLACK_ANALYSIS" "" "Info: Found timing assignments -- calculating delays" { } { } 0 0 "Found timing assignments -- calculating delays" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_driver:vga_driver_unit\|line_counter_sig_4 register vga:inst\|vga_control:vga_control_unit\|r 28.83 ns " "Info: Slack time is 28.83 ns for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_driver:vga_driver_unit\|line_counter_sig_4\" and destination register \"vga:inst\|vga_control:vga_control_unit\|r\"" { { "Info" "ITDB_SIMPLE_FMAX_RESULT" "125.83 MHz 7.947 ns " "Info: Fmax is 125.83 MHz (period= 7.947 ns)" { } { } 0 0 "Fmax is %1!s! (period= %2!s!)" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "36.570 ns + Largest register register " "Info: + Largest register to register requirement is 36.570 ns" { { "Info" "ITDB_FULL_SETUP_REQUIREMENT" "36.777 ns + " "Info: + Setup relationship between source and destination is 36.777 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch 35.747 ns " "Info: + Latch edge is 35.747 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} } { } 0 0 "%2!c! Setup relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.021 ns + Largest " "Info: + Largest clock skew is -0.021 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.043 ns + Shortest register " "Info: + Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.043 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.483 ns) + CELL(0.560 ns) 2.043 ns vga:inst\|vga_control:vga_control_unit\|r 2 REG LC_X72_Y6_N1 4 " "Info: 2: + IC(1.483 ns) + CELL(0.560 ns) = 2.043 ns; Loc. = LC_X72_Y6_N1; Fanout = 4; REG Node = 'vga:inst\|vga_control:vga_control_unit\|r'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3226 11 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.41 % ) " "Info: Total cell delay = 0.560 ns ( 27.41 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.483 ns ( 72.59 % ) " "Info: Total interconnect delay = 1.483 ns ( 72.59 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|r {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.064 ns - Longest register " "Info: - Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.064 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.504 ns) + CELL(0.560 ns) 2.064 ns vga:inst\|vga_driver:vga_driver_unit\|line_counter_sig_4 2 REG LC_X22_Y42_N7 9 " "Info: 2: + IC(1.504 ns) + CELL(0.560 ns) = 2.064 ns; Loc. = LC_X22_Y42_N7; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|line_counter_sig_4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 96 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.13 % ) " "Info: Total cell delay = 0.560 ns ( 27.13 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.504 ns ( 72.87 % ) " "Info: Total interconnect delay = 1.504 ns ( 72.87 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|r {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 96 28 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns - " "Info: - Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3226 11 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|r {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "7.740 ns - Longest register register " "Info: - Longest register to register delay is 7.740 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|line_counter_sig_4 1 REG LC_X22_Y42_N7 9 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X22_Y42_N7; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|line_counter_sig_4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 96 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.012 ns) + CELL(0.332 ns) 1.344 ns vga:inst\|vga_control:vga_control_unit\|un13_v_enablelto4_0 2 COMB LC_X18_Y42_N6 1 " "Info: 2: + IC(1.012 ns) + CELL(0.332 ns) = 1.344 ns; Loc. = LC_X18_Y42_N6; Fanout = 1; COMB Node = 'vga:inst\|vga_control:vga_control_unit\|un13_v_enablelto4_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.344 ns" { vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3301 27 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.336 ns) + CELL(0.332 ns) 2.012 ns vga:inst\|vga_control:vga_control_unit\|un13_v_enablelto6 3 COMB LC_X18_Y42_N4 1 " "Info: 3: + IC(0.336 ns) + CELL(0.332 ns) = 2.012 ns; Loc. = LC_X18_Y42_N4; Fanout = 1; COMB Node = 'vga:inst\|vga_control:vga_control_unit\|un13_v_enablelto6'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.668 ns" { vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3293 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.768 ns) + CELL(0.332 ns) 4.112 ns vga:inst\|vga_control:vga_control_unit\|b_next_0_sqmuxa_7_4 4 COMB LC_X28_Y35_N2 2 " "Info: 4: + IC(1.768 ns) + CELL(0.332 ns) = 4.112 ns; Loc. = LC_X28_Y35_N2; Fanout = 2; COMB Node = 'vga:inst\|vga_control:vga_control_unit\|b_next_0_sqmuxa_7_4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.100 ns" { vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3286 27 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.139 ns) + CELL(0.489 ns) 7.740 ns vga:inst\|vga_control:vga_control_unit\|r 5 REG LC_X72_Y6_N1 4 " "Info: 5: + IC(3.139 ns) + CELL(0.489 ns) = 7.740 ns; Loc. = LC_X72_Y6_N1; Fanout = 4; REG Node = 'vga:inst\|vga_control:vga_control_unit\|r'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.628 ns" { vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3226 11 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.485 ns ( 19.19 % ) " "Info: Total cell delay = 1.485 ns ( 19.19 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "6.255 ns ( 80.81 % ) " "Info: Total interconnect delay = 6.255 ns ( 80.81 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.740 ns" { vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.740 ns" { vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 {} vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 {} vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 {} vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 {} vga:inst|vga_control:vga_control_unit|r {} } { 0.000ns 1.012ns 0.336ns 1.768ns 3.139ns } { 0.000ns 0.332ns 0.332ns 0.332ns 0.489ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|r {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.740 ns" { vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 vga:inst|vga_control:vga_control_unit|r } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.740 ns" { vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 {} vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 {} vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 {} vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 {} vga:inst|vga_control:vga_control_unit|r {} } { 0.000ns 1.012ns 0.336ns 1.768ns 3.139ns } { 0.000ns 0.332ns 0.332ns 0.332ns 0.489ns } "" } } } 0 0 "Slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1} +{ "Info" "ITAN_NO_REG2REG_EXIST" "board_clk " "Info: No valid register-to-register data paths exist for clock \"board_clk\"" { } { } 0 0 "No valid register-to-register data paths exist for clock \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_MIN_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0 register vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5 730 ps " "Info: Minimum slack time is 730 ps for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0\" and destination register \"vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5\"" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "0.654 ns + Shortest register register " "Info: + Shortest register to register delay is 0.654 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0 1 REG LC_X42_Y42_N9 4 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 112 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.419 ns) + CELL(0.235 ns) 0.654 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5 2 REG LC_X42_Y42_N2 4 " "Info: 2: + IC(0.419 ns) + CELL(0.235 ns) = 0.654 ns; Loc. = LC_X42_Y42_N2; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.654 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.235 ns ( 35.93 % ) " "Info: Total cell delay = 0.235 ns ( 35.93 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "0.419 ns ( 64.07 % ) " "Info: Total interconnect delay = 0.419 ns ( 64.07 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.654 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.654 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.419ns } { 0.000ns 0.235ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "-0.076 ns - Smallest register register " "Info: - Smallest register to register requirement is -0.076 ns" { { "Info" "ITDB_FULL_HOLD_REQUIREMENT" "0.000 ns + " "Info: + Hold relationship between source and destination is 0.000 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch -1.030 ns " "Info: + Latch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Hold 1 " "Info: Multicycle Hold factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Hold 1 " "Info: Multicycle Hold factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} } { } 0 0 "%2!c! Hold relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "0.000 ns + Smallest " "Info: + Smallest clock skew is 0.000 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.097 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.097 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.537 ns) + CELL(0.560 ns) 2.097 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5 2 REG LC_X42_Y42_N2 4 " "Info: 2: + IC(1.537 ns) + CELL(0.560 ns) = 2.097 ns; Loc. = LC_X42_Y42_N2; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.70 % ) " "Info: Total cell delay = 0.560 ns ( 26.70 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.537 ns ( 73.30 % ) " "Info: Total interconnect delay = 1.537 ns ( 73.30 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.097 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.097 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.537 ns) + CELL(0.560 ns) 2.097 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0 2 REG LC_X42_Y42_N9 4 " "Info: 2: + IC(1.537 ns) + CELL(0.560 ns) = 2.097 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 112 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.70 % ) " "Info: Total cell delay = 0.560 ns ( 26.70 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.537 ns ( 73.30 % ) " "Info: Total interconnect delay = 1.537 ns ( 73.30 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 112 23 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.654 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.654 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.419ns } { 0.000ns 0.235ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "Minimum slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1} +{ "Info" "ITDB_TSU_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0 reset board_clk 10.866 ns register " "Info: tsu for register \"vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0\" (data pin = \"reset\", clock pin = \"board_clk\") is 10.866 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "11.923 ns + Longest pin register " "Info: + Longest pin to register delay is 11.923 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.624 ns) + CELL(0.213 ns) 6.978 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X30_Y38_N3 53 " "Info: 2: + IC(5.624 ns) + CELL(0.213 ns) = 6.978 ns; Loc. = LC_X30_Y38_N3; Fanout = 53; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.837 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 153 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.397 ns) + CELL(0.213 ns) 9.588 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 3 COMB LC_X52_Y41_N9 6 " "Info: 3: + IC(2.397 ns) + CELL(0.213 ns) = 9.588 ns; Loc. = LC_X52_Y41_N9; Fanout = 6; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.610 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 246 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.609 ns) + CELL(0.726 ns) 11.923 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0 4 REG LC_X42_Y42_N9 4 " "Info: 4: + IC(1.609 ns) + CELL(0.726 ns) = 11.923 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.335 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 112 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.293 ns ( 19.23 % ) " "Info: Total cell delay = 2.293 ns ( 19.23 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.630 ns ( 80.77 % ) " "Info: Total interconnect delay = 9.630 ns ( 80.77 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.923 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.923 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 5.624ns 2.397ns 1.609ns } { 0.000ns 1.141ns 0.213ns 0.213ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 112 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns - " "Info: - Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.097 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.097 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.537 ns) + CELL(0.560 ns) 2.097 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0 2 REG LC_X42_Y42_N9 4 " "Info: 2: + IC(1.537 ns) + CELL(0.560 ns) = 2.097 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 112 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.70 % ) " "Info: Total cell delay = 0.560 ns ( 26.70 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.537 ns ( 73.30 % ) " "Info: Total interconnect delay = 1.537 ns ( 73.30 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.923 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.923 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 5.624ns 2.397ns 1.609ns } { 0.000ns 1.141ns 0.213ns 0.213ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.097 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 1.537ns } { 0.000ns 0.560ns } "" } } } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TCO_RESULT" "board_clk seven_seg_pin\[7\] vga:inst\|dly_counter\[0\] 11.498 ns register " "Info: tco from clock \"board_clk\" to destination pin \"seven_seg_pin\[7\]\" through register \"vga:inst\|dly_counter\[0\]\" is 11.498 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.043 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.043 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.483 ns) + CELL(0.560 ns) 2.043 ns vga:inst\|dly_counter\[0\] 2 REG LC_X30_Y39_N4 10 " "Info: 2: + IC(1.483 ns) + CELL(0.560 ns) = 2.043 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.41 % ) " "Info: Total cell delay = 0.560 ns ( 27.41 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.483 ns ( 72.59 % ) " "Info: Total interconnect delay = 1.483 ns ( 72.59 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "10.309 ns + Longest register pin " "Info: + Longest register to pin delay is 10.309 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|dly_counter\[0\] 1 REG LC_X30_Y39_N4 10 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.164 ns) + CELL(0.087 ns) 1.251 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X30_Y38_N3 53 " "Info: 2: + IC(1.164 ns) + CELL(0.087 ns) = 1.251 ns; Loc. = LC_X30_Y38_N3; Fanout = 53; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.251 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 153 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.867 ns) + CELL(4.191 ns) 10.309 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(4.867 ns) + CELL(4.191 ns) = 10.309 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.058 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 240 928 1148 256 "seven_seg_pin\[13..0\]" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.278 ns ( 41.50 % ) " "Info: Total cell delay = 4.278 ns ( 41.50 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "6.031 ns ( 58.50 % ) " "Info: Total interconnect delay = 6.031 ns ( 58.50 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.309 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.309 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 1.164ns 4.867ns } { 0.000ns 0.087ns 4.191ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.309 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.309 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 1.164ns 4.867ns } { 0.000ns 0.087ns 4.191ns } "" } } } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "reset seven_seg_pin\[7\] 16.036 ns Longest " "Info: Longest tpd from source pin \"reset\" to destination pin \"seven_seg_pin\[7\]\" is 16.036 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.624 ns) + CELL(0.213 ns) 6.978 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X30_Y38_N3 53 " "Info: 2: + IC(5.624 ns) + CELL(0.213 ns) = 6.978 ns; Loc. = LC_X30_Y38_N3; Fanout = 53; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.837 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 153 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.867 ns) + CELL(4.191 ns) 16.036 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(4.867 ns) + CELL(4.191 ns) = 16.036 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.058 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 240 928 1148 256 "seven_seg_pin\[13..0\]" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "5.545 ns ( 34.58 % ) " "Info: Total cell delay = 5.545 ns ( 34.58 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.491 ns ( 65.42 % ) " "Info: Total interconnect delay = 10.491 ns ( 65.42 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "16.036 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "16.036 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 0.000ns 5.624ns 4.867ns } { 0.000ns 1.141ns 0.213ns 4.191ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_TH_RESULT" "vga:inst\|dly_counter\[0\] reset board_clk -5.569 ns register " "Info: th for register \"vga:inst\|dly_counter\[0\]\" (data pin = \"reset\", clock pin = \"board_clk\") is -5.569 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.043 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.043 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 84 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.483 ns) + CELL(0.560 ns) 2.043 ns vga:inst\|dly_counter\[0\] 2 REG LC_X30_Y39_N4 10 " "Info: 2: + IC(1.483 ns) + CELL(0.560 ns) = 2.043 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.41 % ) " "Info: Total cell delay = 0.560 ns ( 27.41 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.483 ns ( 72.59 % ) " "Info: Total interconnect delay = 1.483 ns ( 72.59 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.682 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.682 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.177 ns) + CELL(0.364 ns) 6.682 ns vga:inst\|dly_counter\[0\] 2 REG LC_X30_Y39_N4 10 " "Info: 2: + IC(5.177 ns) + CELL(0.364 ns) = 6.682 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.541 ns" { reset vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.505 ns ( 22.52 % ) " "Info: Total cell delay = 1.505 ns ( 22.52 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "5.177 ns ( 77.48 % ) " "Info: Total interconnect delay = 5.177 ns ( 77.48 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.682 ns" { reset vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.682 ns" { reset {} reset~out0 {} vga:inst|dly_counter[0] {} } { 0.000ns 0.000ns 5.177ns } { 0.000ns 1.141ns 0.364ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.043 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.483ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.682 ns" { reset vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.682 ns" { reset {} reset~out0 {} vga:inst|dly_counter[0] {} } { 0.000ns 0.000ns 5.177ns } { 0.000ns 1.141ns 0.364ns } "" } } } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITAN_REQUIREMENTS_MET_SLOW" "" "Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details." { } { } 0 0 "All timing requirements were met for slow timing model timing analysis. See Report window for more details." 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1 Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:55:37 2009 " "Info: Processing ended: Wed Oct 28 14:55:37 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb b/bsp2/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7a45114581bb8ff3e53543d803e264030a96c865 GIT binary patch literal 178 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#utZ?_Pd=!4HP(3lh#h5D4Etp~BzZyuNmu?tKR>Nr{RBD$LBnQ`o@T0n5=c AP5=M^ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo b/bsp2/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo new file mode 100644 index 0000000..fba8497 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo @@ -0,0 +1,15389 @@ +Version: + 9.0 Build 132 02/25/2009 SJ Full Version + +Chip Device Options: + Device Name: EP1S25F672C6 + Device JTAG code: ffffffff + Programming_mode: Passive Serial + NWS_NRS_NCS: UNRESERVED + RDYNBUSY: UNRESERVED + DATA 7 to 1: UNRESERVED + nCEO: UNRESERVED + UNUSED PINS: RESERVED_GND + Default IO Standard:: 3.3-V LVTTL + User Start-up Clock: 0 + Auto Restart on Error: 1 + Release Clears Before Tristates: 0 + Device Clear: 0 + Test And Scan: 0 + Device OE: 0 + Enable Lock Output: 0 + Enable Init Done: 0 + Enable JTAG BST: 0 + Enable Vref A: 0 + Enable Vref B: 0 + + + +**************************** +******Individual Atoms****** +**************************** + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 230 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 231 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 232 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 233 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 234 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 235 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|r_Z -- UNIQUE + Atom Hier Name: + Atom Id: 236 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_Z -- UNIQUE + Atom Hier Name: + Atom Id: 237 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 4000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 238 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 239 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vpll:inst1|altpll:altpll_component|pll -- UNIQUE + Atom Hier Name: + Atom Id: 240 + Atom Type: stratix_pll (WYSIWYG) + +User mode PLL + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [INCLK] board_clk LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: [INCLK] DISCONNECTED + 11: [CLKENA] DISCONNECTED + 12: [CLKENA] DISCONNECTED + 13: [CLKENA] DISCONNECTED + 14: [CLKENA] DISCONNECTED + 15: [CLKENA] DISCONNECTED + 16: [CLKENA] DISCONNECTED + 17: [EXTCLKENA] DISCONNECTED + 18: [EXTCLKENA] DISCONNECTED + 19: [EXTCLKENA] DISCONNECTED + 20: [EXTCLKENA] DISCONNECTED + OUTPUTS (Int. Connections): + 0: [ACTIVECLOCK] vpll:inst1|altpll:altpll_component|pll~ACTIVECLOCK LIT INDEX 0 FANOUTS 0 + 1: [CLKLOSS] vpll:inst1|altpll:altpll_component|pll~GLOCKED LIT INDEX 0 FANOUTS 0 + 2: [LOCKED] vpll:inst1|altpll:altpll_component|pll~LOCKED LIT INDEX 0 FANOUTS 0 + 3: [SCANDATAOUT] vpll:inst1|altpll:altpll_component|pll~SCANDATAOUT LIT INDEX 0 FANOUTS 0 + 4: [ENABLE0] vpll:inst1|altpll:altpll_component|pll~ENAOUT0 LIT INDEX 0 FANOUTS 0 + 5: [ENABLE1] vpll:inst1|altpll:altpll_component|pll~ENAOUT1 LIT INDEX 0 FANOUTS 0 + 6: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 FANOUTS 84 + 7: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK1 LIT INDEX 1 FANOUTS 0 + 8: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK2 LIT INDEX 2 FANOUTS 0 + 9: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK3 LIT INDEX 3 FANOUTS 0 + 10: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK4 LIT INDEX 4 FANOUTS 0 + 11: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK5 LIT INDEX 5 FANOUTS 0 + 12: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK0 LIT INDEX 0 FANOUTS 0 + 13: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK1 LIT INDEX 1 FANOUTS 0 + 14: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK2 LIT INDEX 2 FANOUTS 0 + 15: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK3 LIT INDEX 3 FANOUTS 0 + 16: [CLKBAD] vpll:inst1|altpll:altpll_component|pll~CLKBAD0 LIT INDEX 0 FANOUTS 0 + 17: [CLKBAD] vpll:inst1|altpll:altpll_component|pll~CLKBAD1 LIT INDEX 1 FANOUTS 0 + + PARAMETER LIST: + operation_mode = normal + pll_type = auto + qualify_conf_done = off + valid_lock_multiplier = 1 + invalid_lock_multiplier = 5 + scan_chain_mif_file = + compensate_clock = clk0 + feedback_source = + inclk0_input_frequency = 30003 + inclk1_input_frequency = 30003 + pfd_min = 2380 + pfd_max = 333333 + vco_min = 1250 + vco_max = 3334 + vco_center = 1666 + pll_compensation_delay = 3806 + input_comp_delay_chain_bits = 0 + feedback_comp_delay_chain_bits = 0 + common_rx_tx = on + skip_vco = off + rx_outclock_resource = auto + primary_clock = inclk0 + switch_over_on_lossclk = off + switch_over_on_gated_lock = off + enable_switch_over_counter = off + gate_lock_signal = no + scan_chain = + gate_lock_counter = 0 + switch_over_counter = 1 + ======= Real External and Internal Parameters ======= = + bandwidth_type = auto + bandwidth = 8043251 + spread_frequency = 0 + down_spread = 0 % + clk0_multiply_by = 53 + clk1_multiply_by = 1 + clk2_multiply_by = 1 + clk3_multiply_by = 1 + clk4_multiply_by = 1 + clk5_multiply_by = 1 + extclk0_multiply_by = 1 + extclk1_multiply_by = 1 + extclk2_multiply_by = 1 + extclk3_multiply_by = 1 + clk0_divide_by = 65 + clk1_divide_by = 1 + clk2_divide_by = 1 + clk3_divide_by = 1 + clk4_divide_by = 1 + clk5_divide_by = 1 + extclk0_divide_by = 1 + extclk1_divide_by = 1 + extclk2_divide_by = 1 + extclk3_divide_by = 1 + clk0_phase_shift = 0 + clk1_phase_shift = 0 + clk2_phase_shift = 0 + clk3_phase_shift = 0 + clk4_phase_shift = 0 + clk5_phase_shift = 0 + extclk0_phase_shift = 0 + extclk1_phase_shift = 0 + extclk2_phase_shift = 0 + extclk3_phase_shift = 0 + clk0_time_delay = 0 + clk1_time_delay = 0 + clk2_time_delay = 0 + clk3_time_delay = 0 + clk4_time_delay = 0 + clk5_time_delay = 0 + extclk0_time_delay = 0 + extclk1_time_delay = 0 + extclk2_time_delay = 0 + extclk3_time_delay = 0 + clk0_duty_cycle = 50 + clk1_duty_cycle = 50 + clk2_duty_cycle = 50 + clk3_duty_cycle = 50 + clk4_duty_cycle = 50 + clk5_duty_cycle = 50 + extclk0_duty_cycle = 50 + extclk1_duty_cycle = 50 + extclk2_duty_cycle = 50 + extclk3_duty_cycle = 50 + clk0_use_even_counter_mode = off + clk1_use_even_counter_mode = off + clk2_use_even_counter_mode = off + clk3_use_even_counter_mode = off + clk4_use_even_counter_mode = off + clk5_use_even_counter_mode = off + extclk0_use_even_counter_mode = off + extclk1_use_even_counter_mode = off + extclk2_use_even_counter_mode = off + extclk3_use_even_counter_mode = off + clk0_use_even_counter_value = off + clk1_use_even_counter_value = off + clk2_use_even_counter_value = off + clk3_use_even_counter_value = off + clk4_use_even_counter_value = off + clk5_use_even_counter_value = off + extclk0_use_even_counter_value = off + extclk1_use_even_counter_value = off + extclk2_use_even_counter_value = off + extclk3_use_even_counter_value = off + m = 106 + n = 5 + m2 = 1 + n2 = 1 + ss = 0 + charge_pump_current = 50 + loop_filter_c = 10 + loop_filter_r = 1.021000 + enable0_counter = + enable1_counter = + clk0_counter = g0 + clk1_counter = + clk2_counter = + clk3_counter = + clk4_counter = + clk5_counter = + extclk0_counter = + extclk1_counter = + extclk2_counter = + extclk3_counter = + l0_is_used = no + l1_is_used = no + g0_is_used = yes + g1_is_used = no + g2_is_used = no + g3_is_used = no + e0_is_used = no + e1_is_used = no + e2_is_used = no + e3_is_used = no + l0_mode = odd + l1_mode = bypass + g0_mode = even + g1_mode = bypass + g2_mode = bypass + g3_mode = bypass + e0_mode = bypass + e1_mode = bypass + e2_mode = bypass + e3_mode = bypass + l0_high = 10 + l1_high = 0 + g0_high = 13 + g1_high = 0 + g2_high = 0 + g3_high = 0 + e0_high = 0 + e1_high = 0 + e2_high = 0 + e3_high = 0 + l0_low = 9 + l1_low = 0 + g0_low = 13 + g1_low = 0 + g2_low = 0 + g3_low = 0 + e0_low = 0 + e1_low = 0 + e2_low = 0 + e3_low = 0 + m_initial = 1 + l0_initial = 1 + l1_initial = 1 + g0_initial = 1 + g1_initial = 1 + g2_initial = 1 + g3_initial = 1 + e0_initial = 1 + e1_initial = 1 + e2_initial = 1 + e3_initial = 1 + m_ph = 0 + l0_ph = 0 + l1_ph = 0 + g0_ph = 0 + g1_ph = 0 + g2_ph = 0 + g3_ph = 0 + e0_ph = 0 + e1_ph = 0 + e2_ph = 0 + e3_ph = 0 + m_time_delay = 0 + n_time_delay = 0 + l0_time_delay = 0 + l1_time_delay = 0 + g0_time_delay = 0 + g1_time_delay = 0 + g2_time_delay = 0 + g3_time_delay = 0 + e0_time_delay = 0 + e1_time_delay = 0 + e2_time_delay = 0 + e3_time_delay = 0 + ======= User External and Internal Parameters ======= = + bandwidth_type = auto + bandwidth = 0 + spread_frequency = 0 + down_spread = 0 + clk0_multiply_by = 5435 + clk1_multiply_by = 1 + clk2_multiply_by = 1 + clk3_multiply_by = 1 + clk4_multiply_by = 1 + clk5_multiply_by = 1 + extclk0_multiply_by = 1 + extclk1_multiply_by = 1 + extclk2_multiply_by = 1 + extclk3_multiply_by = 1 + clk0_divide_by = 6666 + clk1_divide_by = 1 + clk2_divide_by = 1 + clk3_divide_by = 1 + clk4_divide_by = 1 + clk5_divide_by = 1 + extclk0_divide_by = 1 + extclk1_divide_by = 1 + extclk2_divide_by = 1 + extclk3_divide_by = 1 + clk0_phase_shift = 0 + clk1_phase_shift = 0 + clk2_phase_shift = 0 + clk3_phase_shift = 0 + clk4_phase_shift = 0 + clk5_phase_shift = 0 + extclk0_phase_shift = 0 + extclk1_phase_shift = 0 + extclk2_phase_shift = 0 + extclk3_phase_shift = 0 + clk0_time_delay = 0 + clk1_time_delay = 0 + clk2_time_delay = 0 + clk3_time_delay = 0 + clk4_time_delay = 0 + clk5_time_delay = 0 + extclk0_time_delay = 0 + extclk1_time_delay = 0 + extclk2_time_delay = 0 + extclk3_time_delay = 0 + clk0_duty_cycle = 50 + clk1_duty_cycle = 50 + clk2_duty_cycle = 50 + clk3_duty_cycle = 50 + clk4_duty_cycle = 50 + clk5_duty_cycle = 50 + extclk0_duty_cycle = 50 + extclk1_duty_cycle = 50 + extclk2_duty_cycle = 50 + extclk3_duty_cycle = 50 + clk0_use_even_counter_mode = off + clk1_use_even_counter_mode = off + clk2_use_even_counter_mode = off + clk3_use_even_counter_mode = off + clk4_use_even_counter_mode = off + clk5_use_even_counter_mode = off + extclk0_use_even_counter_mode = off + extclk1_use_even_counter_mode = off + extclk2_use_even_counter_mode = off + extclk3_use_even_counter_mode = off + clk0_use_even_counter_value = off + clk1_use_even_counter_value = off + clk2_use_even_counter_value = off + clk3_use_even_counter_value = off + clk4_use_even_counter_value = off + clk5_use_even_counter_value = off + extclk0_use_even_counter_value = off + extclk1_use_even_counter_value = off + extclk2_use_even_counter_value = off + extclk3_use_even_counter_value = off + m = 234 + n = 7 + m2 = 1 + n2 = 1 + ss = 0 + charge_pump_current = 0 + loop_filter_c = 0 + loop_filter_r = 0.000000 + enable0_counter = + enable1_counter = + clk0_counter = l0 + clk1_counter = + clk2_counter = + clk3_counter = + clk4_counter = + clk5_counter = + extclk0_counter = + extclk1_counter = + extclk2_counter = + extclk3_counter = + l0_is_used = yes + l1_is_used = no + g0_is_used = no + g1_is_used = no + g2_is_used = no + g3_is_used = no + e0_is_used = no + e1_is_used = no + e2_is_used = no + e3_is_used = no + l0_mode = odd + l1_mode = bypass + g0_mode = bypass + g1_mode = bypass + g2_mode = bypass + g3_mode = bypass + e0_mode = bypass + e1_mode = bypass + e2_mode = bypass + e3_mode = bypass + l0_high = 21 + l1_high = 0 + g0_high = 0 + g1_high = 0 + g2_high = 0 + g3_high = 0 + e0_high = 0 + e1_high = 0 + e2_high = 0 + e3_high = 0 + l0_low = 20 + l1_low = 0 + g0_low = 0 + g1_low = 0 + g2_low = 0 + g3_low = 0 + e0_low = 0 + e1_low = 0 + e2_low = 0 + e3_low = 0 + m_initial = 1 + l0_initial = 1 + l1_initial = 1 + g0_initial = 1 + g1_initial = 1 + g2_initial = 1 + g3_initial = 1 + e0_initial = 1 + e1_initial = 1 + e2_initial = 1 + e3_initial = 1 + m_ph = 0 + l0_ph = 0 + l1_ph = 0 + g0_ph = 0 + g1_ph = 0 + g2_ph = 0 + g3_ph = 0 + e0_ph = 0 + e1_ph = 0 + e2_ph = 0 + e3_ph = 0 + m_time_delay = 0 + n_time_delay = 0 + l0_time_delay = 0 + l1_time_delay = 0 + g0_time_delay = 0 + g1_time_delay = 0 + g2_time_delay = 0 + g3_time_delay = 0 + e0_time_delay = 0 + e1_time_delay = 0 + e2_time_delay = 0 + e3_time_delay = 0 + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 241 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 9999 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 242 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 243 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 244 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 245 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 246 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 247 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 248 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 249 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 250 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 251 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 252 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 253 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 254 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 255 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 256 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 257 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 258 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 259 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 260 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 261 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 55aa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 262 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 263 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 264 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 265 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 266 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 267 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 268 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 269 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 270 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 271 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 272 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 273 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 274 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 275 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 276 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20_ -- UNIQUE + Atom Hier Name: + Atom Id: 277 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[18] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 278 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c6c + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 279 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[18] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 280 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 281 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 282 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 283 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 284 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 285 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 286 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 287 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 288 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 289 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 290 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 291 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 292 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 293 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 294 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 295 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 296 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 297 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 5555 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 298 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 299 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 300 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 301 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 302 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 303 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 304 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 305 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 306 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 307 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 FANOUTS 9 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 308 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0cae + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 309 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 310 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 311 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 312 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 313 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 53 + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = reg_and_comb + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|dly_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 315 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a2a2 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|dly_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 316 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a8a8 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 317 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 318 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 319 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0808 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE + Atom Hier Name: + Atom Id: 320 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 321 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 322 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f0f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 323 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 324 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 325 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ef23 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_5_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 326 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un5_v_enablelto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_5 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 327 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 328 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 330 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 FANOUTS 22 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7733 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 331 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 332 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1f0f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 333 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 334 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 335 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 336 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 337 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 338 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 339 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 340 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 341 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 342 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_2 -- UNIQUE + Atom Hier Name: + Atom Id: 343 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|G_2_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 344 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 345 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 346 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 347 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 348 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE + Atom Hier Name: + Atom Id: 349 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 350 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 351 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 352 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 353 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 354 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 355 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 356 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 357 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 358 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 359 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 360 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 361 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_16 -- UNIQUE + Atom Hier Name: + Atom Id: 362 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|G_16_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 363 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 364 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 365 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaab + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE + Atom Hier Name: + Atom Id: 366 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 368 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 369 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 370 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 371 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 372 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0ace + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 373 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 -- UNIQUE + Atom Hier Name: + Atom Id: 374 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un13_v_enablelto6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f77 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 375 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un17_v_enablelto3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 -- UNIQUE + Atom Hier Name: + Atom Id: 376 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un5_v_enablelt2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un5_v_enablelto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = feee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 377 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = e0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 -- UNIQUE + Atom Hier Name: + Atom Id: 378 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto18 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f77 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 379 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 380 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE + Atom Hier Name: + Atom Id: 381 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 382 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 383 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 384 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 385 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE + Atom Hier Name: + Atom Id: 386 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f07 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 387 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE + Atom Hier Name: + Atom Id: 388 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE + Atom Hier Name: + Atom Id: 389 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE + Atom Hier Name: + Atom Id: 390 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 391 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 392 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = d0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 393 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 70f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 394 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 -- UNIQUE + Atom Hier Name: + Atom Id: 395 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1111 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 -- UNIQUE + Atom Hier Name: + Atom Id: 396 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un17_v_enablelto3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fe00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 -- UNIQUE + Atom Hier Name: + Atom Id: 397 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un5_v_enablelt2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fefe + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 398 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0004 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 -- UNIQUE + Atom Hier Name: + Atom Id: 399 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un9_v_enablelto4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7f7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 -- UNIQUE + Atom Hier Name: + Atom Id: 400 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto15 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 -- UNIQUE + Atom Hier Name: + Atom Id: 401 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE + Atom Hier Name: + Atom Id: 402 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 403 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 404 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2a2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 -- UNIQUE + Atom Hier Name: + Atom Id: 405 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un9_v_enablelto4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 -- UNIQUE + Atom Hier Name: + Atom Id: 406 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto12 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 407 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto9 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f77 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 408 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: board_clk -- NON-UNIQUE + Atom Hier Name: + Atom Id: 329 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] board_clk LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: [PADIO] board_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 115 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 116 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_column_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 117 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_column_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_line_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 118 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_line_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_hsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 119 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_hsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_vsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 120 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_vsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_r_out -- UNIQUE + Atom Hier Name: + Atom Id: 121 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_r LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_g_out -- UNIQUE + Atom Hier Name: + Atom Id: 122 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_g LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_b_out -- UNIQUE + Atom Hier Name: + Atom Id: 123 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_b LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_h_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 124 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_h_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_v_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 125 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_v_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_state_clk_out -- UNIQUE + Atom Hier Name: + Atom Id: 126 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_state_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_out -- UNIQUE + Atom Hier Name: + Atom Id: 127 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 128 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 129 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 130 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 131 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 132 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 133 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|b0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 134 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|b1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 135 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|hsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 136 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] hsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 137 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] vsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 138 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 139 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 140 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 141 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 142 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 143 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 144 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 145 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 146 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 147 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 148 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 149 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 150 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 151 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 152 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 153 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 154 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 155 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 156 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 157 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 158 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 159 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 160 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 161 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 162 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 163 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 164 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 165 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 166 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 167 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 168 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 169 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 170 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 171 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 172 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 173 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_24_ -- UNIQUE + Atom Hier Name: + Atom Id: 174 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[24] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_23_ -- UNIQUE + Atom Hier Name: + Atom Id: 175 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[23] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_22_ -- UNIQUE + Atom Hier Name: + Atom Id: 176 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[22] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_21_ -- UNIQUE + Atom Hier Name: + Atom Id: 177 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[21] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_20_ -- UNIQUE + Atom Hier Name: + Atom Id: 178 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[20] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 179 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[19] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 180 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[18] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 181 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[17] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 182 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[16] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 183 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[15] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 184 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[14] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 185 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 186 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 187 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 188 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 189 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 190 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 191 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 192 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 193 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 194 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 195 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 196 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 197 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 198 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 199 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 200 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 201 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 202 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 203 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 204 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 205 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 206 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 207 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 208 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 209 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 210 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 211 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 212 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 213 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 214 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 215 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 216 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 217 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 218 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 219 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 220 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 221 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 222 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 223 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 224 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 225 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 226 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 227 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 228 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 229 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|reset_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 314 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] reset LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: [PADIO] reset LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE + Atom Hier Name: + Atom Id: 409 + Atom Type: stratix_lcell + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 FANOUTS 14 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~DATA0~ -- NON-UNIQUE + Atom Hier Name: + Atom Id: 410 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] ~DATA0~ LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + diff --git a/bsp2/Designflow/ppr/download/incremental_db/README b/bsp2/Designflow/ppr/download/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/bsp2/Designflow/ppr/download/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/bsp2/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt b/bsp2/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt new file mode 100644 index 0000000..25f082d --- /dev/null +++ b/bsp2/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt @@ -0,0 +1,1710 @@ + + + + inst/vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_2_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_0_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_5_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_21_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_17_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + inst/vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/h_sync_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_15_ + stratix_lcell + le + + + inst/vga_control_unit/b_Z + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_13_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_9_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_23_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_1_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_12_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + inst/vga_control_unit/g_Z + stratix_lcell + le + + SA0 + + + + inst/vga_control_unit/toggle_counter_sig_11_ + stratix_lcell + le + + + inst/dly_counter_1_ + stratix_lcell + le + + + inst/vga_control_unit/r_Z + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_10_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_3_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_18_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_16_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_22_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_24_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_19_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_14_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_20_ + stratix_lcell + le + + + inst/dly_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_7_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + inst/vga_driver_unit/v_sync_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + + + + + vga:inst|vga_driver:vga_driver_unit|v_sync + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 + + + vga:inst|vga_control:vga_control_unit|toggle_sig + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_3 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_0 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_5 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_1 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_4 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_6 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_3 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_2 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_4 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_5 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_6 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_1 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_0 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 + + + vga:inst|vga_control:vga_control_unit|b + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|v_enable_sig + + + vga:inst|vga_driver:vga_driver_unit|h_enable_sig + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 + + + vga:inst|dly_counter[1] + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 + + + vga:inst|vga_control:vga_control_unit|r + + + vga:inst|vga_driver:vga_driver_unit|h_sync + + + vga:inst|dly_counter[0] + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.sft b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.sft new file mode 100644 index 0000000..5aed62e --- /dev/null +++ b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.sft @@ -0,0 +1,4 @@ +set tool_name "ModelSim-Altera (Verilog)" +set corner_file_list { + {{"Slow Model"} {vga_pll.vo vga_pll_v.sdo}} +} diff --git a/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.vo b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.vo new file mode 100644 index 0000000..11508ba --- /dev/null +++ b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.vo @@ -0,0 +1,11285 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II" +// VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version" + +// DATE "10/28/2009 14:55:41" + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module vga_pll ( + d_hsync, + board_clk, + reset, + d_vsync, + d_set_column_counter, + d_set_line_counter, + d_set_hsync_counter, + d_set_vsync_counter, + d_r, + d_g, + d_b, + d_h_enable, + d_v_enable, + d_state_clk, + d_toggle, + r0_pin, + r1_pin, + r2_pin, + g0_pin, + g1_pin, + g2_pin, + b0_pin, + b1_pin, + hsync_pin, + vsync_pin, + d_column_counter, + d_hsync_counter, + d_hsync_state, + d_line_counter, + d_toggle_counter, + d_vsync_counter, + d_vsync_state, + seven_seg_pin); +output d_hsync; +input board_clk; +input reset; +output d_vsync; +output d_set_column_counter; +output d_set_line_counter; +output d_set_hsync_counter; +output d_set_vsync_counter; +output d_r; +output d_g; +output d_b; +output d_h_enable; +output d_v_enable; +output d_state_clk; +output d_toggle; +output r0_pin; +output r1_pin; +output r2_pin; +output g0_pin; +output g1_pin; +output g2_pin; +output b0_pin; +output b1_pin; +output hsync_pin; +output vsync_pin; +output [9:0] d_column_counter; +output [9:0] d_hsync_counter; +output [0:6] d_hsync_state; +output [8:0] d_line_counter; +output [24:0] d_toggle_counter; +output [9:0] d_vsync_counter; +output [0:6] d_vsync_state; +output [13:0] seven_seg_pin; + +wire gnd = 1'b0; +wire vcc = 1'b1; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("vga_pll_v.sdo"); +// synopsys translate_on + +wire \inst1|altpll_component|pll~CLK1 ; +wire \inst1|altpll_component|pll~CLK2 ; +wire \inst1|altpll_component|pll~CLK3 ; +wire \inst1|altpll_component|pll~CLK4 ; +wire \inst1|altpll_component|pll~CLK5 ; +wire \inst|vga_control_unit|un2_toggle_counter_next_0_~COMBOUT ; +wire \inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ; +wire \inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ; +wire \board_clk~combout ; +wire \inst1|altpll_component|_clk0 ; +wire \reset~combout ; +wire \inst|vga_driver_unit|un6_dly_counter_0_x ; +wire \inst|vga_driver_unit|hsync_state_6 ; +wire \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ; +wire \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ; +wire \inst|vga_driver_unit|hsync_counter_2 ; +wire \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ; +wire \inst|vga_driver_unit|hsync_counter_3 ; +wire \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ; +wire \inst|vga_driver_unit|hsync_counter_4 ; +wire \inst|vga_driver_unit|hsync_counter_5 ; +wire \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ; +wire \inst|vga_driver_unit|hsync_counter_6 ; +wire \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ; +wire \inst|vga_driver_unit|hsync_counter_7 ; +wire \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ; +wire \inst|vga_driver_unit|hsync_counter_8 ; +wire \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ; +wire \inst|vga_driver_unit|hsync_counter_9 ; +wire \inst|vga_driver_unit|un9_hsync_counterlt9_3 ; +wire \inst|vga_driver_unit|un13_hsync_counter_7 ; +wire \inst|vga_driver_unit|un9_hsync_counterlt9 ; +wire \inst|vga_driver_unit|G_2_i ; +wire \inst|vga_driver_unit|hsync_counter_0 ; +wire \inst|vga_driver_unit|un12_hsync_counter_4 ; +wire \inst|vga_driver_unit|un12_hsync_counter_3 ; +wire \inst|vga_driver_unit|un12_hsync_counter ; +wire \inst|vga_driver_unit|un10_hsync_counter_1 ; +wire \inst|vga_driver_unit|un11_hsync_counter_2 ; +wire \inst|vga_driver_unit|un11_hsync_counter_3 ; +wire \inst|vga_driver_unit|un10_hsync_counter_3 ; +wire \inst|vga_driver_unit|hsync_state_5 ; +wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ; +wire \inst|vga_driver_unit|un13_hsync_counter_2 ; +wire \inst|vga_driver_unit|un13_hsync_counter ; +wire \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ; +wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ; +wire \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; +wire \inst|vga_driver_unit|hsync_state_1 ; +wire \inst|vga_driver_unit|hsync_state_3 ; +wire \inst|vga_driver_unit|hsync_state_2 ; +wire \inst|vga_driver_unit|hsync_state_0 ; +wire \inst|vga_driver_unit|d_set_hsync_counter ; +wire \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ; +wire \inst|vga_driver_unit|hsync_counter_1 ; +wire \inst|vga_driver_unit|un10_hsync_counter_4 ; +wire \inst|vga_driver_unit|hsync_state_4 ; +wire \inst|vga_driver_unit|un1_hsync_state_3_0 ; +wire \inst|vga_driver_unit|h_sync_1_0_0_0_g1 ; +wire \inst|vga_driver_unit|h_sync ; +wire \inst|vga_driver_unit|vsync_state_6 ; +wire \inst|vga_driver_unit|vsync_counter_0 ; +wire \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ; +wire \inst|vga_driver_unit|vsync_counter_1 ; +wire \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ; +wire \inst|vga_driver_unit|vsync_counter_2 ; +wire \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ; +wire \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ; +wire \inst|vga_driver_unit|vsync_counter_4 ; +wire \inst|vga_driver_unit|vsync_counter_5 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9_6 ; +wire \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ; +wire \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ; +wire \inst|vga_driver_unit|vsync_counter_7 ; +wire \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ; +wire \inst|vga_driver_unit|vsync_counter_8 ; +wire \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ; +wire \inst|vga_driver_unit|vsync_counter_9 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9_5 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9 ; +wire \inst|vga_driver_unit|G_16_i ; +wire \inst|vga_driver_unit|vsync_counter_3 ; +wire \inst|vga_driver_unit|un15_vsync_counter_3 ; +wire \inst|vga_driver_unit|un15_vsync_counter_4 ; +wire \inst|vga_driver_unit|un13_vsync_counter_3 ; +wire \inst|vga_driver_unit|un13_vsync_counter_4 ; +wire \inst|vga_driver_unit|un12_vsync_counter_7 ; +wire \inst|vga_driver_unit|vsync_state_1 ; +wire \inst|vga_driver_unit|vsync_state_5 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ; +wire \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ; +wire \inst|vga_driver_unit|vsync_state_next_2_sqmuxa ; +wire \inst|vga_driver_unit|vsync_state_3 ; +wire \inst|vga_driver_unit|vsync_state_2 ; +wire \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ; +wire \inst|vga_driver_unit|vsync_state_0 ; +wire \inst|vga_driver_unit|d_set_vsync_counter ; +wire \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ; +wire \inst|vga_driver_unit|vsync_counter_6 ; +wire \inst|vga_driver_unit|un12_vsync_counter_6 ; +wire \inst|vga_driver_unit|un14_vsync_counter_8 ; +wire \inst|vga_driver_unit|vsync_state_4 ; +wire \inst|vga_driver_unit|un1_vsync_state_2_0 ; +wire \inst|vga_driver_unit|v_sync_1_0_0_0_g1 ; +wire \inst|vga_driver_unit|v_sync ; +wire \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; +wire \inst|vga_driver_unit|column_counter_sig_0 ; +wire \inst|vga_driver_unit|column_counter_sig_1 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ; +wire \inst|vga_driver_unit|column_counter_sig_3 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ; +wire \inst|vga_driver_unit|column_counter_sig_2 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ; +wire \inst|vga_driver_unit|column_counter_sig_4 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ; +wire \inst|vga_driver_unit|column_counter_sig_5 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ; +wire \inst|vga_driver_unit|column_counter_sig_8 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6_4 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ; +wire \inst|vga_driver_unit|column_counter_sig_9 ; +wire \inst|vga_driver_unit|un10_column_counter_siglto9 ; +wire \inst|vga_driver_unit|column_counter_sig_7 ; +wire \inst|vga_driver_unit|column_counter_sig_6 ; +wire \inst|vga_control_unit|un5_v_enablelt2 ; +wire \inst|vga_control_unit|un5_v_enablelto5 ; +wire \inst|vga_control_unit|un9_v_enablelto4 ; +wire \inst|vga_control_unit|un9_v_enablelto6 ; +wire \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; +wire \inst|vga_driver_unit|line_counter_sig_0 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ; +wire \inst|vga_driver_unit|line_counter_sig_2 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ; +wire \inst|vga_driver_unit|line_counter_sig_1 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ; +wire \inst|vga_driver_unit|line_counter_sig_4 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ; +wire \inst|vga_driver_unit|line_counter_sig_3 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ; +wire \inst|vga_driver_unit|line_counter_sig_6 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ; +wire \inst|vga_driver_unit|line_counter_sig_5 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ; +wire \inst|vga_driver_unit|line_counter_sig_7 ; +wire \inst|vga_driver_unit|un10_line_counter_siglt4_2 ; +wire \inst|vga_driver_unit|un10_line_counter_siglto5 ; +wire \inst|vga_driver_unit|un10_line_counter_siglto8 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ; +wire \inst|vga_driver_unit|line_counter_sig_8 ; +wire \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; +wire \inst|vga_driver_unit|h_enable_sig ; +wire \inst|vga_control_unit|b_next_0_sqmuxa_7_2 ; +wire \inst|vga_control_unit|b_next_0_sqmuxa_7_3 ; +wire \inst|vga_control_unit|b_next_0_sqmuxa_7_5 ; +wire \inst|vga_control_unit|un13_v_enablelto4_0 ; +wire \inst|vga_control_unit|un13_v_enablelto6 ; +wire \inst|vga_control_unit|un17_v_enablelto3 ; +wire \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a ; +wire \inst|vga_control_unit|b_next_0_sqmuxa_7_4 ; +wire \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; +wire \inst|vga_driver_unit|v_enable_sig ; +wire \inst|vga_control_unit|toggle_counter_sig_0 ; +wire \inst|vga_control_unit|toggle_counter_sig_1 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ; +wire \inst|vga_control_unit|toggle_counter_sig_3 ; +wire \inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ; +wire \inst|vga_control_unit|toggle_counter_sig_2 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ; +wire \inst|vga_control_unit|toggle_counter_sig_5 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ; +wire \inst|vga_control_unit|toggle_counter_sig_4 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ; +wire \inst|vga_control_unit|toggle_counter_sig_6 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ; +wire \inst|vga_control_unit|toggle_counter_sig_7 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ; +wire \inst|vga_control_unit|toggle_counter_sig_8 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ; +wire \inst|vga_control_unit|toggle_counter_sig_9 ; +wire \inst|vga_control_unit|toggle_counter_sig_11 ; +wire \inst|vga_control_unit|toggle_counter_sig_10 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ; +wire \inst|vga_control_unit|toggle_counter_sig_13 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ; +wire \inst|vga_control_unit|toggle_counter_sig_12 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ; +wire \inst|vga_control_unit|toggle_counter_sig_15 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ; +wire \inst|vga_control_unit|toggle_counter_sig_14 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglt6 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto9 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto12 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto15 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ; +wire \inst|vga_control_unit|toggle_counter_sig_16 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ; +wire \inst|vga_control_unit|toggle_counter_sig_17 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ; +wire \inst|vga_control_unit|toggle_counter_sig_19 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ; +wire \inst|vga_control_unit|toggle_counter_sig_18 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto18 ; +wire \inst|vga_control_unit|toggle_counter_sig_20 ; +wire \inst|vga_control_unit|toggle_sig_0_0_0_g1 ; +wire \inst|vga_control_unit|toggle_sig ; +wire \inst|vga_control_unit|r ; +wire \~STRATIX_FITTER_CREATED_GND~I_combout ; +wire \inst|vga_control_unit|b ; +wire [18:1] \inst|vga_control_unit|toggle_counter_sig_cout ; +wire [0:0] \inst|vga_control_unit|un2_toggle_counter_next_cout ; +wire [8:0] \inst|vga_driver_unit|hsync_counter_cout ; +wire [1:1] \inst|vga_driver_unit|un1_line_counter_sig_a_cout ; +wire [9:1] \inst|vga_driver_unit|un1_line_counter_sig_combout ; +wire [7:1] \inst|vga_driver_unit|un1_line_counter_sig_cout ; +wire [9:1] \inst|vga_driver_unit|un2_column_counter_next_combout ; +wire [7:0] \inst|vga_driver_unit|un2_column_counter_next_cout ; +wire [8:0] \inst|vga_driver_unit|vsync_counter_cout ; +wire [1:0] \inst|dly_counter ; + +wire [5:0] \inst1|altpll_component|pll_CLK_bus ; + +assign \inst1|altpll_component|_clk0 = \inst1|altpll_component|pll_CLK_bus [0]; +assign \inst1|altpll_component|pll~CLK1 = \inst1|altpll_component|pll_CLK_bus [1]; +assign \inst1|altpll_component|pll~CLK2 = \inst1|altpll_component|pll_CLK_bus [2]; +assign \inst1|altpll_component|pll~CLK3 = \inst1|altpll_component|pll_CLK_bus [3]; +assign \inst1|altpll_component|pll~CLK4 = \inst1|altpll_component|pll_CLK_bus [4]; +assign \inst1|altpll_component|pll~CLK5 = \inst1|altpll_component|pll_CLK_bus [5]; + +// atom is at PIN_N3 +stratix_io \board_clk~I ( + .datain(gnd), + .ddiodatain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\board_clk~combout ), + .regout(), + .ddioregout(), + .padio(board_clk), + .dqsundelayedout()); +// synopsys translate_off +defparam \board_clk~I .ddio_mode = "none"; +defparam \board_clk~I .input_async_reset = "none"; +defparam \board_clk~I .input_power_up = "low"; +defparam \board_clk~I .input_register_mode = "none"; +defparam \board_clk~I .input_sync_reset = "none"; +defparam \board_clk~I .oe_async_reset = "none"; +defparam \board_clk~I .oe_power_up = "low"; +defparam \board_clk~I .oe_register_mode = "none"; +defparam \board_clk~I .oe_sync_reset = "none"; +defparam \board_clk~I .operation_mode = "input"; +defparam \board_clk~I .output_async_reset = "none"; +defparam \board_clk~I .output_power_up = "low"; +defparam \board_clk~I .output_register_mode = "none"; +defparam \board_clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PLL_1 +stratix_pll \inst1|altpll_component|pll ( + .fbin(vcc), + .ena(vcc), + .clkswitch(gnd), + .areset(gnd), + .pfdena(vcc), + .scanclk(gnd), + .scanaclr(gnd), + .scandata(gnd), + .comparator(gnd), + .inclk({gnd,\board_clk~combout }), + .clkena(6'b111111), + .extclkena(4'b1111), + .activeclock(), + .clkloss(), + .locked(), + .scandataout(), + .enable0(), + .enable1(), + .clk(\inst1|altpll_component|pll_CLK_bus ), + .extclk(), + .clkbad()); +// synopsys translate_off +defparam \inst1|altpll_component|pll .clk0_counter = "g0"; +defparam \inst1|altpll_component|pll .clk0_divide_by = 38; +defparam \inst1|altpll_component|pll .clk0_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk0_multiply_by = 31; +defparam \inst1|altpll_component|pll .clk0_phase_shift = "-725"; +defparam \inst1|altpll_component|pll .clk1_divide_by = 1; +defparam \inst1|altpll_component|pll .clk1_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk1_multiply_by = 1; +defparam \inst1|altpll_component|pll .clk1_phase_shift = "0"; +defparam \inst1|altpll_component|pll .clk2_divide_by = 1; +defparam \inst1|altpll_component|pll .clk2_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk2_multiply_by = 1; +defparam \inst1|altpll_component|pll .clk2_phase_shift = "0"; +defparam \inst1|altpll_component|pll .compensate_clock = "clk0"; +defparam \inst1|altpll_component|pll .enable_switch_over_counter = "off"; +defparam \inst1|altpll_component|pll .g0_high = 10; +defparam \inst1|altpll_component|pll .g0_initial = 1; +defparam \inst1|altpll_component|pll .g0_low = 9; +defparam \inst1|altpll_component|pll .g0_mode = "odd"; +defparam \inst1|altpll_component|pll .g0_ph = 0; +defparam \inst1|altpll_component|pll .gate_lock_counter = 0; +defparam \inst1|altpll_component|pll .gate_lock_signal = "no"; +defparam \inst1|altpll_component|pll .inclk0_input_frequency = 30003; +defparam \inst1|altpll_component|pll .inclk1_input_frequency = 30003; +defparam \inst1|altpll_component|pll .invalid_lock_multiplier = 5; +defparam \inst1|altpll_component|pll .l0_high = 13; +defparam \inst1|altpll_component|pll .l0_initial = 1; +defparam \inst1|altpll_component|pll .l0_low = 13; +defparam \inst1|altpll_component|pll .l0_mode = "even"; +defparam \inst1|altpll_component|pll .l0_ph = 0; +defparam \inst1|altpll_component|pll .l1_mode = "bypass"; +defparam \inst1|altpll_component|pll .l1_ph = 0; +defparam \inst1|altpll_component|pll .m = 31; +defparam \inst1|altpll_component|pll .m_initial = 1; +defparam \inst1|altpll_component|pll .m_ph = 3; +defparam \inst1|altpll_component|pll .n = 2; +defparam \inst1|altpll_component|pll .operation_mode = "normal"; +defparam \inst1|altpll_component|pll .pfd_max = 100000; +defparam \inst1|altpll_component|pll .pfd_min = 2000; +defparam \inst1|altpll_component|pll .pll_compensation_delay = 1713; +defparam \inst1|altpll_component|pll .pll_type = "fast"; +defparam \inst1|altpll_component|pll .primary_clock = "inclk0"; +defparam \inst1|altpll_component|pll .qualify_conf_done = "off"; +defparam \inst1|altpll_component|pll .simulation_type = "timing"; +defparam \inst1|altpll_component|pll .skip_vco = "off"; +defparam \inst1|altpll_component|pll .switch_over_counter = 1; +defparam \inst1|altpll_component|pll .switch_over_on_gated_lock = "off"; +defparam \inst1|altpll_component|pll .switch_over_on_lossclk = "off"; +defparam \inst1|altpll_component|pll .valid_lock_multiplier = 1; +defparam \inst1|altpll_component|pll .vco_center = 1250; +defparam \inst1|altpll_component|pll .vco_max = 3334; +defparam \inst1|altpll_component|pll .vco_min = 1000; +// synopsys translate_on + +// atom is at PIN_A5 +stratix_io \inst|reset_pin_in~I ( + .datain(gnd), + .ddiodatain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .ddioregout(), + .padio(reset), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|reset_pin_in~I .ddio_mode = "none"; +defparam \inst|reset_pin_in~I .input_async_reset = "none"; +defparam \inst|reset_pin_in~I .input_power_up = "low"; +defparam \inst|reset_pin_in~I .input_register_mode = "none"; +defparam \inst|reset_pin_in~I .input_sync_reset = "none"; +defparam \inst|reset_pin_in~I .oe_async_reset = "none"; +defparam \inst|reset_pin_in~I .oe_power_up = "low"; +defparam \inst|reset_pin_in~I .oe_register_mode = "none"; +defparam \inst|reset_pin_in~I .oe_sync_reset = "none"; +defparam \inst|reset_pin_in~I .operation_mode = "input"; +defparam \inst|reset_pin_in~I .output_async_reset = "none"; +defparam \inst|reset_pin_in~I .output_power_up = "low"; +defparam \inst|reset_pin_in~I .output_register_mode = "none"; +defparam \inst|reset_pin_in~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N4 +stratix_lcell \inst|dly_counter_0_ ( +// Equation(s): +// \inst|dly_counter [0] = DFFEAS(\reset~combout & (\inst|dly_counter [1] # !\inst|dly_counter [0]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|dly_counter [1]), + .datab(vcc), + .datac(\reset~combout ), + .datad(\inst|dly_counter [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|dly_counter [0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|dly_counter_0_ .lut_mask = "a0f0"; +defparam \inst|dly_counter_0_ .operation_mode = "normal"; +defparam \inst|dly_counter_0_ .output_mode = "reg_only"; +defparam \inst|dly_counter_0_ .register_cascade_mode = "off"; +defparam \inst|dly_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|dly_counter_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N0 +stratix_lcell \inst|dly_counter_1_ ( +// Equation(s): +// \inst|dly_counter [1] = DFFEAS(\reset~combout & (\inst|dly_counter [1] # \inst|dly_counter [0]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|dly_counter [1]), + .datac(\reset~combout ), + .datad(\inst|dly_counter [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|dly_counter [1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|dly_counter_1_ .lut_mask = "f0c0"; +defparam \inst|dly_counter_1_ .operation_mode = "normal"; +defparam \inst|dly_counter_1_ .output_mode = "reg_only"; +defparam \inst|dly_counter_1_ .register_cascade_mode = "off"; +defparam \inst|dly_counter_1_ .sum_lutc_input = "datac"; +defparam \inst|dly_counter_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N3 +stratix_lcell \inst|vga_driver_unit|vsync_state_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un6_dly_counter_0_x = !\inst|dly_counter [0] # !\reset~combout # !\inst|dly_counter [1] +// \inst|vga_driver_unit|vsync_state_6 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|dly_counter [1]), + .datac(\reset~combout ), + .datad(\inst|dly_counter [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .regout(\inst|vga_driver_unit|vsync_state_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_6_ .lut_mask = "3fff"; +defparam \inst|vga_driver_unit|vsync_state_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_6_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|vsync_state_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X27_Y42_N7 +stratix_lcell \inst|vga_driver_unit|hsync_state_6_ ( +// Equation(s): +// \inst|vga_driver_unit|d_set_hsync_counter = \inst|vga_driver_unit|hsync_state_0 # E1_hsync_state_6 +// \inst|vga_driver_unit|hsync_state_6 = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|un6_dly_counter_0_x , , , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_state_0 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|d_set_hsync_counter ), + .regout(\inst|vga_driver_unit|hsync_state_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_6_ .lut_mask = "fafa"; +defparam \inst|vga_driver_unit|hsync_state_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_6_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|hsync_state_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_6_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|hsync_state_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N0 +stratix_lcell \inst|vga_driver_unit|hsync_counter_0_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_0 = DFFEAS(!\inst|vga_driver_unit|hsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , +// !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|hsync_counter_0 ) +// \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|hsync_counter_0 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_0 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_0 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [0]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_0_ .lut_mask = "33cc"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N1 +stratix_lcell \inst|vga_driver_unit|hsync_counter_1_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_1 = DFFEAS(\inst|vga_driver_unit|hsync_counter_1 $ \inst|vga_driver_unit|hsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [0] # !\inst|vga_driver_unit|hsync_counter_1 ) +// \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|hsync_counter_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [0]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_1 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [1]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_1_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N2 +stratix_lcell \inst|vga_driver_unit|hsync_counter_2_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_2 = DFFEAS(\inst|vga_driver_unit|hsync_counter_2 $ (!\inst|vga_driver_unit|hsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout [1])) +// \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [1]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_2 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [2]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N3 +stratix_lcell \inst|vga_driver_unit|hsync_counter_3_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_3 = DFFEAS(\inst|vga_driver_unit|hsync_counter_3 $ (\inst|vga_driver_unit|hsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [2] # !\inst|vga_driver_unit|hsync_counter_3 ) +// \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|hsync_counter_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [2]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_3 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [3]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N4 +stratix_lcell \inst|vga_driver_unit|hsync_counter_4_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_4 = DFFEAS(\inst|vga_driver_unit|hsync_counter_4 $ (!\inst|vga_driver_unit|hsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|hsync_counter_4 & (!\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [3]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_4 ), + .cout(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N5 +stratix_lcell \inst|vga_driver_unit|hsync_counter_5_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_5 = DFFEAS(\inst|vga_driver_unit|hsync_counter_5 $ \inst|vga_driver_unit|hsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 ) +// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_5 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_5 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [5]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_5_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N6 +stratix_lcell \inst|vga_driver_unit|hsync_counter_6_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_6 = DFFEAS(\inst|vga_driver_unit|hsync_counter_6 $ !(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [5]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout [5]) +// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_6 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [5]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_6 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [6]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .lut_mask = "c30c"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N7 +stratix_lcell \inst|vga_driver_unit|hsync_counter_7_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_7 = DFFEAS(\inst|vga_driver_unit|hsync_counter_7 $ ((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [6]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [6] # !\inst|vga_driver_unit|hsync_counter_7 ) +// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|hsync_counter_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [6]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_7 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [7]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N8 +stratix_lcell \inst|vga_driver_unit|hsync_counter_8_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_8 = DFFEAS(\inst|vga_driver_unit|hsync_counter_8 $ (!(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [7]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout [7])) +// \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_8 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [7]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_8 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [8]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y42_N9 +stratix_lcell \inst|vga_driver_unit|hsync_counter_9_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [8]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ) $ +// \inst|vga_driver_unit|hsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(\inst|vga_driver_unit|hsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [8]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .lut_mask = "0ff0"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N5 +stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 ( +// Equation(s): +// \inst|vga_driver_unit|un9_hsync_counterlt9_3 = !\inst|vga_driver_unit|hsync_counter_4 # !\inst|vga_driver_unit|hsync_counter_6 # !\inst|vga_driver_unit|hsync_counter_7 # !\inst|vga_driver_unit|hsync_counter_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_5 ), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N6 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter_7 = \inst|vga_driver_unit|hsync_counter_0 & \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_2 & \inst|vga_driver_unit|hsync_counter_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_0 ), + .datab(\inst|vga_driver_unit|hsync_counter_3 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N2 +stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 ( +// Equation(s): +// \inst|vga_driver_unit|un9_hsync_counterlt9 = \inst|vga_driver_unit|un9_hsync_counterlt9_3 # !\inst|vga_driver_unit|un13_hsync_counter_7 # !\inst|vga_driver_unit|hsync_counter_9 # !\inst|vga_driver_unit|hsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_8 ), + .datab(\inst|vga_driver_unit|hsync_counter_9 ), + .datac(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ), + .datad(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .lut_mask = "f7ff"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N3 +stratix_lcell \inst|vga_driver_unit|G_2 ( +// Equation(s): +// \inst|vga_driver_unit|G_2_i = !\inst|vga_driver_unit|hsync_state_6 & !\inst|vga_driver_unit|un6_dly_counter_0_x & !\inst|vga_driver_unit|hsync_state_0 # !\inst|vga_driver_unit|un9_hsync_counterlt9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_6 ), + .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datac(\inst|vga_driver_unit|hsync_state_0 ), + .datad(\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|G_2_i ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|G_2 .lut_mask = "01ff"; +defparam \inst|vga_driver_unit|G_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|G_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|G_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|G_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|G_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N5 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter_4 = !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_9 & !\inst|vga_driver_unit|hsync_counter_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(\inst|vga_driver_unit|hsync_counter_3 ), + .datac(\inst|vga_driver_unit|hsync_counter_9 ), + .datad(\inst|vga_driver_unit|hsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .lut_mask = "0010"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N6 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_5 & !\inst|vga_driver_unit|hsync_counter_4 & \inst|vga_driver_unit|hsync_counter_2 & \inst|vga_driver_unit|hsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_5 ), + .datab(\inst|vga_driver_unit|hsync_counter_4 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .lut_mask = "1000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N1 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter = \inst|vga_driver_unit|hsync_counter_0 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|un12_hsync_counter_4 & \inst|vga_driver_unit|un12_hsync_counter_3 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_0 ), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|un12_hsync_counter_4 ), + .datad(\inst|vga_driver_unit|un12_hsync_counter_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N2 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_1 = !\inst|vga_driver_unit|hsync_counter_5 & (!\inst|vga_driver_unit|hsync_counter_9 & !\inst|vga_driver_unit|hsync_counter_8 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_5 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_9 ), + .datad(\inst|vga_driver_unit|hsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .lut_mask = "0005"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N0 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 ( +// Equation(s): +// \inst|vga_driver_unit|un11_hsync_counter_2 = \inst|vga_driver_unit|hsync_counter_7 & \inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(\inst|vga_driver_unit|hsync_counter_2 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .lut_mask = "0088"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N4 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un11_hsync_counter_3 = \inst|vga_driver_unit|hsync_counter_0 & !\inst|vga_driver_unit|hsync_counter_4 & !\inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_0 ), + .datab(\inst|vga_driver_unit|hsync_counter_4 ), + .datac(\inst|vga_driver_unit|hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .lut_mask = "0200"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N1 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(\inst|vga_driver_unit|hsync_counter_2 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .lut_mask = "0011"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N2 +stratix_lcell \inst|vga_driver_unit|hsync_state_5_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_5 = DFFEAS(\inst|vga_driver_unit|hsync_state_6 # \inst|vga_driver_unit|hsync_state_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_state_6 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_5_ .lut_mask = "ffaa"; +defparam \inst|vga_driver_unit|hsync_state_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N8 +stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|hsync_state_5 & (!\inst|vga_driver_unit|un10_hsync_counter_1 # !\inst|vga_driver_unit|un10_hsync_counter_3 # !\inst|vga_driver_unit|un10_hsync_counter_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .datab(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .datac(\inst|vga_driver_unit|hsync_state_5 ), + .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .lut_mask = "70f0"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N7 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter_2 = \inst|vga_driver_unit|hsync_counter_4 & \inst|vga_driver_unit|hsync_counter_8 & !\inst|vga_driver_unit|hsync_counter_5 & \inst|vga_driver_unit|hsync_counter_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_4 ), + .datab(\inst|vga_driver_unit|hsync_counter_8 ), + .datac(\inst|vga_driver_unit|hsync_counter_5 ), + .datad(\inst|vga_driver_unit|hsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .lut_mask = "0800"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N4 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter = \inst|vga_driver_unit|un13_hsync_counter_2 & \inst|vga_driver_unit|un13_hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un13_hsync_counter_2 ), + .datab(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|hsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .lut_mask = "0008"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N0 +stratix_lcell \inst|vga_driver_unit|hsync_state_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|hsync_state_2 & (!\inst|vga_driver_unit|un12_hsync_counter & E1_hsync_state_3 # !\inst|vga_driver_unit|un13_hsync_counter ) # !\inst|vga_driver_unit|hsync_state_2 & +// !\inst|vga_driver_unit|un12_hsync_counter & E1_hsync_state_3 +// \inst|vga_driver_unit|hsync_state_3 = DFFEAS(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , \inst|vga_driver_unit|hsync_state_1 , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_state_2 ), + .datab(\inst|vga_driver_unit|un12_hsync_counter ), + .datac(\inst|vga_driver_unit|hsync_state_1 ), + .datad(\inst|vga_driver_unit|un13_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(vcc), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ), + .regout(\inst|vga_driver_unit|hsync_state_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_3_ .lut_mask = "30ba"; +defparam \inst|vga_driver_unit|hsync_state_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_3_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|hsync_state_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_3_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|hsync_state_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N3 +stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|hsync_state_4 & (!\inst|vga_driver_unit|un11_hsync_counter_3 # !\inst|vga_driver_unit|un11_hsync_counter_2 # !\inst|vga_driver_unit|un10_hsync_counter_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .datac(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .lut_mask = "7f00"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y41_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 & !\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 & +// !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ), + .datab(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .lut_mask = "f0f1"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_1_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_1 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_1 & \inst|vga_driver_unit|un11_hsync_counter_2 & \inst|vga_driver_unit|un11_hsync_counter_3 & \inst|vga_driver_unit|hsync_state_4 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .datac(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_1_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|hsync_state_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N5 +stratix_lcell \inst|vga_driver_unit|hsync_state_2_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_2 = DFFEAS(\inst|vga_driver_unit|un12_hsync_counter & (\inst|vga_driver_unit|hsync_state_3 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un12_hsync_counter ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_2_ .lut_mask = "aa00"; +defparam \inst|vga_driver_unit|hsync_state_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_0_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_0 = DFFEAS(\inst|vga_driver_unit|hsync_state_2 & \inst|vga_driver_unit|un13_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_2 ), + .datad(\inst|vga_driver_unit|un13_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_0_ .lut_mask = "f000"; +defparam \inst|vga_driver_unit|hsync_state_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N8 +stratix_lcell \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa = !\inst|vga_driver_unit|d_set_hsync_counter & \inst|dly_counter [1] & \reset~combout & \inst|dly_counter [0] + + .clk(gnd), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|dly_counter [1]), + .datac(\reset~combout ), + .datad(\inst|dly_counter [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .lut_mask = "4000"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N7 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_4 = \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_4 & \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_1 ), + .datab(\inst|vga_driver_unit|hsync_counter_4 ), + .datac(\inst|vga_driver_unit|hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y42_N8 +stratix_lcell \inst|vga_driver_unit|hsync_state_4_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_4 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_4 & \inst|vga_driver_unit|hsync_state_5 & \inst|vga_driver_unit|un10_hsync_counter_3 & \inst|vga_driver_unit|un10_hsync_counter_1 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .datab(\inst|vga_driver_unit|hsync_state_5 ), + .datac(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_4_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|hsync_state_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N3 +stratix_lcell \inst|vga_driver_unit|un1_hsync_state_3_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_hsync_state_3_0 = \inst|vga_driver_unit|hsync_state_1 # \inst|vga_driver_unit|hsync_state_3 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_1 ), + .datad(\inst|vga_driver_unit|hsync_state_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_hsync_state_3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N4 +stratix_lcell \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|h_sync_1_0_0_0_g1 = \inst|vga_driver_unit|hsync_state_2 & \inst|vga_driver_unit|h_sync # !\inst|vga_driver_unit|hsync_state_2 & (\inst|vga_driver_unit|un1_hsync_state_3_0 & \inst|vga_driver_unit|h_sync # +// !\inst|vga_driver_unit|un1_hsync_state_3_0 & (\inst|vga_driver_unit|hsync_state_4 )) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|h_sync ), + .datab(\inst|vga_driver_unit|hsync_state_4 ), + .datac(\inst|vga_driver_unit|hsync_state_2 ), + .datad(\inst|vga_driver_unit|un1_hsync_state_3_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .lut_mask = "aaac"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N6 +stratix_lcell \inst|vga_driver_unit|h_sync_Z ( +// Equation(s): +// \inst|vga_driver_unit|h_sync = DFFEAS(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 # !\inst|dly_counter [0] # !\reset~combout # !\inst|dly_counter [1], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ), + .datab(\inst|dly_counter [1]), + .datac(\reset~combout ), + .datad(\inst|dly_counter [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|h_sync ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_sync_Z .lut_mask = "bfff"; +defparam \inst|vga_driver_unit|h_sync_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_sync_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|h_sync_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_sync_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_sync_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N0 +stratix_lcell \inst|vga_driver_unit|vsync_counter_0_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_0 = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter $ \inst|vga_driver_unit|vsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|vsync_counter_0 ) +// \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|vsync_counter_0 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|vga_driver_unit|vsync_counter_0 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_0 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [0]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_0_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N1 +stratix_lcell \inst|vga_driver_unit|vsync_counter_1_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_1 = DFFEAS(\inst|vga_driver_unit|vsync_counter_1 $ \inst|vga_driver_unit|vsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [0] # !\inst|vga_driver_unit|vsync_counter_1 ) +// \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|vsync_counter_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_1 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [0]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_1 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [1]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_1_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N2 +stratix_lcell \inst|vga_driver_unit|vsync_counter_2_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_2 $ (!\inst|vga_driver_unit|vsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout [1])) +// \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [1]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_2 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [2]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N3 +stratix_lcell \inst|vga_driver_unit|vsync_counter_3_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_3 = DFFEAS(\inst|vga_driver_unit|vsync_counter_3 $ (\inst|vga_driver_unit|vsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [2] # !\inst|vga_driver_unit|vsync_counter_3 ) +// \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|vsync_counter_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_3 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [2]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_3 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [3]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N4 +stratix_lcell \inst|vga_driver_unit|vsync_counter_4_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_4 = DFFEAS(\inst|vga_driver_unit|vsync_counter_4 $ (!\inst|vga_driver_unit|vsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|vsync_counter_4 & (!\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [3]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_4 ), + .cout(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N5 +stratix_lcell \inst|vga_driver_unit|vsync_counter_5_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_5 = DFFEAS(\inst|vga_driver_unit|vsync_counter_5 $ \inst|vga_driver_unit|vsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 ) +// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_5 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_5 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [5]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_5_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N5 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9_6 = !\inst|vga_driver_unit|vsync_counter_2 # !\inst|vga_driver_unit|vsync_counter_3 # !\inst|vga_driver_unit|vsync_counter_1 # !\inst|vga_driver_unit|vsync_counter_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|vsync_counter_1 ), + .datac(\inst|vga_driver_unit|vsync_counter_3 ), + .datad(\inst|vga_driver_unit|vsync_counter_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N6 +stratix_lcell \inst|vga_driver_unit|vsync_counter_6_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_6 = DFFEAS(\inst|vga_driver_unit|vsync_counter_6 $ !(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [5]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout [5]) +// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [5]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_6 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [6]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .lut_mask = "c30c"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N7 +stratix_lcell \inst|vga_driver_unit|vsync_counter_7_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_7 = DFFEAS(\inst|vga_driver_unit|vsync_counter_7 $ ((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [6]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [6] # !\inst|vga_driver_unit|vsync_counter_7 ) +// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|vsync_counter_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [6]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_7 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [7]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N8 +stratix_lcell \inst|vga_driver_unit|vsync_counter_8_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_8 = DFFEAS(\inst|vga_driver_unit|vsync_counter_8 $ (!(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [7]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout [7])) +// \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_8 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [7]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_8 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [8]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X29_Y38_N9 +stratix_lcell \inst|vga_driver_unit|vsync_counter_9_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [8]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ) $ +// \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [8]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .lut_mask = "0ff0"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N4 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9_5 = !\inst|vga_driver_unit|vsync_counter_8 # !\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_6 # !\inst|vga_driver_unit|vsync_counter_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_9 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N8 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9 = \inst|vga_driver_unit|un9_vsync_counterlt9_6 # \inst|vga_driver_unit|un9_vsync_counterlt9_5 # !\inst|vga_driver_unit|vsync_counter_4 # !\inst|vga_driver_unit|vsync_counter_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_5 ), + .datab(\inst|vga_driver_unit|vsync_counter_4 ), + .datac(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ), + .datad(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .lut_mask = "fff7"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N6 +stratix_lcell \inst|vga_driver_unit|G_16 ( +// Equation(s): +// \inst|vga_driver_unit|G_16_i = !\inst|vga_driver_unit|vsync_state_6 & !\inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|un9_vsync_counterlt9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_6 ), + .datab(\inst|vga_driver_unit|vsync_state_0 ), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|G_16_i ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|G_16 .lut_mask = "01ff"; +defparam \inst|vga_driver_unit|G_16 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|G_16 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|G_16 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|G_16 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|G_16 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y38_N5 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un15_vsync_counter_3 = \inst|vga_driver_unit|vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_2 & !\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|vsync_counter_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_3 ), + .datab(\inst|vga_driver_unit|vsync_counter_2 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un15_vsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .lut_mask = "0200"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y38_N4 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un15_vsync_counter_4 = \inst|vga_driver_unit|un15_vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_4 & !\inst|vga_driver_unit|vsync_counter_1 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un15_vsync_counter_3 ), + .datac(\inst|vga_driver_unit|vsync_counter_4 ), + .datad(\inst|vga_driver_unit|vsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .lut_mask = "000c"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N9 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un13_vsync_counter_3 = !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_9 & !\inst|vga_driver_unit|vsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_9 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_vsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N7 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un13_vsync_counter_4 = \inst|vga_driver_unit|vsync_counter_5 & \inst|vga_driver_unit|un13_vsync_counter_3 & (\inst|vga_driver_unit|vsync_counter_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_5 ), + .datab(\inst|vga_driver_unit|un13_vsync_counter_3 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|vsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .lut_mask = "8800"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N4 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 ( +// Equation(s): +// \inst|vga_driver_unit|un12_vsync_counter_7 = !\inst|vga_driver_unit|vsync_counter_2 & !\inst|vga_driver_unit|vsync_counter_4 & !\inst|vga_driver_unit|vsync_counter_1 & !\inst|vga_driver_unit|vsync_counter_3 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_2 ), + .datab(\inst|vga_driver_unit|vsync_counter_4 ), + .datac(\inst|vga_driver_unit|vsync_counter_1 ), + .datad(\inst|vga_driver_unit|vsync_counter_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N2 +stratix_lcell \inst|vga_driver_unit|vsync_state_1_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_1 = DFFEAS(!\inst|vga_driver_unit|un6_dly_counter_0_x & \inst|vga_driver_unit|un13_vsync_counter_4 & \inst|vga_driver_unit|vsync_state_4 & \inst|vga_driver_unit|un12_vsync_counter_7 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datab(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .datac(\inst|vga_driver_unit|vsync_state_4 ), + .datad(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_1_ .lut_mask = "4000"; +defparam \inst|vga_driver_unit|vsync_state_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N3 +stratix_lcell \inst|vga_driver_unit|vsync_state_5_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_5 = DFFEAS(\inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_0 ), + .datad(\inst|vga_driver_unit|vsync_state_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_5_ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|vsync_state_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N8 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|vsync_state_5 & (\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|un14_vsync_counter_8 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datab(\inst|vga_driver_unit|vsync_counter_9 ), + .datac(\inst|vga_driver_unit|vsync_state_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .lut_mask = "d0f0"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N9 +stratix_lcell \inst|vga_driver_unit|vsync_state_3_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 = E1_vsync_state_3 & (!\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|un14_vsync_counter_8 ) +// \inst|vga_driver_unit|vsync_state_3 = DFFEAS(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , \inst|vga_driver_unit|vsync_state_1 , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datab(\inst|vga_driver_unit|vsync_counter_0 ), + .datac(\inst|vga_driver_unit|vsync_state_1 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(vcc), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ), + .regout(\inst|vga_driver_unit|vsync_state_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_3_ .lut_mask = "70f0"; +defparam \inst|vga_driver_unit|vsync_state_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_3_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|vsync_state_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_3_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|vsync_state_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N5 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|vsync_state_4 & (!\inst|vga_driver_unit|un13_vsync_counter_4 # !\inst|vga_driver_unit|un12_vsync_counter_7 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .datad(\inst|vga_driver_unit|vsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .lut_mask = "5f00"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N3 +stratix_lcell \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 # \inst|vga_driver_unit|vsync_state_2 & (!\inst|vga_driver_unit|un12_vsync_counter_6 # !\inst|vga_driver_unit|un15_vsync_counter_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .datab(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ), + .datac(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .datad(\inst|vga_driver_unit|vsync_state_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .lut_mask = "dfcc"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N6 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_2_sqmuxa = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 & !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 & +// !\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ), + .datab(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ), + .datac(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .lut_mask = "ff01"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_2_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_2 = DFFEAS(\inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_state_3 & \inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datab(\inst|vga_driver_unit|vsync_state_3 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_2_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|vsync_state_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X28_Y38_N2 +stratix_lcell \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 = \inst|vga_driver_unit|un15_vsync_counter_4 & (\inst|vga_driver_unit|vsync_state_2 & \inst|vga_driver_unit|un12_vsync_counter_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_2 ), + .datad(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .lut_mask = "a000"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_0_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_0 = DFFEAS(\inst|vga_driver_unit|vsync_state_0 & (\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ) # +// !\inst|vga_driver_unit|vsync_state_0 & \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 & (!\inst|vga_driver_unit|un6_dly_counter_0_x ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_state_0 ), + .datab(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ), + .datac(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_0_ .lut_mask = "0ace"; +defparam \inst|vga_driver_unit|vsync_state_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N1 +stratix_lcell \inst|vga_driver_unit|d_set_vsync_counter_cZ ( +// Equation(s): +// \inst|vga_driver_unit|d_set_vsync_counter = \inst|vga_driver_unit|vsync_state_6 # \inst|vga_driver_unit|vsync_state_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_6 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_0 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|d_set_vsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .lut_mask = "fafa"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N7 +stratix_lcell \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa = \inst|dly_counter [0] & !\inst|vga_driver_unit|d_set_vsync_counter & \reset~combout & \inst|dly_counter [1] + + .clk(gnd), + .dataa(\inst|dly_counter [0]), + .datab(\inst|vga_driver_unit|d_set_vsync_counter ), + .datac(\reset~combout ), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .lut_mask = "2000"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N1 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 ( +// Equation(s): +// \inst|vga_driver_unit|un12_vsync_counter_6 = !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_5 & !\inst|vga_driver_unit|vsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_6 ), + .datab(\inst|vga_driver_unit|vsync_counter_7 ), + .datac(\inst|vga_driver_unit|vsync_counter_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y39_N2 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 ( +// Equation(s): +// \inst|vga_driver_unit|un14_vsync_counter_8 = \inst|vga_driver_unit|un12_vsync_counter_6 & \inst|vga_driver_unit|un12_vsync_counter_7 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .datad(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .lut_mask = "f000"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N7 +stratix_lcell \inst|vga_driver_unit|vsync_state_4_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_4 = DFFEAS(\inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|vsync_state_5 & !\inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datab(\inst|vga_driver_unit|vsync_counter_0 ), + .datac(\inst|vga_driver_unit|vsync_state_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_4_ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|vsync_state_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N5 +stratix_lcell \inst|vga_driver_unit|un1_vsync_state_2_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_vsync_state_2_0 = \inst|vga_driver_unit|vsync_state_3 # \inst|vga_driver_unit|vsync_state_1 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_3 ), + .datad(\inst|vga_driver_unit|vsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_vsync_state_2_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N6 +stratix_lcell \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|v_sync_1_0_0_0_g1 = \inst|vga_driver_unit|vsync_state_2 & (\inst|vga_driver_unit|v_sync ) # !\inst|vga_driver_unit|vsync_state_2 & (\inst|vga_driver_unit|un1_vsync_state_2_0 & (\inst|vga_driver_unit|v_sync ) # +// !\inst|vga_driver_unit|un1_vsync_state_2_0 & \inst|vga_driver_unit|vsync_state_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_4 ), + .datab(\inst|vga_driver_unit|vsync_state_2 ), + .datac(\inst|vga_driver_unit|v_sync ), + .datad(\inst|vga_driver_unit|un1_vsync_state_2_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .lut_mask = "f0e2"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N1 +stratix_lcell \inst|vga_driver_unit|v_sync_Z ( +// Equation(s): +// \inst|vga_driver_unit|v_sync = DFFEAS(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 # !\inst|dly_counter [0] # !\reset~combout # !\inst|dly_counter [1], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|dly_counter [1]), + .datab(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ), + .datac(\reset~combout ), + .datad(\inst|dly_counter [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|v_sync ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_sync_Z .lut_mask = "dfff"; +defparam \inst|vga_driver_unit|v_sync_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_sync_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|v_sync_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_sync_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_sync_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y38_N2 +stratix_lcell \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 = \inst|dly_counter [0] & \inst|dly_counter [1] & \reset~combout & !\inst|vga_driver_unit|hsync_state_1 + + .clk(gnd), + .dataa(\inst|dly_counter [0]), + .datab(\inst|dly_counter [1]), + .datac(\reset~combout ), + .datad(\inst|vga_driver_unit|hsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N4 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_0_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_0 = DFFEAS(!\inst|vga_driver_unit|un10_column_counter_siglto9 # !\inst|vga_driver_unit|column_counter_sig_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_0_ .lut_mask = "55ff"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N5 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [1] = \inst|vga_driver_unit|column_counter_sig_0 $ \inst|vga_driver_unit|column_counter_sig_1 +// \inst|vga_driver_unit|un2_column_counter_next_cout [1] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|column_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [1]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N8 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [1] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [1]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_1_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N6 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [3] = \inst|vga_driver_unit|column_counter_sig_3 $ (\inst|vga_driver_unit|column_counter_sig_2 & \inst|vga_driver_unit|un2_column_counter_next_cout [1]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [3] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [1] # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [3]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .lut_mask = "6c7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N1 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [3] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [3]), + .datad(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_3_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X24_Y35_N0 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_0_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_cout [0] = CARRY(\inst|vga_driver_unit|column_counter_sig_1 & \inst|vga_driver_unit|column_counter_sig_0 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 = CARRY(\inst|vga_driver_unit|column_counter_sig_1 & \inst|vga_driver_unit|column_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_1 ), + .datab(\inst|vga_driver_unit|column_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .lut_mask = "ff88"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .output_mode = "none"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y35_N1 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_2_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [2] = \inst|vga_driver_unit|column_counter_sig_2 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [0]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [2] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [0] # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [2]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N6 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [2] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [2]), + .datad(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_2_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X24_Y35_N2 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_4_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [4] = \inst|vga_driver_unit|column_counter_sig_4 $ !\inst|vga_driver_unit|un2_column_counter_next_cout [2] +// \inst|vga_driver_unit|un2_column_counter_next_cout [4] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [2]) +// \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [4]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .lut_mask = "c308"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N7 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [4] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [4]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_4_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N7 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_5_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [5] = \inst|vga_driver_unit|column_counter_sig_5 $ (\inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [5] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3]) +// \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [5]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .lut_mask = "a608"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N0 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [5] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [5]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_5_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X24_Y35_N3 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [6] = \inst|vga_driver_unit|column_counter_sig_6 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [4]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [6] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [4] # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_6 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [6]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y35_N4 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_8_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [8] = \inst|vga_driver_unit|un2_column_counter_next_cout [6] $ !\inst|vga_driver_unit|column_counter_sig_8 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [8]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .lut_mask = "f00f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N3 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un10_column_counter_siglto9 & (\inst|vga_driver_unit|un2_column_counter_next_combout [8]), +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .datab(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [8]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_8 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_8_ .lut_mask = "8800"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N5 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6_4 = !\inst|vga_driver_unit|column_counter_sig_1 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|column_counter_sig_2 ), + .datac(\inst|vga_driver_unit|column_counter_sig_3 ), + .datad(\inst|vga_driver_unit|column_counter_sig_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N3 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6 = \inst|vga_driver_unit|un10_column_counter_siglt6_4 # !\inst|vga_driver_unit|column_counter_sig_5 # !\inst|vga_driver_unit|column_counter_sig_4 # !\inst|vga_driver_unit|column_counter_sig_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglt6_4 ), + .datab(\inst|vga_driver_unit|column_counter_sig_6 ), + .datac(\inst|vga_driver_unit|column_counter_sig_4 ), + .datad(\inst|vga_driver_unit|column_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .lut_mask = "bfff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N8 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_7_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [7] = \inst|vga_driver_unit|column_counter_sig_7 $ (\inst|vga_driver_unit|column_counter_sig_6 & \inst|vga_driver_unit|un2_column_counter_next_cout [5]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [7] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [5] # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_7 ), + .datab(\inst|vga_driver_unit|column_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [7]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .lut_mask = "6a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N9 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_9_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [9] = \inst|vga_driver_unit|column_counter_sig_9 $ (\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|un2_column_counter_next_cout [7]) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_driver_unit|column_counter_sig_9 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [9]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .lut_mask = "c6c6"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N1 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_9_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_9 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [9] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [9]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_9_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N2 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglto9 = !\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|column_counter_sig_7 & \inst|vga_driver_unit|un10_column_counter_siglt6 # !\inst|vga_driver_unit|column_counter_sig_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglt6 ), + .datad(\inst|vga_driver_unit|column_counter_sig_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .lut_mask = "10ff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N2 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & (\inst|vga_driver_unit|un10_column_counter_siglto9 & \inst|vga_driver_unit|un2_column_counter_next_combout [7]), +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [7]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_7 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_7_ .lut_mask = "a000"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y35_N9 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [6] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [6]), + .datad(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_6_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y35_N4 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 ( +// Equation(s): +// \inst|vga_control_unit|un5_v_enablelt2 = \inst|vga_driver_unit|column_counter_sig_1 # \inst|vga_driver_unit|column_counter_sig_0 # \inst|vga_driver_unit|column_counter_sig_2 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_1 ), + .datac(\inst|vga_driver_unit|column_counter_sig_0 ), + .datad(\inst|vga_driver_unit|column_counter_sig_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un5_v_enablelt2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 .lut_mask = "fffc"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N7 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 ( +// Equation(s): +// \inst|vga_control_unit|un5_v_enablelto5 = \inst|vga_driver_unit|column_counter_sig_5 # \inst|vga_driver_unit|column_counter_sig_4 # \inst|vga_driver_unit|column_counter_sig_3 & \inst|vga_control_unit|un5_v_enablelt2 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(\inst|vga_driver_unit|column_counter_sig_4 ), + .datad(\inst|vga_control_unit|un5_v_enablelt2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un5_v_enablelto5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 .lut_mask = "fefa"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N4 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 ( +// Equation(s): +// \inst|vga_control_unit|un9_v_enablelto4 = !\inst|vga_driver_unit|column_counter_sig_2 & !\inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|column_counter_sig_3 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_2 ), + .datac(\inst|vga_driver_unit|column_counter_sig_4 ), + .datad(\inst|vga_driver_unit|column_counter_sig_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un9_v_enablelto4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 .lut_mask = "0003"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N5 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 ( +// Equation(s): +// \inst|vga_control_unit|un9_v_enablelto6 = \inst|vga_control_unit|un9_v_enablelto4 # !\inst|vga_driver_unit|column_counter_sig_5 # !\inst|vga_driver_unit|column_counter_sig_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_6 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|column_counter_sig_5 ), + .datad(\inst|vga_control_unit|un9_v_enablelto4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un9_v_enablelto6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .lut_mask = "ff5f"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N0 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [1] = \inst|vga_driver_unit|d_set_hsync_counter $ \inst|vga_driver_unit|line_counter_sig_0 +// \inst|vga_driver_unit|un1_line_counter_sig_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|vga_driver_unit|line_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N8 +stratix_lcell \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 = \inst|dly_counter [0] & !\inst|vga_driver_unit|vsync_state_1 & \reset~combout & \inst|dly_counter [1] + + .clk(gnd), + .dataa(\inst|dly_counter [0]), + .datab(\inst|vga_driver_unit|vsync_state_1 ), + .datac(\reset~combout ), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "2000"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N5 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_0_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_0 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [1] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_0_ .lut_mask = "ff33"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N1 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [3] = \inst|vga_driver_unit|line_counter_sig_2 $ (\inst|vga_driver_unit|line_counter_sig_1 & \inst|vga_driver_unit|un1_line_counter_sig_cout [1]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [3] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .lut_mask = "6c7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N9 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [3] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_2_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N5 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_a_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] = CARRY(\inst|vga_driver_unit|line_counter_sig_0 & \inst|vga_driver_unit|d_set_hsync_counter ) +// \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 = CARRY(\inst|vga_driver_unit|line_counter_sig_0 & \inst|vga_driver_unit|d_set_hsync_counter ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_0 ), + .datab(\inst|vga_driver_unit|d_set_hsync_counter ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .lut_mask = "ff88"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .output_mode = "none"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N6 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [2] = \inst|vga_driver_unit|line_counter_sig_1 $ (\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [2] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X18_Y42_N5 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [2] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_1_ .lut_mask = "f3f3"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N2 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [5] = \inst|vga_driver_unit|line_counter_sig_4 $ (\inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [5] = CARRY(\inst|vga_driver_unit|line_counter_sig_3 & \inst|vga_driver_unit|line_counter_sig_4 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 = CARRY(\inst|vga_driver_unit|line_counter_sig_3 & \inst|vga_driver_unit|line_counter_sig_4 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_3 ), + .datab(\inst|vga_driver_unit|line_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .lut_mask = "c608"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N7 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [5] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_4_ .lut_mask = "ff33"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N7 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [4] = \inst|vga_driver_unit|line_counter_sig_3 $ !\inst|vga_driver_unit|un1_line_counter_sig_cout [2] +// \inst|vga_driver_unit|un1_line_counter_sig_cout [4] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [2]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .lut_mask = "c308"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N1 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [4] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_3_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N3 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [7] = \inst|vga_driver_unit|line_counter_sig_6 $ (\inst|vga_driver_unit|line_counter_sig_5 & \inst|vga_driver_unit|un1_line_counter_sig_cout [5]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [7] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [5] # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_5 ), + .datab(\inst|vga_driver_unit|line_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .lut_mask = "6c7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N6 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [7] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_6_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N8 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [6] = \inst|vga_driver_unit|line_counter_sig_5 $ (\inst|vga_driver_unit|un1_line_counter_sig_cout [4]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [6] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [4] # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_5 ), + .datab(\inst|vga_driver_unit|line_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X18_Y42_N2 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [6] & \inst|vga_driver_unit|un10_line_counter_siglto8 & \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_5_ .lut_mask = "8080"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N9 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [8] = \inst|vga_driver_unit|un1_line_counter_sig_cout [6] $ !\inst|vga_driver_unit|line_counter_sig_7 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .lut_mask = "f00f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N2 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [8] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_7 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_7_ .lut_mask = "ccff"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N0 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglt4_2 = !\inst|vga_driver_unit|line_counter_sig_0 # !\inst|vga_driver_unit|line_counter_sig_3 # !\inst|vga_driver_unit|line_counter_sig_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|line_counter_sig_3 ), + .datad(\inst|vga_driver_unit|line_counter_sig_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .lut_mask = "5fff"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N3 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglto5 = !\inst|vga_driver_unit|line_counter_sig_5 & (\inst|vga_driver_unit|un10_line_counter_siglt4_2 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ), + .datac(\inst|vga_driver_unit|line_counter_sig_5 ), + .datad(\inst|vga_driver_unit|line_counter_sig_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglto5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .lut_mask = "0d0f"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N4 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglto8 = \inst|vga_driver_unit|un10_line_counter_siglto5 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_8 # !\inst|vga_driver_unit|line_counter_sig_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_7 ), + .datab(\inst|vga_driver_unit|line_counter_sig_8 ), + .datac(\inst|vga_driver_unit|line_counter_sig_6 ), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .lut_mask = "ff7f"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N4 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_9_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [9] = \inst|vga_driver_unit|line_counter_sig_8 $ (\inst|vga_driver_unit|line_counter_sig_7 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [7]) + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|line_counter_sig_7 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .lut_mask = "f30c"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N8 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [9] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_8 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_8_ .lut_mask = "ff33"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X30_Y39_N9 +stratix_lcell \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ ( +// Equation(s): +// \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_4 & !\inst|vga_driver_unit|vsync_state_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_5 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "ff05"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N0 +stratix_lcell \inst|vga_driver_unit|h_enable_sig_Z ( +// Equation(s): +// \inst|vga_driver_unit|h_enable_sig = DFFEAS(\inst|vga_driver_unit|vsync_state_3 # \inst|vga_driver_unit|vsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_state_3 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|vsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|h_enable_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_enable_sig_Z .lut_mask = "ffaa"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N8 +stratix_lcell \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_sqmuxa_7_2 = !\inst|vga_driver_unit|column_counter_sig_9 & !\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|line_counter_sig_8 & \inst|vga_driver_unit|h_enable_sig + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_9 ), + .datab(\inst|vga_driver_unit|column_counter_sig_8 ), + .datac(\inst|vga_driver_unit|line_counter_sig_8 ), + .datad(\inst|vga_driver_unit|h_enable_sig ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_sqmuxa_7_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ .lut_mask = "0100"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N6 +stratix_lcell \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_sqmuxa_7_3 = \inst|vga_control_unit|b_next_0_sqmuxa_7_2 & (\inst|vga_driver_unit|column_counter_sig_9 # \inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_control_unit|un9_v_enablelto6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_9 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_control_unit|un9_v_enablelto6 ), + .datad(\inst|vga_control_unit|b_next_0_sqmuxa_7_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_sqmuxa_7_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ .lut_mask = "ef00"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N9 +stratix_lcell \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_sqmuxa_7_5 = \inst|vga_control_unit|b_next_0_sqmuxa_7_3 & (!\inst|vga_control_unit|un5_v_enablelto5 # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_6 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_control_unit|un5_v_enablelto5 ), + .datad(\inst|vga_control_unit|b_next_0_sqmuxa_7_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_sqmuxa_7_5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ .lut_mask = "7f00"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X18_Y42_N6 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 ( +// Equation(s): +// \inst|vga_control_unit|un13_v_enablelto4_0 = !\inst|vga_driver_unit|line_counter_sig_4 & (!\inst|vga_driver_unit|line_counter_sig_2 ) + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|line_counter_sig_4 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un13_v_enablelto4_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 .lut_mask = "0033"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X18_Y42_N4 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 ( +// Equation(s): +// \inst|vga_control_unit|un13_v_enablelto6 = \inst|vga_control_unit|un13_v_enablelto4_0 & !\inst|vga_driver_unit|line_counter_sig_3 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_5 ), + .datab(\inst|vga_control_unit|un13_v_enablelto4_0 ), + .datac(\inst|vga_driver_unit|line_counter_sig_3 ), + .datad(\inst|vga_driver_unit|line_counter_sig_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un13_v_enablelto6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 .lut_mask = "5dff"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X18_Y42_N8 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 ( +// Equation(s): +// \inst|vga_control_unit|un17_v_enablelto3 = \inst|vga_driver_unit|line_counter_sig_3 & (\inst|vga_driver_unit|line_counter_sig_1 # \inst|vga_driver_unit|line_counter_sig_0 # \inst|vga_driver_unit|line_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_3 ), + .datac(\inst|vga_driver_unit|line_counter_sig_0 ), + .datad(\inst|vga_driver_unit|line_counter_sig_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un17_v_enablelto3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 .lut_mask = "ccc8"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N1 +stratix_lcell \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a = !\inst|vga_control_unit|un17_v_enablelto3 & !\inst|vga_driver_unit|line_counter_sig_4 & !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 + + .clk(gnd), + .dataa(\inst|vga_control_unit|un17_v_enablelto3 ), + .datab(\inst|vga_driver_unit|line_counter_sig_6 ), + .datac(\inst|vga_driver_unit|line_counter_sig_4 ), + .datad(\inst|vga_driver_unit|line_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_sqmuxa_7_4_a ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ .lut_mask = "3337"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N2 +stratix_lcell \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_sqmuxa_7_4 = \inst|vga_driver_unit|line_counter_sig_7 & (\inst|vga_control_unit|b_next_0_sqmuxa_7_4_a ) # !\inst|vga_driver_unit|line_counter_sig_7 & (\inst|vga_driver_unit|line_counter_sig_8 # +// !\inst|vga_control_unit|un13_v_enablelto6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_8 ), + .datab(\inst|vga_control_unit|un13_v_enablelto6 ), + .datac(\inst|vga_driver_unit|line_counter_sig_7 ), + .datad(\inst|vga_control_unit|b_next_0_sqmuxa_7_4_a ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_sqmuxa_7_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ .lut_mask = "fb0b"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X42_Y42_N7 +stratix_lcell \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ ( +// Equation(s): +// \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_5 & !\inst|vga_driver_unit|hsync_state_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_5 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_4 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "ff05"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y42_N2 +stratix_lcell \inst|vga_driver_unit|v_enable_sig_Z ( +// Equation(s): +// \inst|vga_driver_unit|v_enable_sig = DFFEAS(\inst|vga_driver_unit|hsync_state_1 # \inst|vga_driver_unit|hsync_state_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_state_1 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|v_enable_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_enable_sig_Z .lut_mask = "ffcc"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N0 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_0_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_0 = DFFEAS(!\inst|vga_control_unit|toggle_counter_sig_0 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_counter_sig_0 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .lut_mask = "00ff"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N0 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_1_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_1 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_0 $ \inst|vga_control_unit|toggle_counter_sig_1 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [1] = CARRY(\inst|vga_control_unit|toggle_counter_sig_0 & \inst|vga_control_unit|toggle_counter_sig_1 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 = CARRY(\inst|vga_control_unit|toggle_counter_sig_0 & \inst|vga_control_unit|toggle_counter_sig_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_0 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_1 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [1]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .lut_mask = "6688"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N1 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_3_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_3 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_3 $ (\inst|vga_control_unit|toggle_counter_sig_2 & \inst|vga_control_unit|toggle_counter_sig_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [3] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [1] # !\inst|vga_control_unit|toggle_counter_sig_3 # !\inst|vga_control_unit|toggle_counter_sig_2 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 # !\inst|vga_control_unit|toggle_counter_sig_3 # !\inst|vga_control_unit|toggle_counter_sig_2 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_2 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [1]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_3 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [3]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .lut_mask = "6c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N5 +stratix_lcell \inst|vga_control_unit|un2_toggle_counter_next_0_ ( +// Equation(s): +// \inst|vga_control_unit|un2_toggle_counter_next_cout [0] = CARRY(\inst|vga_control_unit|toggle_counter_sig_1 & \inst|vga_control_unit|toggle_counter_sig_0 ) +// \inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 = CARRY(\inst|vga_control_unit|toggle_counter_sig_1 & \inst|vga_control_unit|toggle_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_1 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un2_toggle_counter_next_0_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_control_unit|un2_toggle_counter_next_cout [0]), + .cout1(\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 )); +// synopsys translate_off +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .lut_mask = "ff88"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .output_mode = "none"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N6 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_2_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_2 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_2 $ \inst|vga_control_unit|un2_toggle_counter_next_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , +// , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [2] = CARRY(!\inst|vga_control_unit|un2_toggle_counter_next_cout [0] # !\inst|vga_control_unit|toggle_counter_sig_2 # !\inst|vga_control_unit|toggle_counter_sig_3 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 = CARRY(!\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 # !\inst|vga_control_unit|toggle_counter_sig_2 # !\inst|vga_control_unit|toggle_counter_sig_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_3 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|un2_toggle_counter_next_cout [0]), + .cin1(\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_2 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [2]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .lut_mask = "3c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N2 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_5_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_5 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_5 $ (\inst|vga_control_unit|toggle_counter_sig_4 & !\inst|vga_control_unit|toggle_counter_sig_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [5] = CARRY(\inst|vga_control_unit|toggle_counter_sig_5 & \inst|vga_control_unit|toggle_counter_sig_4 & !\inst|vga_control_unit|toggle_counter_sig_cout [3]) +// \inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 = CARRY(\inst|vga_control_unit|toggle_counter_sig_5 & \inst|vga_control_unit|toggle_counter_sig_4 & !\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_5 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [3]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_5 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [5]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .lut_mask = "a608"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N7 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_4_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_4 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_4 $ (!\inst|vga_control_unit|toggle_counter_sig_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , +// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [4] = CARRY(\inst|vga_control_unit|toggle_counter_sig_4 & \inst|vga_control_unit|toggle_counter_sig_5 & !\inst|vga_control_unit|toggle_counter_sig_cout [2]) +// \inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 = CARRY(\inst|vga_control_unit|toggle_counter_sig_4 & \inst|vga_control_unit|toggle_counter_sig_5 & !\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_4 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_5 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [2]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_4 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [4]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .lut_mask = "a508"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N8 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_6_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_6 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_6 $ \inst|vga_control_unit|toggle_counter_sig_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [6] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [4] # !\inst|vga_control_unit|toggle_counter_sig_6 # !\inst|vga_control_unit|toggle_counter_sig_7 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 # !\inst|vga_control_unit|toggle_counter_sig_6 # !\inst|vga_control_unit|toggle_counter_sig_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_7 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [4]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_6 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [6]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .lut_mask = "3c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N3 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_7_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_7 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_7 $ (\inst|vga_control_unit|toggle_counter_sig_6 & \inst|vga_control_unit|toggle_counter_sig_cout [5]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [7] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [5] # !\inst|vga_control_unit|toggle_counter_sig_6 # !\inst|vga_control_unit|toggle_counter_sig_7 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 # !\inst|vga_control_unit|toggle_counter_sig_6 # !\inst|vga_control_unit|toggle_counter_sig_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_7 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [5]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_7 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [7]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .lut_mask = "6a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N9 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_8_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_8 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_8 $ !\inst|vga_control_unit|toggle_counter_sig_cout [6], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [8] = CARRY(\inst|vga_control_unit|toggle_counter_sig_9 & \inst|vga_control_unit|toggle_counter_sig_8 & !\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_9 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_8 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [6]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_8 ), + .cout(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .lut_mask = "c308"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N4 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_9_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_9 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_9 $ (\inst|vga_control_unit|toggle_counter_sig_8 & !\inst|vga_control_unit|toggle_counter_sig_cout [7]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [9] = CARRY(\inst|vga_control_unit|toggle_counter_sig_9 & \inst|vga_control_unit|toggle_counter_sig_8 & !\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_9 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_8 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [7]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_9 ), + .cout(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .lut_mask = "a608"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N5 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_11_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_11 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_11 $ (\inst|vga_control_unit|toggle_counter_sig_10 & \inst|vga_control_unit|toggle_counter_sig_cout [9]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [11] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [9] # !\inst|vga_control_unit|toggle_counter_sig_11 # !\inst|vga_control_unit|toggle_counter_sig_10 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [9] # !\inst|vga_control_unit|toggle_counter_sig_11 # !\inst|vga_control_unit|toggle_counter_sig_10 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_10 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_11 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_11 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [11]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .lut_mask = "6c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N0 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_10_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_10 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_10 $ (\inst|vga_control_unit|toggle_counter_sig_cout [8]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , +// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [10] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [8] # !\inst|vga_control_unit|toggle_counter_sig_11 # !\inst|vga_control_unit|toggle_counter_sig_10 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [8] # !\inst|vga_control_unit|toggle_counter_sig_11 # !\inst|vga_control_unit|toggle_counter_sig_10 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_10 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_11 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_10 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [10]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .lut_mask = "5a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N6 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_13_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_13 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_13 $ (\inst|vga_control_unit|toggle_counter_sig_12 & !(!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [11]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [13] = CARRY(\inst|vga_control_unit|toggle_counter_sig_12 & \inst|vga_control_unit|toggle_counter_sig_13 & !\inst|vga_control_unit|toggle_counter_sig_cout [11]) +// \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 = CARRY(\inst|vga_control_unit|toggle_counter_sig_12 & \inst|vga_control_unit|toggle_counter_sig_13 & !\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_12 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_13 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [11]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_13 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [13]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .lut_mask = "c608"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N1 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_12_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_12 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_12 $ (!(!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [10]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [12] = CARRY(\inst|vga_control_unit|toggle_counter_sig_12 & \inst|vga_control_unit|toggle_counter_sig_13 & !\inst|vga_control_unit|toggle_counter_sig_cout [10]) +// \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 = CARRY(\inst|vga_control_unit|toggle_counter_sig_12 & \inst|vga_control_unit|toggle_counter_sig_13 & !\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_12 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_13 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [10]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_12 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [12]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .lut_mask = "a508"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N7 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_15_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_15 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_15 $ (\inst|vga_control_unit|toggle_counter_sig_14 & (!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [13]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [15] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [13] # !\inst|vga_control_unit|toggle_counter_sig_14 # !\inst|vga_control_unit|toggle_counter_sig_15 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 # !\inst|vga_control_unit|toggle_counter_sig_14 # !\inst|vga_control_unit|toggle_counter_sig_15 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_15 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_14 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [13]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_15 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [15]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .lut_mask = "6a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N2 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_14_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_14 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_14 $ ((!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [12]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [14] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [12] # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_14 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_15 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [12]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_14 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [14]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .lut_mask = "5a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N3 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglt6 = !\inst|vga_control_unit|toggle_counter_sig_5 # !\inst|vga_control_unit|toggle_counter_sig_6 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_6 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglt6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 .lut_mask = "55ff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N4 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto9 = !\inst|vga_control_unit|toggle_counter_sig_7 & \inst|vga_control_unit|un1_toggle_counter_siglt6 # !\inst|vga_control_unit|toggle_counter_sig_9 # !\inst|vga_control_unit|toggle_counter_sig_8 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_7 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_8 ), + .datac(\inst|vga_control_unit|un1_toggle_counter_siglt6 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 .lut_mask = "73ff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N6 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto12 = \inst|vga_control_unit|un1_toggle_counter_siglto9 & !\inst|vga_control_unit|toggle_counter_sig_10 & !\inst|vga_control_unit|toggle_counter_sig_12 & !\inst|vga_control_unit|toggle_counter_sig_11 + + .clk(gnd), + .dataa(\inst|vga_control_unit|un1_toggle_counter_siglto9 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_10 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_12 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_11 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto12 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 .lut_mask = "0002"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N7 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto15 = \inst|vga_control_unit|un1_toggle_counter_siglto12 # !\inst|vga_control_unit|toggle_counter_sig_13 # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_14 ), + .datab(\inst|vga_control_unit|un1_toggle_counter_siglto12 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_15 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_13 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto15 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 .lut_mask = "dfff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N3 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_16_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_16 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_16 $ (!(!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [14]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [16] = CARRY(\inst|vga_control_unit|toggle_counter_sig_16 & \inst|vga_control_unit|toggle_counter_sig_17 & !\inst|vga_control_unit|toggle_counter_sig_cout [14]) +// \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 = CARRY(\inst|vga_control_unit|toggle_counter_sig_16 & \inst|vga_control_unit|toggle_counter_sig_17 & !\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_16 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_17 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [14]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_16 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [16]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .lut_mask = "a508"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N8 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_17_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_17 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_17 $ (\inst|vga_control_unit|toggle_counter_sig_16 & !(!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [15]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [17] = CARRY(\inst|vga_control_unit|toggle_counter_sig_17 & \inst|vga_control_unit|toggle_counter_sig_16 & !\inst|vga_control_unit|toggle_counter_sig_cout [15]) +// \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 = CARRY(\inst|vga_control_unit|toggle_counter_sig_17 & \inst|vga_control_unit|toggle_counter_sig_16 & !\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_17 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_16 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [15]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_17 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [17]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .lut_mask = "a608"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X76_Y6_N9 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_19_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_19 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_19 $ (\inst|vga_control_unit|toggle_counter_sig_18 & (!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [17]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_control_unit|toggle_counter_sig_18 ), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_counter_sig_19 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [17]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_19 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .lut_mask = "3fc0"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N4 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_18_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_18 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_18 $ ((!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [16]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [18] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 # !\inst|vga_control_unit|toggle_counter_sig_19 # !\inst|vga_control_unit|toggle_counter_sig_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_18 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_19 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [16]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_18 ), + .cout(\inst|vga_control_unit|toggle_counter_sig_cout [18]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .lut_mask = "5a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N8 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto18 = \inst|vga_control_unit|un1_toggle_counter_siglto15 & !\inst|vga_control_unit|toggle_counter_sig_16 # !\inst|vga_control_unit|toggle_counter_sig_18 # !\inst|vga_control_unit|toggle_counter_sig_17 + + .clk(gnd), + .dataa(\inst|vga_control_unit|un1_toggle_counter_siglto15 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_17 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_16 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_18 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto18 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 .lut_mask = "3bff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N5 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_20_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_20 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_20 $ !\inst|vga_control_unit|toggle_counter_sig_cout [18], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , +// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_control_unit|toggle_counter_sig_20 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [18]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_20 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .lut_mask = "c3c3"; +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_20_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X72_Y5_N9 +stratix_lcell \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_control_unit|toggle_sig_0_0_0_g1 = \inst|vga_control_unit|un1_toggle_counter_siglto18 & !\inst|vga_control_unit|toggle_counter_sig_19 # !\inst|vga_control_unit|toggle_counter_sig_20 + + .clk(gnd), + .dataa(\inst|vga_control_unit|un1_toggle_counter_siglto18 ), + .datab(vcc), + .datac(\inst|vga_control_unit|toggle_counter_sig_20 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_19 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .lut_mask = "0faf"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N2 +stratix_lcell \inst|vga_control_unit|toggle_sig_Z ( +// Equation(s): +// \inst|vga_control_unit|toggle_sig = DFFEAS(\inst|vga_control_unit|toggle_sig_0_0_0_g1 $ !\inst|vga_control_unit|toggle_sig , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .datad(\inst|vga_control_unit|toggle_sig ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_sig_Z .lut_mask = "f00f"; +defparam \inst|vga_control_unit|toggle_sig_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_sig_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X72_Y6_N1 +stratix_lcell \inst|vga_control_unit|r_Z ( +// Equation(s): +// \inst|vga_control_unit|r = DFFEAS(\inst|vga_control_unit|b_next_0_sqmuxa_7_5 & \inst|vga_control_unit|b_next_0_sqmuxa_7_4 & \inst|vga_driver_unit|v_enable_sig & \inst|vga_control_unit|toggle_sig , GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|b_next_0_sqmuxa_7_5 ), + .datab(\inst|vga_control_unit|b_next_0_sqmuxa_7_4 ), + .datac(\inst|vga_driver_unit|v_enable_sig ), + .datad(\inst|vga_control_unit|toggle_sig ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|r ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|r_Z .lut_mask = "8000"; +defparam \inst|vga_control_unit|r_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|r_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|r_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|r_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|r_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X41_Y42_N2 +stratix_lcell \~STRATIX_FITTER_CREATED_GND~I ( +// Equation(s): +// \~STRATIX_FITTER_CREATED_GND~I_combout = GND + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \~STRATIX_FITTER_CREATED_GND~I .lut_mask = "0000"; +defparam \~STRATIX_FITTER_CREATED_GND~I .operation_mode = "normal"; +defparam \~STRATIX_FITTER_CREATED_GND~I .output_mode = "comb_only"; +defparam \~STRATIX_FITTER_CREATED_GND~I .register_cascade_mode = "off"; +defparam \~STRATIX_FITTER_CREATED_GND~I .sum_lutc_input = "datac"; +defparam \~STRATIX_FITTER_CREATED_GND~I .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X28_Y35_N3 +stratix_lcell \inst|vga_control_unit|b_Z ( +// Equation(s): +// \inst|vga_control_unit|b = DFFEAS(\inst|vga_control_unit|b_next_0_sqmuxa_7_4 & \inst|vga_control_unit|b_next_0_sqmuxa_7_5 & !\inst|vga_control_unit|toggle_sig & \inst|vga_driver_unit|v_enable_sig , GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|b_next_0_sqmuxa_7_4 ), + .datab(\inst|vga_control_unit|b_next_0_sqmuxa_7_5 ), + .datac(\inst|vga_control_unit|toggle_sig ), + .datad(\inst|vga_driver_unit|v_enable_sig ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|b ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_Z .lut_mask = "0800"; +defparam \inst|vga_control_unit|b_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|b_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at PIN_L7 +stratix_io \inst|d_hsync_out~I ( + .datain(\inst|vga_driver_unit|h_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_out~I .ddio_mode = "none"; +defparam \inst|d_hsync_out~I .input_async_reset = "none"; +defparam \inst|d_hsync_out~I .input_power_up = "low"; +defparam \inst|d_hsync_out~I .input_register_mode = "none"; +defparam \inst|d_hsync_out~I .input_sync_reset = "none"; +defparam \inst|d_hsync_out~I .oe_async_reset = "none"; +defparam \inst|d_hsync_out~I .oe_power_up = "low"; +defparam \inst|d_hsync_out~I .oe_register_mode = "none"; +defparam \inst|d_hsync_out~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_out~I .operation_mode = "output"; +defparam \inst|d_hsync_out~I .output_async_reset = "none"; +defparam \inst|d_hsync_out~I .output_power_up = "low"; +defparam \inst|d_hsync_out~I .output_register_mode = "none"; +defparam \inst|d_hsync_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L5 +stratix_io \inst|d_vsync_out~I ( + .datain(\inst|vga_driver_unit|v_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_out~I .ddio_mode = "none"; +defparam \inst|d_vsync_out~I .input_async_reset = "none"; +defparam \inst|d_vsync_out~I .input_power_up = "low"; +defparam \inst|d_vsync_out~I .input_register_mode = "none"; +defparam \inst|d_vsync_out~I .input_sync_reset = "none"; +defparam \inst|d_vsync_out~I .oe_async_reset = "none"; +defparam \inst|d_vsync_out~I .oe_power_up = "low"; +defparam \inst|d_vsync_out~I .oe_register_mode = "none"; +defparam \inst|d_vsync_out~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_out~I .operation_mode = "output"; +defparam \inst|d_vsync_out~I .output_async_reset = "none"; +defparam \inst|d_vsync_out~I .output_power_up = "low"; +defparam \inst|d_vsync_out~I .output_register_mode = "none"; +defparam \inst|d_vsync_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y23 +stratix_io \inst|d_set_column_counter_out~I ( + .datain(\inst|vga_driver_unit|hsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_column_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_column_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_column_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_column_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_column_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_column_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F21 +stratix_io \inst|d_set_line_counter_out~I ( + .datain(\inst|vga_driver_unit|vsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_line_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_line_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_line_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_line_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_line_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_line_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F26 +stratix_io \inst|d_set_hsync_counter_out~I ( + .datain(\inst|vga_driver_unit|d_set_hsync_counter ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_hsync_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_hsync_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_hsync_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F24 +stratix_io \inst|d_set_vsync_counter_out~I ( + .datain(\inst|vga_driver_unit|d_set_vsync_counter ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_vsync_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_vsync_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_vsync_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L3 +stratix_io \inst|d_r_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_r), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_r_out~I .ddio_mode = "none"; +defparam \inst|d_r_out~I .input_async_reset = "none"; +defparam \inst|d_r_out~I .input_power_up = "low"; +defparam \inst|d_r_out~I .input_register_mode = "none"; +defparam \inst|d_r_out~I .input_sync_reset = "none"; +defparam \inst|d_r_out~I .oe_async_reset = "none"; +defparam \inst|d_r_out~I .oe_power_up = "low"; +defparam \inst|d_r_out~I .oe_register_mode = "none"; +defparam \inst|d_r_out~I .oe_sync_reset = "none"; +defparam \inst|d_r_out~I .operation_mode = "output"; +defparam \inst|d_r_out~I .output_async_reset = "none"; +defparam \inst|d_r_out~I .output_power_up = "low"; +defparam \inst|d_r_out~I .output_register_mode = "none"; +defparam \inst|d_r_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K24 +stratix_io \inst|d_g_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_g), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_g_out~I .ddio_mode = "none"; +defparam \inst|d_g_out~I .input_async_reset = "none"; +defparam \inst|d_g_out~I .input_power_up = "low"; +defparam \inst|d_g_out~I .input_register_mode = "none"; +defparam \inst|d_g_out~I .input_sync_reset = "none"; +defparam \inst|d_g_out~I .oe_async_reset = "none"; +defparam \inst|d_g_out~I .oe_power_up = "low"; +defparam \inst|d_g_out~I .oe_register_mode = "none"; +defparam \inst|d_g_out~I .oe_sync_reset = "none"; +defparam \inst|d_g_out~I .operation_mode = "output"; +defparam \inst|d_g_out~I .output_async_reset = "none"; +defparam \inst|d_g_out~I .output_power_up = "low"; +defparam \inst|d_g_out~I .output_register_mode = "none"; +defparam \inst|d_g_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K20 +stratix_io \inst|d_b_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_b), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_b_out~I .ddio_mode = "none"; +defparam \inst|d_b_out~I .input_async_reset = "none"; +defparam \inst|d_b_out~I .input_power_up = "low"; +defparam \inst|d_b_out~I .input_register_mode = "none"; +defparam \inst|d_b_out~I .input_sync_reset = "none"; +defparam \inst|d_b_out~I .oe_async_reset = "none"; +defparam \inst|d_b_out~I .oe_power_up = "low"; +defparam \inst|d_b_out~I .oe_register_mode = "none"; +defparam \inst|d_b_out~I .oe_sync_reset = "none"; +defparam \inst|d_b_out~I .operation_mode = "output"; +defparam \inst|d_b_out~I .output_async_reset = "none"; +defparam \inst|d_b_out~I .output_power_up = "low"; +defparam \inst|d_b_out~I .output_register_mode = "none"; +defparam \inst|d_b_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J21 +stratix_io \inst|d_h_enable_out~I ( + .datain(\inst|vga_driver_unit|h_enable_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_h_enable), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_h_enable_out~I .ddio_mode = "none"; +defparam \inst|d_h_enable_out~I .input_async_reset = "none"; +defparam \inst|d_h_enable_out~I .input_power_up = "low"; +defparam \inst|d_h_enable_out~I .input_register_mode = "none"; +defparam \inst|d_h_enable_out~I .input_sync_reset = "none"; +defparam \inst|d_h_enable_out~I .oe_async_reset = "none"; +defparam \inst|d_h_enable_out~I .oe_power_up = "low"; +defparam \inst|d_h_enable_out~I .oe_register_mode = "none"; +defparam \inst|d_h_enable_out~I .oe_sync_reset = "none"; +defparam \inst|d_h_enable_out~I .operation_mode = "output"; +defparam \inst|d_h_enable_out~I .output_async_reset = "none"; +defparam \inst|d_h_enable_out~I .output_power_up = "low"; +defparam \inst|d_h_enable_out~I .output_register_mode = "none"; +defparam \inst|d_h_enable_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H18 +stratix_io \inst|d_v_enable_out~I ( + .datain(\inst|vga_driver_unit|v_enable_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_v_enable), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_v_enable_out~I .ddio_mode = "none"; +defparam \inst|d_v_enable_out~I .input_async_reset = "none"; +defparam \inst|d_v_enable_out~I .input_power_up = "low"; +defparam \inst|d_v_enable_out~I .input_register_mode = "none"; +defparam \inst|d_v_enable_out~I .input_sync_reset = "none"; +defparam \inst|d_v_enable_out~I .oe_async_reset = "none"; +defparam \inst|d_v_enable_out~I .oe_power_up = "low"; +defparam \inst|d_v_enable_out~I .oe_register_mode = "none"; +defparam \inst|d_v_enable_out~I .oe_sync_reset = "none"; +defparam \inst|d_v_enable_out~I .operation_mode = "output"; +defparam \inst|d_v_enable_out~I .output_async_reset = "none"; +defparam \inst|d_v_enable_out~I .output_power_up = "low"; +defparam \inst|d_v_enable_out~I .output_register_mode = "none"; +defparam \inst|d_v_enable_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K3 +stratix_io \inst|d_state_clk_out~I ( + .datain(\inst1|altpll_component|_clk0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_state_clk), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_state_clk_out~I .ddio_mode = "none"; +defparam \inst|d_state_clk_out~I .input_async_reset = "none"; +defparam \inst|d_state_clk_out~I .input_power_up = "low"; +defparam \inst|d_state_clk_out~I .input_register_mode = "none"; +defparam \inst|d_state_clk_out~I .input_sync_reset = "none"; +defparam \inst|d_state_clk_out~I .oe_async_reset = "none"; +defparam \inst|d_state_clk_out~I .oe_power_up = "low"; +defparam \inst|d_state_clk_out~I .oe_register_mode = "none"; +defparam \inst|d_state_clk_out~I .oe_sync_reset = "none"; +defparam \inst|d_state_clk_out~I .operation_mode = "output"; +defparam \inst|d_state_clk_out~I .output_async_reset = "none"; +defparam \inst|d_state_clk_out~I .output_power_up = "low"; +defparam \inst|d_state_clk_out~I .output_register_mode = "none"; +defparam \inst|d_state_clk_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H3 +stratix_io \inst|d_toggle_out~I ( + .datain(\inst|vga_control_unit|toggle_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_out~I .ddio_mode = "none"; +defparam \inst|d_toggle_out~I .input_async_reset = "none"; +defparam \inst|d_toggle_out~I .input_power_up = "low"; +defparam \inst|d_toggle_out~I .input_register_mode = "none"; +defparam \inst|d_toggle_out~I .input_sync_reset = "none"; +defparam \inst|d_toggle_out~I .oe_async_reset = "none"; +defparam \inst|d_toggle_out~I .oe_power_up = "low"; +defparam \inst|d_toggle_out~I .oe_register_mode = "none"; +defparam \inst|d_toggle_out~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_out~I .operation_mode = "output"; +defparam \inst|d_toggle_out~I .output_async_reset = "none"; +defparam \inst|d_toggle_out~I .output_power_up = "low"; +defparam \inst|d_toggle_out~I .output_register_mode = "none"; +defparam \inst|d_toggle_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E22 +stratix_io \inst|r0_pin_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r0_pin_out~I .ddio_mode = "none"; +defparam \inst|r0_pin_out~I .input_async_reset = "none"; +defparam \inst|r0_pin_out~I .input_power_up = "low"; +defparam \inst|r0_pin_out~I .input_register_mode = "none"; +defparam \inst|r0_pin_out~I .input_sync_reset = "none"; +defparam \inst|r0_pin_out~I .oe_async_reset = "none"; +defparam \inst|r0_pin_out~I .oe_power_up = "low"; +defparam \inst|r0_pin_out~I .oe_register_mode = "none"; +defparam \inst|r0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r0_pin_out~I .operation_mode = "output"; +defparam \inst|r0_pin_out~I .output_async_reset = "none"; +defparam \inst|r0_pin_out~I .output_power_up = "low"; +defparam \inst|r0_pin_out~I .output_register_mode = "none"; +defparam \inst|r0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T4 +stratix_io \inst|r1_pin_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r1_pin_out~I .ddio_mode = "none"; +defparam \inst|r1_pin_out~I .input_async_reset = "none"; +defparam \inst|r1_pin_out~I .input_power_up = "low"; +defparam \inst|r1_pin_out~I .input_register_mode = "none"; +defparam \inst|r1_pin_out~I .input_sync_reset = "none"; +defparam \inst|r1_pin_out~I .oe_async_reset = "none"; +defparam \inst|r1_pin_out~I .oe_power_up = "low"; +defparam \inst|r1_pin_out~I .oe_register_mode = "none"; +defparam \inst|r1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r1_pin_out~I .operation_mode = "output"; +defparam \inst|r1_pin_out~I .output_async_reset = "none"; +defparam \inst|r1_pin_out~I .output_power_up = "low"; +defparam \inst|r1_pin_out~I .output_register_mode = "none"; +defparam \inst|r1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T7 +stratix_io \inst|r2_pin_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r2_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r2_pin_out~I .ddio_mode = "none"; +defparam \inst|r2_pin_out~I .input_async_reset = "none"; +defparam \inst|r2_pin_out~I .input_power_up = "low"; +defparam \inst|r2_pin_out~I .input_register_mode = "none"; +defparam \inst|r2_pin_out~I .input_sync_reset = "none"; +defparam \inst|r2_pin_out~I .oe_async_reset = "none"; +defparam \inst|r2_pin_out~I .oe_power_up = "low"; +defparam \inst|r2_pin_out~I .oe_register_mode = "none"; +defparam \inst|r2_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r2_pin_out~I .operation_mode = "output"; +defparam \inst|r2_pin_out~I .output_async_reset = "none"; +defparam \inst|r2_pin_out~I .output_power_up = "low"; +defparam \inst|r2_pin_out~I .output_register_mode = "none"; +defparam \inst|r2_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E23 +stratix_io \inst|g0_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g0_pin_out~I .ddio_mode = "none"; +defparam \inst|g0_pin_out~I .input_async_reset = "none"; +defparam \inst|g0_pin_out~I .input_power_up = "low"; +defparam \inst|g0_pin_out~I .input_register_mode = "none"; +defparam \inst|g0_pin_out~I .input_sync_reset = "none"; +defparam \inst|g0_pin_out~I .oe_async_reset = "none"; +defparam \inst|g0_pin_out~I .oe_power_up = "low"; +defparam \inst|g0_pin_out~I .oe_register_mode = "none"; +defparam \inst|g0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g0_pin_out~I .operation_mode = "output"; +defparam \inst|g0_pin_out~I .output_async_reset = "none"; +defparam \inst|g0_pin_out~I .output_power_up = "low"; +defparam \inst|g0_pin_out~I .output_register_mode = "none"; +defparam \inst|g0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T5 +stratix_io \inst|g1_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g1_pin_out~I .ddio_mode = "none"; +defparam \inst|g1_pin_out~I .input_async_reset = "none"; +defparam \inst|g1_pin_out~I .input_power_up = "low"; +defparam \inst|g1_pin_out~I .input_register_mode = "none"; +defparam \inst|g1_pin_out~I .input_sync_reset = "none"; +defparam \inst|g1_pin_out~I .oe_async_reset = "none"; +defparam \inst|g1_pin_out~I .oe_power_up = "low"; +defparam \inst|g1_pin_out~I .oe_register_mode = "none"; +defparam \inst|g1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g1_pin_out~I .operation_mode = "output"; +defparam \inst|g1_pin_out~I .output_async_reset = "none"; +defparam \inst|g1_pin_out~I .output_power_up = "low"; +defparam \inst|g1_pin_out~I .output_register_mode = "none"; +defparam \inst|g1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T24 +stratix_io \inst|g2_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g2_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g2_pin_out~I .ddio_mode = "none"; +defparam \inst|g2_pin_out~I .input_async_reset = "none"; +defparam \inst|g2_pin_out~I .input_power_up = "low"; +defparam \inst|g2_pin_out~I .input_register_mode = "none"; +defparam \inst|g2_pin_out~I .input_sync_reset = "none"; +defparam \inst|g2_pin_out~I .oe_async_reset = "none"; +defparam \inst|g2_pin_out~I .oe_power_up = "low"; +defparam \inst|g2_pin_out~I .oe_register_mode = "none"; +defparam \inst|g2_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g2_pin_out~I .operation_mode = "output"; +defparam \inst|g2_pin_out~I .output_async_reset = "none"; +defparam \inst|g2_pin_out~I .output_power_up = "low"; +defparam \inst|g2_pin_out~I .output_register_mode = "none"; +defparam \inst|g2_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E24 +stratix_io \inst|b0_pin_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(b0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|b0_pin_out~I .ddio_mode = "none"; +defparam \inst|b0_pin_out~I .input_async_reset = "none"; +defparam \inst|b0_pin_out~I .input_power_up = "low"; +defparam \inst|b0_pin_out~I .input_register_mode = "none"; +defparam \inst|b0_pin_out~I .input_sync_reset = "none"; +defparam \inst|b0_pin_out~I .oe_async_reset = "none"; +defparam \inst|b0_pin_out~I .oe_power_up = "low"; +defparam \inst|b0_pin_out~I .oe_register_mode = "none"; +defparam \inst|b0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|b0_pin_out~I .operation_mode = "output"; +defparam \inst|b0_pin_out~I .output_async_reset = "none"; +defparam \inst|b0_pin_out~I .output_power_up = "low"; +defparam \inst|b0_pin_out~I .output_register_mode = "none"; +defparam \inst|b0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T6 +stratix_io \inst|b1_pin_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(b1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|b1_pin_out~I .ddio_mode = "none"; +defparam \inst|b1_pin_out~I .input_async_reset = "none"; +defparam \inst|b1_pin_out~I .input_power_up = "low"; +defparam \inst|b1_pin_out~I .input_register_mode = "none"; +defparam \inst|b1_pin_out~I .input_sync_reset = "none"; +defparam \inst|b1_pin_out~I .oe_async_reset = "none"; +defparam \inst|b1_pin_out~I .oe_power_up = "low"; +defparam \inst|b1_pin_out~I .oe_register_mode = "none"; +defparam \inst|b1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|b1_pin_out~I .operation_mode = "output"; +defparam \inst|b1_pin_out~I .output_async_reset = "none"; +defparam \inst|b1_pin_out~I .output_power_up = "low"; +defparam \inst|b1_pin_out~I .output_register_mode = "none"; +defparam \inst|b1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F1 +stratix_io \inst|hsync_pin_out~I ( + .datain(\inst|vga_driver_unit|h_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(hsync_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|hsync_pin_out~I .ddio_mode = "none"; +defparam \inst|hsync_pin_out~I .input_async_reset = "none"; +defparam \inst|hsync_pin_out~I .input_power_up = "low"; +defparam \inst|hsync_pin_out~I .input_register_mode = "none"; +defparam \inst|hsync_pin_out~I .input_sync_reset = "none"; +defparam \inst|hsync_pin_out~I .oe_async_reset = "none"; +defparam \inst|hsync_pin_out~I .oe_power_up = "low"; +defparam \inst|hsync_pin_out~I .oe_register_mode = "none"; +defparam \inst|hsync_pin_out~I .oe_sync_reset = "none"; +defparam \inst|hsync_pin_out~I .operation_mode = "output"; +defparam \inst|hsync_pin_out~I .output_async_reset = "none"; +defparam \inst|hsync_pin_out~I .output_power_up = "low"; +defparam \inst|hsync_pin_out~I .output_register_mode = "none"; +defparam \inst|hsync_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F2 +stratix_io \inst|vsync_pin_out~I ( + .datain(\inst|vga_driver_unit|v_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(vsync_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|vsync_pin_out~I .ddio_mode = "none"; +defparam \inst|vsync_pin_out~I .input_async_reset = "none"; +defparam \inst|vsync_pin_out~I .input_power_up = "low"; +defparam \inst|vsync_pin_out~I .input_register_mode = "none"; +defparam \inst|vsync_pin_out~I .input_sync_reset = "none"; +defparam \inst|vsync_pin_out~I .oe_async_reset = "none"; +defparam \inst|vsync_pin_out~I .oe_power_up = "low"; +defparam \inst|vsync_pin_out~I .oe_register_mode = "none"; +defparam \inst|vsync_pin_out~I .oe_sync_reset = "none"; +defparam \inst|vsync_pin_out~I .operation_mode = "output"; +defparam \inst|vsync_pin_out~I .output_async_reset = "none"; +defparam \inst|vsync_pin_out~I .output_power_up = "low"; +defparam \inst|vsync_pin_out~I .output_register_mode = "none"; +defparam \inst|vsync_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K5 +stratix_io \inst|d_column_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K19 +stratix_io \inst|d_column_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K23 +stratix_io \inst|d_column_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L2 +stratix_io \inst|d_column_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L4 +stratix_io \inst|d_column_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L6 +stratix_io \inst|d_column_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L20 +stratix_io \inst|d_column_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L21 +stratix_io \inst|d_column_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L22 +stratix_io \inst|d_column_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L23 +stratix_io \inst|d_column_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G18 +stratix_io \inst|d_hsync_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G22 +stratix_io \inst|d_hsync_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G25 +stratix_io \inst|d_hsync_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C15 +stratix_io \inst|d_hsync_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H2 +stratix_io \inst|d_hsync_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H1 +stratix_io \inst|d_hsync_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H25 +stratix_io \inst|d_hsync_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_B16 +stratix_io \inst|d_hsync_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AD15 +stratix_io \inst|d_hsync_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H4 +stratix_io \inst|d_hsync_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y5 +stratix_io \inst|d_hsync_state_out_0_~I ( + .datain(\inst|vga_driver_unit|hsync_state_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_0_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_0_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F19 +stratix_io \inst|d_hsync_state_out_1_~I ( + .datain(\inst|vga_driver_unit|hsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_1_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_1_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F17 +stratix_io \inst|d_hsync_state_out_2_~I ( + .datain(\inst|vga_driver_unit|hsync_state_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_2_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_2_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y2 +stratix_io \inst|d_hsync_state_out_3_~I ( + .datain(\inst|vga_driver_unit|hsync_state_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_3_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_3_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F10 +stratix_io \inst|d_hsync_state_out_4_~I ( + .datain(\inst|vga_driver_unit|hsync_state_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_4_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_4_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F9 +stratix_io \inst|d_hsync_state_out_5_~I ( + .datain(\inst|vga_driver_unit|hsync_state_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_5_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_5_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F6 +stratix_io \inst|d_hsync_state_out_6_~I ( + .datain(\inst|vga_driver_unit|hsync_state_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_6_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_6_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L25 +stratix_io \inst|d_line_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L24 +stratix_io \inst|d_line_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M5 +stratix_io \inst|d_line_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M6 +stratix_io \inst|d_line_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M8 +stratix_io \inst|d_line_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M9 +stratix_io \inst|d_line_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J22 +stratix_io \inst|d_line_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K4 +stratix_io \inst|d_line_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K6 +stratix_io \inst|d_line_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T19 +stratix_io \inst|d_toggle_counter_out_24_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[24]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_24_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_24_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_24_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_24_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_24_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F23 +stratix_io \inst|d_toggle_counter_out_23_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[23]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_23_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_23_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_23_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_23_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_23_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F25 +stratix_io \inst|d_toggle_counter_out_22_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[22]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_22_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_22_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_22_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_22_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_22_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G1 +stratix_io \inst|d_toggle_counter_out_21_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[21]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_21_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_21_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_21_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_21_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_21_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G3 +stratix_io \inst|d_toggle_counter_out_20_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_20 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[20]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_20_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_20_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_20_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_20_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_20_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G5 +stratix_io \inst|d_toggle_counter_out_19_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_19 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[19]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_19_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_19_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_19_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_19_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_19_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G20 +stratix_io \inst|d_toggle_counter_out_18_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_18 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[18]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_18_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_18_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_18_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_18_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_18_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G21 +stratix_io \inst|d_toggle_counter_out_17_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_17 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[17]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_17_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_17_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_17_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_17_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_17_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G23 +stratix_io \inst|d_toggle_counter_out_16_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_16 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[16]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_16_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_16_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_16_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_16_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_16_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G24 +stratix_io \inst|d_toggle_counter_out_15_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_15 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[15]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_15_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_15_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_15_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_15_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_15_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AB21 +stratix_io \inst|d_toggle_counter_out_14_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_14 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[14]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_14_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_14_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_14_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_14_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_14_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C20 +stratix_io \inst|d_toggle_counter_out_13_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_13 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[13]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_13_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_13_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_13_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_13_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_13_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA21 +stratix_io \inst|d_toggle_counter_out_12_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_12 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[12]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_12_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_12_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_12_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_12_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_12_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA26 +stratix_io \inst|d_toggle_counter_out_11_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_11 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[11]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_11_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_11_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_11_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_11_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_11_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_W24 +stratix_io \inst|d_toggle_counter_out_10_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_10 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[10]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_10_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_10_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_10_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_10_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_10_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AF22 +stratix_io \inst|d_toggle_counter_out_9_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_W22 +stratix_io \inst|d_toggle_counter_out_8_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AE22 +stratix_io \inst|d_toggle_counter_out_7_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_B3 +stratix_io \inst|d_toggle_counter_out_6_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AC21 +stratix_io \inst|d_toggle_counter_out_5_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AF24 +stratix_io \inst|d_toggle_counter_out_4_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_A24 +stratix_io \inst|d_toggle_counter_out_3_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_B23 +stratix_io \inst|d_toggle_counter_out_2_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_W21 +stratix_io \inst|d_toggle_counter_out_1_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H26 +stratix_io \inst|d_toggle_counter_out_0_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G2 +stratix_io \inst|d_vsync_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G4 +stratix_io \inst|d_vsync_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G6 +stratix_io \inst|d_vsync_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J4 +stratix_io \inst|d_vsync_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G11 +stratix_io \inst|d_vsync_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AD11 +stratix_io \inst|d_vsync_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J1 +stratix_io \inst|d_vsync_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_B11 +stratix_io \inst|d_vsync_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J23 +stratix_io \inst|d_vsync_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G9 +stratix_io \inst|d_vsync_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F5 +stratix_io \inst|d_vsync_state_out_0_~I ( + .datain(\inst|vga_driver_unit|vsync_state_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_0_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_0_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F4 +stratix_io \inst|d_vsync_state_out_1_~I ( + .datain(\inst|vga_driver_unit|vsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_1_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_1_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F3 +stratix_io \inst|d_vsync_state_out_2_~I ( + .datain(\inst|vga_driver_unit|vsync_state_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_2_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_2_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M19 +stratix_io \inst|d_vsync_state_out_3_~I ( + .datain(\inst|vga_driver_unit|vsync_state_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_3_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_3_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M18 +stratix_io \inst|d_vsync_state_out_4_~I ( + .datain(\inst|vga_driver_unit|vsync_state_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_4_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_4_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M7 +stratix_io \inst|d_vsync_state_out_5_~I ( + .datain(\inst|vga_driver_unit|vsync_state_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_5_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_5_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M4 +stratix_io \inst|d_vsync_state_out_6_~I ( + .datain(\inst|vga_driver_unit|vsync_state_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_6_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_6_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T2 +stratix_io \inst|seven_seg_pin_tri_13_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[13]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_13_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_13_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA11 +stratix_io \inst|seven_seg_pin_out_12_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[12]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_12_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_12_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R6 +stratix_io \inst|seven_seg_pin_out_11_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[11]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_11_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_11_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R4 +stratix_io \inst|seven_seg_pin_out_10_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[10]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_10_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_10_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_N8 +stratix_io \inst|seven_seg_pin_out_9_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_9_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_9_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_N7 +stratix_io \inst|seven_seg_pin_out_8_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_8_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_8_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y11 +stratix_io \inst|seven_seg_pin_out_7_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_7_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_7_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R23 +stratix_io \inst|seven_seg_pin_tri_6_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_6_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_6_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R22 +stratix_io \inst|seven_seg_pin_tri_5_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_5_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_5_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R21 +stratix_io \inst|seven_seg_pin_tri_4_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_4_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_4_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R20 +stratix_io \inst|seven_seg_pin_tri_3_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_3_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_3_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R19 +stratix_io \inst|seven_seg_pin_out_2_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_2_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_2_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R9 +stratix_io \inst|seven_seg_pin_out_1_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_1_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_1_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R8 +stratix_io \inst|seven_seg_pin_tri_0_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_0_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_0_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf new file mode 100644 index 0000000..36f426e --- /dev/null +++ b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf @@ -0,0 +1,304 @@ +vendor_name = ModelSim +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.bsf +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/db/vga_pll.cbx.xml +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/altpll.tdf +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cbx.lst +design_name = vga_pll +instance = comp, \board_clk~I , board_clk, vga_pll, 1 +instance = comp, \inst1|altpll_component|pll , inst1|altpll_component|pll, vga_pll, 1 +instance = comp, \inst|reset_pin_in~I , inst|reset_pin_in, vga_pll, 1 +instance = comp, \inst|dly_counter_0_ , inst|dly_counter_0_, vga_pll, 1 +instance = comp, \inst|dly_counter_1_ , inst|dly_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_6_ , inst|vga_driver_unit|vsync_state_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_6_ , inst|vga_driver_unit|hsync_state_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_0_ , inst|vga_driver_unit|hsync_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_1_ , inst|vga_driver_unit|hsync_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_2_ , inst|vga_driver_unit|hsync_counter_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_3_ , inst|vga_driver_unit|hsync_counter_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_4_ , inst|vga_driver_unit|hsync_counter_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_5_ , inst|vga_driver_unit|hsync_counter_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_6_ , inst|vga_driver_unit|hsync_counter_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_7_ , inst|vga_driver_unit|hsync_counter_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_8_ , inst|vga_driver_unit|hsync_counter_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_9_ , inst|vga_driver_unit|hsync_counter_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|G_2 , inst|vga_driver_unit|G_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_5_ , inst|vga_driver_unit|hsync_state_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_3_ , inst|vga_driver_unit|hsync_state_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ , inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_1_ , inst|vga_driver_unit|hsync_state_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_2_ , inst|vga_driver_unit|hsync_state_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_0_ , inst|vga_driver_unit|hsync_state_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_4_ , inst|vga_driver_unit|hsync_state_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_hsync_state_3_0_cZ , inst|vga_driver_unit|un1_hsync_state_3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_sync_Z , inst|vga_driver_unit|h_sync_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_0_ , inst|vga_driver_unit|vsync_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_1_ , inst|vga_driver_unit|vsync_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_2_ , inst|vga_driver_unit|vsync_counter_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_3_ , inst|vga_driver_unit|vsync_counter_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_4_ , inst|vga_driver_unit|vsync_counter_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_5_ , inst|vga_driver_unit|vsync_counter_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_6_ , inst|vga_driver_unit|vsync_counter_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_7_ , inst|vga_driver_unit|vsync_counter_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_8_ , inst|vga_driver_unit|vsync_counter_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_9_ , inst|vga_driver_unit|vsync_counter_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|G_16 , inst|vga_driver_unit|G_16, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_1_ , inst|vga_driver_unit|vsync_state_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_5_ , inst|vga_driver_unit|vsync_state_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_3_ , inst|vga_driver_unit|vsync_state_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ , inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ , inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_2_ , inst|vga_driver_unit|vsync_state_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ , inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_0_ , inst|vga_driver_unit|vsync_state_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|d_set_vsync_counter_cZ , inst|vga_driver_unit|d_set_vsync_counter_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 , inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_4_ , inst|vga_driver_unit|vsync_state_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_vsync_state_2_0_cZ , inst|vga_driver_unit|un1_vsync_state_2_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_sync_Z , inst|vga_driver_unit|v_sync_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_0_ , inst|vga_driver_unit|column_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_1_ , inst|vga_driver_unit|un2_column_counter_next_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_1_ , inst|vga_driver_unit|column_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_3_ , inst|vga_driver_unit|un2_column_counter_next_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_3_ , inst|vga_driver_unit|column_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_0_ , inst|vga_driver_unit|un2_column_counter_next_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_2_ , inst|vga_driver_unit|un2_column_counter_next_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_2_ , inst|vga_driver_unit|column_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_4_ , inst|vga_driver_unit|un2_column_counter_next_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_4_ , inst|vga_driver_unit|column_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_5_ , inst|vga_driver_unit|un2_column_counter_next_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_5_ , inst|vga_driver_unit|column_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_6_ , inst|vga_driver_unit|un2_column_counter_next_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_8_ , inst|vga_driver_unit|un2_column_counter_next_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_8_ , inst|vga_driver_unit|column_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_7_ , inst|vga_driver_unit|un2_column_counter_next_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_9_ , inst|vga_driver_unit|un2_column_counter_next_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_9_ , inst|vga_driver_unit|column_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_7_ , inst|vga_driver_unit|column_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_6_ , inst|vga_driver_unit|column_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 , inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 , inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_1_ , inst|vga_driver_unit|un1_line_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_0_ , inst|vga_driver_unit|line_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_3_ , inst|vga_driver_unit|un1_line_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_2_ , inst|vga_driver_unit|line_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_a_1_ , inst|vga_driver_unit|un1_line_counter_sig_a_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_2_ , inst|vga_driver_unit|un1_line_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_1_ , inst|vga_driver_unit|line_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_5_ , inst|vga_driver_unit|un1_line_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_4_ , inst|vga_driver_unit|line_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_4_ , inst|vga_driver_unit|un1_line_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_3_ , inst|vga_driver_unit|line_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_7_ , inst|vga_driver_unit|un1_line_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_6_ , inst|vga_driver_unit|line_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_6_ , inst|vga_driver_unit|un1_line_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_5_ , inst|vga_driver_unit|line_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_8_ , inst|vga_driver_unit|un1_line_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_7_ , inst|vga_driver_unit|line_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_9_ , inst|vga_driver_unit|un1_line_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_8_ , inst|vga_driver_unit|line_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_enable_sig_Z , inst|vga_driver_unit|h_enable_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ , inst|vga_control_unit|b_next_0_sqmuxa_7_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ , inst|vga_control_unit|b_next_0_sqmuxa_7_3_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ , inst|vga_control_unit|b_next_0_sqmuxa_7_5_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 , inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 , inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ , inst|vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ , inst|vga_control_unit|b_next_0_sqmuxa_7_4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_enable_sig_Z , inst|vga_driver_unit|v_enable_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_0_ , inst|vga_control_unit|toggle_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_1_ , inst|vga_control_unit|toggle_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_3_ , inst|vga_control_unit|toggle_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|un2_toggle_counter_next_0_ , inst|vga_control_unit|un2_toggle_counter_next_0_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_2_ , inst|vga_control_unit|toggle_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_5_ , inst|vga_control_unit|toggle_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_4_ , inst|vga_control_unit|toggle_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_6_ , inst|vga_control_unit|toggle_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_7_ , inst|vga_control_unit|toggle_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_8_ , inst|vga_control_unit|toggle_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_9_ , inst|vga_control_unit|toggle_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_11_ , inst|vga_control_unit|toggle_counter_sig_11_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_10_ , inst|vga_control_unit|toggle_counter_sig_10_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_13_ , inst|vga_control_unit|toggle_counter_sig_13_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_12_ , inst|vga_control_unit|toggle_counter_sig_12_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_15_ , inst|vga_control_unit|toggle_counter_sig_15_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_14_ , inst|vga_control_unit|toggle_counter_sig_14_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_16_ , inst|vga_control_unit|toggle_counter_sig_16_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_17_ , inst|vga_control_unit|toggle_counter_sig_17_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_19_ , inst|vga_control_unit|toggle_counter_sig_19_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_18_ , inst|vga_control_unit|toggle_counter_sig_18_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_20_ , inst|vga_control_unit|toggle_counter_sig_20_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ , inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_sig_Z , inst|vga_control_unit|toggle_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|r_Z , inst|vga_control_unit|r_Z, vga_pll, 1 +instance = comp, \~STRATIX_FITTER_CREATED_GND~I , ~STRATIX_FITTER_CREATED_GND~I, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_Z , inst|vga_control_unit|b_Z, vga_pll, 1 +instance = comp, \inst|d_hsync_out~I , inst|d_hsync_out, vga_pll, 1 +instance = comp, \inst|d_vsync_out~I , inst|d_vsync_out, vga_pll, 1 +instance = comp, \inst|d_set_column_counter_out~I , inst|d_set_column_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_line_counter_out~I , inst|d_set_line_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_hsync_counter_out~I , inst|d_set_hsync_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_vsync_counter_out~I , inst|d_set_vsync_counter_out, vga_pll, 1 +instance = comp, \inst|d_r_out~I , inst|d_r_out, vga_pll, 1 +instance = comp, \inst|d_g_out~I , inst|d_g_out, vga_pll, 1 +instance = comp, \inst|d_b_out~I , inst|d_b_out, vga_pll, 1 +instance = comp, \inst|d_h_enable_out~I , inst|d_h_enable_out, vga_pll, 1 +instance = comp, \inst|d_v_enable_out~I , inst|d_v_enable_out, vga_pll, 1 +instance = comp, \inst|d_state_clk_out~I , inst|d_state_clk_out, vga_pll, 1 +instance = comp, \inst|d_toggle_out~I , inst|d_toggle_out, vga_pll, 1 +instance = comp, \inst|r0_pin_out~I , inst|r0_pin_out, vga_pll, 1 +instance = comp, \inst|r1_pin_out~I , inst|r1_pin_out, vga_pll, 1 +instance = comp, \inst|r2_pin_out~I , inst|r2_pin_out, vga_pll, 1 +instance = comp, \inst|g0_pin_out~I , inst|g0_pin_out, vga_pll, 1 +instance = comp, \inst|g1_pin_out~I , inst|g1_pin_out, vga_pll, 1 +instance = comp, \inst|g2_pin_out~I , inst|g2_pin_out, vga_pll, 1 +instance = comp, \inst|b0_pin_out~I , inst|b0_pin_out, vga_pll, 1 +instance = comp, \inst|b1_pin_out~I , inst|b1_pin_out, vga_pll, 1 +instance = comp, \inst|hsync_pin_out~I , inst|hsync_pin_out, vga_pll, 1 +instance = comp, \inst|vsync_pin_out~I , inst|vsync_pin_out, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_9_~I , inst|d_column_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_8_~I , inst|d_column_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_7_~I , inst|d_column_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_6_~I , inst|d_column_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_5_~I , inst|d_column_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_4_~I , inst|d_column_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_3_~I , inst|d_column_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_2_~I , inst|d_column_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_1_~I , inst|d_column_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_0_~I , inst|d_column_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_9_~I , inst|d_hsync_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_8_~I , inst|d_hsync_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_7_~I , inst|d_hsync_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_6_~I , inst|d_hsync_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_5_~I , inst|d_hsync_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_4_~I , inst|d_hsync_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_3_~I , inst|d_hsync_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_2_~I , inst|d_hsync_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_1_~I , inst|d_hsync_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_0_~I , inst|d_hsync_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_0_~I , inst|d_hsync_state_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_1_~I , inst|d_hsync_state_out_1_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_2_~I , inst|d_hsync_state_out_2_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_3_~I , inst|d_hsync_state_out_3_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_4_~I , inst|d_hsync_state_out_4_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_5_~I , inst|d_hsync_state_out_5_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_6_~I , inst|d_hsync_state_out_6_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_8_~I , inst|d_line_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_7_~I , inst|d_line_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_6_~I , inst|d_line_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_5_~I , inst|d_line_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_4_~I , inst|d_line_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_3_~I , inst|d_line_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_2_~I , inst|d_line_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_1_~I , inst|d_line_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_0_~I , inst|d_line_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_24_~I , inst|d_toggle_counter_out_24_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_23_~I , inst|d_toggle_counter_out_23_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_22_~I , inst|d_toggle_counter_out_22_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_21_~I , inst|d_toggle_counter_out_21_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_20_~I , inst|d_toggle_counter_out_20_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_19_~I , inst|d_toggle_counter_out_19_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_18_~I , inst|d_toggle_counter_out_18_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_17_~I , inst|d_toggle_counter_out_17_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_16_~I , inst|d_toggle_counter_out_16_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_15_~I , inst|d_toggle_counter_out_15_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_14_~I , inst|d_toggle_counter_out_14_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_13_~I , inst|d_toggle_counter_out_13_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_12_~I , inst|d_toggle_counter_out_12_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_11_~I , inst|d_toggle_counter_out_11_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_10_~I , inst|d_toggle_counter_out_10_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_9_~I , inst|d_toggle_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_8_~I , inst|d_toggle_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_7_~I , inst|d_toggle_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_6_~I , inst|d_toggle_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_5_~I , inst|d_toggle_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_4_~I , inst|d_toggle_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_3_~I , inst|d_toggle_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_2_~I , inst|d_toggle_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_1_~I , inst|d_toggle_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_0_~I , inst|d_toggle_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_9_~I , inst|d_vsync_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_8_~I , inst|d_vsync_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_7_~I , inst|d_vsync_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_6_~I , inst|d_vsync_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_5_~I , inst|d_vsync_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_4_~I , inst|d_vsync_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_3_~I , inst|d_vsync_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_2_~I , inst|d_vsync_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_1_~I , inst|d_vsync_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_0_~I , inst|d_vsync_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_0_~I , inst|d_vsync_state_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_1_~I , inst|d_vsync_state_out_1_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_2_~I , inst|d_vsync_state_out_2_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_3_~I , inst|d_vsync_state_out_3_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_4_~I , inst|d_vsync_state_out_4_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_5_~I , inst|d_vsync_state_out_5_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_6_~I , inst|d_vsync_state_out_6_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_13_~I , inst|seven_seg_pin_tri_13_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_12_~I , inst|seven_seg_pin_out_12_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_11_~I , inst|seven_seg_pin_out_11_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_10_~I , inst|seven_seg_pin_out_10_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_9_~I , inst|seven_seg_pin_out_9_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_8_~I , inst|seven_seg_pin_out_8_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_7_~I , inst|seven_seg_pin_out_7_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_6_~I , inst|seven_seg_pin_tri_6_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_5_~I , inst|seven_seg_pin_tri_5_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_4_~I , inst|seven_seg_pin_tri_4_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_3_~I , inst|seven_seg_pin_tri_3_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_2_~I , inst|seven_seg_pin_out_2_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_1_~I , inst|seven_seg_pin_out_1_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_0_~I , inst|seven_seg_pin_tri_0_, vga_pll, 1 diff --git a/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo new file mode 100644 index 0000000..f3f8315 --- /dev/null +++ b/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo @@ -0,0 +1,5602 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "vga_pll") + (DATE "10/28/2009 14:55:41") + (VENDOR "Altera") + (PROGRAM "Quartus II") + (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE board_clk\~I.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (760:760:760) (760:760:760)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_pll") + (INSTANCE inst1\|altpll_component\|pll) + (DELAY + (ABSOLUTE + (PORT inclk[0] (649:649:649) (649:649:649)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|reset_pin_in\~I.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1141:1141:1141) (1141:1141:1141)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|dly_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1191:1191:1191) (1191:1191:1191)) + (PORT datac (5177:5177:5177) (5177:5177:5177)) + (PORT datad (448:448:448) (448:448:448)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|dly_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|dly_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (441:441:441) (441:441:441)) + (PORT datac (5628:5628:5628) (5628:5628:5628)) + (PORT datad (1162:1162:1162) (1162:1162:1162)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|dly_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (446:446:446) (446:446:446)) + (PORT datac (5624:5624:5624) (5624:5624:5624)) + (PORT datad (1164:1164:1164) (1164:1164:1164)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1379:1379:1379) (1379:1379:1379)) + (PORT datac (1388:1388:1388) (1388:1388:1388)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1478:1478:1478) (1478:1478:1478)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1322:1322:1322) (1322:1322:1322)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1412:1412:1412) (1412:1412:1412)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1321:1321:1321) (1321:1321:1321)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1411:1411:1411) (1411:1411:1411)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1320:1320:1320) (1320:1320:1320)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1410:1410:1410) (1410:1410:1410)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1318:1318:1318) (1318:1318:1318)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1408:1408:1408) (1408:1408:1408)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1317:1317:1317) (1317:1317:1317)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1407:1407:1407) (1407:1407:1407)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1304:1304:1304) (1304:1304:1304)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1394:1394:1394) (1394:1394:1394)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1307:1307:1307) (1307:1307:1307)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1397:1397:1397) (1397:1397:1397)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1310:1310:1310) (1310:1310:1310)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1400:1400:1400) (1400:1400:1400)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1313:1313:1313) (1313:1313:1313)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1403:1403:1403) (1403:1403:1403)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1316:1316:1316) (1316:1316:1316)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1938:1938:1938) (1938:1938:1938)) + (PORT datac (1406:1406:1406) (1406:1406:1406)) + (PORT sclr (1841:1841:1841) (1841:1841:1841)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1127:1127:1127) (1127:1127:1127)) + (PORT datab (1242:1242:1242) (1242:1242:1242)) + (PORT datac (1186:1186:1186) (1186:1186:1186)) + (PORT datad (1405:1405:1405) (1405:1405:1405)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1183:1183:1183) (1183:1183:1183)) + (PORT datab (1157:1157:1157) (1157:1157:1157)) + (PORT datac (1190:1190:1190) (1190:1190:1190)) + (PORT datad (1419:1419:1419) (1419:1419:1419)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1430:1430:1430) (1430:1430:1430)) + (PORT datab (1145:1145:1145) (1145:1145:1145)) + (PORT datac (373:373:373) (373:373:373)) + (PORT datad (359:359:359) (359:359:359)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|G_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1983:1983:1983) (1983:1983:1983)) + (PORT datab (2383:2383:2383) (2383:2383:2383)) + (PORT datac (2111:2111:2111) (2111:2111:2111)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (774:774:774) (774:774:774)) + (PORT datab (685:685:685) (685:685:685)) + (PORT datac (650:650:650) (650:650:650)) + (PORT datad (632:632:632) (632:632:632)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (666:666:666) (666:666:666)) + (PORT datab (685:685:685) (685:685:685)) + (PORT datac (1003:1003:1003) (1003:1003:1003)) + (PORT datad (682:682:682) (682:682:682)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1179:1179:1179) (1179:1179:1179)) + (PORT datab (1403:1403:1403) (1403:1403:1403)) + (PORT datac (1075:1075:1075) (1075:1075:1075)) + (PORT datad (1060:1060:1060) (1060:1060:1060)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (671:671:671) (671:671:671)) + (PORT datac (655:655:655) (655:655:655)) + (PORT datad (687:687:687) (687:687:687)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (776:776:776) (776:776:776)) + (PORT datab (694:694:694) (694:694:694)) + (PORT datad (635:635:635) (635:635:635)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (687:687:687) (687:687:687)) + (PORT datab (681:681:681) (681:681:681)) + (PORT datac (697:697:697) (697:697:697)) + (PORT datad (642:642:642) (642:642:642)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (775:775:775) (775:775:775)) + (PORT datab (694:694:694) (694:694:694)) + (PORT datad (672:672:672) (672:672:672)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1745:1745:1745) (1745:1745:1745)) + (PORT datad (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3036:3036:3036) (3036:3036:3036)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (PORT ena (2335:2335:2335) (2335:2335:2335)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1066:1066:1066) (1066:1066:1066)) + (PORT datab (1067:1067:1067) (1067:1067:1067)) + (PORT datac (1736:1736:1736) (1736:1736:1736)) + (PORT datad (1360:1360:1360) (1360:1360:1360)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1416:1416:1416) (1416:1416:1416)) + (PORT datab (1406:1406:1406) (1406:1406:1406)) + (PORT datac (1133:1133:1133) (1133:1133:1133)) + (PORT datad (1151:1151:1151) (1151:1151:1151)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (351:351:351) (351:351:351)) + (PORT datab (353:353:353) (353:353:353)) + (PORT datac (1188:1188:1188) (1188:1188:1188)) + (PORT datad (1246:1246:1246) (1246:1246:1246)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1731:1731:1731) (1731:1731:1731)) + (PORT datab (340:340:340) (340:340:340)) + (PORT datac (1162:1162:1162) (1162:1162:1162)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1252:1252:1252) (1252:1252:1252)) + (PORT sclr (3171:3171:3171) (3171:3171:3171)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2092:2092:2092) (2092:2092:2092)) + (PORT ena (1645:1645:1645) (1645:1645:1645)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (384:384:384) (384:384:384)) + (PORT datab (346:346:346) (346:346:346)) + (PORT datac (557:557:557) (557:557:557)) + (PORT datad (449:449:449) (449:449:449)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (358:358:358) (358:358:358)) + (PORT datab (347:347:347) (347:347:347)) + (PORT datac (2397:2397:2397) (2397:2397:2397)) + (PORT datad (1052:1052:1052) (1052:1052:1052)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (388:388:388) (388:388:388)) + (PORT datab (347:347:347) (347:347:347)) + (PORT datac (557:557:557) (557:557:557)) + (PORT datad (448:448:448) (448:448:448)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3335:3335:3335) (3335:3335:3335)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (PORT ena (1806:1806:1806) (1806:1806:1806)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1613:1613:1613) (1613:1613:1613)) + (PORT datad (1734:1734:1734) (1734:1734:1734)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3036:3036:3036) (3036:3036:3036)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (PORT ena (2335:2335:2335) (2335:2335:2335)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (454:454:454) (454:454:454)) + (PORT datad (1621:1621:1621) (1621:1621:1621)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3036:3036:3036) (3036:3036:3036)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (PORT ena (2335:2335:2335) (2335:2335:2335)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2023:2023:2023) (2023:2023:2023)) + (PORT datab (2145:2145:2145) (2145:2145:2145)) + (PORT datac (5551:5551:5551) (5551:5551:5551)) + (PORT datad (2002:2002:2002) (2002:2002:2002)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (656:656:656) (656:656:656)) + (PORT datab (684:684:684) (684:684:684)) + (PORT datac (701:701:701) (701:701:701)) + (PORT datad (631:631:631) (631:631:631)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (352:352:352) (352:352:352)) + (PORT datab (1323:1323:1323) (1323:1323:1323)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (376:376:376) (376:376:376)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3335:3335:3335) (3335:3335:3335)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (PORT ena (1806:1806:1806) (1806:1806:1806)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_hsync_state_3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1588:1588:1588) (1588:1588:1588)) + (PORT datad (1728:1728:1728) (1728:1728:1728)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_sync_1_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (604:604:604) (604:604:604)) + (PORT datab (1266:1266:1266) (1266:1266:1266)) + (PORT datac (455:455:455) (455:455:455)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (361:361:361)) + (PORT datab (2145:2145:2145) (2145:2145:2145)) + (PORT datac (5552:5552:5552) (5552:5552:5552)) + (PORT datad (2003:2003:2003) (2003:2003:2003)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1348:1348:1348) (1348:1348:1348)) + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (689:689:689) (689:689:689)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (779:779:779) (779:779:779)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (689:689:689) (689:689:689)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (779:779:779) (779:779:779)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (689:689:689) (689:689:689)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (779:779:779) (779:779:779)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (687:687:687) (687:687:687)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (777:777:777) (777:777:777)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (686:686:686) (686:686:686)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (776:776:776) (776:776:776)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (672:672:672) (672:672:672)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (762:762:762) (762:762:762)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (683:683:683) (683:683:683)) + (PORT datab (935:935:935) (935:935:935)) + (PORT datac (623:623:623) (623:623:623)) + (PORT datad (719:719:719) (719:719:719)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (675:675:675) (675:675:675)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (765:765:765) (765:765:765)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (678:678:678) (678:678:678)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (768:768:768) (768:768:768)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (681:681:681) (681:681:681)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (771:771:771) (771:771:771)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (684:684:684) (684:684:684)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1440:1440:1440) (1440:1440:1440)) + (PORT datac (774:774:774) (774:774:774)) + (PORT sclr (1317:1317:1317) (1317:1317:1317)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2034:2034:2034) (2034:2034:2034)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (688:688:688) (688:688:688)) + (PORT datab (626:626:626) (626:626:626)) + (PORT datac (688:688:688) (688:688:688)) + (PORT datad (732:732:732) (732:732:732)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (938:938:938) (938:938:938)) + (PORT datab (597:597:597) (597:597:597)) + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (346:346:346) (346:346:346)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|G_16.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (698:698:698) (698:698:698)) + (PORT datab (1398:1398:1398) (1398:1398:1398)) + (PORT datac (360:360:360) (360:360:360)) + (PORT datad (345:345:345) (345:345:345)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (681:681:681) (681:681:681)) + (PORT datab (576:576:576) (576:576:576)) + (PORT datac (651:651:651) (651:651:651)) + (PORT datad (597:597:597) (597:597:597)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (337:337:337) (337:337:337)) + (PORT datac (640:640:640) (640:640:640)) + (PORT datad (647:647:647) (647:647:647)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (687:687:687) (687:687:687)) + (PORT datab (626:626:626) (626:626:626)) + (PORT datac (687:687:687) (687:687:687)) + (PORT datad (731:731:731) (731:731:731)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1182:1182:1182) (1182:1182:1182)) + (PORT datab (1299:1299:1299) (1299:1299:1299)) + (PORT datad (1467:1467:1467) (1467:1467:1467)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1222:1222:1222) (1222:1222:1222)) + (PORT datab (1117:1117:1117) (1117:1117:1117)) + (PORT datac (1389:1389:1389) (1389:1389:1389)) + (PORT datad (1160:1160:1160) (1160:1160:1160)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1416:1416:1416) (1416:1416:1416)) + (PORT datab (846:846:846) (846:846:846)) + (PORT datac (473:473:473) (473:473:473)) + (PORT datad (833:833:833) (833:833:833)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (969:969:969) (969:969:969)) + (PORT datad (1159:1159:1159) (1159:1159:1159)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2179:2179:2179) (2179:2179:2179)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (PORT ena (1573:1573:1573) (1573:1573:1573)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (383:383:383)) + (PORT datab (1168:1168:1168) (1168:1168:1168)) + (PORT datac (924:924:924) (924:924:924)) + (PORT datad (1466:1466:1466) (1466:1466:1466)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (382:382:382) (382:382:382)) + (PORT datab (1457:1457:1457) (1457:1457:1457)) + (PORT datac (990:990:990) (990:990:990)) + (PORT datad (1178:1178:1178) (1178:1178:1178)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1080:1080:1080) (1080:1080:1080)) + (PORT sclr (2188:2188:2188) (2188:2188:2188)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (PORT ena (1294:1294:1294) (1294:1294:1294)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (384:384:384) (384:384:384)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (940:940:940) (940:940:940)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1060:1060:1060) (1060:1060:1060)) + (PORT datab (349:349:349) (349:349:349)) + (PORT datac (381:381:381) (381:381:381)) + (PORT datad (937:937:937) (937:937:937)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (376:376:376) (376:376:376)) + (PORT datab (335:335:335) (335:335:335)) + (PORT datac (368:368:368) (368:368:368)) + (PORT datad (1412:1412:1412) (1412:1412:1412)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (910:910:910) (910:910:910)) + (PORT datab (947:947:947) (947:947:947)) + (PORT datac (1155:1155:1155) (1155:1155:1155)) + (PORT datad (1187:1187:1187) (1187:1187:1187)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2179:2179:2179) (2179:2179:2179)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (PORT ena (1573:1573:1573) (1573:1573:1573)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (354:354:354) (354:354:354)) + (PORT datac (1614:1614:1614) (1614:1614:1614)) + (PORT datad (1042:1042:1042) (1042:1042:1042)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (619:619:619) (619:619:619)) + (PORT datab (1075:1075:1075) (1075:1075:1075)) + (PORT datac (579:579:579) (579:579:579)) + (PORT datad (1413:1413:1413) (1413:1413:1413)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|d_set_vsync_counter_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (696:696:696) (696:696:696)) + (PORT datac (1410:1410:1410) (1410:1410:1410)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1180:1180:1180) (1180:1180:1180)) + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (5619:5619:5619) (5619:5619:5619)) + (PORT datad (453:453:453) (453:453:453)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1113:1113:1113) (1113:1113:1113)) + (PORT datab (1378:1378:1378) (1378:1378:1378)) + (PORT datac (1182:1182:1182) (1182:1182:1182)) + (PORT datad (1202:1202:1202) (1202:1202:1202)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (379:379:379) (379:379:379)) + (PORT datad (369:369:369) (369:369:369)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (916:916:916) (916:916:916)) + (PORT datab (1143:1143:1143) (1143:1143:1143)) + (PORT datac (469:469:469) (469:469:469)) + (PORT datad (1181:1181:1181) (1181:1181:1181)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2179:2179:2179) (2179:2179:2179)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (PORT ena (1573:1573:1573) (1573:1573:1573)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_2_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (960:960:960) (960:960:960)) + (PORT datad (437:437:437) (437:437:437)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_sync_1_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (461:461:461) (461:461:461)) + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (445:445:445) (445:445:445)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1184:1184:1184) (1184:1184:1184)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (5178:5178:5178) (5178:5178:5178)) + (PORT datad (448:448:448) (448:448:448)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1176:1176:1176) (1176:1176:1176)) + (PORT datab (444:444:444) (444:444:444)) + (PORT datac (5625:5625:5625) (5625:5625:5625)) + (PORT datad (1973:1973:1973) (1973:1973:1973)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (485:485:485) (485:485:485)) + (PORT datad (442:442:442) (442:442:442)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (972:972:972) (972:972:972)) + (PORT datab (944:944:944) (944:944:944)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (456:456:456) (456:456:456)) + (PORT datad (843:843:843) (843:843:843)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (952:952:952) (952:952:952)) + (PORT datab (973:973:973) (973:973:973)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (875:875:875) (875:875:875)) + (PORT datad (437:437:437) (437:437:437)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (955:955:955) (955:955:955)) + (PORT datab (919:919:919) (919:919:919)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (954:954:954) (954:954:954)) + (PORT datab (945:945:945) (945:945:945)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (868:868:868) (868:868:868)) + (PORT datad (445:445:445) (445:445:445)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1004:1004:1004) (1004:1004:1004)) + (PORT datab (1004:1004:1004) (1004:1004:1004)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (457:457:457) (457:457:457)) + (PORT datad (845:845:845) (845:845:845)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1034:1034:1034) (1034:1034:1034)) + (PORT datab (1020:1020:1020) (1020:1020:1020)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (450:450:450) (450:450:450)) + (PORT datad (851:851:851) (851:851:851)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1015:1015:1015) (1015:1015:1015)) + (PORT datab (644:644:644) (644:644:644)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (636:636:636) (636:636:636)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1510:1510:1510) (1510:1510:1510)) + (PORT datab (865:865:865) (865:865:865)) + (PORT datad (536:536:536) (536:536:536)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (489:489:489) (489:489:489)) + (PORT datab (418:418:418) (418:418:418)) + (PORT datac (446:446:446) (446:446:446)) + (PORT datad (470:470:470) (470:470:470)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (564:564:564) (564:564:564)) + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (666:666:666) (666:666:666)) + (PORT datad (958:958:958) (958:958:958)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datab (962:962:962) (962:962:962)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (430:430:430) (430:430:430)) + (PORT datab (416:416:416) (416:416:416)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (884:884:884) (884:884:884)) + (PORT datad (341:341:341) (341:341:341)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2278:2278:2278) (2278:2278:2278)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (942:942:942) (942:942:942)) + (PORT datab (945:945:945) (945:945:945)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (940:940:940) (940:940:940)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1509:1509:1509) (1509:1509:1509)) + (PORT datac (884:884:884) (884:884:884)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (882:882:882) (882:882:882)) + (PORT datad (442:442:442) (442:442:442)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2533:2533:2533) (2533:2533:2533)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelt2.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (947:947:947) (947:947:947)) + (PORT datac (963:963:963) (963:963:963)) + (PORT datad (958:958:958) (958:958:958)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1057:1057:1057) (1057:1057:1057)) + (PORT datab (1007:1007:1007) (1007:1007:1007)) + (PORT datac (1086:1086:1086) (1086:1086:1086)) + (PORT datad (881:881:881) (881:881:881)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto4.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1471:1471:1471) (1471:1471:1471)) + (PORT datac (1089:1089:1089) (1089:1089:1089)) + (PORT datad (1013:1013:1013) (1013:1013:1013)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1061:1061:1061) (1061:1061:1061)) + (PORT datac (1054:1054:1054) (1054:1054:1054)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1183:1183:1183) (1183:1183:1183)) + (PORT datab (424:424:424) (424:424:424)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (460:460:460) (460:460:460)) + (PORT datab (987:987:987) (987:987:987)) + (PORT datac (5175:5175:5175) (5175:5175:5175)) + (PORT datad (1181:1181:1181) (1181:1181:1181)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (635:635:635) (635:635:635)) + (PORT datad (357:357:357) (357:357:357)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2226:2226:2226) (2226:2226:2226)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1028:1028:1028) (1028:1028:1028)) + (PORT datab (425:425:425) (425:425:425)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (641:641:641) (641:641:641)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2226:2226:2226) (2226:2226:2226)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_a_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1012:1012:1012) (1012:1012:1012)) + (PORT datab (1225:1225:1225) (1225:1225:1225)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1041:1041:1041) (1041:1041:1041)) + (PORT datab (620:620:620) (620:620:620)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (937:937:937) (937:937:937)) + (PORT datac (905:905:905) (905:905:905)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2572:2572:2572) (2572:2572:2572)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2110:2110:2110) (2110:2110:2110)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (615:615:615) (615:615:615)) + (PORT datab (616:616:616) (616:616:616)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (634:634:634) (634:634:634)) + (PORT datad (351:351:351) (351:351:351)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2226:2226:2226) (2226:2226:2226)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (666:666:666) (666:666:666)) + (PORT datab (427:427:427) (427:427:427)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (361:361:361) (361:361:361)) + (PORT datad (362:362:362) (362:362:362)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2225:2225:2225) (2225:2225:2225)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1068:1068:1068) (1068:1068:1068)) + (PORT datab (426:426:426) (426:426:426)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (360:360:360) (360:360:360)) + (PORT datad (644:644:644) (644:644:644)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2226:2226:2226) (2226:2226:2226)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1062:1062:1062) (1062:1062:1062)) + (PORT datab (656:656:656) (656:656:656)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (900:900:900) (900:900:900)) + (PORT datab (932:932:932) (932:932:932)) + (PORT datac (1799:1799:1799) (1799:1799:1799)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2110:2110:2110) (2110:2110:2110)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (440:440:440) (440:440:440)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (342:342:342) (342:342:342)) + (PORT datad (362:362:362) (362:362:362)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2225:2225:2225) (2225:2225:2225)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (671:671:671) (671:671:671)) + (PORT datac (451:451:451) (451:451:451)) + (PORT datad (1002:1002:1002) (1002:1002:1002)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1039:1039:1039) (1039:1039:1039)) + (PORT datab (342:342:342) (342:342:342)) + (PORT datac (1056:1056:1056) (1056:1056:1056)) + (PORT datad (631:631:631) (631:631:631)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (453:453:453) (453:453:453)) + (PORT datab (651:651:651) (651:651:651)) + (PORT datac (672:672:672) (672:672:672)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (967:967:967) (967:967:967)) + (PORT datad (428:428:428) (428:428:428)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (633:633:633) (633:633:633)) + (PORT datad (350:350:350) (350:350:350)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2226:2226:2226) (2226:2226:2226)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (463:463:463) (463:463:463)) + (PORT datac (466:466:466) (466:466:466)) + (PORT datad (1406:1406:1406) (1406:1406:1406)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1200:1200:1200) (1200:1200:1200)) + (PORT datad (1471:1471:1471) (1471:1471:1471)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2226:2226:2226) (2226:2226:2226)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (PORT ena (2067:2067:2067) (2067:2067:2067)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_sqmuxa_7_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1006:1006:1006) (1006:1006:1006)) + (PORT datab (977:977:977) (977:977:977)) + (PORT datac (1591:1591:1591) (1591:1591:1591)) + (PORT datad (433:433:433) (433:433:433)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_sqmuxa_7_3_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1006:1006:1006) (1006:1006:1006)) + (PORT datab (1002:1002:1002) (1002:1002:1002)) + (PORT datac (363:363:363) (363:363:363)) + (PORT datad (346:346:346) (346:346:346)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_sqmuxa_7_5_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1064:1064:1064) (1064:1064:1064)) + (PORT datab (1002:1002:1002) (1002:1002:1002)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto4_0.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1012:1012:1012) (1012:1012:1012)) + (PORT datad (992:992:992) (992:992:992)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (433:433:433) (433:433:433)) + (PORT datab (336:336:336) (336:336:336)) + (PORT datac (1002:1002:1002) (1002:1002:1002)) + (PORT datad (940:940:940) (940:940:940)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (616:616:616) (616:616:616)) + (PORT datab (984:984:984) (984:984:984)) + (PORT datac (1041:1041:1041) (1041:1041:1041)) + (PORT datad (991:991:991) (991:991:991)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_sqmuxa_7_4_a_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2058:2058:2058) (2058:2058:2058)) + (PORT datab (1540:1540:1540) (1540:1540:1540)) + (PORT datac (1550:1550:1550) (1550:1550:1550)) + (PORT datad (1887:1887:1887) (1887:1887:1887)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_sqmuxa_7_4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1596:1596:1596) (1596:1596:1596)) + (PORT datab (1768:1768:1768) (1768:1768:1768)) + (PORT datac (2067:2067:2067) (2067:2067:2067)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (441:441:441) (441:441:441)) + (PORT datac (1283:1283:1283) (1283:1283:1283)) + (PORT datad (2257:2257:2257) (2257:2257:2257)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (953:953:953) (953:953:953)) + (PORT datad (1367:1367:1367) (1367:1367:1367)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3066:3066:3066) (3066:3066:3066)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2097:2097:2097) (2097:2097:2097)) + (PORT ena (1631:1631:1631) (1631:1631:1631)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (443:443:443) (443:443:443)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (958:958:958) (958:958:958)) + (PORT datab (423:423:423) (423:423:423)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (976:976:976) (976:976:976)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|un2_toggle_counter_next_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (966:966:966) (966:966:966)) + (PORT datab (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (971:971:971) (971:971:971)) + (PORT datab (434:434:434) (434:434:434)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datab (942:942:942) (942:942:942)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datab (955:955:955) (955:955:955)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1000:1000:1000) (1000:1000:1000)) + (PORT datab (609:609:609) (609:609:609)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (897:897:897) (897:897:897)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (942:942:942) (942:942:942)) + (PORT datab (440:440:440) (440:440:440)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (644:644:644) (644:644:644)) + (IOPATH datab cout (533:533:533) (533:533:533)) + (IOPATH cin0 cout (219:219:219) (219:219:219)) + (IOPATH cin1 cout (205:205:205) (205:205:205)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (945:945:945) (945:945:945)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_11_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1409:1409:1409) (1409:1409:1409)) + (PORT datab (420:420:420) (420:420:420)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_11_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_10_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (596:596:596) (596:596:596)) + (PORT datab (1407:1407:1407) (1407:1407:1407)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_10_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1150:1150:1150) (1150:1150:1150)) + (PORT aclr (5475:5475:5475) (5475:5475:5475)) + (PORT clk (2051:2051:2051) (2051:2051:2051)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_13_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1465:1465:1465) (1465:1465:1465)) + (PORT datab (422:422:422) (422:422:422)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_13_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_12_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (996:996:996) (996:996:996)) + (PORT datab (1423:1423:1423) (1423:1423:1423)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_12_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1150:1150:1150) (1150:1150:1150)) + (PORT aclr (5475:5475:5475) (5475:5475:5475)) + (PORT clk (2051:2051:2051) (2051:2051:2051)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_15_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datab (1362:1362:1362) (1362:1362:1362)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_15_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_14_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (458:458:458) (458:458:458)) + (PORT datab (1387:1387:1387) (1387:1387:1387)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_14_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1150:1150:1150) (1150:1150:1150)) + (PORT aclr (5475:5475:5475) (5475:5475:5475)) + (PORT clk (2051:2051:2051) (2051:2051:2051)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglt6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (443:443:443) (443:443:443)) + (PORT datad (961:961:961) (961:961:961)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1002:1002:1002) (1002:1002:1002)) + (PORT datab (440:440:440) (440:440:440)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (932:932:932) (932:932:932)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto12.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1061:1061:1061) (1061:1061:1061)) + (PORT datab (428:428:428) (428:428:428)) + (PORT datac (457:457:457) (457:457:457)) + (PORT datad (1408:1408:1408) (1408:1408:1408)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto15.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (461:461:461) (461:461:461)) + (PORT datab (345:345:345) (345:345:345)) + (PORT datac (1403:1403:1403) (1403:1403:1403)) + (PORT datad (1429:1429:1429) (1429:1429:1429)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_16_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (447:447:447) (447:447:447)) + (PORT datab (1377:1377:1377) (1377:1377:1377)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_16_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1150:1150:1150) (1150:1150:1150)) + (PORT aclr (5475:5475:5475) (5475:5475:5475)) + (PORT clk (2051:2051:2051) (2051:2051:2051)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_17_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (1366:1366:1366) (1366:1366:1366)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_17_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_19_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1379:1379:1379) (1379:1379:1379)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_19_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2111:2111:2111) (2111:2111:2111)) + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_18_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (461:461:461) (461:461:461)) + (PORT datab (1385:1385:1385) (1385:1385:1385)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin cout (110:110:110) (110:110:110)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_18_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1150:1150:1150) (1150:1150:1150)) + (PORT aclr (5475:5475:5475) (5475:5475:5475)) + (PORT clk (2051:2051:2051) (2051:2051:2051)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto18.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (364:364:364) (364:364:364)) + (PORT datab (1377:1377:1377) (1377:1377:1377)) + (PORT datac (458:458:458) (458:458:458)) + (PORT datad (449:449:449) (449:449:449)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_20_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (427:427:427) (427:427:427)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_20_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1150:1150:1150) (1150:1150:1150)) + (PORT aclr (5475:5475:5475) (5475:5475:5475)) + (PORT clk (2051:2051:2051) (2051:2051:2051)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_sig_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (376:376:376) (376:376:376)) + (PORT datac (460:460:460) (460:460:460)) + (PORT datad (1393:1393:1393) (1393:1393:1393)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1085:1085:1085) (1085:1085:1085)) + (PORT datad (436:436:436) (436:436:436)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|r_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (3166:3166:3166) (3166:3166:3166)) + (PORT datab (3139:3139:3139) (3139:3139:3139)) + (PORT datac (2967:2967:2967) (2967:2967:2967)) + (PORT datad (435:435:435) (435:435:435)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|r_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5468:5468:5468) (5468:5468:5468)) + (PORT clk (2043:2043:2043) (2043:2043:2043)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (359:359:359) (359:359:359)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (3215:3215:3215) (3215:3215:3215)) + (PORT datad (2167:2167:2167) (2167:2167:2167)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|b_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5413:5413:5413) (5413:5413:5413)) + (PORT clk (2025:2025:2025) (2025:2025:2025)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3422:3422:3422) (3422:3422:3422)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2656:2656:2656) (2656:2656:2656)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_column_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3695:3695:3695) (3695:3695:3695)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_line_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3004:3004:3004) (3004:3004:3004)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_hsync_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3189:3189:3189) (3189:3189:3189)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_vsync_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2937:2937:2937) (2937:2937:2937)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_r_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4042:4042:4042) (4042:4042:4042)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_g_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3227:3227:3227) (3227:3227:3227)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_b_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3536:3536:3536) (3536:3536:3536)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_h_enable_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3035:3035:3035) (3035:3035:3035)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_v_enable_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1665:1665:1665) (1665:1665:1665)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_state_clk_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2239:2239:2239) (2239:2239:2239)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4509:4509:4509) (4509:4509:4509)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3637:3637:3637) (3637:3637:3637)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3447:3447:3447) (3447:3447:3447)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r2_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3473:3473:3473) (3473:3473:3473)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3335:3335:3335) (3335:3335:3335)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3519:3519:3519) (3519:3519:3519)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g2_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3768:3768:3768) (3768:3768:3768)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|b0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3020:3020:3020) (3020:3020:3020)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|b1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2936:2936:2936) (2936:2936:2936)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|hsync_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2478:2478:2478) (2478:2478:2478)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|vsync_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2475:2475:2475) (2475:2475:2475)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2563:2563:2563) (2563:2563:2563)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3085:3085:3085) (3085:3085:3085)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2835:2835:2835) (2835:2835:2835)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2328:2328:2328) (2328:2328:2328)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2320:2320:2320) (2320:2320:2320)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2102:2102:2102) (2102:2102:2102)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2887:2887:2887) (2887:2887:2887)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3106:3106:3106) (3106:3106:3106)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3351:3351:3351) (3351:3351:3351)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3224:3224:3224) (3224:3224:3224)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1656:1656:1656) (1656:1656:1656)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1887:1887:1887) (1887:1887:1887)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2401:2401:2401) (2401:2401:2401)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1823:1823:1823) (1823:1823:1823)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2330:2330:2330) (2330:2330:2330)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2339:2339:2339) (2339:2339:2339)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1904:1904:1904) (1904:1904:1904)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1362:1362:1362) (1362:1362:1362)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3075:3075:3075) (3075:3075:3075)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2143:2143:2143) (2143:2143:2143)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4065:4065:4065) (4065:4065:4065)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1982:1982:1982) (1982:1982:1982)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2393:2393:2393) (2393:2393:2393)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3954:3954:3954) (3954:3954:3954)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2620:2620:2620) (2620:2620:2620)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2319:2319:2319) (2319:2319:2319)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2823:2823:2823) (2823:2823:2823)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3582:3582:3582) (3582:3582:3582)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3259:3259:3259) (3259:3259:3259)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2575:2575:2575) (2575:2575:2575)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2529:2529:2529) (2529:2529:2529)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2798:2798:2798) (2798:2798:2798)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2409:2409:2409) (2409:2409:2409)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3183:3183:3183) (3183:3183:3183)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2508:2508:2508) (2508:2508:2508)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2341:2341:2341) (2341:2341:2341)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_24_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3622:3622:3622) (3622:3622:3622)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_23_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3328:3328:3328) (3328:3328:3328)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_22_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3328:3328:3328) (3328:3328:3328)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_21_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2472:2472:2472) (2472:2472:2472)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_20_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4383:4383:4383) (4383:4383:4383)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_19_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4446:4446:4446) (4446:4446:4446)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_18_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3470:3470:3470) (3470:3470:3470)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_17_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3051:3051:3051) (3051:3051:3051)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_16_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4056:4056:4056) (4056:4056:4056)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_15_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2929:2929:2929) (2929:2929:2929)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_14_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1354:1354:1354) (1354:1354:1354)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_13_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3924:3924:3924) (3924:3924:3924)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_12_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1359:1359:1359) (1359:1359:1359)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_11_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1630:1630:1630) (1630:1630:1630)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_10_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1199:1199:1199) (1199:1199:1199)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1654:1654:1654) (1654:1654:1654)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1210:1210:1210) (1210:1210:1210)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1842:1842:1842) (1842:1842:1842)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4797:4797:4797) (4797:4797:4797)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2092:2092:2092) (2092:2092:2092)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1658:1658:1658) (1658:1658:1658)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3319:3319:3319) (3319:3319:3319)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3783:3783:3783) (3783:3783:3783)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1128:1128:1128) (1128:1128:1128)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3613:3613:3613) (3613:3613:3613)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2292:2292:2292) (2292:2292:2292)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2631:2631:2631) (2631:2631:2631)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2678:2678:2678) (2678:2678:2678)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2309:2309:2309) (2309:2309:2309)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2307:2307:2307) (2307:2307:2307)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2340:2340:2340) (2340:2340:2340)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1914:1914:1914) (1914:1914:1914)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1790:1790:1790) (1790:1790:1790)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2543:2543:2543) (2543:2543:2543)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2087:2087:2087) (2087:2087:2087)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2661:2661:2661) (2661:2661:2661)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2763:2763:2763) (2763:2763:2763)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2969:2969:2969) (2969:2969:2969)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3068:3068:3068) (3068:3068:3068)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3360:3360:3360) (3360:3360:3360)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3036:3036:3036) (3036:3036:3036)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2653:2653:2653) (2653:2653:2653)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_13_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3481:3481:3481) (3481:3481:3481)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_12_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4857:4857:4857) (4857:4857:4857)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_11_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2937:2937:2937) (2937:2937:2937)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_10_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2929:2929:2929) (2929:2929:2929)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2778:2778:2778) (2778:2778:2778)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2763:2763:2763) (2763:2763:2763)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4867:4867:4867) (4867:4867:4867)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3621:3621:3621) (3621:3621:3621)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3621:3621:3621) (3621:3621:3621)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3615:3615:3615) (3615:3615:3615)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3615:3615:3615) (3615:3615:3615)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4048:4048:4048) (4048:4048:4048)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2937:2937:2937) (2937:2937:2937)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3465:3465:3465) (3465:3465:3465)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) +) diff --git a/bsp2/Designflow/ppr/download/vga.bsf b/bsp2/Designflow/ppr/download/vga.bsf new file mode 100644 index 0000000..cb4d845 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga.bsf @@ -0,0 +1,260 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 296 560) + (text "vga" (rect 5 0 26 12)(font "Arial" )) + (text "inst" (rect 8 528 36 540)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk_pin" (rect 0 0 49 12)(font "Arial" )) + (text "clk_pin" (rect 21 27 70 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "reset_pin" (rect 0 0 63 12)(font "Arial" )) + (text "reset_pin" (rect 21 43 84 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 280 32) + (output) + (text "r0_pin" (rect 0 0 42 12)(font "Arial" )) + (text "r0_pin" (rect 217 27 259 39)(font "Arial" )) + (line (pt 280 32)(pt 264 32)(line_width 1)) + ) + (port + (pt 280 48) + (output) + (text "r1_pin" (rect 0 0 42 12)(font "Arial" )) + (text "r1_pin" (rect 217 43 259 55)(font "Arial" )) + (line (pt 280 48)(pt 264 48)(line_width 1)) + ) + (port + (pt 280 64) + (output) + (text "r2_pin" (rect 0 0 42 12)(font "Arial" )) + (text "r2_pin" (rect 217 59 259 71)(font "Arial" )) + (line (pt 280 64)(pt 264 64)(line_width 1)) + ) + (port + (pt 280 80) + (output) + (text "g0_pin" (rect 0 0 42 12)(font "Arial" )) + (text "g0_pin" (rect 217 75 259 87)(font "Arial" )) + (line (pt 280 80)(pt 264 80)(line_width 1)) + ) + (port + (pt 280 96) + (output) + (text "g1_pin" (rect 0 0 42 12)(font "Arial" )) + (text "g1_pin" (rect 217 91 259 103)(font "Arial" )) + (line (pt 280 96)(pt 264 96)(line_width 1)) + ) + (port + (pt 280 112) + (output) + (text "g2_pin" (rect 0 0 42 12)(font "Arial" )) + (text "g2_pin" (rect 217 107 259 119)(font "Arial" )) + (line (pt 280 112)(pt 264 112)(line_width 1)) + ) + (port + (pt 280 128) + (output) + (text "b0_pin" (rect 0 0 42 12)(font "Arial" )) + (text "b0_pin" (rect 217 123 259 135)(font "Arial" )) + (line (pt 280 128)(pt 264 128)(line_width 1)) + ) + (port + (pt 280 144) + (output) + (text "b1_pin" (rect 0 0 42 12)(font "Arial" )) + (text "b1_pin" (rect 217 139 259 151)(font "Arial" )) + (line (pt 280 144)(pt 264 144)(line_width 1)) + ) + (port + (pt 280 160) + (output) + (text "hsync_pin" (rect 0 0 63 12)(font "Arial" )) + (text "hsync_pin" (rect 196 155 259 167)(font "Arial" )) + (line (pt 280 160)(pt 264 160)(line_width 1)) + ) + (port + (pt 280 176) + (output) + (text "vsync_pin" (rect 0 0 63 12)(font "Arial" )) + (text "vsync_pin" (rect 196 171 259 183)(font "Arial" )) + (line (pt 280 176)(pt 264 176)(line_width 1)) + ) + (port + (pt 280 192) + (output) + (text "seven_seg_pin[13..0]" (rect 0 0 141 12)(font "Arial" )) + (text "seven_seg_pin[13..0]" (rect 118 187 259 199)(font "Arial" )) + (line (pt 280 192)(pt 264 192)(line_width 3)) + ) + (port + (pt 280 208) + (output) + (text "d_hsync" (rect 0 0 49 12)(font "Arial" )) + (text "d_hsync" (rect 210 203 259 215)(font "Arial" )) + (line (pt 280 208)(pt 264 208)(line_width 1)) + ) + (port + (pt 280 224) + (output) + (text "d_vsync" (rect 0 0 49 12)(font "Arial" )) + (text "d_vsync" (rect 210 219 259 231)(font "Arial" )) + (line (pt 280 224)(pt 264 224)(line_width 1)) + ) + (port + (pt 280 240) + (output) + (text "d_column_counter[9..0]" (rect 0 0 155 12)(font "Arial" )) + (text "d_column_counter[9..0]" (rect 104 235 259 247)(font "Arial" )) + (line (pt 280 240)(pt 264 240)(line_width 3)) + ) + (port + (pt 280 256) + (output) + (text "d_line_counter[8..0]" (rect 0 0 141 12)(font "Arial" )) + (text "d_line_counter[8..0]" (rect 118 251 259 263)(font "Arial" )) + (line (pt 280 256)(pt 264 256)(line_width 3)) + ) + (port + (pt 280 272) + (output) + (text "d_set_column_counter" (rect 0 0 141 12)(font "Arial" )) + (text "d_set_column_counter" (rect 118 267 259 279)(font "Arial" )) + (line (pt 280 272)(pt 264 272)(line_width 1)) + ) + (port + (pt 280 288) + (output) + (text "d_set_line_counter" (rect 0 0 127 12)(font "Arial" )) + (text "d_set_line_counter" (rect 132 283 259 295)(font "Arial" )) + (line (pt 280 288)(pt 264 288)(line_width 1)) + ) + (port + (pt 280 304) + (output) + (text "d_hsync_counter[9..0]" (rect 0 0 148 12)(font "Arial" )) + (text "d_hsync_counter[9..0]" (rect 111 299 259 311)(font "Arial" )) + (line (pt 280 304)(pt 264 304)(line_width 3)) + ) + (port + (pt 280 320) + (output) + (text "d_vsync_counter[9..0]" (rect 0 0 148 12)(font "Arial" )) + (text "d_vsync_counter[9..0]" (rect 111 315 259 327)(font "Arial" )) + (line (pt 280 320)(pt 264 320)(line_width 3)) + ) + (port + (pt 280 336) + (output) + (text "d_set_hsync_counter" (rect 0 0 134 12)(font "Arial" )) + (text "d_set_hsync_counter" (rect 125 331 259 343)(font "Arial" )) + (line (pt 280 336)(pt 264 336)(line_width 1)) + ) + (port + (pt 280 352) + (output) + (text "d_set_vsync_counter" (rect 0 0 134 12)(font "Arial" )) + (text "d_set_vsync_counter" (rect 125 347 259 359)(font "Arial" )) + (line (pt 280 352)(pt 264 352)(line_width 1)) + ) + (port + (pt 280 368) + (output) + (text "d_h_enable" (rect 0 0 70 12)(font "Arial" )) + (text "d_h_enable" (rect 189 363 259 375)(font "Arial" )) + (line (pt 280 368)(pt 264 368)(line_width 1)) + ) + (port + (pt 280 384) + (output) + (text "d_v_enable" (rect 0 0 70 12)(font "Arial" )) + (text "d_v_enable" (rect 189 379 259 391)(font "Arial" )) + (line (pt 280 384)(pt 264 384)(line_width 1)) + ) + (port + (pt 280 400) + (output) + (text "d_r" (rect 0 0 21 12)(font "Arial" )) + (text "d_r" (rect 238 395 259 407)(font "Arial" )) + (line (pt 280 400)(pt 264 400)(line_width 1)) + ) + (port + (pt 280 416) + (output) + (text "d_g" (rect 0 0 21 12)(font "Arial" )) + (text "d_g" (rect 238 411 259 423)(font "Arial" )) + (line (pt 280 416)(pt 264 416)(line_width 1)) + ) + (port + (pt 280 432) + (output) + (text "d_b" (rect 0 0 21 12)(font "Arial" )) + (text "d_b" (rect 238 427 259 439)(font "Arial" )) + (line (pt 280 432)(pt 264 432)(line_width 1)) + ) + (port + (pt 280 448) + (output) + (text "d_hsync_state[0..6]" (rect 0 0 134 12)(font "Arial" )) + (text "d_hsync_state[0..6]" (rect 125 443 259 455)(font "Arial" )) + (line (pt 280 448)(pt 264 448)(line_width 3)) + ) + (port + (pt 280 464) + (output) + (text "d_vsync_state[0..6]" (rect 0 0 134 12)(font "Arial" )) + (text "d_vsync_state[0..6]" (rect 125 459 259 471)(font "Arial" )) + (line (pt 280 464)(pt 264 464)(line_width 3)) + ) + (port + (pt 280 480) + (output) + (text "d_state_clk" (rect 0 0 77 12)(font "Arial" )) + (text "d_state_clk" (rect 182 475 259 487)(font "Arial" )) + (line (pt 280 480)(pt 264 480)(line_width 1)) + ) + (port + (pt 280 496) + (output) + (text "d_toggle" (rect 0 0 56 12)(font "Arial" )) + (text "d_toggle" (rect 203 491 259 503)(font "Arial" )) + (line (pt 280 496)(pt 264 496)(line_width 1)) + ) + (port + (pt 280 512) + (output) + (text "d_toggle_counter[24..0]" (rect 0 0 162 12)(font "Arial" )) + (text "d_toggle_counter[24..0]" (rect 97 507 259 519)(font "Arial" )) + (line (pt 280 512)(pt 264 512)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 264 528)(line_width 1)) + ) +) diff --git a/bsp2/Designflow/ppr/download/vga_control.bsf b/bsp2/Designflow/ppr/download/vga_control.bsf new file mode 100644 index 0000000..862a00e --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_control.bsf @@ -0,0 +1,393 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 360 528) + (text "vga_control" (rect 5 0 82 12)(font "Arial" )) + (text "inst" (rect 8 496 36 508)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "line_counter_sig_0" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_0" (rect 21 27 148 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "line_counter_sig_2" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_2" (rect 21 43 148 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "line_counter_sig_1" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_1" (rect 21 59 148 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "line_counter_sig_3" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_3" (rect 21 75 148 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "line_counter_sig_6" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_6" (rect 21 91 148 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "line_counter_sig_5" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_5" (rect 21 107 148 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "line_counter_sig_4" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_4" (rect 21 123 148 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "line_counter_sig_7" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_7" (rect 21 139 148 151)(font "Arial" )) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 0 160) + (input) + (text "line_counter_sig_8" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_8" (rect 21 155 148 167)(font "Arial" )) + (line (pt 0 160)(pt 16 160)(line_width 1)) + ) + (port + (pt 0 176) + (input) + (text "column_counter_sig_0" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_0" (rect 21 171 162 183)(font "Arial" )) + (line (pt 0 176)(pt 16 176)(line_width 1)) + ) + (port + (pt 0 192) + (input) + (text "column_counter_sig_1" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_1" (rect 21 187 162 199)(font "Arial" )) + (line (pt 0 192)(pt 16 192)(line_width 1)) + ) + (port + (pt 0 208) + (input) + (text "column_counter_sig_2" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_2" (rect 21 203 162 215)(font "Arial" )) + (line (pt 0 208)(pt 16 208)(line_width 1)) + ) + (port + (pt 0 224) + (input) + (text "column_counter_sig_8" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_8" (rect 21 219 162 231)(font "Arial" )) + (line (pt 0 224)(pt 16 224)(line_width 1)) + ) + (port + (pt 0 240) + (input) + (text "column_counter_sig_3" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_3" (rect 21 235 162 247)(font "Arial" )) + (line (pt 0 240)(pt 16 240)(line_width 1)) + ) + (port + (pt 0 256) + (input) + (text "column_counter_sig_5" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_5" (rect 21 251 162 263)(font "Arial" )) + (line (pt 0 256)(pt 16 256)(line_width 1)) + ) + (port + (pt 0 272) + (input) + (text "column_counter_sig_4" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_4" (rect 21 267 162 279)(font "Arial" )) + (line (pt 0 272)(pt 16 272)(line_width 1)) + ) + (port + (pt 0 288) + (input) + (text "column_counter_sig_9" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_9" (rect 21 283 162 295)(font "Arial" )) + (line (pt 0 288)(pt 16 288)(line_width 1)) + ) + (port + (pt 0 304) + (input) + (text "column_counter_sig_7" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_7" (rect 21 299 162 311)(font "Arial" )) + (line (pt 0 304)(pt 16 304)(line_width 1)) + ) + (port + (pt 0 320) + (input) + (text "column_counter_sig_6" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_6" (rect 21 315 162 327)(font "Arial" )) + (line (pt 0 320)(pt 16 320)(line_width 1)) + ) + (port + (pt 0 336) + (input) + (text "h_enable_sig" (rect 0 0 84 12)(font "Arial" )) + (text "h_enable_sig" (rect 21 331 105 343)(font "Arial" )) + (line (pt 0 336)(pt 16 336)(line_width 1)) + ) + (port + (pt 0 352) + (input) + (text "v_enable_sig" (rect 0 0 84 12)(font "Arial" )) + (text "v_enable_sig" (rect 21 347 105 359)(font "Arial" )) + (line (pt 0 352)(pt 16 352)(line_width 1)) + ) + (port + (pt 0 368) + (input) + (text "un6_dly_counter_0_x" (rect 0 0 134 12)(font "Arial" )) + (text "un6_dly_counter_0_x" (rect 21 363 155 375)(font "Arial" )) + (line (pt 0 368)(pt 16 368)(line_width 1)) + ) + (port + (pt 0 384) + (input) + (text "clk_pin_c" (rect 0 0 63 12)(font "Arial" )) + (text "clk_pin_c" (rect 21 379 84 391)(font "Arial" )) + (line (pt 0 384)(pt 16 384)(line_width 1)) + ) + (port + (pt 344 32) + (output) + (text "toggle_counter_sig_0" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_0" (rect 182 27 323 39)(font "Arial" )) + (line (pt 344 32)(pt 328 32)(line_width 1)) + ) + (port + (pt 344 48) + (output) + (text "toggle_counter_sig_1" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_1" (rect 182 43 323 55)(font "Arial" )) + (line (pt 344 48)(pt 328 48)(line_width 1)) + ) + (port + (pt 344 64) + (output) + (text "toggle_counter_sig_2" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_2" (rect 182 59 323 71)(font "Arial" )) + (line (pt 344 64)(pt 328 64)(line_width 1)) + ) + (port + (pt 344 80) + (output) + (text "toggle_counter_sig_3" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_3" (rect 182 75 323 87)(font "Arial" )) + (line (pt 344 80)(pt 328 80)(line_width 1)) + ) + (port + (pt 344 96) + (output) + (text "toggle_counter_sig_4" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_4" (rect 182 91 323 103)(font "Arial" )) + (line (pt 344 96)(pt 328 96)(line_width 1)) + ) + (port + (pt 344 112) + (output) + (text "toggle_counter_sig_5" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_5" (rect 182 107 323 119)(font "Arial" )) + (line (pt 344 112)(pt 328 112)(line_width 1)) + ) + (port + (pt 344 128) + (output) + (text "toggle_counter_sig_6" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_6" (rect 182 123 323 135)(font "Arial" )) + (line (pt 344 128)(pt 328 128)(line_width 1)) + ) + (port + (pt 344 144) + (output) + (text "toggle_counter_sig_7" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_7" (rect 182 139 323 151)(font "Arial" )) + (line (pt 344 144)(pt 328 144)(line_width 1)) + ) + (port + (pt 344 160) + (output) + (text "toggle_counter_sig_8" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_8" (rect 182 155 323 167)(font "Arial" )) + (line (pt 344 160)(pt 328 160)(line_width 1)) + ) + (port + (pt 344 176) + (output) + (text "toggle_counter_sig_9" (rect 0 0 141 12)(font "Arial" )) + (text "toggle_counter_sig_9" (rect 182 171 323 183)(font "Arial" )) + (line (pt 344 176)(pt 328 176)(line_width 1)) + ) + (port + (pt 344 192) + (output) + (text "toggle_counter_sig_10" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_10" (rect 175 187 323 199)(font "Arial" )) + (line (pt 344 192)(pt 328 192)(line_width 1)) + ) + (port + (pt 344 208) + (output) + (text "toggle_counter_sig_11" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_11" (rect 175 203 323 215)(font "Arial" )) + (line (pt 344 208)(pt 328 208)(line_width 1)) + ) + (port + (pt 344 224) + (output) + (text "toggle_counter_sig_12" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_12" (rect 175 219 323 231)(font "Arial" )) + (line (pt 344 224)(pt 328 224)(line_width 1)) + ) + (port + (pt 344 240) + (output) + (text "toggle_counter_sig_13" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_13" (rect 175 235 323 247)(font "Arial" )) + (line (pt 344 240)(pt 328 240)(line_width 1)) + ) + (port + (pt 344 256) + (output) + (text "toggle_counter_sig_14" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_14" (rect 175 251 323 263)(font "Arial" )) + (line (pt 344 256)(pt 328 256)(line_width 1)) + ) + (port + (pt 344 272) + (output) + (text "toggle_counter_sig_15" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_15" (rect 175 267 323 279)(font "Arial" )) + (line (pt 344 272)(pt 328 272)(line_width 1)) + ) + (port + (pt 344 288) + (output) + (text "toggle_counter_sig_16" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_16" (rect 175 283 323 295)(font "Arial" )) + (line (pt 344 288)(pt 328 288)(line_width 1)) + ) + (port + (pt 344 304) + (output) + (text "toggle_counter_sig_17" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_17" (rect 175 299 323 311)(font "Arial" )) + (line (pt 344 304)(pt 328 304)(line_width 1)) + ) + (port + (pt 344 320) + (output) + (text "toggle_counter_sig_18" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_18" (rect 175 315 323 327)(font "Arial" )) + (line (pt 344 320)(pt 328 320)(line_width 1)) + ) + (port + (pt 344 336) + (output) + (text "toggle_counter_sig_19" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_19" (rect 175 331 323 343)(font "Arial" )) + (line (pt 344 336)(pt 328 336)(line_width 1)) + ) + (port + (pt 344 352) + (output) + (text "toggle_counter_sig_20" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_20" (rect 175 347 323 359)(font "Arial" )) + (line (pt 344 352)(pt 328 352)(line_width 1)) + ) + (port + (pt 344 368) + (output) + (text "toggle_counter_sig_21" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_21" (rect 175 363 323 375)(font "Arial" )) + (line (pt 344 368)(pt 328 368)(line_width 1)) + ) + (port + (pt 344 384) + (output) + (text "toggle_counter_sig_22" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_22" (rect 175 379 323 391)(font "Arial" )) + (line (pt 344 384)(pt 328 384)(line_width 1)) + ) + (port + (pt 344 400) + (output) + (text "toggle_counter_sig_23" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_23" (rect 175 395 323 407)(font "Arial" )) + (line (pt 344 400)(pt 328 400)(line_width 1)) + ) + (port + (pt 344 416) + (output) + (text "toggle_counter_sig_24" (rect 0 0 148 12)(font "Arial" )) + (text "toggle_counter_sig_24" (rect 175 411 323 423)(font "Arial" )) + (line (pt 344 416)(pt 328 416)(line_width 1)) + ) + (port + (pt 344 432) + (output) + (text "g" (rect 0 0 7 12)(font "Arial" )) + (text "g" (rect 316 427 323 439)(font "Arial" )) + (line (pt 344 432)(pt 328 432)(line_width 1)) + ) + (port + (pt 344 448) + (output) + (text "b" (rect 0 0 7 12)(font "Arial" )) + (text "b" (rect 316 443 323 455)(font "Arial" )) + (line (pt 344 448)(pt 328 448)(line_width 1)) + ) + (port + (pt 344 464) + (output) + (text "r" (rect 0 0 7 12)(font "Arial" )) + (text "r" (rect 316 459 323 471)(font "Arial" )) + (line (pt 344 464)(pt 328 464)(line_width 1)) + ) + (port + (pt 344 480) + (output) + (text "toggle_sig" (rect 0 0 70 12)(font "Arial" )) + (text "toggle_sig" (rect 253 475 323 487)(font "Arial" )) + (line (pt 344 480)(pt 328 480)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 328 496)(line_width 1)) + ) +) diff --git a/bsp2/Designflow/ppr/download/vga_driver.bsf b/bsp2/Designflow/ppr/download/vga_driver.bsf new file mode 100644 index 0000000..dc02afb --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_driver.bsf @@ -0,0 +1,477 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 304 1040) + (text "vga_driver" (rect 5 0 75 12)(font "Arial" )) + (text "inst" (rect 8 1008 36 1020)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "dly_counter_1" (rect 0 0 92 12)(font "Arial" )) + (text "dly_counter_1" (rect 21 27 113 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "dly_counter_0" (rect 0 0 92 12)(font "Arial" )) + (text "dly_counter_0" (rect 21 43 113 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "reset_pin_c" (rect 0 0 77 12)(font "Arial" )) + (text "reset_pin_c" (rect 21 59 98 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "clk_pin_c" (rect 0 0 63 12)(font "Arial" )) + (text "clk_pin_c" (rect 21 75 84 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 288 32) + (output) + (text "line_counter_sig_0" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_0" (rect 140 27 267 39)(font "Arial" )) + (line (pt 288 32)(pt 272 32)(line_width 1)) + ) + (port + (pt 288 48) + (output) + (text "line_counter_sig_1" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_1" (rect 140 43 267 55)(font "Arial" )) + (line (pt 288 48)(pt 272 48)(line_width 1)) + ) + (port + (pt 288 64) + (output) + (text "line_counter_sig_2" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_2" (rect 140 59 267 71)(font "Arial" )) + (line (pt 288 64)(pt 272 64)(line_width 1)) + ) + (port + (pt 288 80) + (output) + (text "line_counter_sig_3" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_3" (rect 140 75 267 87)(font "Arial" )) + (line (pt 288 80)(pt 272 80)(line_width 1)) + ) + (port + (pt 288 96) + (output) + (text "line_counter_sig_4" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_4" (rect 140 91 267 103)(font "Arial" )) + (line (pt 288 96)(pt 272 96)(line_width 1)) + ) + (port + (pt 288 112) + (output) + (text "line_counter_sig_5" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_5" (rect 140 107 267 119)(font "Arial" )) + (line (pt 288 112)(pt 272 112)(line_width 1)) + ) + (port + (pt 288 128) + (output) + (text "line_counter_sig_6" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_6" (rect 140 123 267 135)(font "Arial" )) + (line (pt 288 128)(pt 272 128)(line_width 1)) + ) + (port + (pt 288 144) + (output) + (text "line_counter_sig_7" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_7" (rect 140 139 267 151)(font "Arial" )) + (line (pt 288 144)(pt 272 144)(line_width 1)) + ) + (port + (pt 288 160) + (output) + (text "line_counter_sig_8" (rect 0 0 127 12)(font "Arial" )) + (text "line_counter_sig_8" (rect 140 155 267 167)(font "Arial" )) + (line (pt 288 160)(pt 272 160)(line_width 1)) + ) + (port + (pt 288 176) + (output) + (text "vsync_state_2" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_2" (rect 175 171 267 183)(font "Arial" )) + (line (pt 288 176)(pt 272 176)(line_width 1)) + ) + (port + (pt 288 192) + (output) + (text "vsync_state_5" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_5" (rect 175 187 267 199)(font "Arial" )) + (line (pt 288 192)(pt 272 192)(line_width 1)) + ) + (port + (pt 288 208) + (output) + (text "vsync_state_3" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_3" (rect 175 203 267 215)(font "Arial" )) + (line (pt 288 208)(pt 272 208)(line_width 1)) + ) + (port + (pt 288 224) + (output) + (text "vsync_state_6" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_6" (rect 175 219 267 231)(font "Arial" )) + (line (pt 288 224)(pt 272 224)(line_width 1)) + ) + (port + (pt 288 240) + (output) + (text "vsync_state_4" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_4" (rect 175 235 267 247)(font "Arial" )) + (line (pt 288 240)(pt 272 240)(line_width 1)) + ) + (port + (pt 288 256) + (output) + (text "vsync_state_1" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_1" (rect 175 251 267 263)(font "Arial" )) + (line (pt 288 256)(pt 272 256)(line_width 1)) + ) + (port + (pt 288 272) + (output) + (text "vsync_state_0" (rect 0 0 92 12)(font "Arial" )) + (text "vsync_state_0" (rect 175 267 267 279)(font "Arial" )) + (line (pt 288 272)(pt 272 272)(line_width 1)) + ) + (port + (pt 288 288) + (output) + (text "hsync_state_2" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_2" (rect 175 283 267 295)(font "Arial" )) + (line (pt 288 288)(pt 272 288)(line_width 1)) + ) + (port + (pt 288 304) + (output) + (text "hsync_state_4" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_4" (rect 175 299 267 311)(font "Arial" )) + (line (pt 288 304)(pt 272 304)(line_width 1)) + ) + (port + (pt 288 320) + (output) + (text "hsync_state_0" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_0" (rect 175 315 267 327)(font "Arial" )) + (line (pt 288 320)(pt 272 320)(line_width 1)) + ) + (port + (pt 288 336) + (output) + (text "hsync_state_5" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_5" (rect 175 331 267 343)(font "Arial" )) + (line (pt 288 336)(pt 272 336)(line_width 1)) + ) + (port + (pt 288 352) + (output) + (text "hsync_state_1" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_1" (rect 175 347 267 359)(font "Arial" )) + (line (pt 288 352)(pt 272 352)(line_width 1)) + ) + (port + (pt 288 368) + (output) + (text "hsync_state_3" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_3" (rect 175 363 267 375)(font "Arial" )) + (line (pt 288 368)(pt 272 368)(line_width 1)) + ) + (port + (pt 288 384) + (output) + (text "hsync_state_6" (rect 0 0 92 12)(font "Arial" )) + (text "hsync_state_6" (rect 175 379 267 391)(font "Arial" )) + (line (pt 288 384)(pt 272 384)(line_width 1)) + ) + (port + (pt 288 400) + (output) + (text "column_counter_sig_0" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_0" (rect 126 395 267 407)(font "Arial" )) + (line (pt 288 400)(pt 272 400)(line_width 1)) + ) + (port + (pt 288 416) + (output) + (text "column_counter_sig_1" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_1" (rect 126 411 267 423)(font "Arial" )) + (line (pt 288 416)(pt 272 416)(line_width 1)) + ) + (port + (pt 288 432) + (output) + (text "column_counter_sig_2" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_2" (rect 126 427 267 439)(font "Arial" )) + (line (pt 288 432)(pt 272 432)(line_width 1)) + ) + (port + (pt 288 448) + (output) + (text "column_counter_sig_3" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_3" (rect 126 443 267 455)(font "Arial" )) + (line (pt 288 448)(pt 272 448)(line_width 1)) + ) + (port + (pt 288 464) + (output) + (text "column_counter_sig_4" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_4" (rect 126 459 267 471)(font "Arial" )) + (line (pt 288 464)(pt 272 464)(line_width 1)) + ) + (port + (pt 288 480) + (output) + (text "column_counter_sig_5" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_5" (rect 126 475 267 487)(font "Arial" )) + (line (pt 288 480)(pt 272 480)(line_width 1)) + ) + (port + (pt 288 496) + (output) + (text "column_counter_sig_6" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_6" (rect 126 491 267 503)(font "Arial" )) + (line (pt 288 496)(pt 272 496)(line_width 1)) + ) + (port + (pt 288 512) + (output) + (text "column_counter_sig_7" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_7" (rect 126 507 267 519)(font "Arial" )) + (line (pt 288 512)(pt 272 512)(line_width 1)) + ) + (port + (pt 288 528) + (output) + (text "column_counter_sig_8" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_8" (rect 126 523 267 535)(font "Arial" )) + (line (pt 288 528)(pt 272 528)(line_width 1)) + ) + (port + (pt 288 544) + (output) + (text "column_counter_sig_9" (rect 0 0 141 12)(font "Arial" )) + (text "column_counter_sig_9" (rect 126 539 267 551)(font "Arial" )) + (line (pt 288 544)(pt 272 544)(line_width 1)) + ) + (port + (pt 288 560) + (output) + (text "vsync_counter_9" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_9" (rect 161 555 267 567)(font "Arial" )) + (line (pt 288 560)(pt 272 560)(line_width 1)) + ) + (port + (pt 288 576) + (output) + (text "vsync_counter_8" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_8" (rect 161 571 267 583)(font "Arial" )) + (line (pt 288 576)(pt 272 576)(line_width 1)) + ) + (port + (pt 288 592) + (output) + (text "vsync_counter_7" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_7" (rect 161 587 267 599)(font "Arial" )) + (line (pt 288 592)(pt 272 592)(line_width 1)) + ) + (port + (pt 288 608) + (output) + (text "vsync_counter_6" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_6" (rect 161 603 267 615)(font "Arial" )) + (line (pt 288 608)(pt 272 608)(line_width 1)) + ) + (port + (pt 288 624) + (output) + (text "vsync_counter_5" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_5" (rect 161 619 267 631)(font "Arial" )) + (line (pt 288 624)(pt 272 624)(line_width 1)) + ) + (port + (pt 288 640) + (output) + (text "vsync_counter_4" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_4" (rect 161 635 267 647)(font "Arial" )) + (line (pt 288 640)(pt 272 640)(line_width 1)) + ) + (port + (pt 288 656) + (output) + (text "vsync_counter_3" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_3" (rect 161 651 267 663)(font "Arial" )) + (line (pt 288 656)(pt 272 656)(line_width 1)) + ) + (port + (pt 288 672) + (output) + (text "vsync_counter_2" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_2" (rect 161 667 267 679)(font "Arial" )) + (line (pt 288 672)(pt 272 672)(line_width 1)) + ) + (port + (pt 288 688) + (output) + (text "vsync_counter_1" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_1" (rect 161 683 267 695)(font "Arial" )) + (line (pt 288 688)(pt 272 688)(line_width 1)) + ) + (port + (pt 288 704) + (output) + (text "vsync_counter_0" (rect 0 0 106 12)(font "Arial" )) + (text "vsync_counter_0" (rect 161 699 267 711)(font "Arial" )) + (line (pt 288 704)(pt 272 704)(line_width 1)) + ) + (port + (pt 288 720) + (output) + (text "hsync_counter_9" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_9" (rect 161 715 267 727)(font "Arial" )) + (line (pt 288 720)(pt 272 720)(line_width 1)) + ) + (port + (pt 288 736) + (output) + (text "hsync_counter_8" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_8" (rect 161 731 267 743)(font "Arial" )) + (line (pt 288 736)(pt 272 736)(line_width 1)) + ) + (port + (pt 288 752) + (output) + (text "hsync_counter_7" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_7" (rect 161 747 267 759)(font "Arial" )) + (line (pt 288 752)(pt 272 752)(line_width 1)) + ) + (port + (pt 288 768) + (output) + (text "hsync_counter_6" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_6" (rect 161 763 267 775)(font "Arial" )) + (line (pt 288 768)(pt 272 768)(line_width 1)) + ) + (port + (pt 288 784) + (output) + (text "hsync_counter_5" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_5" (rect 161 779 267 791)(font "Arial" )) + (line (pt 288 784)(pt 272 784)(line_width 1)) + ) + (port + (pt 288 800) + (output) + (text "hsync_counter_4" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_4" (rect 161 795 267 807)(font "Arial" )) + (line (pt 288 800)(pt 272 800)(line_width 1)) + ) + (port + (pt 288 816) + (output) + (text "hsync_counter_3" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_3" (rect 161 811 267 823)(font "Arial" )) + (line (pt 288 816)(pt 272 816)(line_width 1)) + ) + (port + (pt 288 832) + (output) + (text "hsync_counter_2" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_2" (rect 161 827 267 839)(font "Arial" )) + (line (pt 288 832)(pt 272 832)(line_width 1)) + ) + (port + (pt 288 848) + (output) + (text "hsync_counter_1" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_1" (rect 161 843 267 855)(font "Arial" )) + (line (pt 288 848)(pt 272 848)(line_width 1)) + ) + (port + (pt 288 864) + (output) + (text "hsync_counter_0" (rect 0 0 106 12)(font "Arial" )) + (text "hsync_counter_0" (rect 161 859 267 871)(font "Arial" )) + (line (pt 288 864)(pt 272 864)(line_width 1)) + ) + (port + (pt 288 880) + (output) + (text "d_set_vsync_counter" (rect 0 0 134 12)(font "Arial" )) + (text "d_set_vsync_counter" (rect 133 875 267 887)(font "Arial" )) + (line (pt 288 880)(pt 272 880)(line_width 1)) + ) + (port + (pt 288 896) + (output) + (text "v_sync" (rect 0 0 42 12)(font "Arial" )) + (text "v_sync" (rect 225 891 267 903)(font "Arial" )) + (line (pt 288 896)(pt 272 896)(line_width 1)) + ) + (port + (pt 288 912) + (output) + (text "h_sync" (rect 0 0 42 12)(font "Arial" )) + (text "h_sync" (rect 225 907 267 919)(font "Arial" )) + (line (pt 288 912)(pt 272 912)(line_width 1)) + ) + (port + (pt 288 928) + (output) + (text "h_enable_sig" (rect 0 0 84 12)(font "Arial" )) + (text "h_enable_sig" (rect 183 923 267 935)(font "Arial" )) + (line (pt 288 928)(pt 272 928)(line_width 1)) + ) + (port + (pt 288 944) + (output) + (text "v_enable_sig" (rect 0 0 84 12)(font "Arial" )) + (text "v_enable_sig" (rect 183 939 267 951)(font "Arial" )) + (line (pt 288 944)(pt 272 944)(line_width 1)) + ) + (port + (pt 288 960) + (output) + (text "un6_dly_counter_0_x" (rect 0 0 134 12)(font "Arial" )) + (text "un6_dly_counter_0_x" (rect 133 955 267 967)(font "Arial" )) + (line (pt 288 960)(pt 272 960)(line_width 1)) + ) + (port + (pt 288 976) + (output) + (text "d_set_hsync_counter" (rect 0 0 134 12)(font "Arial" )) + (text "d_set_hsync_counter" (rect 133 971 267 983)(font "Arial" )) + (line (pt 288 976)(pt 272 976)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 272 1008)(line_width 1)) + ) +) diff --git a/bsp2/Designflow/ppr/download/vga_pll.asm.rpt b/bsp2/Designflow/ppr/download/vga_pll.asm.rpt new file mode 100644 index 0000000..db072b9 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for vga_pll +Wed Oct 28 14:55:34 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: vga_pll.sof + 6. Assembler Device Options: vga_pll.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Wed Oct 28 14:55:34 2009 ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Auto-increment JTAG user code for multiple configuration devices ; On ; On ; +; Disable CONF_DONE and nSTATUS pull-ups on configuration device ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Use Checkered Pattern as Uninitialized RAM Content ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; vga_pll.sof ; +; vga_pll.pof ; ++---------------------------+ + + ++---------------------------------------+ +; Assembler Device Options: vga_pll.sof ; ++----------------+----------------------+ +; Option ; Setting ; ++----------------+----------------------+ +; Device ; EP1S25F672C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x002E62F1 ; ++----------------+----------------------+ + + ++---------------------------------------+ +; Assembler Device Options: vga_pll.pof ; ++--------------------+------------------+ +; Option ; Setting ; ++--------------------+------------------+ +; Device ; EPC8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x0BFBA6EA ; +; Compression Ratio ; 1 ; ++--------------------+------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:55:16 2009 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Wed Oct 28 14:55:34 2009 + Info: Elapsed time: 00:00:18 + Info: Total CPU time (on all processors): 00:00:18 + + diff --git a/bsp2/Designflow/ppr/download/vga_pll.done b/bsp2/Designflow/ppr/download/vga_pll.done new file mode 100644 index 0000000..09f7c59 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.done @@ -0,0 +1 @@ +Wed Oct 28 14:55:42 2009 diff --git a/bsp2/Designflow/ppr/download/vga_pll.eda.rpt b/bsp2/Designflow/ppr/download/vga_pll.eda.rpt new file mode 100644 index 0000000..59d5979 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for vga_pll +Wed Oct 28 14:55:41 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Wed Oct 28 14:55:41 2009 ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++---------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------------------------+ +; /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll.vo ; +; /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo ; ++---------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:55:40 2009 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Generated files "vga_pll.vo" and "vga_pll_v.sdo" in directory "/homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/simulation/modelsim/" for EDA simulation tool +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 162 megabytes + Info: Processing ended: Wed Oct 28 14:55:41 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp2/Designflow/ppr/download/vga_pll.fit.rpt b/bsp2/Designflow/ppr/download/vga_pll.fit.rpt new file mode 100644 index 0000000..e03cf2d --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.fit.rpt @@ -0,0 +1,1715 @@ +Fitter report for vga_pll +Wed Oct 28 14:55:12 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Pin-Out File + 6. Fitter Resource Usage Summary + 7. Input Pins + 8. Output Pins + 9. I/O Bank Usage + 10. All Package Pins + 11. PLL Summary + 12. PLL Usage + 13. Output Pin Default Load For Reported TCO + 14. Fitter Resource Utilization by Entity + 15. Delay Chain Summary + 16. Pad To Core Delay Chain Fanout + 17. Control Signals + 18. Global & Other Fast Signals + 19. Non-Global High Fan-Out Signals + 20. Interconnect Usage Summary + 21. LAB Logic Elements + 22. LAB-wide Signals + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. Fitter Device Options + 27. Estimated Delay Added for Hold Timing + 28. Fitter Messages + 29. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Fitter Summary ; ++--------------------------+------------------------------------------+ +; Fitter Status ; Successful - Wed Oct 28 14:55:12 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP1S25F672C6 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/vga_pll.pin. + + ++---------------------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------------------------------------------+ +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; -- Combinational with no register ; 90 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 83 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 60 ; +; -- 3 input functions ; 52 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 120 ; +; -- arithmetic mode ; 53 ; +; -- qfbk mode ; 3 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 70 ; +; -- asynchronous clear/load mode ; 24 ; +; ; ; +; Total registers ; 83 / 28,424 ( < 1 % ) ; +; Total LABs ; 22 / 2,566 ( < 1 % ) ; +; Logic elements in carry chains ; 61 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 117 / 474 ( 25 % ) ; +; -- Clock pins ; 1 / 16 ( 6 % ) ; +; Global signals ; 2 ; +; M512s ; 0 / 224 ( 0 % ) ; +; M4Ks ; 0 / 138 ( 0 % ) ; +; M-RAMs ; 0 / 2 ( 0 % ) ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; Total RAM block bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; PLLs ; 1 / 6 ( 17 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; SERDES transmitters ; 0 / 78 ( 0 % ) ; +; SERDES receivers ; 0 / 78 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 1% / 1% / 1% ; +; Maximum fan-out node ; vpll:inst1|altpll:altpll_component|_clk0 ; +; Maximum fan-out ; 84 ; +; Highest non-global fan-out signal ; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; +; Highest non-global fan-out ; 22 ; +; Total fan-out ; 872 ; +; Average fan-out ; 2.99 ; ++---------------------------------------------+-----------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; board_clk ; N3 ; 2 ; 0 ; 27 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; reset ; A5 ; 3 ; 7 ; 47 ; 0 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; b0_pin ; E24 ; 5 ; 79 ; 45 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; b1_pin ; T6 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_b ; K20 ; 5 ; 79 ; 33 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[0] ; L23 ; 5 ; 79 ; 31 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[1] ; L22 ; 5 ; 79 ; 31 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[2] ; L21 ; 5 ; 79 ; 32 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[3] ; L20 ; 5 ; 79 ; 32 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[4] ; L6 ; 2 ; 0 ; 32 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[5] ; L4 ; 2 ; 0 ; 33 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[6] ; L2 ; 2 ; 0 ; 33 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[7] ; K23 ; 5 ; 79 ; 34 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[8] ; K19 ; 5 ; 79 ; 33 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[9] ; K5 ; 2 ; 0 ; 34 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_g ; K24 ; 5 ; 79 ; 34 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_h_enable ; J21 ; 5 ; 79 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync ; L7 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[0] ; H4 ; 2 ; 0 ; 42 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[1] ; AD15 ; 7 ; 52 ; 0 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[2] ; B16 ; 4 ; 52 ; 47 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[3] ; H25 ; 5 ; 79 ; 42 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[4] ; H1 ; 2 ; 0 ; 42 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[5] ; H2 ; 2 ; 0 ; 42 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[6] ; C15 ; 4 ; 50 ; 47 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[7] ; G25 ; 5 ; 79 ; 43 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[8] ; G22 ; 5 ; 79 ; 42 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[9] ; G18 ; 4 ; 58 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[0] ; Y5 ; 1 ; 0 ; 5 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[1] ; F19 ; 4 ; 62 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[2] ; F17 ; 4 ; 56 ; 47 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[3] ; Y2 ; 1 ; 0 ; 4 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[4] ; F10 ; 3 ; 23 ; 47 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[5] ; F9 ; 3 ; 21 ; 47 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[6] ; F6 ; 3 ; 9 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[0] ; K6 ; 2 ; 0 ; 34 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[1] ; K4 ; 2 ; 0 ; 37 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[2] ; J22 ; 5 ; 79 ; 37 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[3] ; M9 ; 2 ; 0 ; 29 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[4] ; M8 ; 2 ; 0 ; 29 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[5] ; M6 ; 2 ; 0 ; 31 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[6] ; M5 ; 2 ; 0 ; 30 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[7] ; L24 ; 5 ; 79 ; 33 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[8] ; L25 ; 5 ; 79 ; 33 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_r ; L3 ; 2 ; 0 ; 33 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_column_counter ; Y23 ; 6 ; 79 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_hsync_counter ; F26 ; 5 ; 79 ; 44 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_line_counter ; F21 ; 4 ; 70 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_vsync_counter ; F24 ; 5 ; 79 ; 44 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_state_clk ; K3 ; 2 ; 0 ; 37 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle ; H3 ; 2 ; 0 ; 42 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[0] ; H26 ; 5 ; 79 ; 42 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[10] ; W24 ; 6 ; 79 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[11] ; AA26 ; 6 ; 79 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[12] ; AA21 ; 7 ; 72 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[13] ; C20 ; 4 ; 74 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[14] ; AB21 ; 7 ; 72 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[15] ; G24 ; 5 ; 79 ; 43 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[16] ; G23 ; 5 ; 79 ; 43 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[17] ; G21 ; 5 ; 79 ; 42 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[18] ; G20 ; 4 ; 62 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[19] ; G5 ; 2 ; 0 ; 44 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[1] ; W21 ; 6 ; 79 ; 6 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[20] ; G3 ; 2 ; 0 ; 43 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[21] ; G1 ; 2 ; 0 ; 43 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[22] ; F25 ; 5 ; 79 ; 44 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[23] ; F23 ; 5 ; 79 ; 44 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[24] ; T19 ; 6 ; 79 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[2] ; B23 ; 4 ; 76 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[3] ; A24 ; 4 ; 76 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[4] ; AF24 ; 7 ; 76 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[5] ; AC21 ; 7 ; 74 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[6] ; B3 ; 3 ; 1 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[7] ; AE22 ; 7 ; 76 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[8] ; W22 ; 6 ; 79 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[9] ; AF22 ; 7 ; 74 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_v_enable ; H18 ; 4 ; 56 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync ; L5 ; 2 ; 0 ; 33 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[0] ; G9 ; 3 ; 23 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[1] ; J23 ; 5 ; 79 ; 39 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[2] ; B11 ; 3 ; 29 ; 47 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[3] ; J1 ; 2 ; 0 ; 38 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[4] ; AD11 ; 8 ; 29 ; 0 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[5] ; G11 ; 3 ; 29 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[6] ; J4 ; 2 ; 0 ; 39 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[7] ; G6 ; 2 ; 0 ; 44 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[8] ; G4 ; 2 ; 0 ; 43 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[9] ; G2 ; 2 ; 0 ; 43 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[0] ; F5 ; 3 ; 9 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[1] ; F4 ; 2 ; 0 ; 45 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[2] ; F3 ; 2 ; 0 ; 45 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[3] ; M19 ; 5 ; 79 ; 29 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[4] ; M18 ; 5 ; 79 ; 29 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[5] ; M7 ; 2 ; 0 ; 31 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[6] ; M4 ; 2 ; 0 ; 30 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g0_pin ; E23 ; 5 ; 79 ; 45 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g1_pin ; T5 ; 1 ; 0 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g2_pin ; T24 ; 6 ; 79 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; hsync_pin ; F1 ; 2 ; 0 ; 44 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r0_pin ; E22 ; 4 ; 76 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r1_pin ; T4 ; 1 ; 0 ; 15 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r2_pin ; T7 ; 1 ; 0 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[0] ; R8 ; 1 ; 0 ; 19 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[10] ; R4 ; 1 ; 0 ; 18 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[11] ; R6 ; 1 ; 0 ; 19 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[12] ; AA11 ; 8 ; 31 ; 0 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[13] ; T2 ; 1 ; 0 ; 17 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[1] ; R9 ; 1 ; 0 ; 19 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[2] ; R19 ; 6 ; 79 ; 16 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[3] ; R20 ; 6 ; 79 ; 19 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[4] ; R21 ; 6 ; 79 ; 19 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[5] ; R22 ; 6 ; 79 ; 18 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[6] ; R23 ; 6 ; 79 ; 18 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[7] ; Y11 ; 8 ; 29 ; 0 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[8] ; N7 ; 2 ; 0 ; 29 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[9] ; N8 ; 2 ; 0 ; 28 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; vsync_pin ; F2 ; 2 ; 0 ; 44 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 11 / 61 ( 18 % ) ; 3.3V ; -- ; +; 2 ; 35 / 59 ( 59 % ) ; 3.3V ; -- ; +; 3 ; 9 / 54 ( 17 % ) ; 3.3V ; -- ; +; 4 ; 13 / 56 ( 23 % ) ; 3.3V ; -- ; +; 5 ; 28 / 59 ( 47 % ) ; 3.3V ; -- ; +; 6 ; 12 / 61 ( 20 % ) ; 3.3V ; -- ; +; 7 ; 7 / 57 ( 12 % ) ; 3.3V ; -- ; +; 8 ; 3 / 54 ( 6 % ) ; 3.3V ; -- ; +; 9 ; 0 / 6 ( 0 % ) ; 3.3V ; -- ; +; 11 ; 0 / 6 ( 0 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; A2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A3 ; 733 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A4 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A5 ; 725 ; 3 ; reset ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; A6 ; 717 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A7 ; 703 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A8 ; 702 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A9 ; 695 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A10 ; 684 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A12 ; 656 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A15 ; 640 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; A16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A17 ; 602 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A18 ; 589 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A19 ; 579 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A20 ; 571 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A21 ; 564 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A22 ; 554 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A23 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A24 ; 552 ; 4 ; d_toggle_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AA1 ; 158 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA2 ; 157 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA3 ; 160 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA4 ; 159 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA5 ; 155 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA6 ; 154 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA7 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA8 ; 214 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA9 ; 223 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA10 ; 227 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA11 ; 251 ; 8 ; seven_seg_pin[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; AA12 ; 269 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA13 ; 273 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA14 ; 271 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA15 ; 283 ; 7 ; ^nIO_PULLUP ; ; ; ; -- ; -- ; ; -- ; -- ; +; AA16 ; 304 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA17 ; 316 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA18 ; 324 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA19 ; 334 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA20 ; 344 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA21 ; 350 ; 7 ; d_toggle_counter[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA22 ; 386 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA23 ; 382 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA24 ; 381 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA25 ; 384 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA26 ; 383 ; 6 ; d_toggle_counter[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; AB1 ; 162 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB2 ; 161 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB3 ; 164 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB4 ; 163 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB5 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB6 ; 184 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB7 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB8 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB9 ; 217 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB10 ; 229 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB11 ; 231 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB12 ; 268 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB13 ; 272 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB14 ; 270 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB15 ; 292 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB16 ; 309 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB17 ; 322 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB18 ; 323 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB19 ; 336 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB20 ; 346 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB21 ; 351 ; 7 ; d_toggle_counter[14] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB22 ; 365 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB23 ; 378 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB24 ; 377 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB25 ; 380 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB26 ; 379 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AC2 ; 165 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC3 ; 168 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC4 ; 167 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC5 ; 171 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC6 ; 185 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC7 ; 186 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC8 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC9 ; 215 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC10 ; 224 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC11 ; 239 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC12 ; 257 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC14 ; ; ; GNDA_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC15 ; 293 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC16 ; 307 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC17 ; 328 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC18 ; 338 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC19 ; 339 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC20 ; 349 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC21 ; 355 ; 7 ; d_toggle_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC22 ; 369 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC23 ; 368 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC24 ; 374 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC25 ; 376 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AD1 ; 166 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD2 ; 172 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD3 ; 174 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD4 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD5 ; 170 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD6 ; 188 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD7 ; 192 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD8 ; 204 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD9 ; 216 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD10 ; 220 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD11 ; 247 ; 8 ; d_vsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD12 ; 256 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD13 ; ; ; VCCG_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD14 ; ; ; VCCA_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD15 ; 302 ; 7 ; d_hsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD16 ; 310 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD17 ; 329 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD18 ; 335 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD19 ; 337 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD20 ; 353 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD21 ; 354 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AD22 ; 370 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD23 ; 364 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD24 ; 367 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD25 ; 373 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD26 ; 375 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AE1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE2 ; 173 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE3 ; 179 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE4 ; 176 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE5 ; 187 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE6 ; 194 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE7 ; 189 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE8 ; 206 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE9 ; 218 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE10 ; 222 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE11 ; 232 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE12 ; 259 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE13 ; ; 11 ; VCC_PLL6_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AE14 ; ; ; GNDG_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE15 ; 274 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE16 ; 313 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE17 ; 319 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE18 ; 330 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE19 ; 340 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE20 ; 343 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE21 ; 352 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE22 ; 363 ; 7 ; d_toggle_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE23 ; 366 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE24 ; 371 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE25 ; 358 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF3 ; 183 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF4 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF5 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF6 ; 198 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF7 ; 197 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF8 ; 207 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF9 ; 219 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF10 ; 230 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF12 ; 258 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF15 ; 276 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AF16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF17 ; 315 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF18 ; 327 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF19 ; 331 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF20 ; 342 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF21 ; 347 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF22 ; 360 ; 7 ; d_toggle_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF23 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF24 ; 362 ; 7 ; d_toggle_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B3 ; 740 ; 3 ; d_toggle_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B4 ; 736 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B5 ; 730 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B6 ; 716 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B7 ; 709 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B8 ; 704 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B9 ; 698 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B10 ; 694 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B11 ; 667 ; 3 ; d_vsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B12 ; 655 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B13 ; ; ; GNDG_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B14 ; ; ; GNDA_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B15 ; 638 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B16 ; 610 ; 4 ; d_hsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B17 ; 596 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B18 ; 582 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B19 ; 577 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B20 ; 567 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B21 ; 563 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B22 ; 551 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B23 ; 548 ; 4 ; d_toggle_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B24 ; 543 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B25 ; 544 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C1 ; 0 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C2 ; 738 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C3 ; 731 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C4 ; 742 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C5 ; 743 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C6 ; 729 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C7 ; 728 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C8 ; 710 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C9 ; 699 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C10 ; 692 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C11 ; 682 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C12 ; 658 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C14 ; ; ; VCCG_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; C15 ; 617 ; 4 ; d_hsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C16 ; 605 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C17 ; 592 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C18 ; 581 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C19 ; 573 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C20 ; 559 ; 4 ; d_toggle_counter[13] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C21 ; 566 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C22 ; 556 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C23 ; 550 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C24 ; 547 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C25 ; 539 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C26 ; 541 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D2 ; 1 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D3 ; 744 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D4 ; 741 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D5 ; 735 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D6 ; 722 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D7 ; 727 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D8 ; 712 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D9 ; 696 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D10 ; 691 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D11 ; 683 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D12 ; 657 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; D13 ; ; 9 ; VCC_PLL5_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D14 ; ; ; VCCA_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; D15 ; 630 ; 4 ; #TRST ; input ; ; ; -- ; -- ; ; -- ; -- ; +; D16 ; 604 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D17 ; 600 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D18 ; 583 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D19 ; 575 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D20 ; 562 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D21 ; 561 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D22 ; 546 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D23 ; 545 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D24 ; 538 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D25 ; 540 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; E1 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E2 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E5 ; 726 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E6 ; 723 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E7 ; 713 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E8 ; 706 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E9 ; 697 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E10 ; 685 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E11 ; 662 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E12 ; 646 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E13 ; 642 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E14 ; 644 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E15 ; 629 ; 4 ; #TMS ; input ; ; ; -- ; -- ; ; -- ; -- ; +; E16 ; 607 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E17 ; 597 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E18 ; 586 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E19 ; 578 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E20 ; 576 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E21 ; 569 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E22 ; 549 ; 4 ; r0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; E23 ; 534 ; 5 ; g0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; E24 ; 535 ; 5 ; b0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; E25 ; 536 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E26 ; 537 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F1 ; 8 ; 2 ; hsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F2 ; 9 ; 2 ; vsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F3 ; 6 ; 2 ; d_vsync_state[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F4 ; 7 ; 2 ; d_vsync_state[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F5 ; 720 ; 3 ; d_vsync_state[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F6 ; 719 ; 3 ; d_hsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F7 ; 707 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; F9 ; 690 ; 3 ; d_hsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F10 ; 687 ; 3 ; d_hsync_state[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F11 ; 659 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F12 ; 645 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F13 ; 641 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F14 ; 643 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F15 ; 632 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F16 ; 612 ; 4 ; ~DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F17 ; 599 ; 4 ; d_hsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F18 ; 591 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F19 ; 590 ; 4 ; d_hsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F20 ; 584 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F21 ; 572 ; 4 ; d_set_line_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F22 ; 560 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F23 ; 530 ; 5 ; d_toggle_counter[23] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F24 ; 531 ; 5 ; d_set_vsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F25 ; 532 ; 5 ; d_toggle_counter[22] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F26 ; 533 ; 5 ; d_set_hsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G1 ; 12 ; 2 ; d_toggle_counter[21] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G2 ; 13 ; 2 ; d_vsync_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G3 ; 14 ; 2 ; d_toggle_counter[20] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G4 ; 15 ; 2 ; d_vsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G5 ; 10 ; 2 ; d_toggle_counter[19] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G6 ; 11 ; 2 ; d_vsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G7 ; 700 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; G9 ; 688 ; 3 ; d_vsync_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G10 ; 686 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G11 ; 670 ; 3 ; d_vsync_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G12 ; 653 ; 3 ; ^DCLK ; ; ; ; -- ; -- ; ; -- ; -- ; +; G13 ; ; ; TEMPDIODEn ; ; ; ; -- ; -- ; ; -- ; -- ; +; G14 ; 636 ; 4 ; #TDO ; output ; ; ; -- ; -- ; ; -- ; -- ; +; G15 ; 631 ; 4 ; #TCK ; input ; ; ; -- ; -- ; ; -- ; -- ; +; G16 ; 622 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; G17 ; 601 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G18 ; 594 ; 4 ; d_hsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G19 ; 585 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G20 ; 587 ; 4 ; d_toggle_counter[18] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G21 ; 522 ; 5 ; d_toggle_counter[17] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G22 ; 523 ; 5 ; d_hsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G23 ; 526 ; 5 ; d_toggle_counter[16] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G24 ; 527 ; 5 ; d_toggle_counter[15] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G25 ; 528 ; 5 ; d_hsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G26 ; 529 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H1 ; 16 ; 2 ; d_hsync_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H2 ; 17 ; 2 ; d_hsync_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H3 ; 18 ; 2 ; d_toggle ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; H4 ; 19 ; 2 ; d_hsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; H5 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H6 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H7 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H8 ; 20 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; H9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H10 ; 675 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H11 ; 654 ; 3 ; ^CONF_DONE ; ; ; ; -- ; -- ; ; -- ; -- ; +; H12 ; 652 ; 3 ; ^nCONFIG ; ; ; ; -- ; -- ; ; -- ; -- ; +; H13 ; 651 ; 3 ; ^nSTATUS ; ; ; ; -- ; -- ; ; -- ; -- ; +; H14 ; ; ; TEMPDIODEp ; ; ; ; -- ; -- ; ; -- ; -- ; +; H15 ; 635 ; 4 ; #TDI ; input ; ; ; -- ; -- ; ; -- ; -- ; +; H16 ; 621 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H18 ; 603 ; 4 ; d_v_enable ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; H19 ; 506 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H20 ; 505 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H21 ; 514 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H22 ; 513 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H23 ; 518 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H24 ; 517 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H25 ; 524 ; 5 ; d_hsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H26 ; 525 ; 5 ; d_toggle_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J1 ; 34 ; 2 ; d_vsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J2 ; 33 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J3 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J4 ; 29 ; 2 ; d_vsync_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J5 ; 36 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J6 ; 35 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J7 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J8 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J12 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J15 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J18 ; 521 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; J19 ; 494 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J20 ; 493 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J21 ; 504 ; 5 ; d_h_enable ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J22 ; 503 ; 5 ; d_line_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J23 ; 512 ; 5 ; d_vsync_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J24 ; 511 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J25 ; 508 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J26 ; 507 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K1 ; 46 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K2 ; 45 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K3 ; 38 ; 2 ; d_state_clk ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K4 ; 37 ; 2 ; d_line_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K5 ; 50 ; 2 ; d_column_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K6 ; 49 ; 2 ; d_line_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K7 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K8 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K9 ; 47 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K19 ; 486 ; 5 ; d_column_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K20 ; 485 ; 5 ; d_b ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K21 ; 490 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K22 ; 489 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K23 ; 492 ; 5 ; d_column_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K24 ; 491 ; 5 ; d_g ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K25 ; 496 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K26 ; 495 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L2 ; 54 ; 2 ; d_column_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L3 ; 53 ; 2 ; d_r ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L4 ; 56 ; 2 ; d_column_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L5 ; 55 ; 2 ; d_vsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L6 ; 60 ; 2 ; d_column_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L7 ; 59 ; 2 ; d_hsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L8 ; 61 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L9 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L18 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L19 ; 480 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L20 ; 482 ; 5 ; d_column_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L21 ; 481 ; 5 ; d_column_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L22 ; 478 ; 5 ; d_column_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L23 ; 479 ; 5 ; d_column_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L24 ; 488 ; 5 ; d_line_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L25 ; 487 ; 5 ; d_line_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; M1 ; 81 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M2 ; ; ; VCCG_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M3 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M4 ; 66 ; 2 ; d_vsync_state[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M5 ; 67 ; 2 ; d_line_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M6 ; 62 ; 2 ; d_line_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M7 ; 63 ; 2 ; d_vsync_state[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M8 ; 72 ; 2 ; d_line_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M9 ; 73 ; 2 ; d_line_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M18 ; 468 ; 5 ; d_vsync_state[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M19 ; 469 ; 5 ; d_vsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M20 ; 470 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M21 ; 471 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M22 ; 474 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M23 ; 475 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M24 ; 462 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M25 ; 463 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M26 ; 460 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N2 ; 78 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N3 ; 79 ; 2 ; board_clk ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N4 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N5 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N6 ; 70 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N7 ; 71 ; 2 ; seven_seg_pin[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N8 ; 77 ; 2 ; seven_seg_pin[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N19 ; 453 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N20 ; 464 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N21 ; 465 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N22 ; ; ; GNDG_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N23 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N24 ; ; ; VCCG_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N25 ; ; ; VCCA_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P2 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P3 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P4 ; ; ; VCCG_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P5 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P6 ; 88 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P7 ; 89 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P8 ; 76 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P19 ; 452 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P20 ; 448 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P21 ; 449 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P22 ; ; ; VCCA_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P23 ; ; ; VCCG_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P24 ; 457 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P25 ; 458 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R1 ; 82 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R2 ; 83 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R3 ; 84 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R4 ; 94 ; 1 ; seven_seg_pin[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R5 ; 95 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R6 ; 90 ; 1 ; seven_seg_pin[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R7 ; 91 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R8 ; 92 ; 1 ; seven_seg_pin[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R9 ; 93 ; 1 ; seven_seg_pin[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R18 ; 443 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; R19 ; 436 ; 6 ; seven_seg_pin[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R20 ; 450 ; 6 ; seven_seg_pin[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R21 ; 451 ; 6 ; seven_seg_pin[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R22 ; 446 ; 6 ; seven_seg_pin[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R23 ; 447 ; 6 ; seven_seg_pin[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R24 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R25 ; ; ; GNDG_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R26 ; 459 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; T1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T2 ; 100 ; 1 ; seven_seg_pin[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T3 ; 99 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T4 ; 108 ; 1 ; r1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T5 ; 107 ; 1 ; g1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T6 ; 106 ; 1 ; b1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T7 ; 105 ; 1 ; r2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T8 ; 98 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; T9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T18 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T19 ; 435 ; 6 ; d_toggle_counter[24] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T20 ; 432 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T21 ; 431 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T22 ; 442 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T23 ; 441 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T24 ; 434 ; 6 ; g2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T25 ; 433 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; U1 ; 112 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U2 ; 111 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U3 ; 116 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U4 ; 115 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U5 ; 110 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U6 ; 109 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U7 ; 114 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U8 ; 113 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U9 ; 117 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U18 ; 428 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U19 ; 427 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U20 ; 424 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U21 ; 430 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U22 ; 429 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U23 ; 418 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U24 ; 417 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U25 ; 426 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U26 ; 425 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V1 ; 132 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V2 ; 133 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V3 ; 136 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V4 ; 137 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V5 ; 124 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V6 ; 123 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V7 ; 127 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V8 ; 118 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V12 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V15 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V19 ; 423 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V20 ; 414 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V21 ; 406 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V22 ; 407 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V23 ; 404 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V24 ; 405 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V25 ; 408 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V26 ; 409 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W1 ; 140 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W2 ; 141 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W3 ; 148 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W4 ; 149 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W5 ; 134 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W6 ; 135 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W7 ; 138 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W8 ; 139 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W9 ; 212 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W10 ; 228 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W11 ; 255 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; W12 ; 260 ; 8 ; PLL_ENA ; ; ; ; -- ; -- ; ; -- ; -- ; +; W13 ; 263 ; 8 ; ^MSEL2 ; ; ; ; -- ; -- ; ; -- ; -- ; +; W14 ; 279 ; 7 ; ^nCEO ; ; ; ; -- ; -- ; ; -- ; -- ; +; W15 ; 282 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W16 ; 285 ; 7 ; ^PORSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; W17 ; 311 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W18 ; 321 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W19 ; 402 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W20 ; 403 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W21 ; 394 ; 6 ; d_toggle_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W22 ; 395 ; 6 ; d_toggle_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W23 ; 392 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W24 ; 393 ; 6 ; d_toggle_counter[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W25 ; 400 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W26 ; 401 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y1 ; 153 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y2 ; 152 ; 1 ; d_hsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y3 ; 146 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y4 ; 147 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y5 ; 151 ; 1 ; d_hsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y6 ; 150 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y7 ; 156 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y8 ; 210 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y9 ; 209 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y10 ; 226 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y11 ; 244 ; 8 ; seven_seg_pin[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; Y12 ; 261 ; 8 ; ^MSEL0 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y13 ; 262 ; 8 ; ^MSEL1 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y14 ; 278 ; 7 ; ^nCE ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y15 ; 284 ; 7 ; ^VCCSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y16 ; 297 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y17 ; 314 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y18 ; 317 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y19 ; 325 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y20 ; 333 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y21 ; 385 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y22 ; 387 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y23 ; 391 ; 6 ; d_set_column_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y24 ; 390 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y25 ; 389 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y26 ; 388 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------------------------------------+ +; PLL Summary ; ++-------------------------------+----------------------------------------+ +; Name ; vpll:inst1|altpll:altpll_component|pll ; ++-------------------------------+----------------------------------------+ +; SDC pin name ; inst1|altpll_component|pll ; +; PLL type ; Fast ; +; Scan chain ; None ; +; PLL mode ; Normal ; +; Feedback source ; -- ; +; Compensate clock ; clock0 ; +; Compensated input/output pins ; -- ; +; Switchover on loss of clock ; -- ; +; Switchover counter ; -- ; +; Primary clock ; -- ; +; Input frequency 0 ; 33.33 MHz ; +; Input frequency 1 ; -- ; +; Nominal PFD frequency ; 16.7 MHz ; +; Nominal VCO frequency ; 516.5 MHz ; +; Freq min lock ; 20.0 MHz ; +; Freq max lock ; 64.52 MHz ; +; Clock Offset ; -707 ps ; +; M VCO Tap ; 3 ; +; M Initial ; 1 ; +; M value ; 31 ; +; N value ; 2 ; +; M counter delay ; -- ; +; N counter delay ; -- ; +; M2 value ; -- ; +; N2 value ; -- ; +; SS counter ; -- ; +; Downspread ; -- ; +; Spread frequency ; -- ; +; Charge pump current ; 20 uA ; +; Loop filter resistance ; 1.021000 KOhm ; +; Loop filter capacitance ; 10 pF ; +; Freq zero ; 0.240 MHz ; +; Bandwidth ; 200 KHz ; +; Freq pole ; 15.844 MHz ; +; enable0 counter ; -- ; +; enable1 counter ; -- ; +; Real time reconfigurable ; -- ; +; Scan chain MIF file ; -- ; +; Preserve PLL counter order ; Off ; +; PLL location ; PLL_1 ; +; Inclk0 signal ; board_clk ; +; Inclk1 signal ; -- ; +; Inclk0 signal type ; Dedicated Pin ; +; Inclk1 signal type ; -- ; ++-------------------------------+----------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Usage ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ +; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Delay ; Duty Cycle ; Counter ; Counter Delay ; Counter Value ; High / Low ; Initial ; VCO Tap ; SDC Pin Name ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ +; vpll:inst1|altpll:altpll_component|_clk0 ; clock0 ; 31 ; 38 ; 27.19 MHz ; -7 (-725 ps) ; 0 ps ; 50/50 ; G0 ; -- ; 19 ; 10/9 Odd ; 1 ; 0 ; inst1|altpll_component|pll|clk[0] ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; 2.5 V ; 10 pF ; Not Available ; +; 1.8 V ; 10 pF ; Not Available ; +; 1.5 V ; 10 pF ; Not Available ; +; GTL ; 30 pF ; 25 Ohm (Parallel) ; +; GTL+ ; 30 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 8 pF ; 25 Ohm (Parallel) ; +; Compact PCI ; 10 pF ; 25 Ohm (Parallel) ; +; AGP 1X ; 10 pF ; Not Available ; +; AGP 2X ; 10 pF ; Not Available ; +; CTT ; 30 pF ; 50 Ohm (Parallel) ; +; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; LVDS ; 4 pF ; 100 Ohm (Differential) ; +; Differential LVPECL ; 4 pF ; 100 Ohm (Differential) ; +; 3.3-V PCML ; 4 pF ; 50 Ohm (Parallel) ; +; HyperTransport ; 4 pF ; 100 Ohm (Differential) ; +; Differential 1.5-V HSTL Class I ; 20 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.8-V HSTL Class I ; 20 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II) ; +; Differential SSTL-2 ; 30 pF ; (See SSTL-2) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; |vga_pll ; 173 (1) ; 83 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 90 (1) ; 0 (0) ; 83 (0) ; 61 (0) ; 3 (0) ; |vga_pll ; work ; +; |vga:inst| ; 172 (2) ; 83 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 116 ; 0 ; 89 (0) ; 0 (0) ; 83 (2) ; 61 (0) ; 3 (0) ; |vga_pll|vga:inst ; work ; +; |vga_control:vga_control_unit| ; 43 (43) ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 24 (24) ; 21 (21) ; 0 (0) ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 127 (127) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 70 (70) ; 0 (0) ; 57 (57) ; 40 (40) ; 3 (3) ; |vga_pll|vga:inst|vga_driver:vga_driver_unit ; work ; +; |vpll:inst1| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1 ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1|altpll:altpll_component ; work ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; board_clk ; Input ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; d_hsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_column_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_line_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_hsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_vsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_r ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_g ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_b ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_h_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_v_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_state_clk ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; hsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; vsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[24] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[23] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[22] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[21] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[20] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[19] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[18] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[17] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[16] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[15] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[14] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; reset ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ + + ++--------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++--------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++--------------------------------------------------------------------------------+-------------------+---------+ +; board_clk ; ; ; +; vga:inst|reset_pin_in ; ; ; +; - vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|h_sync_Z ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|v_sync_Z ; 0 ; ON ; +; - vga:inst|dly_counter_0_ ; 0 ; ON ; +; - vga:inst|dly_counter_1_ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; ++--------------------------------------------------------------------------------+-------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; board_clk ; PIN_N3 ; 1 ; Clock ; no ; -- ; -- ; +; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; LC_X72_Y5_N9 ; 22 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|G_16_i ; LC_X30_Y38_N6 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|G_2_i ; LC_X52_Y41_N3 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X30_Y38_N2 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; LC_X30_Y39_N9 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; LC_X52_Y41_N9 ; 6 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; LC_X30_Y39_N8 ; 9 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X30_Y38_N3 ; 53 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK12 ; +; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; LC_X52_Y41_N2 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; LC_X30_Y38_N8 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; LC_X42_Y42_N7 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; LC_X28_Y39_N6 ; 5 ; Clock enable ; no ; -- ; -- ; +; vpll:inst1|altpll:altpll_component|_clk0 ; PLL_1 ; 84 ; Clock ; yes ; Global Clock ; GCLK1 ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ +; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X30_Y38_N3 ; 53 ; Global Clock ; GCLK12 ; +; vpll:inst1|altpll:altpll_component|_clk0 ; PLL_1 ; 84 ; Global Clock ; GCLK1 ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ + + ++--------------------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++----------------------------------------------------------------------+---------+ +; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; 22 ; +; ~STRATIX_FITTER_CREATED_GND~I ; 14 ; +; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; 11 ; +; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; 11 ; +; vga:inst|vga_driver:vga_driver_unit|G_16_i ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|G_2_i ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 ; 10 ; +; reset ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 ; 9 ; +; vga:inst|dly_counter[1] ; 9 ; +; vga:inst|dly_counter[0] ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; 5 ; ++----------------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Interconnect Usage Summary ; ++-----------------------------+-------------------------+ +; Interconnect Resource Type ; Usage ; ++-----------------------------+-------------------------+ +; C16 interconnects ; 78 / 4,620 ( 2 % ) ; +; C4 interconnects ; 125 / 69,840 ( < 1 % ) ; +; C8 interconnects ; 45 / 15,568 ( < 1 % ) ; +; DIFFIOCLKs ; 0 / 16 ( 0 % ) ; +; DQS bus muxes ; 0 / 102 ( 0 % ) ; +; DQS-16 I/O buses ; 0 / 8 ( 0 % ) ; +; DQS-32 I/O buses ; 0 / 4 ( 0 % ) ; +; DQS-8 I/O buses ; 0 / 20 ( 0 % ) ; +; Direct links ; 47 / 104,060 ( < 1 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; I/O buses ; 25 / 320 ( 8 % ) ; +; LUT chains ; 6 / 23,094 ( < 1 % ) ; +; Local routing interconnects ; 122 / 25,660 ( < 1 % ) ; +; R24 interconnects ; 106 / 4,692 ( 2 % ) ; +; R4 interconnects ; 189 / 141,520 ( < 1 % ) ; +; R8 interconnects ; 78 / 22,956 ( < 1 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; ++-----------------------------+-------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+------------------------------+ +; Number of Logic Elements (Average = 7.86) ; Number of LABs (Total = 22) ; ++--------------------------------------------+------------------------------+ +; 1 ; 3 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 14 ; ++--------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+------------------------------+ +; LAB-wide Signals (Average = 1.82) ; Number of LABs (Total = 22) ; ++------------------------------------+------------------------------+ +; 1 Async. clear ; 4 ; +; 1 Clock ; 19 ; +; 1 Clock enable ; 5 ; +; 1 Sync. clear ; 10 ; +; 1 Sync. load ; 2 ; ++------------------------------------+------------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 7.91) ; Number of LABs (Total = 22) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 3 ; +; 10 ; 9 ; +; 11 ; 3 ; ++---------------------------------------------+------------------------------+ + + ++--------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+------------------------------+ +; Number of Signals Sourced Out (Average = 6.00) ; Number of LABs (Total = 22) ; ++-------------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 2 ; +; 3 ; 0 ; +; 4 ; 3 ; +; 5 ; 2 ; +; 6 ; 2 ; +; 7 ; 3 ; +; 8 ; 4 ; +; 9 ; 1 ; +; 10 ; 3 ; ++-------------------------------------------------+------------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 10.77) ; Number of LABs (Total = 22) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 2 ; +; 10 ; 2 ; +; 11 ; 3 ; +; 12 ; 0 ; +; 13 ; 3 ; +; 14 ; 1 ; +; 15 ; 1 ; +; 16 ; 0 ; +; 17 ; 0 ; +; 18 ; 0 ; +; 19 ; 1 ; +; 20 ; 0 ; +; 21 ; 0 ; +; 22 ; 0 ; +; 23 ; 2 ; ++----------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Data[0] ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:54:43 2009 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Info: Selected device EP1S25F672C6 for design "vga_pll" +Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP1S10F672C6 is compatible + Info: Device EP1S20F672C6 is compatible + Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible +Info: Fitter converted 1 user pins into dedicated programming pins + Info: Pin ~DATA0~ is reserved at location F16 +Warning: No exact pin location assignment(s) for 26 pins of 117 total pins + Info: Pin d_hsync_counter[6] not assigned to an exact location on the device + Info: Pin d_hsync_counter[5] not assigned to an exact location on the device + Info: Pin d_hsync_counter[4] not assigned to an exact location on the device + Info: Pin d_hsync_counter[3] not assigned to an exact location on the device + Info: Pin d_hsync_counter[2] not assigned to an exact location on the device + Info: Pin d_hsync_counter[1] not assigned to an exact location on the device + Info: Pin d_toggle_counter[14] not assigned to an exact location on the device + Info: Pin d_toggle_counter[13] not assigned to an exact location on the device + Info: Pin d_toggle_counter[12] not assigned to an exact location on the device + Info: Pin d_toggle_counter[11] not assigned to an exact location on the device + Info: Pin d_toggle_counter[10] not assigned to an exact location on the device + Info: Pin d_toggle_counter[9] not assigned to an exact location on the device + Info: Pin d_toggle_counter[8] not assigned to an exact location on the device + Info: Pin d_toggle_counter[7] not assigned to an exact location on the device + Info: Pin d_toggle_counter[6] not assigned to an exact location on the device + Info: Pin d_toggle_counter[5] not assigned to an exact location on the device + Info: Pin d_toggle_counter[4] not assigned to an exact location on the device + Info: Pin d_toggle_counter[3] not assigned to an exact location on the device + Info: Pin d_toggle_counter[2] not assigned to an exact location on the device + Info: Pin d_toggle_counter[1] not assigned to an exact location on the device + Info: Pin d_vsync_counter[6] not assigned to an exact location on the device + Info: Pin d_vsync_counter[5] not assigned to an exact location on the device + Info: Pin d_vsync_counter[4] not assigned to an exact location on the device + Info: Pin d_vsync_counter[3] not assigned to an exact location on the device + Info: Pin d_vsync_counter[2] not assigned to an exact location on the device + Info: Pin d_vsync_counter[1] not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements +Info: Completed User Assigned Global Signals Promotion Operation +Info: Implementing parameter values for PLL "vpll:inst1|altpll:altpll_component|pll" + Info: Implementing clock multiplication of 31, clock division of 38, and phase shift of 0 degrees (-18 ps) for vpll:inst1|altpll:altpll_component|_clk0 port +Info: Promoted PLL clock signals + Info: Promoted signal "vpll:inst1|altpll:altpll_component|_clk0" to use global clock +Info: Completed PLL Placement Operation +Info: Automatically promoted some destinations of signal "vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_6_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_0_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_5_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_4_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_3_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_2_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_5_" may be non-global or may not use global clock + Info: Limited to 10 non-global destinations +Info: Completed Auto Global Promotion Operation +Info: Starting register packing +Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option +Info: Finished register packing +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 0 input, 26 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 11 total pin(s) used -- 50 pins available + Info: I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 31 total pin(s) used -- 28 pins available + Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 48 pins available + Info: I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used -- 48 pins available + Info: I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used -- 33 pins available + Info: I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used -- 53 pins available + Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 57 pins available + Info: I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used -- 52 pins available + Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available + Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:02 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:03 +Info: Slack time is 29.678 ns between source register "vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3" and destination register "vga:inst|vga_control:vga_control_unit|r" + Info: + Largest register to register requirement is 36.591 ns + Info: Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga:inst|vga_control:vga_control_unit|r' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga:inst|vga_control:vga_control_unit|r' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 10; REG Node = 'vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 10; REG Node = 'vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Micro clock to output delay of source is 0.176 ns + Info: Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 6.913 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 10; REG Node = 'vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3' + Info: 2: + IC(1.006 ns) + CELL(0.087 ns) = 1.093 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|un17_v_enablelto3' + Info: 3: + IC(1.825 ns) + CELL(0.087 ns) = 3.005 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a' + Info: 4: + IC(0.427 ns) + CELL(0.087 ns) = 3.519 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4' + Info: 5: + IC(3.030 ns) + CELL(0.364 ns) = 6.913 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga:inst|vga_control:vga_control_unit|r' + Info: Total cell delay = 0.625 ns ( 9.04 % ) + Info: Total interconnect delay = 6.288 ns ( 90.96 % ) +Info: Estimated most critical path is register to register delay of 6.913 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X21_Y42; Fanout = 10; REG Node = 'vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3' + Info: 2: + IC(1.006 ns) + CELL(0.087 ns) = 1.093 ns; Loc. = LAB_X18_Y42; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|un17_v_enablelto3' + Info: 3: + IC(1.825 ns) + CELL(0.087 ns) = 3.005 ns; Loc. = LAB_X28_Y35; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a' + Info: 4: + IC(0.427 ns) + CELL(0.087 ns) = 3.519 ns; Loc. = LAB_X28_Y35; Fanout = 2; COMB Node = 'vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4' + Info: 5: + IC(3.030 ns) + CELL(0.364 ns) = 6.913 ns; Loc. = LAB_X72_Y6; Fanout = 4; REG Node = 'vga:inst|vga_control:vga_control_unit|r' + Info: Total cell delay = 0.625 ns ( 9.04 % ) + Info: Total interconnect delay = 6.288 ns ( 90.96 % ) +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X22_Y36 to location X33_Y47 +Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Completed Fixed Delay Chain Operation +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Info: Completed Auto Delay Chain Operation +Warning: Following 14 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results + Info: Pin d_g has GND driving its datain port + Info: Pin g0_pin has GND driving its datain port + Info: Pin g1_pin has GND driving its datain port + Info: Pin g2_pin has GND driving its datain port + Info: Pin d_toggle_counter[24] has GND driving its datain port + Info: Pin d_toggle_counter[23] has GND driving its datain port + Info: Pin d_toggle_counter[22] has GND driving its datain port + Info: Pin d_toggle_counter[21] has GND driving its datain port + Info: Pin seven_seg_pin[13] has GND driving its datain port + Info: Pin seven_seg_pin[6] has GND driving its datain port + Info: Pin seven_seg_pin[5] has GND driving its datain port + Info: Pin seven_seg_pin[4] has GND driving its datain port + Info: Pin seven_seg_pin[3] has GND driving its datain port + Info: Pin seven_seg_pin[0] has GND driving its datain port +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/vga_pll.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 320 megabytes + Info: Processing ended: Wed Oct 28 14:55:12 2009 + Info: Elapsed time: 00:00:29 + Info: Total CPU time (on all processors): 00:00:29 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/vga_pll.fit.smsg. + + diff --git a/bsp2/Designflow/ppr/download/vga_pll.fit.smsg b/bsp2/Designflow/ppr/download/vga_pll.fit.smsg new file mode 100644 index 0000000..38de4e4 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.fit.smsg @@ -0,0 +1,8 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Start inferring scan chains for DSP blocks +Extra Info: Inferring scan chains for DSP blocks is complete +Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density +Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks diff --git a/bsp2/Designflow/ppr/download/vga_pll.fit.summary b/bsp2/Designflow/ppr/download/vga_pll.fit.summary new file mode 100644 index 0000000..f68d873 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.fit.summary @@ -0,0 +1,14 @@ +Fitter Status : Successful - Wed Oct 28 14:55:12 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga_pll +Top-level Entity Name : vga_pll +Family : Stratix +Device : EP1S25F672C6 +Timing Models : Final +Total logic elements : 173 / 25,660 ( < 1 % ) +Total pins : 117 / 474 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,944,576 ( 0 % ) +DSP block 9-bit elements : 0 / 80 ( 0 % ) +Total PLLs : 1 / 6 ( 17 % ) +Total DLLs : 0 / 2 ( 0 % ) diff --git a/bsp2/Designflow/ppr/download/vga_pll.flow.rpt b/bsp2/Designflow/ppr/download/vga_pll.flow.rpt new file mode 100644 index 0000000..111b5f1 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.flow.rpt @@ -0,0 +1,127 @@ +Flow report for vga_pll +Wed Oct 28 14:55:41 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Wed Oct 28 14:55:41 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 10/28/2009 14:54:36 ; +; Main task ; Compilation ; +; Revision Name ; vga_pll ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815332912.125673807629382 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:07 ; 1.0 ; -- ; 00:00:03 ; +; Fitter ; 00:00:29 ; 1.0 ; -- ; 00:00:29 ; +; Assembler ; 00:00:18 ; 1.0 ; -- ; 00:00:18 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:55 ; -- ; -- ; 00:00:51 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti15 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti15 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti15 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti15 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti15 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll +quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll + + + diff --git a/bsp2/Designflow/ppr/download/vga_pll.map.rpt b/bsp2/Designflow/ppr/download/vga_pll.map.rpt new file mode 100644 index 0000000..5b286a1 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.map.rpt @@ -0,0 +1,681 @@ +Analysis & Synthesis report for vga_pll +Wed Oct 28 14:54:40 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. Registers Removed During Synthesis + 8. General Register Statistics + 9. Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component + 10. altpll Parameter Settings by Entity Instance + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Wed Oct 28 14:54:40 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Total logic elements ; 175 ; +; Total pins ; 117 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; DSP block 9-bit elements ; 0 ; +; Total PLLs ; 1 ; +; Total DLLs ; 0 ; ++-----------------------------+------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP1S25F672C6 ; ; +; Top-level entity name ; vga_pll ; vga_pll ; +; Family name ; Stratix ; Stratix ; +; Type of Retiming Performed During Resynthesis ; Full ; ; +; Resynthesis Optimization Effort ; Normal ; ; +; Physical Synthesis Level for Resynthesis ; Normal ; ; +; Use Generated Physical Constraints File ; On ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Allows Asynchronous Clear Usage For Shift Register Replacement ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++----------------------------------------------------------------+--------------------+--------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; ../../src/vga_pll.bdf ; yes ; User Block Diagram/Schematic File ; /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf ; +; ../../syn/rev_1/vga.vqm ; yes ; User Verilog Quartus Mapping File ; /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm ; +; ../../src/vpll.vhd ; yes ; User Wizard-Generated File ; /homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd ; +; altpll.tdf ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/altpll.tdf ; +; aglobal90.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc ; +; stratix_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc ; +; stratixii_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc ; +; cycloneii_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+------------------------------------------+ +; Total logic elements ; 175 ; +; -- Combinational with no register ; 92 ; +; -- Register only ; 3 ; +; -- Combinational with a register ; 80 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 60 ; +; -- 3 input functions ; 52 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 122 ; +; -- arithmetic mode ; 53 ; +; -- qfbk mode ; 0 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 69 ; +; -- asynchronous clear/load mode ; 24 ; +; ; ; +; Total registers ; 83 ; +; Total logic cells in carry chains ; 61 ; +; I/O pins ; 117 ; +; Total PLLs ; 1 ; +; Maximum fan-out node ; vpll:inst1|altpll:altpll_component|_clk0 ; +; Maximum fan-out ; 84 ; +; Total fan-out ; 845 ; +; Average fan-out ; 2.88 ; ++---------------------------------------------+------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; |vga_pll ; 175 (0) ; 83 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 92 (0) ; 3 (0) ; 80 (0) ; 61 (0) ; 0 (0) ; |vga_pll ; work ; +; |vga:inst| ; 175 (2) ; 83 ; 0 ; 0 ; 0 ; 0 ; 0 ; 116 ; 0 ; 92 (0) ; 3 (0) ; 80 (2) ; 61 (0) ; 0 (0) ; |vga_pll|vga:inst ; work ; +; |vga_control:vga_control_unit| ; 43 (43) ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 24 (24) ; 21 (21) ; 0 (0) ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 130 (130) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 73 (73) ; 3 (3) ; 54 (54) ; 40 (40) ; 0 (0) ; |vga_pll|vga:inst|vga_driver:vga_driver_unit ; work ; +; |vpll:inst1| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1 ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1|altpll:altpll_component ; work ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++-------------------------------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++-------------------------------------------------------------+----------------------------------------+ +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_24 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_23 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_22 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_21 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|g ; Stuck at GND due to stuck port reg_out ; +; Total Number of Removed Registers = 5 ; ; ++-------------------------------------------------------------+----------------------------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 83 ; +; Number of registers using Synchronous Clear ; 69 ; +; Number of registers using Synchronous Load ; 20 ; +; Number of registers using Asynchronous Clear ; 24 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 12 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++---------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component ; ++-------------------------------+-------------------+-----------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+-------------------+-----------------------------+ +; OPERATION_MODE ; NORMAL ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK0 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 30003 ; Signed Integer ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Signed Integer ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Signed Integer ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Signed Integer ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 1 ; Untyped ; +; CLK3_MULTIPLY_BY ; 1 ; Untyped ; +; CLK2_MULTIPLY_BY ; 1 ; Untyped ; +; CLK1_MULTIPLY_BY ; 1 ; Untyped ; +; CLK0_MULTIPLY_BY ; 5435 ; Signed Integer ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Untyped ; +; CLK3_DIVIDE_BY ; 1 ; Untyped ; +; CLK2_DIVIDE_BY ; 1 ; Untyped ; +; CLK1_DIVIDE_BY ; 1 ; Untyped ; +; CLK0_DIVIDE_BY ; 6666 ; Signed Integer ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 0 ; Untyped ; +; CLK3_PHASE_SHIFT ; 0 ; Untyped ; +; CLK2_PHASE_SHIFT ; 0 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Untyped ; +; CLK3_DUTY_CYCLE ; 50 ; Untyped ; +; CLK2_DUTY_CYCLE ; 50 ; Untyped ; +; CLK1_DUTY_CYCLE ; 50 ; Untyped ; +; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Stratix ; Untyped ; +; PORT_CLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA4 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA5 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKBAD0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKBAD1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK4 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK5 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANDATAOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANDONE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKLOSS ; PORT_CONNECTIVITY ; Untyped ; +; PORT_INCLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_INCLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_FBIN ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PLLENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKSWITCH ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ARESET ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PFDENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANCLK ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANACLR ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANREAD ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANWRITE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASESTEP ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANCLKENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 6 ; Untyped ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Stratix ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+-------------------+-----------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------+ +; altpll Parameter Settings by Entity Instance ; ++-------------------------------+------------------------------------+ +; Name ; Value ; ++-------------------------------+------------------------------------+ +; Number of entity instances ; 1 ; +; Entity Instance ; vpll:inst1|altpll:altpll_component ; +; -- OPERATION_MODE ; NORMAL ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 30003 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; ++-------------------------------+------------------------------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:54:33 2009 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll +Info: Revision "vga_pll" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0. +Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf +Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf + Info: Found entity 1: vga_pll +Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm + Info: Found entity 1: vga_driver + Info: Found entity 2: vga_control + Info: Found entity 3: vga +Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd + Info: Found design unit 1: vpll-SYN + Info: Found entity 1: vpll +Info: Elaborating entity "vga_pll" for the top level hierarchy +Info: Elaborating entity "vga" for hierarchy "vga:inst" +Info: Elaborating entity "vga_driver" for hierarchy "vga:inst|vga_driver:vga_driver_unit" +Info: Elaborating entity "vga_control" for hierarchy "vga:inst|vga_control:vga_control_unit" +Info: Elaborating entity "vpll" for hierarchy "vpll:inst1" +Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object "locked" assigned a value but never read +Info: Elaborating entity "altpll" for hierarchy "vpll:inst1|altpll:altpll_component" +Info: Elaborated megafunction instantiation "vpll:inst1|altpll:altpll_component" +Info: Instantiated megafunction "vpll:inst1|altpll:altpll_component" with the following parameter: + Info: Parameter "bandwidth_type" = "AUTO" + Info: Parameter "clk0_duty_cycle" = "50" + Info: Parameter "lpm_type" = "altpll" + Info: Parameter "clk0_multiply_by" = "5435" + Info: Parameter "invalid_lock_multiplier" = "5" + Info: Parameter "inclk0_input_frequency" = "30003" + Info: Parameter "gate_lock_signal" = "NO" + Info: Parameter "clk0_divide_by" = "6666" + Info: Parameter "pll_type" = "AUTO" + Info: Parameter "valid_lock_multiplier" = "1" + Info: Parameter "clk0_time_delay" = "0" + Info: Parameter "spread_frequency" = "0" + Info: Parameter "intended_device_family" = "Stratix" + Info: Parameter "operation_mode" = "NORMAL" + Info: Parameter "compensate_clock" = "CLK0" + Info: Parameter "clk0_phase_shift" = "0" +Info: WYSIWYG I/O primitives converted to equivalent logic + Info: WYSIWYG I/O primitive "vga:inst|clk_pin_in" converted to equivalent logic +Info: Implemented 293 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins + Info: Implemented 115 output pins + Info: Implemented 175 logic cells + Info: Implemented 1 ClockLock PLLs +Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 204 megabytes + Info: Processing ended: Wed Oct 28 14:54:40 2009 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:04 + + diff --git a/bsp2/Designflow/ppr/download/vga_pll.map.summary b/bsp2/Designflow/ppr/download/vga_pll.map.summary new file mode 100644 index 0000000..15c33ad --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.map.summary @@ -0,0 +1,12 @@ +Analysis & Synthesis Status : Successful - Wed Oct 28 14:54:40 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga_pll +Top-level Entity Name : vga_pll +Family : Stratix +Total logic elements : 175 +Total pins : 117 +Total virtual pins : 0 +Total memory bits : 0 +DSP block 9-bit elements : 0 +Total PLLs : 1 +Total DLLs : 0 diff --git a/bsp2/Designflow/ppr/download/vga_pll.pin b/bsp2/Designflow/ppr/download/vga_pll.pin new file mode 100644 index 0000000..70ef981 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.pin @@ -0,0 +1,748 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- Bank 9: 3.3V + -- Bank 11: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version +CHIP "vga_pll" ASSIGNED TO AN: EP1S25F672C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A2 : gnd : : : : +GND* : A3 : : : : 3 : +VCCIO3 : A4 : power : : 3.3V : 3 : +reset : A5 : input : 3.3-V LVTTL : : 3 : Y +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +VCCIO3 : A11 : power : : 3.3V : 3 : +GND* : A12 : : : : 3 : +GND : A13 : gnd : : : : +GND : A14 : gnd : : : : +GND+ : A15 : : : : 4 : +VCCIO4 : A16 : power : : 3.3V : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +GND* : A21 : : : : 4 : +GND* : A22 : : : : 4 : +VCCIO4 : A23 : power : : 3.3V : 4 : +d_toggle_counter[3] : A24 : output : 3.3-V LVTTL : : 4 : N +GND : A25 : gnd : : : : +GND* : AA1 : : : : 1 : +GND* : AA2 : : : : 1 : +GND* : AA3 : : : : 1 : +GND* : AA4 : : : : 1 : +GND* : AA5 : : : : 1 : +GND* : AA6 : : : : 1 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +seven_seg_pin[12] : AA11 : output : 3.3-V LVTTL : : 8 : Y +GND* : AA12 : : : : 11 : +GND* : AA13 : : : : 11 : +GND* : AA14 : : : : 11 : +nIO_PULLUP : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +d_toggle_counter[12] : AA21 : output : 3.3-V LVTTL : : 7 : N +GND* : AA22 : : : : 6 : +GND* : AA23 : : : : 6 : +GND* : AA24 : : : : 6 : +GND* : AA25 : : : : 6 : +d_toggle_counter[11] : AA26 : output : 3.3-V LVTTL : : 6 : N +GND* : AB1 : : : : 1 : +GND* : AB2 : : : : 1 : +GND* : AB3 : : : : 1 : +GND* : AB4 : : : : 1 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 11 : +GND* : AB13 : : : : 11 : +GND* : AB14 : : : : 11 : +GND : AB15 : gnd : : : : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND : AB18 : gnd : : : : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +d_toggle_counter[14] : AB21 : output : 3.3-V LVTTL : : 7 : N +GND* : AB22 : : : : 7 : +GND* : AB23 : : : : 6 : +GND* : AB24 : : : : 6 : +GND* : AB25 : : : : 6 : +GND* : AB26 : : : : 6 : +VCCIO1 : AC1 : power : : 3.3V : 1 : +GND* : AC2 : : : : 1 : +GND* : AC3 : : : : 1 : +GND* : AC4 : : : : 1 : +GND* : AC5 : : : : 8 : +GND* : AC6 : : : : 8 : +GND* : AC7 : : : : 8 : +GND* : AC8 : : : : 8 : +GND* : AC9 : : : : 8 : +GND* : AC10 : : : : 8 : +GND* : AC11 : : : : 8 : +GND+ : AC12 : : : : 8 : +GND : AC13 : gnd : : : : +GNDA_PLL6 : AC14 : gnd : : : : +GND* : AC15 : : : : 7 : +GND* : AC16 : : : : 7 : +GND* : AC17 : : : : 7 : +GND* : AC18 : : : : 7 : +GND* : AC19 : : : : 7 : +GND* : AC20 : : : : 7 : +d_toggle_counter[5] : AC21 : output : 3.3-V LVTTL : : 7 : N +GND* : AC22 : : : : 7 : +GND* : AC23 : : : : 7 : +GND* : AC24 : : : : 6 : +GND* : AC25 : : : : 6 : +VCCIO6 : AC26 : power : : 3.3V : 6 : +GND* : AD1 : : : : 1 : +GND* : AD2 : : : : 8 : +GND* : AD3 : : : : 8 : +GND* : AD4 : : : : 8 : +GND* : AD5 : : : : 8 : +GND* : AD6 : : : : 8 : +GND* : AD7 : : : : 8 : +GND* : AD8 : : : : 8 : +GND* : AD9 : : : : 8 : +GND* : AD10 : : : : 8 : +d_vsync_counter[4] : AD11 : output : 3.3-V LVTTL : : 8 : N +GND* : AD12 : : : : 8 : +VCCG_PLL6 : AD13 : power : : 1.5V : : +VCCA_PLL6 : AD14 : power : : 1.5V : : +d_hsync_counter[1] : AD15 : output : 3.3-V LVTTL : : 7 : N +GND* : AD16 : : : : 7 : +GND* : AD17 : : : : 7 : +GND* : AD18 : : : : 7 : +GND* : AD19 : : : : 7 : +GND* : AD20 : : : : 7 : +GND : AD21 : gnd : : : : +GND* : AD22 : : : : 7 : +GND* : AD23 : : : : 7 : +GND* : AD24 : : : : 7 : +GND* : AD25 : : : : 6 : +GND* : AD26 : : : : 6 : +GND : AE1 : gnd : : : : +GND* : AE2 : : : : 8 : +GND* : AE3 : : : : 8 : +GND* : AE4 : : : : 8 : +GND : AE5 : gnd : : : : +GND* : AE6 : : : : 8 : +GND* : AE7 : : : : 8 : +GND* : AE8 : : : : 8 : +GND : AE9 : gnd : : : : +GND* : AE10 : : : : 8 : +GND* : AE11 : : : : 8 : +GND+ : AE12 : : : : 8 : +VCC_PLL6_OUTA : AE13 : power : : 3.3V : 11 : +GNDG_PLL6 : AE14 : gnd : : : : +GND+ : AE15 : : : : 7 : +GND* : AE16 : : : : 7 : +GND* : AE17 : : : : 7 : +GND* : AE18 : : : : 7 : +GND* : AE19 : : : : 7 : +GND* : AE20 : : : : 7 : +GND* : AE21 : : : : 7 : +d_toggle_counter[7] : AE22 : output : 3.3-V LVTTL : : 7 : N +GND* : AE23 : : : : 7 : +GND* : AE24 : : : : 7 : +GND* : AE25 : : : : 7 : +GND : AE26 : gnd : : : : +GND : AF2 : gnd : : : : +GND* : AF3 : : : : 8 : +VCCIO8 : AF4 : power : : 3.3V : 8 : +GND* : AF5 : : : : 8 : +GND* : AF6 : : : : 8 : +GND* : AF7 : : : : 8 : +GND* : AF8 : : : : 8 : +GND* : AF9 : : : : 8 : +GND* : AF10 : : : : 8 : +VCCIO8 : AF11 : power : : 3.3V : 8 : +GND* : AF12 : : : : 8 : +GND : AF13 : gnd : : : : +GND : AF14 : gnd : : : : +GND+ : AF15 : : : : 7 : +VCCIO7 : AF16 : power : : 3.3V : 7 : +GND* : AF17 : : : : 7 : +GND* : AF18 : : : : 7 : +GND* : AF19 : : : : 7 : +GND* : AF20 : : : : 7 : +GND* : AF21 : : : : 7 : +d_toggle_counter[9] : AF22 : output : 3.3-V LVTTL : : 7 : N +VCCIO7 : AF23 : power : : 3.3V : 7 : +d_toggle_counter[4] : AF24 : output : 3.3-V LVTTL : : 7 : N +GND : AF25 : gnd : : : : +GND : B1 : gnd : : : : +GND : B2 : gnd : : : : +d_toggle_counter[6] : B3 : output : 3.3-V LVTTL : : 3 : N +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +d_vsync_counter[2] : B11 : output : 3.3-V LVTTL : : 3 : N +GND+ : B12 : : : : 3 : +GNDG_PLL5 : B13 : gnd : : : : +GNDA_PLL5 : B14 : gnd : : : : +GND+ : B15 : : : : 4 : +d_hsync_counter[2] : B16 : output : 3.3-V LVTTL : : 4 : N +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND* : B21 : : : : 4 : +GND* : B22 : : : : 4 : +d_toggle_counter[2] : B23 : output : 3.3-V LVTTL : : 4 : N +GND* : B24 : : : : 4 : +GND* : B25 : : : : 4 : +GND : B26 : gnd : : : : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 3 : +GND* : C3 : : : : 3 : +GND* : C4 : : : : 3 : +GND* : C5 : : : : 3 : +GND* : C6 : : : : 3 : +GND* : C7 : : : : 3 : +GND* : C8 : : : : 3 : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +GND* : C11 : : : : 3 : +GND* : C12 : : : : 3 : +GND : C13 : gnd : : : : +VCCG_PLL5 : C14 : power : : 1.5V : : +d_hsync_counter[6] : C15 : output : 3.3-V LVTTL : : 4 : N +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 4 : +d_toggle_counter[13] : C20 : output : 3.3-V LVTTL : : 4 : N +GND* : C21 : : : : 4 : +GND* : C22 : : : : 4 : +GND* : C23 : : : : 4 : +GND* : C24 : : : : 4 : +GND* : C25 : : : : 5 : +GND* : C26 : : : : 5 : +VCCIO2 : D1 : power : : 3.3V : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 3 : +GND* : D4 : : : : 3 : +GND* : D5 : : : : 3 : +GND* : D6 : : : : 3 : +GND : D7 : gnd : : : : +GND* : D8 : : : : 3 : +GND : D9 : gnd : : : : +GND* : D10 : : : : 3 : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +VCC_PLL5_OUTA : D13 : power : : 3.3V : 9 : +VCCA_PLL5 : D14 : power : : 1.5V : : +TRST : D15 : input : : : 4 : +GND* : D16 : : : : 4 : +GND* : D17 : : : : 4 : +GND* : D18 : : : : 4 : +GND* : D19 : : : : 4 : +GND* : D20 : : : : 4 : +GND* : D21 : : : : 4 : +GND* : D22 : : : : 4 : +GND* : D23 : : : : 4 : +GND* : D24 : : : : 5 : +GND* : D25 : : : : 5 : +VCCIO5 : D26 : power : : 3.3V : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +GND* : E5 : : : : 3 : +GND* : E6 : : : : 3 : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +GND* : E10 : : : : 3 : +GND* : E11 : : : : 3 : +GND* : E12 : : : : 9 : +GND* : E13 : : : : 9 : +GND* : E14 : : : : 9 : +TMS : E15 : input : : : 4 : +GND* : E16 : : : : 4 : +GND* : E17 : : : : 4 : +GND* : E18 : : : : 4 : +GND* : E19 : : : : 4 : +GND* : E20 : : : : 4 : +GND* : E21 : : : : 4 : +r0_pin : E22 : output : 3.3-V LVTTL : : 4 : Y +g0_pin : E23 : output : 3.3-V LVTTL : : 5 : Y +b0_pin : E24 : output : 3.3-V LVTTL : : 5 : Y +GND* : E25 : : : : 5 : +GND* : E26 : : : : 5 : +hsync_pin : F1 : output : 3.3-V LVTTL : : 2 : Y +vsync_pin : F2 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[2] : F3 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[1] : F4 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[0] : F5 : output : 3.3-V LVTTL : : 3 : Y +d_hsync_state[6] : F6 : output : 3.3-V LVTTL : : 3 : Y +GND* : F7 : : : : 3 : +GND : F8 : gnd : : : : +d_hsync_state[5] : F9 : output : 3.3-V LVTTL : : 3 : Y +d_hsync_state[4] : F10 : output : 3.3-V LVTTL : : 3 : Y +GND : F11 : gnd : : : : +GND* : F12 : : : : 9 : +GND* : F13 : : : : 9 : +GND* : F14 : : : : 9 : +GND* : F15 : : : : 4 : +~DATA0~ / RESERVED_INPUT : F16 : input : 3.3-V LVTTL : : 4 : N +d_hsync_state[2] : F17 : output : 3.3-V LVTTL : : 4 : Y +GND : F18 : gnd : : : : +d_hsync_state[1] : F19 : output : 3.3-V LVTTL : : 4 : Y +GND* : F20 : : : : 4 : +d_set_line_counter : F21 : output : 3.3-V LVTTL : : 4 : Y +GND : F22 : gnd : : : : +d_toggle_counter[23] : F23 : output : 3.3-V LVTTL : : 5 : Y +d_set_vsync_counter : F24 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[22] : F25 : output : 3.3-V LVTTL : : 5 : Y +d_set_hsync_counter : F26 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[21] : G1 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[9] : G2 : output : 3.3-V LVTTL : : 2 : Y +d_toggle_counter[20] : G3 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[8] : G4 : output : 3.3-V LVTTL : : 2 : Y +d_toggle_counter[19] : G5 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[7] : G6 : output : 3.3-V LVTTL : : 2 : Y +GND* : G7 : : : : 3 : +GND : G8 : gnd : : : : +d_vsync_counter[0] : G9 : output : 3.3-V LVTTL : : 3 : Y +GND* : G10 : : : : 3 : +d_vsync_counter[5] : G11 : output : 3.3-V LVTTL : : 3 : N +DCLK : G12 : : : : 3 : +TEMPDIODEn : G13 : : : : : +TDO : G14 : output : : : 4 : +TCK : G15 : input : : : 4 : +GND : G16 : gnd : : : : +GND* : G17 : : : : 4 : +d_hsync_counter[9] : G18 : output : 3.3-V LVTTL : : 4 : Y +GND* : G19 : : : : 4 : +d_toggle_counter[18] : G20 : output : 3.3-V LVTTL : : 4 : Y +d_toggle_counter[17] : G21 : output : 3.3-V LVTTL : : 5 : Y +d_hsync_counter[8] : G22 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[16] : G23 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[15] : G24 : output : 3.3-V LVTTL : : 5 : Y +d_hsync_counter[7] : G25 : output : 3.3-V LVTTL : : 5 : Y +GND* : G26 : : : : 5 : +d_hsync_counter[4] : H1 : output : 3.3-V LVTTL : : 2 : N +d_hsync_counter[5] : H2 : output : 3.3-V LVTTL : : 2 : N +d_toggle : H3 : output : 3.3-V LVTTL : : 2 : Y +d_hsync_counter[0] : H4 : output : 3.3-V LVTTL : : 2 : Y +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 2 : +GND : H8 : gnd : : : : +GND : H9 : gnd : : : : +GND* : H10 : : : : 3 : +CONF_DONE : H11 : : : : 3 : +nCONFIG : H12 : : : : 3 : +nSTATUS : H13 : : : : 3 : +TEMPDIODEp : H14 : : : : : +TDI : H15 : input : : : 4 : +GND* : H16 : : : : 4 : +GND : H17 : gnd : : : : +d_v_enable : H18 : output : 3.3-V LVTTL : : 4 : Y +GND* : H19 : : : : 5 : +GND* : H20 : : : : 5 : +GND* : H21 : : : : 5 : +GND* : H22 : : : : 5 : +GND* : H23 : : : : 5 : +GND* : H24 : : : : 5 : +d_hsync_counter[3] : H25 : output : 3.3-V LVTTL : : 5 : N +d_toggle_counter[0] : H26 : output : 3.3-V LVTTL : : 5 : Y +d_vsync_counter[3] : J1 : output : 3.3-V LVTTL : : 2 : N +GND* : J2 : : : : 2 : +GND* : J3 : : : : 2 : +d_vsync_counter[6] : J4 : output : 3.3-V LVTTL : : 2 : N +GND* : J5 : : : : 2 : +GND* : J6 : : : : 2 : +GND* : J7 : : : : 2 : +GND* : J8 : : : : 2 : +GND : J9 : gnd : : : : +GND : J10 : gnd : : : : +VCCIO3 : J11 : power : : 3.3V : 3 : +VCCIO3 : J12 : power : : 3.3V : 3 : +GND : J13 : gnd : : : : +GND : J14 : gnd : : : : +VCCIO4 : J15 : power : : 3.3V : 4 : +VCCIO4 : J16 : power : : 3.3V : 4 : +GND : J17 : gnd : : : : +GND : J18 : gnd : : : : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +d_h_enable : J21 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[2] : J22 : output : 3.3-V LVTTL : : 5 : Y +d_vsync_counter[1] : J23 : output : 3.3-V LVTTL : : 5 : N +GND* : J24 : : : : 5 : +GND* : J25 : : : : 5 : +GND* : J26 : : : : 5 : +GND* : K1 : : : : 2 : +GND* : K2 : : : : 2 : +d_state_clk : K3 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[1] : K4 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[9] : K5 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[0] : K6 : output : 3.3-V LVTTL : : 2 : Y +GND* : K7 : : : : 2 : +GND* : K8 : : : : 2 : +GND* : K9 : : : : 2 : +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.5V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.5V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.5V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.5V : : +GND : K18 : gnd : : : : +d_column_counter[8] : K19 : output : 3.3-V LVTTL : : 5 : Y +d_b : K20 : output : 3.3-V LVTTL : : 5 : Y +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +d_column_counter[7] : K23 : output : 3.3-V LVTTL : : 5 : Y +d_g : K24 : output : 3.3-V LVTTL : : 5 : Y +GND* : K25 : : : : 5 : +GND* : K26 : : : : 5 : +VCCIO2 : L1 : power : : 3.3V : 2 : +d_column_counter[6] : L2 : output : 3.3-V LVTTL : : 2 : Y +d_r : L3 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[5] : L4 : output : 3.3-V LVTTL : : 2 : Y +d_vsync : L5 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[4] : L6 : output : 3.3-V LVTTL : : 2 : Y +d_hsync : L7 : output : 3.3-V LVTTL : : 2 : Y +GND : L8 : gnd : : : : +VCCIO2 : L9 : power : : 3.3V : 2 : +VCCINT : L10 : power : : 1.5V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.5V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.5V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.5V : : +GND : L17 : gnd : : : : +VCCIO5 : L18 : power : : 3.3V : 5 : +GND : L19 : gnd : : : : +d_column_counter[3] : L20 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[2] : L21 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[1] : L22 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[0] : L23 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[7] : L24 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[8] : L25 : output : 3.3-V LVTTL : : 5 : Y +VCCIO5 : L26 : power : : 3.3V : 5 : +GND+ : M1 : : : : 2 : +VCCG_PLL1 : M2 : power : : 1.5V : : +VCCA_PLL1 : M3 : power : : 1.5V : : +d_vsync_state[6] : M4 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[6] : M5 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[5] : M6 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[5] : M7 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[4] : M8 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[3] : M9 : output : 3.3-V LVTTL : : 2 : Y +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.5V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.5V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.5V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.5V : : +d_vsync_state[4] : M18 : output : 3.3-V LVTTL : : 5 : Y +d_vsync_state[3] : M19 : output : 3.3-V LVTTL : : 5 : Y +GND* : M20 : : : : 5 : +GND* : M21 : : : : 5 : +GND* : M22 : : : : 5 : +GND* : M23 : : : : 5 : +GND+ : M24 : : : : 5 : +GND+ : M25 : : : : 5 : +GND+ : M26 : : : : 5 : +GND : N1 : gnd : : : : +GND+ : N2 : : : : 2 : +board_clk : N3 : input : 3.3-V LVTTL : : 2 : Y +GNDG_PLL1 : N4 : gnd : : : : +GNDA_PLL1 : N5 : gnd : : : : +GND* : N6 : : : : 2 : +seven_seg_pin[8] : N7 : output : 3.3-V LVTTL : : 2 : Y +seven_seg_pin[9] : N8 : output : 3.3-V LVTTL : : 2 : Y +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.5V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.5V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.5V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.5V : : +GND : N17 : gnd : : : : +GND : N18 : gnd : : : : +GND* : N19 : : : : 6 : +GND* : N20 : : : : 5 : +GND* : N21 : : : : 5 : +GNDG_PLL4 : N22 : gnd : : : : +GNDA_PLL4 : N23 : gnd : : : : +VCCG_PLL4 : N24 : power : : 1.5V : : +VCCA_PLL4 : N25 : power : : 1.5V : : +GND : N26 : gnd : : : : +GND : P1 : gnd : : : : +GNDG_PLL2 : P2 : gnd : : : : +GNDA_PLL2 : P3 : gnd : : : : +VCCG_PLL2 : P4 : power : : 1.5V : : +VCCA_PLL2 : P5 : power : : 1.5V : : +GND* : P6 : : : : 1 : +GND* : P7 : : : : 1 : +GND* : P8 : : : : 2 : +GND : P9 : gnd : : : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.5V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.5V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.5V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.5V : : +GND : P18 : gnd : : : : +GND* : P19 : : : : 6 : +GND* : P20 : : : : 6 : +GND* : P21 : : : : 6 : +VCCA_PLL3 : P22 : power : : 1.5V : : +VCCG_PLL3 : P23 : power : : 1.5V : : +GND+ : P24 : : : : 6 : +GND+ : P25 : : : : 6 : +GND : P26 : gnd : : : : +GND+ : R1 : : : : 1 : +GND+ : R2 : : : : 1 : +GND+ : R3 : : : : 1 : +seven_seg_pin[10] : R4 : output : 3.3-V LVTTL : : 1 : Y +GND* : R5 : : : : 1 : +seven_seg_pin[11] : R6 : output : 3.3-V LVTTL : : 1 : Y +GND* : R7 : : : : 1 : +seven_seg_pin[0] : R8 : output : 3.3-V LVTTL : : 1 : Y +seven_seg_pin[1] : R9 : output : 3.3-V LVTTL : : 1 : Y +VCCINT : R10 : power : : 1.5V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.5V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.5V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.5V : : +GND : R17 : gnd : : : : +GND : R18 : gnd : : : : +seven_seg_pin[2] : R19 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[3] : R20 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[4] : R21 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[5] : R22 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[6] : R23 : output : 3.3-V LVTTL : : 6 : Y +GNDA_PLL3 : R24 : gnd : : : : +GNDG_PLL3 : R25 : gnd : : : : +GND+ : R26 : : : : 6 : +VCCIO1 : T1 : power : : 3.3V : 1 : +seven_seg_pin[13] : T2 : output : 3.3-V LVTTL : : 1 : Y +GND* : T3 : : : : 1 : +r1_pin : T4 : output : 3.3-V LVTTL : : 1 : Y +g1_pin : T5 : output : 3.3-V LVTTL : : 1 : Y +b1_pin : T6 : output : 3.3-V LVTTL : : 1 : Y +r2_pin : T7 : output : 3.3-V LVTTL : : 1 : Y +GND : T8 : gnd : : : : +VCCIO1 : T9 : power : : 3.3V : 1 : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.5V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.5V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.5V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.5V : : +VCCIO6 : T18 : power : : 3.3V : 6 : +d_toggle_counter[24] : T19 : output : 3.3-V LVTTL : : 6 : Y +GND* : T20 : : : : 6 : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : T23 : : : : 6 : +g2_pin : T24 : output : 3.3-V LVTTL : : 6 : Y +GND* : T25 : : : : 6 : +VCCIO6 : T26 : power : : 3.3V : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND* : U5 : : : : 1 : +GND* : U6 : : : : 1 : +GND* : U7 : : : : 1 : +GND* : U8 : : : : 1 : +GND* : U9 : : : : 1 : +VCCINT : U10 : power : : 1.5V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.5V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.5V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.5V : : +GND : U17 : gnd : : : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : U23 : : : : 6 : +GND* : U24 : : : : 6 : +GND* : U25 : : : : 6 : +GND* : U26 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND* : V3 : : : : 1 : +GND* : V4 : : : : 1 : +GND* : V5 : : : : 1 : +GND* : V6 : : : : 1 : +GND : V7 : gnd : : : : +GND* : V8 : : : : 1 : +GND : V9 : gnd : : : : +GND : V10 : gnd : : : : +VCCIO8 : V11 : power : : 3.3V : 8 : +VCCIO8 : V12 : power : : 3.3V : 8 : +GND : V13 : gnd : : : : +GND : V14 : gnd : : : : +VCCIO7 : V15 : power : : 3.3V : 7 : +VCCIO7 : V16 : power : : 3.3V : 7 : +GND : V17 : gnd : : : : +GND : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND : V20 : gnd : : : : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : V23 : : : : 6 : +GND* : V24 : : : : 6 : +GND* : V25 : : : : 6 : +GND* : V26 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +GND* : W6 : : : : 1 : +GND* : W7 : : : : 1 : +GND* : W8 : : : : 1 : +GND* : W9 : : : : 8 : +GND* : W10 : : : : 8 : +GND : W11 : gnd : : : : +PLL_ENA : W12 : : : : 8 : +MSEL2 : W13 : : : : 8 : +nCEO : W14 : : : : 7 : +GND* : W15 : : : : 7 : +PORSEL : W16 : : : : 7 : +GND* : W17 : : : : 7 : +GND* : W18 : : : : 7 : +GND* : W19 : : : : 6 : +GND* : W20 : : : : 6 : +d_toggle_counter[1] : W21 : output : 3.3-V LVTTL : : 6 : N +d_toggle_counter[8] : W22 : output : 3.3-V LVTTL : : 6 : N +GND* : W23 : : : : 6 : +d_toggle_counter[10] : W24 : output : 3.3-V LVTTL : : 6 : N +GND* : W25 : : : : 6 : +GND* : W26 : : : : 6 : +GND* : Y1 : : : : 1 : +d_hsync_state[3] : Y2 : output : 3.3-V LVTTL : : 1 : Y +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +d_hsync_state[0] : Y5 : output : 3.3-V LVTTL : : 1 : Y +GND* : Y6 : : : : 1 : +GND : Y7 : gnd : : : : +GND* : Y8 : : : : 8 : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +seven_seg_pin[7] : Y11 : output : 3.3-V LVTTL : : 8 : Y +MSEL0 : Y12 : : : : 8 : +MSEL1 : Y13 : : : : 8 : +nCE : Y14 : : : : 7 : +VCCSEL : Y15 : : : : 7 : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 7 : +GND* : Y19 : : : : 7 : +GND* : Y20 : : : : 7 : +GND : Y21 : gnd : : : : +GND* : Y22 : : : : 6 : +d_set_column_counter : Y23 : output : 3.3-V LVTTL : : 6 : Y +GND* : Y24 : : : : 6 : +GND* : Y25 : : : : 6 : +GND* : Y26 : : : : 6 : diff --git a/bsp2/Designflow/ppr/download/vga_pll.pof b/bsp2/Designflow/ppr/download/vga_pll.pof new file mode 100644 index 0000000000000000000000000000000000000000..e6e26f144b346a1ffb91146c87027afdda8035a1 GIT binary patch literal 1048717 zcmeFa4SZD9nLmCLM@d^Mb0?D!G>}X(fk+Vy32z#bxs#hPVk8L!VYkr`2o$#z1fpUa zB{P{MvosP>`||I)^##|`)=w>9w|3X9LqZ|eRD#-FyK9R9+Qo&|iBOF{qx_$9?!9yG zow;}BzC{B)caoWN&&zY3^F6Q6dCp61O%+8^Db$ttdkK8k-QBdUD zCcz_>ib+=1RxG5hpgsq5S8i^(vt`rzW{RdJQg*Q5o;Ha5B6$b`1Ob8oL4Y7Y5FiK; z1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8o zL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq z06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx z2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BF zf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+ zAP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I z0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y z5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~Bt00`Wbd*xkk z?*u~+2S;ujWm{w8%vOH0E9=>nD_ibfb#L@<{cRi8-!^~V^1OoA4s88P9i4IM{L$1U z{IoquU-KmGP)Px}syV5I5Us;M-}#M?e*VuVez)kKMN8h~sb?#d{umF=b~Qx7Rm~|H z1y4an2%=*s9GIsdbBzX#8X(!z=p1@09ajiZ^bmL|ljD4zd754NG`mbR!W;Z~xtPL0 zpope8d=bQnP0_dogTO9S1U^!1;y9nGz#Wdufw|+N=Dbb;rf@EDc_|Oihk-c3HB(3} zq7JMhQV{192rZfrd*FB4JzKu=!9DwPuDxkLxX~0!Ee{thMp1;!bU352t|)`EMQITH z$|6@RW;}+#3Ccj>P57l`Hd|@rM{b7_@oCrqBq-nc#{-QR{&P{t2p}%cb740O73EpE z652l~|BMGUdK=cSx_2w85MTlq2qDyro1bQ@1i!NC!db>R;a3J2S>~)^ikuwkR2W`{ zosa{=8Q2>504^X;r#(F9x(^=?%qbiRw3jbj*iMDPyfA>icLY|htV58aJQJAVD-ab1 z-y`if91eiP?Fc}fz;-1y6~!fC{7r?Ques%;=kXV30g+V8@Iedyy1Q}J2X`OJ$$s&WK!PK*tgpOuv`_eYu@Ac<%hEm$r_y76 z#ie2VO`)IjrNUQnDM~{Wj@|E`8QBeA3wKL0Vk967|KhP%K6(*UH31^mTXP;F1;_$qxx68UJK3_f^~7d8Yx zF!7*q03g@7QYDZ;tp-MsvzEm#W`DG>A`Ze?J^tY=454BnXzgnlIOKtUDm-v(U~~Y! zS_jZ8U*ujrTvI)I7`{pmCo6Wcc%#?}5SJcP$4=xOl60_iUnl^vBkU^5OD~=0Vw@ZKD6YatU!nr9+y4EbcpBygulXn+L2R;t!;1d zT$FAcqhfxkmbz%FxkgUC(!@{@u^00Xjh>#$n|-Rh?2}Wt{B&;FxUe&<2!Sd&2}6mK zB!Z}11o@sLS@9F%<2rrR%#HSGflvVe+;(xv*OF#2BXNnuHAjF?9|zI!xUQ)1FTtz( zSpP^jeC_CN79@X-RJ1+iEP2r}(CmI!a0 z?eJCFCuwdZh*cjue4!e?TB;?DoI(jZUpjf`*5S$CIqniK9!bFXA)F{;Eg5Yt*c^)b|#boi7IqMG7Kj1{yRmk2J@pn#<7^JzBjK`Be?iqkjEUb7ua(r|@8%leMGC z*H_myCrAV^TIizPszO~ANBoI1OG|-KF0?{+>q{?WB`0<0C~ji*gXv%?-==eIqhjV6 z#!8_v)6oWtIGIkR3?nUhBx0nofQuM~r9Eb}OhpH|qlfEjD*swvl#`CCxuTdQCR}6W zF|{iWc1e4ruT2!!Q7gV)&%+36ewUKr+nu7*U#|acW7m$g@(a z6)Q#z?unZQEj+~L9N>N(n(5P2Ib{V;(u2O*-_t$X4_~GI%Gim8ox@HZt73k|q+G;K zsR2&bq@6E)`SHhI7k_z;Fp+c4l83#f?qaM1YLYNGZ zjyqsR^Va5?s`y61 zS+{*Yrvyk`O-B2&j~yz?4&!eM{S0RdUq#s{dMXUl(4&W-4IF(LzDi%l7Nv(cYn8$l zgE^i@QLN~#eG1d&C{{GPt1$D%X&N}i0;g%f>IOm6AaILWhObhX)x$p=x3bW9po6~> zh5p04fByInP-f<@6A1xT@#U3lo`=FGzRkJ6cr!~Pk*n_K1X**gwB%x>6|H+M>tYtXqP@aW;m?&~%B6BJM{}?+&+qweHx9lwk8_+KxcJYvHW#?3uXI+>%QN@X`HBkZ z)!o6pg}a?go7U$&vz}Qp54o;#xz_LTZ}k=Jn-b~}oxg&dXE-XiW;m8Rret+F+rQ^u z?JL}M()XluSzg{VmCf@}=oPf%`~Etg3j%i?F5Ic4p($A% z*@5r*cLkBF&%12)^o@VdE_tS7TVb8^kn71117SlxU-wgmo$ltRrk=P&F_TX#5AGvkZV!eiVJu0ME{@zBwbk@~N)*ET;f_X*nLtZQDz6g)LO zA9&LX_d}5r}r;>NUmx_(W` z8b@xy(}9^UIG<*&3;bKgp8BHeRxndD-0qB~)!=$fV7d3keSuq;Yrd7Y@9&W7@=9=R z7F}mz*GH%C`@7?8#v^$v->74}chH?zR$cLotFYkt!hM08gU`=?cwgPE%|ClHu$;-b z)w>i(yMgpt?>BeN{2tr?LvX#he%JMd8TE(UPp|Q|ej9$+aup6&$JnXUFdR zpB`q4*0GZ-=*<6g`uq(L_^E~T(v;c3w_WUoAUM}Ki>q3SoJ~!)`a1;oseP2b|4%e0W%(03|T!7k+It-w8Fq+gAOurb37`Dv$SMPorLPbG6V8bcDx=42(S$$B~L$P)ztiRxIUmB0}5)R4K~Ozihhc z1>S`CqB7tMc%`T;_~&Ba;}OGi{(&j}0iL+$x&ORcu;Yjb9t2+CosIfXGX$ydlnQAJ zffI)~6A%+ZB4)VyAA(K+VsjMIhEy>$}+32)ThWLwMYRLHQSoo0va6N59}x_9nH( zbwwx!q5~h2K{f*=|Id{bq&;jZRCs4wFtv>-bbHx6dUckag}lwR<>sZ>vw{KI!T4;o zc}`Dio2@P2Dr9}`JjTn^xoMX@B{wbCof>lZeT589&65&p^9NHOUV6yq&12n;4jaQj zSYXgTx11I}Dj2Xj-!!XW|U zt$A#;MZoP1*H+}Fppeii;6Omu?m~4MY-nhY>^8d}Uiq@g;MSrejS6sdfBAJvFd_@Y zm?stUDimsD?MVSbi5}1AsO&`t+EO?_I4JOjN~}|;2#7R#+MuCHMckq%uMm17Y{Fln z`NYxBL$u&Y(qPFN;#AHh4^yg4q4=gthy^#4exCAZ(J#RSYG3Z1?{ui#6kx=YA_j4K zQh*ZwNFwHK+R|$ABFMe6FH&=8Nz7Px*3i+=P)-SW;swr>f#=oe**lkWagQ2%JPLlaH_F`S@iHi0< z8Oy+tZoE*^j^A8*8=_X2rdqg#x`-3q2KAkTM}h&Ddl;$VXFfqgB3T$&eUrHOfCQKQ z5?Wy7$PMmT{3E%&u{R4#xG@H}P&~ZHmVV9*6+WzWCCLJ2C{DyNP04IV@#TNu#z#%F z0tC!*!|^~eN;NMCG)bWG=B7IAX}nZV1#)e9xha0T4MzVEFgMMhGl-tI|4}t&9S*qG z8)PC$5`c?2A78117d5X?#xjrYC>mJ6oXbCX!PWG>|DMS$JJPqc?(v^$FU>hKJhHXB zyd9i(m*u^hm~#+^)8x$EfUDGST`&-K&ZQR0i&2ja0LFA|WpIkF#uxrBN*1@3(%gVj8bJk|gS+%2mRmm$|1^dgg_vc*w z;iUUV2Ky%EoSAXvLjJk;T$_jA>sT;Sd9Zc0<7^-O(a=K|2fHRM9*y`P2rMh_ot<+s zb57%q_QtUxaJu-=>}e-6XE$zaZ*;%Xjx2wWVO?$-wb8ku z!k{~l=cj#HtkdgYP;m}~tnrpgMf$#>RvPr!kCQ%)WBKxGD*1jo@}qb3WQdyIgc;>h z3l(R7*Eg(~LrauVXs%G}^UBj&eJ1jk5Sl~*!lOA z$s$#APn1z=VHE7;6In7jNA5dGqBe=M(DHD)-sIfq3M%z%U9kbNQkc+@Mxe`FOM_f_ z=;2e(p!u8x;=CrJnAq@;_GTdg)$s>Yxc3zFx27Lw<1b)h&-9bQl-vYFqEmW?2xg#) z;jHBrv>2iw27(L=g!nl~(N?d?lR`Vo55g>n=?B`N>HDBm^V7VB={cc-)X`hKUocET z3^-V~=*KWZvqsd29pzKb!3G_jpvYB>2{+z>F%mtTROIaMV}Ytd>2@s0r|FW8=s-Q3q^>4l4Pe0ddk(XH-~G zbzz7S%8hDe4AmezOM-HF45& z-mz8@ub&S|2vmHqvO;2K#E3N?beYQvV&fAgBdElNv2KJLOWDBtxT|6Y(hwKqGHre0 z(rLhgK%)xUWed$%$sN>*m6px!jTw)T*BVuGT!+JDAa+cc`oP;4@=w3#+AzGWW5JHk zNTZQdr&87%ukrZ#DUiZ1X{kd;QB(B#DK<_CrtyUJMB!%@nbc|dw>c0>GH124AG}H{mQ;4pmtw6H-c*i}M69C+7H_mduR>vB zInzwnUO>e<*$kr>3I+oKKjZVUtk;W|2ZUe)U14EXRxrr2ZnqQFn6{8b-Y^c;3(;ak z(+@6;zP8jXPa4aw(GIoQ3foeG9-A9ikG3>}&VcgmD^MG0b~=x0TmfSg(+}kN^iW#B zEFGtv8MbC74?1ZyHI!({uNG!KsE#>zdQ+)3cW`=VeqUBQlU={3j#umYC{1Zk;%WSq8q?hhO+_{>OOk9&&X!`+mZm9AWluv6v*~0pz@dc0-DT_)FRuCJXFlj0% zNj!}=0W}G`nw%|dqBX^sz!T}&Rly<9{0%~5aDpMp^h9+2K^0Mr4p=4~D;}v?i!ITj z)%>h#Lf3*wEA!K`!1Fo&+j@4~eR#*b-qwtvGgrKtv*wdEJ0m0Q;2b)e-~SPP=C7f~ zCEbnr1FPuWWp9tB{Atqr6MD+ij!h1}P!@PG$A3r9$lZrW#wPe#`ph3gjaA)^c>@z5 z@^dMFx#kgO!H$x?B}EVTKB_4Es445iC2w{ud86+ug;UFp9LhO);k}mjn&Q#y7aj;b zU*3HnXZN0q!TU$p#jTyM4Xw)_buF7yvtXp8@0kB=m+zy#!jFcsKCF4Ot7eP{lyx7N zwtLSf!LN<5*SB`|mi3-GPNKDjl@Gw_?~b-;aKCZ_0_s- z9cU`PEp3-2-ayq+T58F@A=2BcHUpmxG-^r-RV{`p|4I&*b_c7X&5EqdR7$H}yD=Fg zB3&W(BvqRU9=YG}hkGZ=Z4A9xIHPJPetDpnD#eJdcmc5Zn%ETXU17z^e%4`Q<+{vH zv;^9$o&qoOoH~?lg|=*b!eqt#gte`qnHfpkvJK4ra^iBY&9&xdo#xN%q3le`)M88a z4MF)qI%dWtS=c%se%Yz4UI``}h-oN9sk}Q(RHfQ?^&kkk1Q}bqL%^ST)I6|UF&p-VQiypXC zH!S+dT0MGfjnp}CL&4u#P=FL3YGe7>7}Va;VuSpjtGVj2ACs}I9tNa-&33y2tj~S# zYJMs|PB73soWvgSlA1H<@DJ0Eb%P}Dk+u3~INA$+OhE^@+a1&?$21Jh3KJPV6*$4tMWq%DC(rhNykI7{hL>e7Uvu43Dk3mPTi2@K5piC{MK zd---FUY)SCNzmnj*3>0E3s;NW@#uDK2a6@<*OF3fPYJUJRd&ZDj$Y;prL^XG?PNSQ zF|^bSw&A}#Vo~29Mq%9anMlpTRzSqI)J%nI^zDaINw*~hheC^`$DP)L0ugme@%1VR z*JW*4J7|eI2Q6J3zGJSg6exPOsKUc8lE`-#pcu?-vw(>;nhJ-`Tco5KPY3nDr8|u~ zI;}X(2yUc=(~Qoxn;-cIt@PNaJzxrM^$PP$!g0?;?P2M9Ewu$#MD3wxVU|TJuAmM^ z{_Z0S8ct})zjc0wB^y05&<4USyDi%4HtsfAD)xhVEX6#Ngo@KRNZeG6aDP_#FMDI9 z3M~>t3_&>ZvGDhJ=dqd1LWn3@CUS0`1>*_}@1L;r;9N^k!s?+}i#Eq(gP~W;#+6pA ze=#o#VBKi=&b?Ob&^FHv&XLbVXp1ikd;@XA!|_h~Z7#D?V@W<0;LW5Ie z;qeq4J#*hyLNhj^hXq?b_%$B0K1b9Z*6jo#Y7Y~;Kd$9Bj)36Ny2$KuVB7*+UY!U( zZq83SC9k3NyTnHx$|A(G#g^Px^BI*IzCn)7yq@Q*826fA8^aAg9>2$I>BDqj1!HVF zjl6$GIi<|%C0?Zz69vV_lG%Lj;?ajUTcJOpgrmU~Io4@uSqZWPrtz!vFw-4FjfZ)l z4=p*<3@X`pQhK0CAWL)}wRo#XQ`s4vhV@d;DXBCElJmGi9{qD$;$+*Pr}>}qqh zOw74FdvDyZO_~HJ_oHN5CTf58K2Np29%ko}La{Hyp;HsBrl3Q%DSf}?hx;s;~z0l}D zx-?aw2s8v5RRmNWNU6DGps{p~pB1MrL=T!)g;2YLb>l@#VbY!eb)S}2F*DPVSP2`M zWy#@NAia;bqwc3$vPvB38LhhxfTAu1sUzUcO?BAQ7<(#SViB;2X9|>3XDvB=3rgG8 z?#X?v>4(emmgP7$002rl*Eo1Zw^gZR_>jhvo>?isvYgCN?z$I*k6{tKj-QX zC*40X*f%NX%#1Th@3t)Oot<+sb57%q_QtUxa613oN!R9~_d4c}R32zu?Ks=_(`m2v z9E9~Bm-6kIrZkawg>y|~fM`3^`#9`kX-AhNuB6UH+mQq!0LTgjMU1usk1kI{uy&o8zq;Qp#C0_Qt6@qF6}I1BgPViEyNRiY9+aJQ3}SDnJAp0*xvH zst)6@)Qr)no{HRV$>CcVv9aQjn)9(meMsif9YvtAIG2C&f~)C$|2>mic6=rZjb!?e zX^uZl+qi}4n&ay00%go8|0mZ>8SI*{xOMOn6g;vHlubN4)Or(KIFcK52lD*1Plwh* zqjE#Z8%34BXvOIc<8knz+IDGmxJ(E5T9cp1AAv>{9RkhY9yC7h#=M1&ZrYd4W_r`n zUGeBL?%?!5{-G@YVW!ztLZd~baBa6`9^t-(6K_tydJT)_FY&}rZkjMeSq=vjWgPm( zx*y?N9>(Ur0(|!L@N+ZZ%t_zI!i8DgjIWxl@>XC3L!s_qXQ2I1R`4*}>@IO)1VjCn zc?1NjOYeiM3I+oKKjZVUtk;WTra~c~udpyHD;Q*1w;M;?77AJ84SL+yuCPKKHGa?S z)_P-#IA;<&ee&+_tvEak1|@oqt&yI}CpA-WUjNpwT5&TGVrX1yZB$!q%?=j!dS;gD zV}3yo^EFGh(TqbOS96A_d9-e#SSC??K zpPGBkkiRa}(ZE*TmRGQXhO_smwtb;p&$3IO$(y;Co;Jn-Q417}Z}y$JjML{}X{<7A zbBFSRE^nb|)t2T96neArf)3X2W}Gh6mxhdaLlEGQ!y6vd1Erc@>k6^mhSN0$O5SN7 zRaA)rZ(HHm$-t<4&kf-ShJQU;>tiY%%N;bHr{3j$+MPGk)#UegIP2Z60-8o8u5h2v zyEN}9ha)pgJyhGOWvuhlx~Z43&?lm;|^8o*S4XUTaEObA_qW z5#I$Usr((Ohko6cW4-1bCklqak)8PTQuJ!(v98LP;eroKrdCuzB+w9OR1r{hAf<*t zql$p411U8G8dU^T9Z0Dm(5NDy>Oe{jfkqVpRR>aP2sEk)s5+2RL!ePbK-Gbi8Ul?f z0;&$A)DUP?5m0p?rG`MGih!yEDK!KdRRmNWNU0&vs3M^1KuQgPMil{72U2PXG^z-w zI*?LBpixCY)q#{6E1+>H65-bhM?QX1(OIXZg=>$@9G-)@9;at{Yh7Qiggjp9*EsS` za=L{QIubhz7j#Rg8Z_!#w5(oDs~0?P#UT*m^OR~UQWkT(g~9CNgN;v^%%zD7ZDAvA zo*mM+t71r?A<(EIpz1IVOU>A9@q{fd3@Ed#h(=$@3 zNZ&V9E4dDb@!Z?faP6F)$CD=berY;)OH(vFf3>;bp8S`^UN&yG^u7$08$y$FgMEZw z&bR71Hz7vdum}O+{_2lj$NQLZnlR2$utiTvOrA6}zwp&}Id3MI0RrLBcB|1z%sp(e zSAW`WJjXc-py&yy-Vl28i942G_wH_iQ5?kEL2 zlft)zPJ?9t(>wOZt8wBC@+7bs?%Ixz3vg1k93`XhM-G&7XxUSo7=0lIy}#UH5qF%A z9uh`FUK(ypp8LS;1<8niZkTQNr*VwXl%bqM07w2G-xMIS#`7**_PQ&S7C=PcF~kiQ zkaj-)+bh5btN;}n{tXlBUQiZ*B3_tA!7(I=3S^qG(&WTPeSZ+-lIB61o9%Rp;WAJ< zZ#_ljI*VKxMYP>l=R}8T(-dss7f+BedAv47HI_m5>0uZ+7oe8gqwUNeVf-`sm8 zg--sSOgsIxP8w}x&q(pmuIPa`CfS9|srfa^bq{PD=jy$uj^o+@zul!>u}6ScZoO1a z;ycnIp2U}a`aRc%;cXoYc2pk32W-<9>8n4iIo>sC@o2>VKw#OJpYfgal<~6O+0#yD z&Tib;-spa%%Z1MX|I;v(?njxt!c0z@SYCv;=F24vr4#jxdjol8bOTpZP(?T1JX59R z|6!oW#RU;YGUhsJD*V4sQm#1Sx-BBF$LM{GHC^F9?8Rep-SNu<@EQqYO|eKS{H^U) zbfr8uA|gikVxUXDW<_*_ATp`jq)%O=N`5@b-9~_wCGhcowL%0Nhf|cs$2bB$kvl&U zjAnkvW3e%l9u)R6u2BS1YoI|q$$NTta!JC+s2`+uOGk+Z%( zgUd_FS>Kv(C^aE+{4JdIEslU=nvGIau~-qO1|^U>BR|eec{{}N3Uva z@4vSHjg8GOlyu)}Ho&v~>c^Uzr`+qM)wlP%r@lFO2onD6gkwdir>;DI)%N^_*Y+Mi*>s?wXf@q;^}0z1C%pcJQ(qJu z);1j|YM)wk?uPeo_{I(Yal;>O*mA>yz(H5`^|!h8I%H12w)jmkid##*3Z(YB8dk4e z@A|T%{Z<^w*IVa*B4f+dl_7>n&Q@mgmz4QccaXF7#h+JkY-Mez&je83bRtmpPS~;n zO(vRsF8c<_I6wp%RqU*UCKu^5C3kI7lwUYV7E}c=%_%(&RD&aQB=@&ZRApVe!+7gR zU>Swa2kgtvoVQV)n1kslD&|;v9bQtYuqz*_IL$s7yEc@wtS1%EiG|Qx&|`DsxobEN z85ALD`Wzu=j~7SRrd`Yj#TQcOmxLiuFDT(%{)7MFoCRVOwxI5VT>lh7*kfxXBgu^ z5{eXg2^exCfkuvp7Y}jSMd77# zt#f~3fDK6yj#y+m+<9pJfm&uRX*>%!X*ttUV~FW5;wW|8qPtW1f`;Gdm2Lc|8chM!yUIhP9<;=>zhX%Qv6E%JY_BLiAkj8dk*ror3-!ipL^y?8eI~GXBTm!lf5M_VeFekg1P*~L`ii{p6VLKISDVd= z7JSyz8L5zN;4us<`}9vz;Of`rdzAG!*?P7ztvVpH+3LIdQD$B*o6)p!%_irO2}dUMl%^eX z2D5f&_cZkM-+j1$UT<|q)0s7+@M(?ow@0d(&`|$y|6fB5OS&8K2kPn0tB*{AkC}j3 z7kH|F3^i1BH{=c2I zp{Q@be>Uj*a3XxF-|VV>qp!IzV{`U}-V41g?bXEt*)J>$J$mdR_yq6oXBSs@E+0C= z%&DGJy`aCuT+nyf&>PDp{`v6Wvg$WGtKT@%TzEQgx?*HFhjQ5iHZPPcw$`0dmyUAG z?q5ys0y|Hdc$-t`316;_3fB5+-QtZ*$-MZU_OV{p!}{v0Ay}2iHc;{cs)?vVqYVf~ z9sS{sY$~9j`h=^g{T6i7zVz7t`E$W41MVL;TP3!>UJ60csM-^i9G80FuIv+O1 zMAz!-1_`&KK)`e1`@cbr9(oWN1mD$V$6ZOit9#%%^4KDazohO z-OzPGQ;Qzud=pa6`H_EfB?wJdQjZ@&^Zpz(Qh=mpE-=&(g@@swh4%HW@+`hPMI6QF zyh31^73xXx6FGYUeh~xQP)K(@LCM3k!r$48Qpc5`_!M!`QFw5g2ri1cEBlM6Cqn%v zCMFay{po!EbwQX`=SScx!EfU)u0UWTfVgf^H{JjcE(YQ5Q`xu~5yqXm>l@EzWPTx- zAT(x0Kq!_P!dWOLYUwL2emUv{#9~QA-I5s^*K%0v#zs!~vC{XV=fEh}HMxJaH?&!Z zKEAW35X~0xo`5xDB=JEoQ*V73(}B?p`URkj5u+l_>v)FvA7gaF=MT0^Qiq5b%RGQ8 zB{HR1!V{EEV?0rVPcFU#2tg|XB+L{iILN1QKa%!LO&KCq4$ayRKd4yp|9Z#b5E?&` z|1J#WhM<(gcpjPpM9tVkJ0BYA6GD6N7B-{AdiI34lfY=SYm0^}&rver+em|FucMtA z#}D1u1jz!1aA`uBC^S|&Q|lRKU_&y9Elwa(@61SraTp$^XQxeZ0)9HRs~93tFAEua zAsrPED7{eDpkcOyq(ws-46;l8cnZ}PvO(_FGPN!wyP+Mr&g`t?v<>!ln&g(Io-LWl znp1A z-Omh8wxKWfjTIn=Y{+go!h9vWr7OGSX!h7iB1z?+S092;@N50-^+^5+oDLjY26f!$ z^dhl=byj+jlmbSN-}j^ep(HJVXajxotoetzYGte(@KutgdP>o_LQq2R*7|;+zI##{ z%74f2*EP|=LA*{U$ob22tpi=4C_UP|J?LMXci2>w8yXL zlT)%}L?snPPZ}-9pE_K*MkYuO8|UUJ;?ItWbJ1wQmEg$#_kl+L>fWIBX`A;Qb$9J9 zG5RO%0Y#r^gtuwl|A`jHO{Tfwnvcg8nTHq>VVWBZgz5EWe208&si6KejxVTE>JOr^ zs@Z4!RdBN@vKE>)G~Cd)XcLbDM6m)l7SXk!z@3FFI(@*LsRgD{UOg#Dc>?6ll`b`( z1E|VXO{lt}#%XKvZH3R>!TS7aP+nYxbRMRZ7aAmngzA#-ACid^oj1PG;w`CQL7KSF zhCl2Z6`U;5+cMTV4PBCiceZc#2y+t2zh0EEht=k)V;G^#42s5X3-8#g z{lE*Em>_3!&i4T(c=%z3+)?}6=#7?wqlFu{I(OksecHiMBLko{cIX&nse>GR{fp{Z zsTO_I9?Bjne(;pPZmK2Z7C^w*!STqh!WRM|IQ-1i!<|FlcpT64O4o<#q{=TeLaLGD zTg#tICcr14?y;e&fjkWU;0ilzkudq#Z37FAMfE;rh}F{d^u;3cg%av%b;*z2W>~>t zof$T&=H%5#4QFO@&PlQM6#L=FA2C%h`P6_E!6`D#rH*zE78T!Oq7(_yGt`z7q5eQ% zci=jcH3cm%s;pyf|L#))ZNt9|bZd>bpjB(u4coC6!CPNR)gI1Ym4}twhWSGQD`5eb zjV<5nTb@@C0M&)1vJb4XT3OGs&n`G;0i(7`oBhInmX3Fw1>1av?Ob-czv#u58Sau> zf_QP&Lrc(y6_-|-UmlfIlt{QJ>z#@4!RxAen>S``_MPs$aAVG=C26=!^sroEhtA_J z?y!mgXJOD#gmuOtMS0Y++C21ateS>e@PQ5Z_=6#K-e>>_wb z{)=cKUB!uizbfehj)c@0aD|S#deIRJ+G*-8%g)WM%}dC35=S)jK!dD{6`z-=D)5o8 z2Ec~(OWY(py~lLf2F4@zI6SFtFyJDZBqj0A{OA@c36p(erzCmtUX$ARIUhK6O`izo zRwoJOQgH{yP_bn27L&Kicd2;OYK_ww$@qI%5Gqy`t${gz2qSD_sPNhqpGU?dn5$I+ z51io)XplTM zoa;a@ytzHaWhP||DvR($3XgLJW8XX#Dty~P69@z{VB#1+F-9u8HQ6!f^vkM#eyafVPx7Nf+5*S@~WR4>AzZLepa z*kGI?*hK*!8|>GBy-u)F!GB7}Ool?V49peV0d;oHh#qWp+RgPW@ORi_YxcmH zzVeNhq7w>{YqSFHDp?x{ACO=>`h~wazpHelwn1UBK>bpkb}P#=0B7ZD7L+-NW9HeC z@0k!o5Xbc_CImY~kh${0liqPCjob`-OLjNx?q7enzo55z&6zc4-X1ACS1^O+H+qa8 zS)`@!E$0i%-eezrm3b%oQGNl{lETW_t4|01`DIwOCr@z?XlwTB;1msjUr23e@dIr#JOJKDXyq>0tCXpJ0;C{F^E2sHOmC-hcou#LAAcf<9x?*lVpq@ z7oN8WSElpwxtb46_OaY(3KZ|jOudZfY%?H$lx*3uy0$b^oIqfjdTahWW{IHimNh5i zt4|=%C?jCaP=b^iWpt4(s!(RM@0hZa3U4~a$$9EAX>qZ31Zt*>#9iC510B}(Bs>OK zP*feyCKz`yK!9!hNl#iJqyX-X3L6+`}zUD*w%AaNxIEIr3cC{eY!%f)&I#oaVeE^veb%VT`~1@EbZ7 zCMKA3_)=+Hej2bKotP?U;}ROxhFLWka)+08Sus&1jNi>v1jN_Hzqev)NZ>}EQr&OX z_V2o*j|m|36w*1(7%y602g>(o@s`XF&yCsqe(}aL6t}+L(u4W=S%+kaNal_!JetEX zbx#1Uq2dh#pz5CgGaR$=JA`@0_tkCn6;+oo&1+iLw9r+Pn@e0voS~-vt?1KT+CEUi z;B(7zHnuWdrLLpSDd@yA_#Evo?ypX7#s{AHk4$dqPv2I($B#}t8@3|g)kmgn+{ARv za`nLxYgxO`{AtpCw#DpVldWn7JEMMUI()&Jyn;PP==&z#H}U@d!M=$(XWSdnab_2K zI~Md;9;{yNcqe-gES?+enz(o%;$If1LdTiSY1q-;Q1S`{eU(Osp;;01bT9gJ%p;no&F zh+~HZI!eK%qgT*Gp;xJuBuIGLibRAsp8$^#T=cZ{76_(F{+|NQ9YZv^gy{+#26@wDe)+;(m^X!DC)(zKanG# z!VI=nNVu?mE7HLAMe2DPLs;Z5>VUuwzkCoK8mE@3sNZCU+yBk(l@-y%0bEd^WjG*S z7!;;$-W>^KC5|dCWGFZ!$}K^jbLGF8!5>Zd5}ZA@E5W?76cnIm$IC_~RM07X=mUqo zIy36&?wjg%^#?kiN;)k9bb0nDx5wd4#r2t|3rF9=aj#H=?KaS+t*ra_uTm}~k@LoA z%|}GwU|U2uYA1L{&@thoE2@&_0T&d}!Z~1<@rD_LA_lAJJQwD?lW@VRG)<6NH{bHf ztTB76y}k~VeTP2iv$+x{l}{J)gb;*!#2w^|$$$kcrex8e4&HkfeLfBZ-djxZaoHUX zFIP6@H51k(A(B9m-E>nPUIiNvNJxK$P>`}{;_S=wq*Weyhc$?}hQkWh6~B;%S2AcsPf*RoN07Y3fK_56Q8Ey%!>bSl1R7e%VF_eeWk7P!u0O}=t)xsq|w>gxO@U&+zTYj;dMaVE*=0rd6iCB zCWu#a;w6m?d+WUciKMiqg{ey4#_sVOmz1uPiBaw&HETyfj=HD+3M6BFzn7DvTHAXQ z30d7(I+MsstCxkI3|;*ADkLlgrdF+K@p7NagY0U@o!NViK6KQuI5apXDlF~r@}ksU zQIz_)ckl<*uW1p(W>?Q{0C8z95|>sle<$tF!R(t>LQV1d0Q`i)P*or*K~c6@(+>Ykg=HDb#u|3yR7FsjZbfb=$zIoxvfSb~AMkQ_%z^5HrGg+*7Njwa+Sjzd*{;qu? z*aVrhdq)H?L1=wq#xcMZ`eUI1TS-qnbC6%Zr7)6{alyZMVus-!8|Uyrmt^sS!Z?*< zMv0=#4wNxW>1LUg>PrkvGJgR6hR-EJ3F1<%-gD9OVfj$Jm?wp{O^~Rd-fHnz#-e^?%a97{It#%U=}^uZ=647&<{B07`hs z8Al*$ft4z^A1n6bwNr7_cz?=V#2=Fi)H0m(yM7yli_b$$3|O+KqO=NikdFfF<3q6F zm(mlc#W+zL2#DpArxx=A$C)=CkFk@yO5l>%DjKs(7lM5ZPVps7s5pu=kY(h1|EKDv z%>knozH=`+fS%%uy0(xCzG@CysgO{JK#vut0x+}WfXmQ@=T*y&&{IKs1g(>-fl}o3 zr{A*T&RLBr5|!E}GIrvZNmdp|D~1?G!4w`iXvH$JL>e1Tz~>q?t_fG*BRYezsq_s+ zXU@{ai3^?>c}pooq<9u*-If;b?Dlx!0kH@O;>?$iW8(u*;hz^P(BVDu?HC&9B(3#S z`6uf8(m0fWYH~8+`n}M^dXZn73pYlhssoq(fV&FDY6Xt8^$tfWNIW2rxLoeeR0xv% z#$}4yd0PTDv19|n8vG$C0P-VQfeoKJ1xtufr@#c1*}cJAfQ2W=>b&((A5*Y^20~%J zPbSU8rV`Oaf)P;uU%ccM4DpW}vf2e=3lhcLf*b>#wA#aW2icjEyPSP&I(roy?>2!~ z^i0X>%wom+FxeZ6ChPsB;pBrY=}_pc`HgC9fISyZHYup$ z`>tOk>E9%ic`783^;>1>30!$6`S@6xPVm5wNPGHF+XZcBNRb|HN$hm0)KS(Mh~vZX zv&A4K;-*mQTWUrHQ7DWt>`1&to;xFXQ#1k#(BKKA6up4uz&u={l~WcCWQPtj`l4(& z)^ZgW7Y~}WU___+1?deJhPc!TL1vZxEE(Sr6$JNidI*>o0QM9c^vKc`m|bT;k!v7> z57UTFJ1UNx_!K=`qTa`d)Das(Q5S8cromnE>2JQjk$S;q3@0Lo+NU_Mke7x9^Eu41 zf8{SuEakj$d@1;Q`%79!f*c`5Za^XRKj+m44m>J2b|J6S82Z7F6RIy{Dd2g54qc!s zamMFuJXX@2_$Ux5h`h!?`CK;c@bZd6Nepr$Hw*+upj`pv#hC!LJ|?`nFJ|6IP7o>r zfF#L2Fe;-TIrA%V(j$fl_ll-`Vd5CQ02PiVngZiYvLHz3(+`{}R~ujfniif7J`d3k z45<|!X#SS;QIwi44*=(Ncc?8E@{p$*OAa>DbkD0t0q?m&boMSTq=%A;2F(Y1Qjcue~NO%!a2wETD5h+ms zHAUpmAx7nm>csDTzJr2+`#OJdIu8zrIhQD>MO2$yA7`u@Q2{H-wj@&;k-4Gqb6ALKd zW={z(&QGCo3vKYi*i$*#Mi})Af)A)dmgm|3o|7CXFjEEF0;x0HE-=F1zof2DMOq*1 z4Lf;(a#|cYXyt2ms}F>r^njqqRUafppg$x42}Xbm3m2R($cFf5G+9#eZ3U^2scGv0 zVS5VXETp9~)r+LJCkq_)$P%lTTr&z?%87s>%Uw(Glu&&1VB_ILHZB8!b0kxv%tc11 z98h{7ft=1KE_}E&f0`u%QROzt(zokGQR=NVpwC(5<`&JZo{g>o4K&Qqw4^RpCVKVE zLrVrL+;4KL-}K8wXz|;O3%vz%8r~Dv#x=M;?uEs1Qk_k{=nevt>%;1YkGb#fcWYTa zCtU&u3-3T8I&qxBOW@!F;RFlbHoAQj)#1fLQw0n?7+5q3UT{^8{7*GBOVBh4w(?(SKX@8^ zPmdZ>WGSH>4S_c49^ZOn4t7TkNz{ciaAPi!B(|0C<$(Ym)avlhmU}>xufmw%C;2aQ zH&crbO2{e(;dxeci&~y2OHu8dqn_l3_(mHt?~WCzPsF4vZaj*BhH@5t6d8<6{s%>d zB+zr+FCQ9%&6}AhX{>+?pGj{oOq1(xBxEF>6^tn7_=npuG9|%~6nl&t>PgChK#HPT zi;BdT#3qy~K4tM9Yi0x_7Y`zLUcBJEVyyX4(BbkX`46nLKo%@c-_#=&4@wEjsL8|e zZ_uGjV~P5KF&{&3Em7}1#EOPeCn*q75~87X{(kW#i3xEN%aKqdBLDe<7&<}Pc)UGm zeT3{02vM7gek?jjN{MKx!NgM3wNGmBm(+{elHH^Mpg+YiM%Q9M=#~q6HIxVg21jgIq9CJMf%#n@1r9wJ$H{SbYTBEeYfz%z z6B{tGoN1|veQ9#FPG+$bCQZC2@nmW96EnG!Bx<=cTnYe#yvXW%=ySgl+|{Xu?OyWwPvw&suAalLu%#HX?~pVLd+w;dM0qLo^Sx0)ayk}V{O zmt@6>5}=bob)XQRvAoJGXJ<&d1~|8hf*DY^t0v zU0flJLwNEdS6Dy_@2$!2*A=~rXX_wRi9nCFb>mV!v8wqc(5N9p5oicBstBk$jKfmn z%}sU4lm~gaDR{eh3Zw$G2gaO1?z{busxatqz=#=SLQsIHBmuaX^Kmluz7|%Y(at=& zqiA3Ob1whn1y|Gi{(C03>`33%y2pR2y)@^{@W|Hg@^*0EU6%K1j^j@`8+S5Y<*uWX zrz|Y%KkuK`lXG;WxW6_1^qKsVqpqe;{O?b085x`4(;R=Aws8y7HOJN01(Bcfe{#)~ z!LA95TL*_GEGzfU&RLr|XVs4ORVA-<73?p|-k)>zhm-Cf8SI;sb7sbw3;E~Xb8Q}e zuVcYT<-yj~jpfVK%sc zXk$h=zkOojBf~UBf=ERsFVf^Ui6`qIN)nM4=W$t1fN)*K|k@*A1vxjE_op%F!h1AFXW$o&$VHATgQSOpOFxe zRE#O>ojvVj=Iq9e?Tv0M2Z59^y6~SaaVf)8CyB;UkqZTIERvZv7dJYf@jOm1Sfs)Gj4oYh!_1z*pON$HQ#lw`VO$9fukPucPISv^(Y0-P`+Ju zA2M#4kc-YSad_dWe@r&S(z1#Tjf|GP8N*|;SC^89@B@3ra-!rxn;nd+Eg0}oR0gwW zXdSx8CuTE-HZCN-7$8L-fBEuV0jaa($)G_m@BY$?eAi#UB#em0F%rwf2y~gO9Iok# zSjjViMhO*cN1*vspvfJZ(L6<+SZP@oKW02eUQ2Y6jw=4K4lw_)wR>`3Yx?1`yk$9# z4LKX{Wx5u8CTfc$N|ST0roZ^#&ukfS%xw*U%4OP_=k}!Bzhkg(!lfv1xX$+dblR&w zKLy)iyuPtgVMLcui3$-|MAi3=*g|0BolIP*qDG)0(5NDy>Oe}(-x@R)ERmzoa=V7o zy|DEnO%*nDOQ%xP}U?;sR--=O8{$_Y#&iENC*nIVP8}8?6x#4muUd?H`5-!Q~%C)@*va zOlKL#;(~F*xdj2Oo1wNMWfVHv={Q~HvVs^sb&3}6VILnbnc+eRYu(6JplIUPx)Nl9 ziD*y)O%#e)1A!(Mk?1>tCJIHY0Yh^s$Q@(GBhhgX9Y>-5O&>(Z5!;kV-mRU(k`Ojz zyi8>cLs-NFBGAMDBEAx6L@Z)V;|&_5<50WSA%=DJS8~9VIyMp)0Vau+VWXwx$jr1I zUdalpk`1Rs5)ekz32xqycuZ)-cWxv-qCFuA-Vp?Nr0X!f+}epIZ-&ve=H{PEM(QBi z4$C%_lciNw=tPLvk)ZV%-(rWsy&%<#1`;G$vy-KLt>#!&JjP{|u`R^mNBgW;4G_nT z3fD=j$t9vVw=%W_O7bMG*@1`!*IX%<5)*)ohiw0;3iRbz9~!l?oqz1kOnD`3eiOvVuXD zb-QuQZJ|&w7zp?opO0m|UKBBKV~sb+s{F-3&-63?O1|kNp~VE|iBRO=Gj$M2sZqs- zKr{Bx9Leff-CtSXT(Ep@MjcuO3fJNFFMYas=2LU88S>YKIvUu@+wuxl&~ObZ)wVCR z>sfZ`GkG)j($mH`U@Gtujc@jyxs222U}=0GXPY~e7j$_GMJwp+0)^hJyr6^iyBR0C zw2}%L^M)Y6A%{0Ss0zOh2kQ#4a6UKOqhs-@6VpDbsKU29;*Pb=fQrn4Lk2?0_8y^* z8y(XO8;FK~JzDEyDjmxmG+uqY%l))FZ>Fos@9%Kd!%eC*jY?eMKA(4K-ct@oX4Yzn zZs zOcSj_Pxzj2KjB#8ul3csYaOUex1|~K2ITpWhko6cBfa#=l7fWTr{GqmyvR6mUkEf(G-PH1jSLRy3xP(8hRjT$k-;H-A<#(CkeLZIGB~6!1R5zCGBbfj28Z;8 zKqEy%W+u?c;E=u$XryS!%mf-49MTs8jT8-;nLs0hL;6CXk)k0p6KG^`NM8svQZ!^{ z0*wp~=?j5IiiXTgppn5LeId|D(U6%5G%`4(F9aGX8Zxt`&=}lYA~NKe_iy5hag(sV z1}|SSPGXPKvYVyXvpF-+&ITh-_&a+i z$ajC#(itx6_>9of1I|5l#(G&uS?i*&Cpnn=zx?Ix0}GhlWqGeAxu<8;)%1z~{mCsO z>DyZO_~D|SoHN5CTf40aKF#r`X&bjNU2|N0T?@MgIh@-@8Lxaff>!n$~<;2{6O|@ z@MVl9HXv=f@j>#_vD<~35jwhyA2*>8`KRASIl~6M!0_>& zyYVMDxHFnZVyTu#koYZ^8&2zm8<0);q2@idQ&~Wsb5QVln7h-zl7)QoV zry!UNU%X9)KWG9%FpQs7qaZ}`XQ=vI}xTq%y) zDH(Mc8FlIC@}U&ULV2X|Hi!Zb@8JtX9h!2&S&xj^p6g_KG;b0TOEO$3e#9}ooD&?- zmf+kJzp!uJZ~}mv@^&~D1~Hq0?t*|z!GU8s1%8Y@;eUKR`{<4$5J1l5pSkIpS@!;%t3Rwc-Zg3Q zXvF_OU|D(Z?3|OCa~gNFH2c#xi_Iu(ES*0S8=R8KSx&sqvi+E0R)iIz!N^P z9g7Cq$8;X~JUGKgy5+l{<5FZUUE$TI#=&`QE`oNpOxXXL!eCrIROI^HbBMn}BL zUdKqJRE{i}?PUy2E|$EK0if*eNkOel&V&3!jj|^Vwzvy;Z2osNcunf%vMo0j4dZdY zAQ1v6%EG%!$BajEXOM7b5V@-KGgOJRnP@Dz5m`;6F#1f|k|ppE9V)iLT(I=<_~Zi$ zZmjE-dZDOX@Dr6gB0oAVcQy8AawV7$=_S#6$4M-?F}Wb52K@ri;cw=H6vlgs3Uq#{ zFUlo*<6clc$)CoTtQZ7aI9{~iCU>~yBBixx5w$C{&~H*sK(kWR#a~(>@>+yX3R$N zMA|qyc?v9XIzPVE8_(@YiK;j9Prv8dFubi}!HzM~O_aLSF@jT_q=-LG`H&i4Iu z+N(c51?ysxorH`vgh>}xY1eG@eIr_pOc=&x<79L~Il9T?*)t{}!9md=IsDiCX33!N zmsNMqYc#??J{1)%VYF!I@qj23`Rfl2g^dZsm*S|v6XUG)b?|5M?KlR@VQD$23PEA5 zHCi=)J^v_YN6lYidwvLQG?${pZ~to4hzthlg)7s)2*AY2wKCcoULqgP*WfFu7weio zIJiEPG`hqdU_A;Q&TpS+!G))YRAlm^#PMl!9hVSkV?CiSYZWbK@*Y=)#t|4pn0`=Y z51G-kU=CoF9(S9SX?~#aw1o-_&CF#24;}`VeQo}pX>Nc3F3p#M#6fN+wQNCF{E8}G zvLlntrV9 z;moqbi9s>?A^k+1=Rc3Ggx4QX76NGkBKiF?JA*IQjgKVhGAMb#>L*CpTMKGH#bLVB zMH-Isz&O=#7!*HH9*3W*NT5X1Tnffx?b6J=ibtYuQ2_=@4UDW+9kn}<)f0Ud;}aZxX^8V} zfxd+WqS^DFgKr-uFN`*GwdRwAsfa5_@@Cj*otR;m1u-CBZUk6F2c4!Eor2ELf_EMo zv-*};?TBan9Y>F= zWyoe{eLy7}`Pw`B>a((M)a2xvo?(F{Aq56{lsJ~SHU~|uNw!|Yi}d1WijwZj*5U}; zZ5Ep?)QDBgUBGJYpzrzEs>`Rh`iiPcnC3Mt=)+W{Z)5lPoBFq+Pj_kiKnc@%^^r+O zayGUyU8Sz0&M8^DvwPrkw7V2AgL^Qe%SefTki*%l^p|0^&# zz00%`fQI84j&GgomL*G=6t?TDm5ggn%Qw>kAw(-i?vRmB`a(Mg_s>6>)SCtm77WoL z7HfxE95hjY{2G!W@q(6~y|{2b%6qfjLahVk{&4Zr67q(PmWd6Wu)f8#+6C>Ja84ac z4_HAAR2=}*!vBgjck3A=~bo}YH(+?(>B2-g)dY}XKo@O~h+aWTJ zH_d$>rAk;*0m?S<@uc$RplBC0wLxNJEIhvaL0RtFo{tA{@Re6%fz9<>in(Su`?P~?jZWXaTj+1nmFs~#)=`4y-|B|pIp zpKLVemliGBtdf=-Aqu^}kAJ;8HfefEfPaq-^?ZBiQ@f?a03%!=W3fsYfg8DU`iKAe zw%}8!Eby+27!LpZBf^>c0y!hUFc6bfRUiL01(|-J*nLG7fbM!GDBft1^jE$jJsaRnGlw;$KG3FlXAR^`To4f()J_<`Ql)y)k0|cs zLdNa|O7;{uIu?G+E@JBHmauG~DdR$id)C5g7BKTGtUM{!Xd+IW6h>1h9{ocjK16tb z{^Uzv6;XYB%-1Pt7baY25!3e`f!i8{MNAo3i-2#euzVHMEiZ88OgL9Xe@VdMXWb}2 z+1enPNab;k9mDkn2Uofi&Xq|8_NI|rrBwaiW93+CYVXe~8? zHD&EsOMu4kRTJUjy(qH{=8y=VrJF)Tvc^m>y7?PWO28Fn=nqvo8vhvrtLB+BE3t}d zCd`zmmre*3)(jP@VG$hZ7BjP@6Qc}#gT>>$Fre_HAZEB0A1<}iNr-P5-&{8_p*80T_%t1M^-*%V1$0EZ4fBlBKhIVnWDJkx-U;n83080n6rF-{{3wGOYPz0rWu!x$g*vt-D^%sTTripU zI21+HT;T_bg#Hl=t|+BtUq=)|eC@@34M$V^H|!vU;E|`8G1y}fO`>*oBt9cM{w;)$ zegZOxl2K})=9t=9j3;09<1FC^j5~iJ^nA#khh6SP%iE|6&*uR*I8BCz@+h9W22d+5 z^aI8(UhTf|SKKBG54s8#mq%dC5Lny<{%_DWZ_^Jy3oF875b#LHpF@G&{!piJ>6A;0 zCmn6I*t{2QwaDhSq;TCi6fq+WI|tU>r%^G$Lq!gC_|{JiX$P{)P{V>AAOVj-yr`Vn z(qfJ%8F|puEE=S6Y2i3BLdQ*Q`MU{xij0K!q$1TH{JE%eHv%LgC;IVmxhXr;T~F$X zdv7*Xnmnn%mJ5%YDoGSG?0APVrs2{by$0U;=ukW?!2#}80Jpap7_Q+IpiqCCs<><%xYSy1-( z=(cgb@k6yxZ)Um+Qc*I2yZ34y1hBw>$JB6(Ie@2kpyu{C5HU}xr?&w0H885=G%WgS zG9u$hMfEu{{9`}~rwm`NZHN}i02lRE`ENKv&{QF`xONNT(DeXdp48kFw1N+96hj03 z6!=4zO54F!Cx33r1sPhfJdbkQy@B$JG!4UgU=NL&?I6z8f-Vr}u^t{>1zv|^c^*_P z7oZsV_$+1$P=pi%Imj2t7(E05JoJIbb$!A4E0EZ*27L*C_;PVTBqIgcZ1vMy8K7@a z@Tt!$@FGZN7A&6*@BlWE`kCmOZV(-W2(f`q;e`tRO;?KCK$rhZwL^R^S3}WE$V*o} z9|@!n{v43CAkIOb2mh0^GMbPSYfwDOx8X<~pNC~*wJ7q)Sr?=WrwWQvGrw#hBt473q_0aJOfl3NfqI2mmxfBiGxiT*EmCwkUvv(x?@7%jUADpQlw2c5!{ zta^}`kRk_qiog_W_M>lf``n(?4sTYy*X4Fmk-z*As;}5*=xk~z3W!04!VQ>x?(cEm zqqoP1mP8MfFw`PM*ndJJHIa^Jiuz4taHF0PShA;vXI4lL=8C6-)__UdEe7!*KDd2C zuXbDGD*is#0KCQ%62l}mh5xfy%28s^>aOxd2kQQkxbUI?LI9d_-I*Vy9=Y|Oqh?vo zMQx?jg}YBAjyP^)e+~v9@BqLZH*%ihR)BFs4UUl13^q9{(e3r{pbRtq3|xyuT<9|8 zoMs$g*xX5(TKX z5c1*i`HXsjAzbUC-x(^p@`T%&;k(PJPyqEz6zfUFl`@3hf*zY2_f>6az`Q3-pCeiW zdg#g5zW^+n;u4xIkac!G#W${U=5@Y79#7{KLplS>y*mN3Vk_!uMoRbmRe@dmtk}7N z2H~rGuv*?7bVJEWY3iS8-yj0MC_)v3GNfFwv+Ey5v)$O)0xNP(-0p(Tu2!2rrpN6CQf^t>OQUO zr_|%n>_VVXg=+jkW4gJ4KIPb-P=9Ck8F$$5iwjJpi(6W-6Xs`4KW%AmE%-6oDvCyt zW**e#Zv7`S6ojysX|sbaNHM*o8xN;vE!r$#W#-qiF3Zx*>X$D}(IsIfGR@jq9uth< zpq7;&0*!K3jJw`TnN5ARq|~V5HU6NneA0mmA1_W*1wgw4ZV6%519obfm;;HKJ%M$L z^DQ}D4Y+GrQxahrdnc?X?5bblo7c-`G;LhdiawG4_DD4oLWeE?HPo=AyCHv|p2o*C zPdYN8r!?)D6An$z?rG@hzx#0iyx!`JCVWh@6#<9({}^hh>TbvzuytPDdG+o)UiZ#m zi&^Ko;G;_(!XI`q+qt~y2s5L4M)iXJlD+}|*`N=dwESW9n_bm!^feb|Y|cij{#x3r ziwCk_SQdH|4rzYqSn&RSc5!v*@}VOPI;Yu+pzlnCPxYIf)o=7R7oHBB4&=CDlF63~ zf&p9Wu63XZ^R~2IHZRP5b=WFX3-J1h&=bfF3ys8Gi)4_#!gI~PQKpFvLefqci5n=QF`PEgT`}RUeueICM7)? z@}@!*T*BMe@mfkuP9%k2wSRqD@Mz*_d{!(?tgCuTUUF1}N*yj!Xe>Fvn_C6?@MbH{ zImZWR9H+|!-JmoNbek<}2`z<5cQOTXr3ULw#44O;lnZMKpZL)k2@7mN??_z!1QwHl6e$^741FRJW1@_L} zRxDP7!Opl+^K)lG#)7Bw-KspxfVIHgrC-q*yMlLEd)fGcB|E@jwBspTs}O~5E6avD zW2tn&y^!vt;p27>{p)rsj_DfD!+66ocg-isw)0<G%WY(ehf249BuSxR zqgiP9>Jxt0Fb9%=W|`qhS=R1cMye`YWMHiRqjw~D8#Y>*QP$7vXD@F5|J%DC_$aDF z(c_bt!ro7{WS1ob2xK=|V4(=c@J~g^E=yP=|3RfKia?;W7yr{fZX0!Xvms-QSj2l@ zZ*O}WgnFsRuNGy6B2oz4E4Tm$`0 zYnYvL=FFMzocVL+oSDga&E$*;W!kvR6&J`JoFetsS$}~Qhq<1rTJPT!Y5()QJy*0V zY$)4&Q2ejo+ZEEcX1x9#@xS0*hdfs=*y@(`2G{XRrrsdR(ttkF#jhQ*yT+PTwLu_tVSN{Vu|KDl6d1d5jAlm}1JKOU4PPTYehDa!M z`_M@P@MBK{?SojC(Ve8ou|||2BOV$K-$;Mr#J~bFV$EWYLq`&eSBJAWTF0Vl4}+9V zn;e^v$B1DU&IWF@jBBtu>m6FLOMCsFPH+a3!#VijHwQ-TPs{M-R^QTgffdnDK=& z7kf{PHMRB(3ikY|{qkua)^UlW+58jQTc=aR$!5}}QjoJ*CnHai*(^78+zbm&>Y3wH zFVlTWHWTbI`dlBrx&Cjzz1-U%-13<1a@p%&!lrq0YzP3%gSo!_QB3+xQCH%(p+%hO%JzG-{s$8di zZ7h&mBC)v^@7d@P#>i0QX zZ!sVK!lohn2fzFIGgn0eHrDT(+Pd(-nb60fZ-;&vdM|WOXokAqzu>Xjtv9#7W6gJl zk%u}))IRzA*f;%Gjh4&!R%rUr-`o*f$fB(`zv-XXZ%Y>|o^t)3^)LAT8qalN*^yjz z)mA1k|56}E!J&p+7;Ej>P(lv}W(ydV8ei0<+;3D7DT{g%hBH&*baY$~QjjYM#?Cv!AiA`FH=vC7Zh~*EXnP;bTty zYTAtopPya!eEAptJmkUdqwPb=PmMoyzVggD``u^HHO=VmrvzpnoIK`u z$>h}=8&^ACYqp2RenU(;_*k~^BZespGvpHOvA*xItN;Zm`t;- zA1}7e^rK`FB0hZA^<4vAgd_Tsc=on9*lQ443HA>8*Q|C3~sYbTux{YbX(8^&TR*w-c`Mdr18Lv;ui1Csg zOI6$P#Fqn&$+F1fUtF6;mHC4)8}D&7PqDW*iw2hy{!fm7aI|^wjSWXH!J0?u3$w30 z-Pv%%uSCz;erv!;)f}QIbM_nbR%!#EUQf9fWic_|3&zMbOV_hcX0cG+sQKn5lCY77 zO5MCB28e2){xIdujL*~QE|A}?dRKoLnTPzB+vsF1e57Bz#juZk>ntau$&ph0gHJMw z-AANLwGgLUEhDeyz3z<_GcB!VZ<(jWJybS4%kR(!#|0`6l=?ed_4cV+1GV^Gy z+i%~0XR!7WJ{SY{Saz#aJZx@WZKeu|tv?VY>YVZmqe`U*>HObMST$t_rWVa?f} zjThgh^KNv`ENyjp7kFyjb2UL#Z4GV>G#)4oc6jO?Q?2qyqN;}sizoya%=#O#se-{k z!0+;UJs!7P>olOMUa!+xS{e*`JPwDpV4tcQ6HT0R-@L+zSK^3griLB(=|={fzl#f2 z8}XV>t2wlBm&{I{Hy{=9Jl<3CF`|42QD+)(AImW0iz2S2;?C;Xktg#767xr%smwPe zv$Fa}hP_)g@0*WSrR%N_^Iau5Kj%GNMZ;)bDQ#MLXx@tYvCFO%pUDx+Q(N{Ns@q*Z z@u_P^cKVm9O{+ZfZmk%*+$n zbMEoF>nfhISV~G)Cd3x61;{hH%tvj70?Z!)Ig&vpc_D`fkszAH-J(D zjjn)h0Hp>RT>;$yN)0r+0=fZ|8fbI{bOR_g(C7;222g6C(G}1QpwvL4E1(-dsewjU zKsSI=1C6eLZUChQ8eIY107?xsx&pcZmu;!ZrysVaWmQ6(P88|FWgcMUvlgNwS>_Aw z6;tbHws>{Gi1%9*i&p`dM~|PYJ-#1#-lo?A;}gVp58fbI{bOQ!psWJSKi|{MId1*H-A;y53_wCV|0<(3FAzxP(uMk=pV|Jj%8!g3^8kv?YUr6RmTHb8@!DC$ zE4Oq)zu(nl!27)C70DBe`Rw0M&Mg}~=Q8XS0!FmuD9La1?wQvte}$QzxI4?)Qbsq7 ziPo2tzKSwH_jsM$W;U5pqw56Cr9{(DSM~Y7&^wH%6{h~mqcY_`Kc~B`G7k{d%97t~ zsS=+VJyUu7ynW5b{%;Q7yyY|b3Ta>8KpkUAexdis5xmel+wYt5LT|mDL)>}ln)c6n zq1jC5ETXFwrt#{AXBcqw?0|TKb~v~3idcrZPaTa?liEd0y-aBgy^~Lahi>ta0mEEX z{$U4pypT3hhJl7w3iG*hC*FfC&RVPgSG<#HhHH=_nrvWDP5Cx2DAr=vSz~UtiJjh< zS7FQVu|4b_SdDloHbB;st9_w)%c>Dx4i#?>3p< z?ZHB^G&%Q?6$)*vo-oi(70Fr6`nULON!OPyWHMX&=Xk_NH$vVAt`J+lw{j+_$Kr^_ zW%E6$FA-~c7gBL0Am0|AoVREZlpkvO)p8jl-@&+}kfB$sMkJCS*EAEkdYyFB;N}@!4X)>A2VN}q-}?BLZ***#cCcYs z=cy}RFTeAXJ0I@qZWO`l>B>VF%%}dSuAbkzy7KUC=54c&T`c%u$j5^ppJO^QJh*Rm zV1K#)j>o&d(b3&Mv!6Df`n|fkwsm#I;lX0zXA1s(6|W=QcMkuD)2d?;-WYIjt|0Z`=N9@WF1+jSXAh>|9rN(Y|QP z;u+mj+mHB9H+wI%J1=yW{(12`&5Qdff!VDujM=vRli*$5o~s+S9-MvfjQx|B#HUt$ z{rI^P+38Ac^Tt1(BA)%8HS61{cg^gk8hU#wyG8eo-ScuWa$*tDEWM+qp5h8m4zjUinJqrLr%cvXTT z6MMrv+ujFcM!|-aAVZll%6cD>3@t~|`zL)YGj*^$$A>2E&HrGiXs_-VU|pfN_Dg^9 z)RGxZ)z0nxc2#d+%|kWl+sN9vUX87>xcL`4{nk|(S*4F8Bsbr?<%%Q2i%wU1FPJy} zQN3+`>usM&-J-9W%^3e3+q&0xbdNpQa7@&T#vJ=;)rifF^Y=D*FU7jYo1K-1#~lB3 z-(QK(UB{~iODyuXZshBT{qp%myAo>OJ2AEiIFg{WDi6Y9@$fBg_&)pwnGQB z?Uj9gL<$;;%!l}|>nG9PT3UKyO4fsxTo4kB#ll1Y53}u~G zJo6$~p|?L0Jp|mMWQ(M-9c(6%88r&teaE%gCJ>1qSvUDhtX}A;rE*8}ieFjgDIm@q z0g;`^#tYa?_dX`yS11lfUSHO$=Tn_d@zAHuWE1Bjk%*~vVx>$(B$ahy0x4iq!;g^4 zlZp8MqSIm(-9AT=;tqHtx7$`E{-0SgkyzsW z0)c?WCdgW3k^!a5@qul($1C6p>-_aW?;=lKy~A58kEP-R^1i~-8k47{FzL#_CT;K} z^^jkCuZH|)d|=OaYt&O-lS8$MtE<+j|KzQ6?Doh5QCmmdZcm#S&0+}1Ycy@Uw+UV` zD*sbk#Es3-Uhz%zplAlNcX;dSJ>Es`kV|Ukx)P3KrK-FfRDGgwczw^PrRp}d#Tz{3 zbehE3&$R8OT@!>`o!kO-McJ>3xIrV=q)lXAQRH83Oit_?HT_bq4As80rGDyb^Q|Wu zU!1esA(~%acvwtv}n zM7>8n5)|z*$4~8Q-8Sa`bw9F6>}ayII@*@q>AzPknpZg9YFx$WsKYe2V5mv%iK3jf z6unybOu^ron!Wc13n!S=P~d^^&1hSZR~{j=8zQG`RUSCH{_RKMndQMhyJULAp1LD? zUn%=!;`tE=oFiBFNH@nH;)*uggK5%|0@@k&xyJD2j4zn|(LR=-*pb!Hxf7L2I#R#`%FB#0f&@4w*jk-b} zkMbS5yw>nRB=>~0OY2QDZ^}J|_?(M>zIC9zuwPZhS@j4@sHLRrlXkk@dAhUo!^Q7xUHtYz*{Mx-8GHO68xEHnI?BxX3io^6g!xU9pROVZc3F)NeJA+n4w1Rh`CH zFG$<#4bP+5C0mok=GeL}a(g?!Bh=59yD#w?NRMY$x|=VR8ps*hZ>)T+==}E?^c&MF zvsp|x?jkLVh3ZC)9JqZ&>CYo_&j}9+A8zzTy1i%tWwVw?qvZ2%?-NPS>Q9DgH_Sel zCT8?5cZw)IYhlVgBegr(DI#Vw$DAKG8Br zzI4OwuBfnB{C=0qZa2&0pUvrXI4Uaa=F(ED)nYNr!+gM)Xv-|xtJBy2D(gc}igFP8 z;#-f1`!D_V-r27GL(`uWQ)DT{q|n9c*vT_RaHf;QWy3)GLcmtpdnDSVo#}e?iYE)i z8=cd?7f{+fZ5!IEyGl!!9A2_vNws*rjJ)Od>Xly~bo~{N`wM=3<@!O>t`K+dM0`}YHDW9NI1TG)wO)YCug<(!;aRxkRr9dp%;j$7m>wOqpvu!Sak2G zmo1IW)O%&KnqpN#n@$+jY>;+xIHI~HIwgU(({7{MIZmHbJaVe z4@A!RqC0c%z_e3j$JTfk!q6`TxJX0vNlrUgUxDABvp(D_HTTNBxUnL3)%Qr&d+*a}Yh_RpiBFdaQkxx9Y<-2aS+}>Wr>lJ%&?t{&AWSux8xiutP zF!xmyPke2frFBS`Pgd!4AIT`6;__zEH?>on*!J#BPI0M|l}}a$CRM%R77y^a+iJbb ziq)we_k^HTmQb6?kUJXR35ZjtddC*A1G*J&U2wO@?G+CV<$nBZ5f7{FQMXOE_#6&L zQH9r1_oUZ-(;m+R$CEC(n&OC2VJq+#7umH#TMnyXwG^9N#TBC0rns@t{qs@c*)Xr- z+wBq0ILW{H<=xbB>d?$I{xIH-}oob+}E1N zK0mwc`SLIPdB}s^N85*#pBjJaeC3&Q_PfuXYnstLZ*Rj&%jtIWh0gDMdbD}SjTgK8 z4+R#@IXJoec*&I2TN+nS?Uw{jRv(x==6K2E)f*e%ckFA}7uYxZS@m@1wtYLsi$d?I z3pxVh{KHPE)(($-lGP=Pen545E3*C0k6B***;J=UuflIm{xoS>c-qu}Lp<;F=_r#Z zQDP8>fqaJV&-t#LT^{E08M^AfsGT13Nkh)NVEV9xBx<2~T^@Soq317cF>}5Z%~$VBQSFG6J~Oel|h*1$fBk8ZFty!eJ`N5UiGZ&HI}O^+g_tz~RgV??nj%BQQNeZF;<8Cz1Sks5Jb zB!^iu7AbY&L18gug_M+gaZyu$zf2_GA#w{U#Y)-28O~?{;wrS{*D|K9hpIMjWRvQo zle`awUOFB*W~e%IWH$Mp9fRaLrC6`b=8x1G0-;aajd-SM!1YBiva9IR@+u;W(voru zX#GylcG^=0W;xNg`>KK|-xU-04MKlgJtVu`J=F?Z73-1j6>85|rV7q@nrQABc3}Cs zAsOD!(o46dz*RR{PKDJgy!E*nzIrFWgq^{zK6UNK$U6oNb z(nk{Q9hdxPN&}-GI(EMDv-da6*zy_GKl-cz%{~~dpR9IhHJ~d`cV3(+9&NIv zu1XkNF^Q#S@OMhJ*LtKcV`)O=&ewJOKg(06xEq+?ptbDZcdaA8RKjx)z~@WTtd=`X zSdXAk?G7W}{G9$e&f8;=X?}#3H#Wu_CDKIfBPiU4@WkDHM9V1Hu!|-`A8`*{woFEJ z(YS2e>@zB{X(K_S%L5HGx&pcZ;ZoB-RS$V7^n2YUXAz*C#QH0b%9Q`iv#zVHiGaN1 zD;`HX2QQlAo?O1RWXf$@8gJ{Lc8xyk6@9g=oUA-^+*mQ)jaUN8E!DADdg@e5(mBTL@WOL&+`{1R8~wt`rir2%D1 zXYc#QvG9yXBIh-ou1m3GWUsR1p{B?3A6=9jE`EDbOiMc{^xls6Gfmn8tdTTo;wzsf zg&L91a7#qjPWg~k95p&qn7h|>M>M?Gzx%KM-*Q@Uf!0w@=BCD6B&WEvzBY0!vcZzx zkS&_vMV_^lklB(y#N6dymak;ZcSyJ#$?A4}a^x;+?-lP;x~BILebNoz5+0EuF#&D$ zV*x2jkqDEf;lZQSoS*o%7}wIW6-86hHd;KIh>)D^VvpdBXv-1l?g?29d+U&vW|n{4 zJ>!RNnJd00koOQf6h)JdAbFIry|+v9(mZj*8quF>+C9k;tcdJL4^eHnBb8M-^9eODX|pLXof~6o^>cnbxY&sm{DE+}`QtmuE8)`S>@igwLbTOS$f}l(_@l~^(69$4 zi}e#jSjiIW~Gnd@JUPsx4E(1$DXy7^bEJ?Zt^rMa(5d`@v1u2gTD>96q=ijw6E z7W!OH$1<10(&Q>wY8Ts7ybipg!0UH8OYP>MM{$TP;Z>Za0e8?-VR00fTHO{)fg_-} zD|}9~8njpguF?W=@G4LhuhXWA)ZCs5v#Hox=#e+|ZEMZyh!v#^|9dtEDRgaxG7X>hHPe|U3CPf6+ebcXiJSET);?I(`JJcYNOc1RbO96hW>ijgZCpIon?a!kGM_2?zI zECw|NHYull@LhX(NBlr99|IJF-2U?-XA*6K{38zf;pN>s)QCGRaD|$YW1$)ZlADws=3a7(rp@3du(Rm&1Kf=LBr>oOAh+y`B#;UD0yn8xvpSx z@YtH7ZI#b;xT@B9Wa$62dV7T0J?DcWc&W9zc5{_=&6x1Gz2ID{2*2NP z-(1shx49{>vh2{Bja7jWYquUd=sZ~4rB>eC;o7p+H2k37p&sylZO=w~OU1^ZIyo48 z?3KV{KW%@{Gwg|qVQ;%!?q%i`cTT;t#P%c4#65wVT_c~Y*z=|BE2<_ebB!M6a12|s zQiP5SEORG?&J&^ay+X(B`O+JnVLK{rd3&kLeTRAL=W4Hb+U^|tqH|B+rr?W{pV+f> zVg0ms0?S;(=DF)c(hiaITKA)YiQn^he=I_8TG4W~bJ&Ulj@@^9@0?_NMs>R%ZJGGp z1&4lo?klaHQT1)twwY_JOY0Z8#y(Xs+HeaT)xpxgwyM>~U6bCZ zdfL3uK5x5a{Z#+7wF`!=8K*`fe9^ivIP}f64d#xujkEnTze&gp8&&b5B$&CYAw+RUq5lWuh`w7Y`q>s9kBgElm7w0Ql_ zc2nis9WyHzh|rQlBGA6|%G#&Z4S_uYEpXk*K=Q9c__+>5Bxm1MiR9%Pc;?cICoYm`IG;#9pcD>@de`H0#UNFz~WbJUrgbkJv zl?9~>%=K$34>gV|^L}%uecY4g5=)1Fy!WOC*Ar{UZLhNU4p?0!_Xj%s!zV3tt({QO zXfIgk-}>Y$k@@|8ueE-oeb&qa-VOe>?h*E-!ACZFzqZM7ok)GBJ?I~2^~C31>n>iI z5MCJcceotmy<%>WwzHzx``y;9Pu4C9Oc?i+v!>Kr=J`e7T*sJC84y4K0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ v1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILxEuvcN}-}C(k6RVQ}t?OWyBrj2j64HU#<_G_A%f;gevfX5(Uc2`$B&yE$>KoX%*G;@s& z1ChhT3>hZU2%aV+vCt%$8I7V*ltd3?S$sfBE8;_xPYptfh4P^l z8400L$oj2y&RP4cz0SW|_uhK1d{?V}d#~SGd+l}hK6{^Y?!E8yk9_Py6=Y5S7S$_+ zfBhFf{&T0p z|J^T!J1>6Mi{JZQFW$NHec?ww5;@&~G? zs;7zi4}SU=e(D!K_2Zwco~u@*{>5KZfAOwrLp4aC|B%LCkUU#`=3jn2NRsql|01zf z6&Jv&TE2bztkjp}J^2j{Vuqa4&g}Lv_tYYwE9DU0W|I<*M)$yS|IoLQ{+DrlwJ-HgQC< z*e`PXJ2d)Uttuu6%N4SD$VFT&-~B66yYu!c#TD0|-*~ku2APd#*IHx$F07tsee-IE zUf*LiEEi4f){UmNTvYd;v9W*W_Fyla-~)O7 zXy)3n$=SND5w%t=pJnUA=+>MGTGeY|WRdEAsaZq9zAWEw82 zcgv>Usa0>usZ`~$%U&{T9($aC)T-BBVso~i>ds30sYqt6nbE@C`Ku;F&#GKi>HgN#I{RtX z`_PDJM6G2ztnRg1>zN>QxM`Q>>#xXh&~0OCcF}vk($wOsP3_)OvEAvkmL=a*@74FN zxMNj$*xsu(wR_*w)K>4VG~&dXMjoy;*(~2KhY9Z$-(%zMTCJa~@3V8R3hn+D+N*$a zdB>V{&enD9MQhc+cqrCq-|_#h>5+kCapRvP;pmQACrE2z)aCkWduvDMcD;)}SU0sr zTO+RB=~h{-j+Yhnt2%>L&5c@Yt+7hH zjMLMxy&A;kK0D_s-RYL?Rbbifr}bS!9anR0J8CPMgVr|n6=L)Jo!8j$auwPuM9A(~ z7ws-8n~yF{y|rr>>H0&9Vm~F$-|5=?no6_7(j99idWY83w(o9gYg!QAE;_*J63Y7U zs!cY{?HT(dM!LIJYjdBSbJ-oYUInr}FYixt%~`2yFIubq{!45Ow{E;v*E&}Sogl51 zk--F?ZrL$=>v*aheFR2M5g^z5!mhhKBUAfG-=8~$}Qb$F)w4fPv_j8klvQ=AUv z6Au-*jT`qd$ZfP^R+8x~7`>`qFPN`Uq8ys9(Sg|gu&?bh+5M15uJyYI-g`^=Kx6#Q zQXVCw`%q+&3dSSufNX}Q^AU@B2d&n%lrbTzOEnct4^f_l&7q?~c@@sB?Kfx|J8G3{ z@`>8`II?pm_QHm0hsbo%vY6- z5!IXn(KipV&H31Ts^a@n{>`YB4$Jy+>gm!n#Awf+S8B-4`PPhMbHE*A)1n!Vk?{)y zhoqrQElWDV*RNZ`Bl%9-@J521%%dY9<9uBg!hZ8kBcpY9#C`?L zk9G%O2jI>Dk0|ad_Kr6s&12oZ(wG+~RCF@6dt7kNzneD?S>h^sdne zT{d$ z`8A19U;witpeYI8_j$n9n>K4^O9-G8pw*8fe6MXl%n5}8wCap80TrN;c(cZwn9ZOH zSzrLu!gJ6_VjqAfF|lW<5~08VW=B9%l0_eYPb-@>SVsal1!y}=UD}*U%M)I=5eWBA zx53wK&gy(az5nq9Du^|r@BnSvKMp29ib-=yPI|L8rz{Irnw5K z%I0mh33BQa6A?Ea7!Sm(b7R+eL#?;BMJ||7kiJ8C3beR#9IzIjqJq%adreW^`w(X zab4PO8+&9g*12gO>%t2>hDV#aa80JpA6_$Is`4Zq`LXUn z-TUurqP;cQ$`nGPRmnR%!b?k5JxYKOb zk`Rmsn7Y%qb=i3=XS58sUN=om%n5}8v|8?^G~0a_vVs)`>z6vzc< z1h2j>tm+jR$b3ovb_Fyoq)bFp>ed!rRq~e)JGKbxK|QLMuq!|-q)bFyZldr&eu}Ph z!?hx8MudHbuX)iAVVj z{_M_%8a%m)d=`hTKnlH!?G9$!131&3huahd<}5s2r8D9b;BZ0zUPi?OqHpA3wS%cO zL@uOAL{pkjqi~6i_8DA3JRthr^v`5SOesYo;&O?t#si`wb*pp3wKA?UtElxysL?DG z68U0R&@HhHZFPSYFOgZ%7sK25I!%l=KanJ#m-NNw_Z=$8XEpcX?SVwOjY2`lE=D<9 z`IHqPdw>rgfd}&GyoQ}$LwGB(NCc}W%WGIAPejDZa-n{XGP%OrN-R1R53DR+UKWUj zht3VxK-GeVm4dKq6ds6~FP;iQ78t=OKqEp{&aX*?0wb6S@RH*PiUhOm0(cUW ztB?goFbdG>^Eo&*P$W7xToV!)y8w-H9Lcmdnl!JG_Zfwn4JbVTPhw)vQYAuxn#25> z1WPD901q)~3R$4(UhLiqVNT2ug#xqz;T{I;JDG0o)aqI^N<4AbBGp}zOuVJ+((>xJ z2}ho~D2viv!wJLX0r-6RM%H`4-~Z?B58T02=*h!gh)iC?s>BQ^JOED;y8z7-)0Mc4 zT%5`YT0bqPN)v4*2Pn}33J<^+KZ6mnMD9SLc9@r`Nqkr>QxHaTm|v3!Bj=m^`MD-H zF%fo4Ko7u^G!s z9hoge$dUb_T*F?{5u^e%lGF#_NlffnszfL-g4q$!lw@R=w^>W00wWj&X#RC6utlJl zp!7QL%vqqAxsPO8M9Z3LRkwcWN-P0|2jEFe>{+TrD9|J_OO;>=g$LjvCQTs=G(C%b zWSK;GDL^{|oa6}@f%F6%!`ILuVEwvlnCH<08Jvhh0h%Y~GF7|G+aDrYO$GRRViK99 zO1yx=1MnoV3(!0um=y2X^EzyEtlGAB(tJ0QFPMsNzyNKzkwCo!>SsS+Va zFOdj`sQ_ORj^lxtZPqoL6<#7@4k$bTPf||MnHVAp1!yE*lFW&@j3#`G>|icawK1Ud z06d9_Jxi4cIeLkhyh?SGUAiP#Lg4{;h)Gk(9KA%uK5`k2@KS(wKuMo-g70(3aKgKk zn~3ZANMz!9847WvM21qBW(%?OOT6=y{6QHnkqI4Umxc-6w1V2A>2@jSBVz!shnzcm&p#ZH45$FMU5@&**wr^8n zA8|iT9gRbhv0(IOjk(&#oMBnZyqcgWK=WrUvPGbnx@SXXJyjpy2=LZ3ulw}=dHyHw zqm4kydU9qq{VcEXD!@$v8VNV>fUOCr0L_!`CUSbLEB;1U2F=-v^?e(gY;Hn5$jwim zSy!m7-mEdl_5@Ub=1FJj5@$$mBbU*HNIL?WdeTXx7{Fnx#EU3A08ii6B@_zKJTYB~ z3lEG3Vh$)2NZDjJB`}`kGy2;HeoSuQTL!;7#end4WX@+iEuXKu&T3;6ft2?JRq9SeUmHEg_Mbi zOZWYR**gp6=HwDy3efr_r9R6n9iP;e)PM(ndND3Fq9bMfA6#~j(|C0rVI zehvK#Zc@3*_8-kV9^O8cogk8X{0IiTR5pkiF<-e&= zo}-EhY6GuOQxHC&a3Fy%p8A9=k#fzS;%W!;Frup1c_em(x`(1LiOf6z^b=mB^Vog1zR$>>FMljOvuVSltNa225_KqEnO7nlva-$`37|1ljtN_gubD64L=4BeuYAV3j6O+g+RpJE{9)Kr_U4Z6^ z=~-NsC_E5zIYIG*$K9Y4pO=!&lZM;l9?%`kT(&Ov-h1pu3{UXXop%rQ;Myke#JOuY zpmz_zPkgM46;GCev~{-z-Y&#$_J>(&-9u4m4)bdgY-E?WUvCx})P(7t*#z_eJV`M@ zXR#hGFWTv`4r3MpwRo4J?0hT7w`tfZBQynQ{t5|f5$H}(Br;vrb1e=hyf!0{7Wc1B zuBSwG1QIPF$G{Q>(S}kt01fvJw^FWdH9w@G_>^Cn{?qDkP!~POkRu3M^Sh{OCpi2+HPk5~3O|jw*6r`=JbZ?UoyV)ORsdW!o;U=OfO{ht* zkzIcLvCd6|V<(^o;7Q5}`a->fne4@VB-1jQG;5I^B2)!vB&iR;lbG1EREdzImq>)e zRDdsucd9WbW}9`*W`&oCm;(wAz>|~{#6KGp4=r&}_|I2&(IkOB*MBxGW;+iUCiJeP(;;St=_1T^~Z^+UpEo6*nNS3cv^?Qe9)W0+TZQW4 z51Jj!8c+HaAAvhJ<)^*JdNyc>W8^X#;J728sV8Pzoux`Vy#Se7-CaPb0L>HAv$)U> z=x@kkE+&Zn9zAdtg#`^_AArwu46OHnn`m{KIt&+&jf~sese9Nn+$Z{Kk3d9vU%uKo zp0e{tfY#`j&)AfJ3eZSKHZ^}Il%|RS)fSqYq6pRl@FXVoEL9>D7{KfZXi7o@<^yYi4=-hA(RG%z)Rrgt^^3ih)!DE%1`g%KUFuE%OGPY(Ch&v>*PD5cYU{t880Ak=hab!&xVFffOgyx^l)*MJdJ3ayr z~NWB&H+b_DKCaj&7RoM2^sRIzk_|i*rjnL3;C@$gvLTfpp}pVfRv$?GMw| za6|_R&`44rfG07rXQ>jQzzAkXKvR;DUEXFbjS7rl6rlOnrN9<}VuG6ggI0uH0UF6j zrbRSqWzgUM1H3!{Phw)vQYAuxCXrdH1WPD901q)~3R$4(S?nX1(FiXEXn%Ob4I2IN z=YOa?d6IbIj&@v^n7M2ZJaos2cfLdS@_6Eh<4zr||9}H^*KmTx1MvCsjjZ>8xlGkw z^Y#bsU@G+FQJF+$sS-1w@BlnX>;g1TOwZyna&hWR5C-odb;qO|Eg+}|;CrT9OgZwo zs8E~cWLk99IgY}bcb?ZZhxs*$GjhK93m{KH>ZW@p6VLVw}wxTbr_sjj}VHHUB2AavbI`{s4777uSR8J;YK+H2na5YcKXz_%-bRn1|3P2zDJ55#OUtl6yKwixgHW?$?h z7pHQ9?tDOYFgF@^+lrfrxh@T>5^Cgp^A|vy1y$Tc z*dYNu08dg*&=_UjDK5qq(o+CUNpFUz!hC|Hbm@}h_h!5buz`aTOtFIH+T2091fR(tG7*YXT}j^Q3!eZyxJ-jI=OCJSW$Q1Bc{9Tb?r>WN8YmMZZg3J<`Oq%J`7#PlpKD-<4pPubiA5cJ%#Ox0afLKmQs9B%6Lu`ZfP zl%5lc9RW=}F(cNe2G1bzA_@<{lcX*{^Tc!@F5av$2lJ|&pod=M6L;_th!c7ULq1qk zr(g07jnGxKVXM0JImwQn2o!`gTbZh2cGrDzun9~HTWFBsz1ZGmo34gc>7c*_W=B9% zlEF>w*pGGVr+Exdk97%C(+eZok0xAm7 zNW58Nj;#r(0L_!m)TL&D>n)F|Nr;4EM?h0gI*H`-(qq_U_ev5kqUb=(cIr9b)~$=! zJTM(tRn1^guYvw$0IindZE_gUEsP$3r`JSvfjJA$dT*1%?DOga*caW&n3~vw>e^Us z4y>1n(4RM@ivPxmAhtE-IQ3fPJCqgw;HwME`bS^^z3i3<=a(=jErhlPe4AXNK_7Zg9L>jPF((S%4AF>faV1fJ*KPmJc9->u8|fs|u=8uv648_<)F|Wy z{d*aqJs|o9{WH13+loITb6-+uqjvPg)D581$|{(JLiY7~yCU!a;U+TRUzlQk&rn$D zdK4Vep>{|lR6~0g)>!sRIjW=Af%nA0OfR&|0h$$>{|j&mq+l8H5qLl*GTYdut6>%G zt(1vez-1~_Re(EImJ9XWg2@ZsR?0-g<30)xbH+IhhO4B%^q5!QHH(G+x z1MqpE$a)W~z$?^V^D>QSH5K6NiRLiBCh-Ca55SYeEq4Ye(`D+vP7ONh1y}x z{;;mhb}_7$DF~xEOsY{Q>L(FKc6poT#6;LF0X+auVkU?(yL(qGnk3hR9Ldx~lQsoL zFbdE}QXhaPF|lW<5~08dW=B9%l9BVxW-W~hj9?U?`70!_MWCFZ2cO8yN2t~x_#L6A z<9BiMxLwN3Wh?E#-H-p(=OZK#AEE7TP4g>7;;mt~IJQ-^L|0#kou4APs!%(Q><{G{ zuG@7Ab6u)!0i_4vNlffXYKqcKA{1y6nWajwgu(;x5R;~m1)844K5}u2@KT`q3a`5% zF#yU>GO>jr0u`WnVlGp)%e+h@T1^G`dSViprAoYj!UOOmu?x^VF+GdR5`_n1E+!}} zw){0v6#EIU(Fol7H}R<)p9WVq>o763seTi~O>&Eqy!PzG^Yv@IM2mZI=_;V`0DR9T zE2bR%{omUf>Rg=IX1f?x^Um|SNo1BPaYlA||FV;(Aa&C-lL_bncoKJ$Xe6l*z>}CvXQ>jQzzAkXKvR;D^UY>0jS7rl6rlMlB(O!mnV`F5;fyl3 z16EIub^94ynzA#RI^KTv6R{H|@tM-0s)Ih(b-Pl{OGK@v#+#zyCaH_8Jp-4<3vs4a%l>Dt; z%tgWt-Ij@Krf0F@j9GfuQZYvq3Zz{ApE7mbXgphfl8GAC5>YBZ>q=zRT&8LlFUjnD z=cYSC-9uJzAbTM)c@3))ETHfJJW1>Vw5~)}O;_T=WA1^NyAy;PkUIzb*zL_T={3j1 zD<@};Q1=o!K)2h`m`6w?JN-w~>33N3nbNg~=~EZFEgwPi66p=Md$;GkF&o3IM4Co} zINxRTXnWp#bImXEY{{Q>LE(mbN@06d*#qt zuUeQe{u>&(C;#e#4&&Cln~#9crTc&c7*O$~iCytkwCRs^%f#;dcVe6h>k75inKij6bN1P=%}%t6=)wc=^#6|i zAL2SyUx(_?V@l9L&n-o5t-c8lSwBQ)VP7(V?AeITQqeS@H6Ap6tV=KH=U{Sj{Z;am z8aFB-fKq@qdFnP*V)rWIFflY&OhR~pw#zp@x(M?Vvo~P#BTQ`(=_WWWUfve|xI`ab z=$Cxq@V(6LA;wj;S={WT*0-9P;K!S@y2td5R7u~SZJ4!TEYl|A-OIaGwSA9tIV*^8@e3@-lfK#z6bMsF>lGVi5d#W=E+N>`d3@(X0aMQhEs zKHi@c-gxP`YVpRsrn9Yde;ayeNUt=ViVF{n2VyQKD7+x!Ra&S;L@N)#7vm{piJe3P zCr3`hQ@4KeyUFJ*at;9zrvSYeGO-^Tc+yG4&QLXMm3TU9s6~3LtE!)A-=%Wo$GQj9 z`7%G&n^Wa3k+adnD}ko`tN@HZdLuqc&yV7IU7W7a4 zM=YpS@4$+YdJ0*? zyc(di&@7Dz)&uY)|63rS2c(2SpfBRUvl zRL@87EUEeM^NiFPiAZZRVXD@_QKS}7spSqzg{q3ACnVX0C@S&vE5Hu;`^r7 zUVQ+4(Ovd>yMWl6%NOVXP-9hV8*r?0O+ox%ETl|?zh!e^(Q;a&aFohsOXV8CHC2g8 z^v|S8j3Z?t;&c;*2XZCVx#5}hFDdK^6l30cAlIJud23te&(%BR4naEYrzrSU*G{4bA11aR_S-p;iM>yD z+j}CPMb`?X(BCNE!Q^@XXWH|ypDQqD;pr-2=U0Hk1^s&&6%UA}M}k`wZPpSSj@nCq zt)gt%iUx%giD*g_YIGtcI@;nTg*6wbJ6vk({>es}5otkDV-7p#|FXtzc z{IncVhB>`yRn}F6c`Y z?WMQIE!c|iR$`F|XEOmRx%W?qXkJqI4Y-l~FC&4RYLe#ML=d=|`;tOw#K@<%f>_ol zJP<_sCvZZoFxA>iZ|#@y*uhpRJ&7RaLWtY~O-V3E z3aekCP40L9Jtkpj(lEq4PB%f;Vv=iUQ<|Q| z>a7sw#2is5K!Zfa<3N6(Lp}ZmefeD7j5BiUzcCofDeF9DXN%?`=3(wFn?n6QwL~AWYm3D&S<|geXq5VoRw3gicaP=sQ z(p|#|-Fg7NUaYaLq2dWoRtwGWj_eO_evCqWoW|mQ#9$E={Tc2{-$bFAgRduT4mcm$ z>(aEE#0w}C-nvcuC4a*Ko<1v&DB?HLU~&zbC#HLGq3r!Dl$h-V(f>H3{y%8B(E?g| z06xEdaaIvw_ghq7ppMh-0cN-4^LDgVsQ!=l(Wv~x6>mI~ z^4q+rKg$}8KkCp<$9>)%eE+nl{;w*$_+!?3R;_uBSbm>6cd+3!4M;CM&K`)lT*F>+ z5u`$Z>R&-$6BFYvL80H}WtSu#4;d8GGpqj*T5t`m9EsrO!<%SU%MxV^n~zcT5|5sZ zn7kU=lo7fDH2=C3*doxKpito+g}*qAuq!|#X_K@yF?XX0S0Y`Pmf5wcb_ggvsQLeu zE_2miLJy{wUiH66wroWRN4yK<1nxfjaJ)pg0#pLG9##vkfr6m>Y$-5Tg6UKoycNQn zm?H`W8oPCpKZ}InO3B}PKykZ*BWe_x5)Ud(1Xg)xSlj*vG$2hwH5)?MiFUZPMJq>2JUrUmfmS`mUjgp6S+A z_|^Ni-{j?NogPgO^wdN; z{H=@0ft$+GcI zo+WfZ;Q@G(e1Z})pP;EDiCut3(j-~b#GFSH#vnV${trvOCISc#z|;BheXr6-&hJ=I z&Ggcz#ve@7kgbs_U18cJGO9j@gqQNYxP(h6GPp(OaoTJ-I!x02&*F8T%CVsVFA=eg zERzT?1)7;l?9Z3oU3Sv~rj|e?)zg(O z8pf8>6UonV8|4Ukh-D|KXCvu)ZYutWY5P>qx!ZW_=6zm;Z*SP5^b#qYN2TUn4w7wnruRptZ6c{B;zT-3^xN4j$z^+|_HX=m#g>zH3WP?FlE}`TdJV5kB3^Pi z_g1dVtbb{p|2g8!#@78&60xx!Nk2#;blJ=AMI=Gq3Oo?Axv&aZU;@*^78-;%7Z1ez z#Wg*=nGU9^5~08ZW=B9%65j1SVCyZLFta5jPzuoUJCN8_p^-Qf^q|*L@8bKNx^wAn zWa>86d*2@E3w$`YK%Y6~Dh&KdTJdoj+#E^Zb_6sf@n(%Vwmzr1N{!hP5-0^|yG-5P z2h#G2Vf@Lv&*+#8CKm^-Pp&a-&Sd_t+9Cenv&C)7gcK*v_Jl}R-6hcTq~sV-C_p3e zE=A0-H31c%dD7kHn#VeC8?JLfkcWShnkCfc16p~~O~h_)!&ZqGQFs8JeuSC0XaN;Z zOjqKu32BjD&0kf>RurnhzOGW?w ztVOl}!sD5`w*MyT!L-6|=lLvCV&Qrtare=1W zo`Fl?6`(-|_u@_UG(JmeI*4sexq+H=P+$VHBcLe>pF9@yjmEmlrH&X zx>|W%t!%F9FZt;23|!%b=vuzk$n>)^76AvY0F7kudXz2s#NIv7-Ss9u)}`&)E|0+heJemC+5gm~=E^YgSXX^rqOm{@^8u|qnKf6& zS>i<$9)LggV;%i+@k&bi+o74{E1BOZsooLzgRiS+?uwpTy@?;+z$2s}35;xN?w(Sl zp1`!Qg$5bfi@)+hk|zRrwUg;;SVee861W`!O-cB4@_?n!b<^KpQO}hnZ;w43Ta+%R~UqdvByAka7@wR3d&8S@ERaO z7%-A)foYu}J0!B%q0NL$MqFMPvG^%{FRg41lew)@OX4?Zv zZj0en5Yiwe`dLs4q$Jv0=tVI;5KTWP+6F2$_N*GUETv3DQ<_ktfb{!Z@BM_#k@-IT zGijDt5K|^1ZZD(ofan|T>;6dLS%Pz)Z1`f=XPwOJU*u#>oY&iBR(cHyY1ylta@)>z zH<3BfR&mff$i;5ZhmAWVvJ69EX^nN?uZI;Cu`)b>b5&h*0a_sxfqzY|P~V2|5qKcC zaSt~%cNYjZAJD3hG7(K_LQQT%u(I4Y71lT77qBZpE2Kaqq65BIPk_3IqA-cfQY9G9=gNb+FOyu&7KQ1V z?Fr}scoLl(t_jKLMRSwn#HC?>v@CEHp(sEjNqqpG%wKvBb!0l2s!E6gBbXflO-amI zrmC22!ZmA)2tomxzd{0A1l$SA?1!()Uww}DbMa%ZjrzbLJCP>&AJpmQL8CwR;8Xv6 z~x-K=Z`(CoW4A z9*DV|p!mV#ZqP{*8O8P2^9fwvsf@Z`35V@*v$%8InUhm?!Xc)w`{V?#tL1WjEFjOXTIFP&;^A19M{k>J7R}F`L9xwM;=6&0&5`BAC5h)w)ZQ;lxDP zEdf0MPf||M-MeBj2P34WW@=(CqY2-|2vq?ZN$LaeBwu}vGBO=ZRV6}!5zLN&rX+lB zc_3z+aLw8xf>40wuaLkNfpUT#WY()x{q#~_78tKmVy9e2M&SNGuJ|+?aXXpwVG*3*=;<7~HftZ~M3LHnwN5I7A<#+FQ@Q6_%m-P3w1eLn8E&qV6iDf)M{0l9bpzIf^q zGDqGDE1oQc+QHizn1el3?_SaWRncq`Q`I~Lsk@12N)u{X9J9eoY_XdRCnmyf3FrZM zl5&%MAO?SYy(F_t%V>45reKE%O#vE7>I3j3tGfWM%?32+ikC=)%T$0b37=aYh}kAw zvsvLKBIba?1Mno~^b+!5_+CE9ZuqG?MoQgwLmJNYTw9qDuf32>$ZaWl6es*2f}p!zEx$Kuaglt{qP1!$gh5)GUZxp}k3oP-D{b_6u_ zq?1T7fWuaar*ma$Y^HbMqEvw9iRnsQcwjsbb2&k4yj=by*Kq`{=Iay};X01xk#oLF z{n08-=vDz5$;hVWu6t>jVL-iw=CLk<^#DA5GG}7XQaMBk0o0CwrX=2e#vEI3+N_x^ zA%IeVHt@lbm=g*G89Hzo$!6vbGIh;kT|^fifG3$XSH@W)6d1tl2xv+&ICW`dvzA5$ z1~3ZHW>1|oI^k&qP9t#C5jgU*L3?Z?m(c*n9RW=}G27}aRpOZ^0#)no0!jsFo|vA+ zWpFRfesA1rHhbzG^o2k1SAGP(eC0DdCFqa9m(Q3_Km}+dBiAFd#Yme11DF=3J=R^zXM^gP zk99Prckg0kvsp{53mjnTPLFljc`RqNjJ3IVsw(D$LV=XXw9r8ncnTIABIzAQ)BbiChR2v&h#v9JTM-J*_|LZxt0i% z2jGj56|%%mqJfhmrzddgj{I$1Gt&G^1O*vdz8myFT0Mh8p$V0jaaCPHS0E+Qzl16D zfN0FMhMixd=7p4rT*7B6kSh|tN&j4^KkP8M!rMxjh#XxYj9< zazX!IrhhJguhLlun6p45rf>Rd-zG}BYI9({OvL0htWu2Iw7qVq^nmC{ z8>&f@JGM|JA|7KeDJ&+)25$9OP22$57H;Lf$F8Eswp`$gU90Y=;wCaD^vFvJQ~P=T zNYEV;S*M|x7ceSRRRD3L55UV0#S`u+7?`s_^G|1M4y2$7AAtw*ZLx-(UqgR|l!=(U zhE=%@!OH4+Nnu2fCRb2}l!=Io_kP0q!5fR{0$vKxYT2Mv5H_Lk06ZN-7od59jw^-Q z1?yiMLn4sqWpcP?PfJQQsX%S6Y8T9x6051=~ zlbG1EREbcaNo1BP!4e7&z(Y)$LKbLx7Q0?IO-;-Zg#xrcJn{z39|EG|Cr=Vj+|iEf z^2jrnc;`FX;T^T3aNMbDz9e_oaKe#$06t&7k@X%hm#Nyz>>~{x>6$GHUY!bTPe2dA zlav#5RsV01lJ5ymBk&}TfG%II$)V~6$kfDKu3>M4B1i>jB&iR;lbG1EREbbv1hXTc zDapw7$Yw2#3XEVBp!wIOz!m{_f?$eWfJQQsX>T-XU1NEDg(36-coGwPmMRenG>Obo zC0Ih?0eFZ>Q^*2M&tf-=Lsc-bVD#Tu}2g;0-AOuu&O!CuSq

5NVJ-?fs<*OX4C z_{>UVO29+;yz@|j=f<6t$m;U*vHn@!?>xQU@7Xsik+Gvpl3ReE0yGk~dBD~LRDkA* zUdvHy9_!2nT~!H@Q0xe3>Pa^do}HD$Re$md-EXtu(+}v4NnDe75rqff>CYz;7cHRT ziRn~a%x#FOVh$)2pnXa*Q<7`2ka)Ak99t7m0h%YBL=&e(ZaP<{CLt1v9RW=}=_KO+ zjF4UaHU8g*T>I!fio-RD7g2Zsz6!^ky718~Gfv>Tf}T>-k0AK2{M=Gi&n-Uvs_$?m z*wgD2%V~I+WT|c9FQs}GOQ*-rG?!scQ9%+jTbZh2=Jl%3b1(^f3tMOqUL+ofS;Nk+ zNrVCumAO;4NXZ%^#Oc!OZHYxnAs8%CA8A%!%2=o~25J0uz`C@Ou|=v4LN__;;yaZb2GkRYPiPHOJQH zjyQFRdc48Co*)~6Z>sCdr*6jl6wh3)LiPUWpSE6wEa&79<~V!4mwzSj>Z;b?__C}n z&+GAXx7PeuKmnO3K%-0b@TQjFqX5klv)9!wW(S6ve{uK#ZfPgrR%mI(B+{d9sy}=A zkLt&|uiU8O^k;)2VVgjso1TT{v&9232NVi4Ij_m{RYtNIek8}8x;ho^IRP17Yiq1d z05tH#j98DlsQ&e1ZEso>4Q~RvfssrV%>sTLU;r7fUQSYUY=9uhlXU{s6Fq)V}^s zYY2mLj(_k4Shh9c>T|!FYc}TyP)nHz8xjV^1EMjsy|4S@<;5Wypr6$=3#6fTDa*i= zU~(hHhNair`R767uuJJB(jO<(rlcNI_`fCrgxru z&Pha9OUy&;r#>fBu%AU}1+ODYbc>NtfY)vR&32ddi5ux8)3Ebv z(-P5?Yz;pL7Y>oQMj2gD{bdjy5RLE7Os?>@;*ZGOmlWEl9epu%1L(A}3TB~@eZAhU z2s}WziS+ny=!slpRW-FLj$A*Mt!Le~rTnx)PaMqjLdzVW zS)uvA0H;6-mLVU32V^3%jcvLbR?*%{naBlPJoqB+(b({CMJF)VDw)F@)P$Fph5BaP z2I8#kh+0F4Cm=>quT(Lu-pDcAb@39i-lfYJl-B)nR81c^|f zNu)$yW z-UBP}3bog~Oe0!N1^9ZRISkkS<+6HK68@eK)3~s+#0w}0Hh}!hgGLg&0L>HAy||1l z6L*5V;0NOppO*&n{JesEC>h2E^87pBOS+`KhC!eySes^wYD({d|`9 zqdV7s7wN9yfbbrG&#zy+nuRQpCrhDrn72Qe?P6FhQxHaT7_R*$1_Lhf`|#Twc9sb3 zE+5*OT$$Lsk_)6JCyh!p-LpdidH|lJoS?gR*AYUm<}l0_Ai+_=J3JmN^}? zI{sIm2km}J@QbhKbwjS}zru4hr4fAi{F>_g=zgE5=ljtkO^Y!8SD(}39MJ1`J|CLs zZ)+-(%3|txo0`;gC#N%R6@d!SNQUZ{gQe}z_FmUTi*55PlAu0j@QdKSB0jZ96<5rqPcU-LgkcB3V@djLKU6j|>9 zJ{J{gFO#3DVvi_x1T^(Ta~Q6D^?7PdE;0To=7OCiUO?dic#_z_f@{z`G2M&H5`_n1 zE+;7Rn2Gg-G6J8z(M;E87FF71RIb^e?sSa1jLV_hq|Y=Xzx?;F!$G-gIAD}K0RMzO z)}<=)O)#yuRQ)<8k@n0+cuqS||7Ak$Q0{6?%TCD=71)-59)KrtCx|y#4K$c({rTun zG_fg0R286+q&@&oVlthjN`wL(W1|4}G~k57~)4Iv_VkJs#a5)FJ4EIismNlqBc4AIoE% zm&m|Df48hI^56}S$~55Jni6jft?E@~CgO#txzN5&F@jNmMnY5T1NiZWn8aTG{vTlT z06a;vKkQPI2pJ}>n?!om*WY!%kxBd08}#F?X8I@w$MJyU6PTVQ_&iW#y#S&4TvVvN=4Hx}rb69AR&dKoa~P^UTYU*82*Z0tyenlf*7S>q=zRbT2L=%fy|aZty*7Z=RLG5}%hPe^ACtq`$*drP`P_Jjng7 z^_EmSY=<1#HZPH(aQcnnkk`(({1c=mNO&Am=Oxl4RFq!|4YjHsHq}Yx`KZ^dL`I_> z(BWeAoIPOYdFWY*Ea}J0;hFer`OcUJdzAXDL?(8`S03vdEiqI7ftmihx`(lbGZW#L zg1u5l9SuI#d2XMXNZz!!o?uacM#8Jc12LNmtB?f-FfBBXbrGxw;7LsES*k=RFo2l= zU;K5tQw+aF$F0uP60)db{Y1?Bz5-is+N_x^A%IeVR@^7*U5S_z3I%A@8Djz}KqK*H zjX5!!@f5Pa0H%fJu`Yu306d9_Jxi4c1qLvqu&M5Rj_$YrSykPwUT9mOLb51f#T@ul z|DA;93f7STP665uQ_bPWq^F2yh@m^Sk zaJgJw@bWoIpSyGt>iv%=P(eaP6dr)jmwaHo2Xz0ST57hJk-eBB?g%}3Ox=Ui^T_yH z0GhhQ)C-WQv3Z+qfoV22)3u2X-*jKe3O0yGjn;XDwtyY9u5VL)908cFN}@FXVoEL9>D z7{E+`i(fxmEyKsj`Ql@A&(1AKfh_uftwq)~Yl{Fv0oo4F2G!lW)GTJRmJp{FZ`PO- zyBW`>l_>*s1!yGEftSG5Vq(uyn;51H&?mshzm7g>q{q5V^~dj`g~ctj(b|B}W;oh6=mm{XO_Tbr<#1UL=L zHF4vC@j%Sw1T{Z>E-lm|qLl~Wi;)$w#7?4tlOrc@)|it30mY7hrk->XDF(2$K74r{ zs^zy*gxGR*IGrm~WBZXG>mGQ=_%+R)FKA3&yZm81*1dNrr`Xr@fLy18-`uAOdpgh! z-M8{)bhUF&NKXM832$H?h*`H2t_fLS0Mo)1`?l_^lN_hH4B=LlE`EY67cg_`a3fjt z0b7s#Z5?--%~}$I(VI2q#AlbOyYk=G(QA~}t5E&SQ*?~~&*$mcF37<$4o+P`sen;d4JS6>%a^@Nb>ype_*3b$m6A_o2C_LaFWM;1%)e<#DSL-^4Vx|MH4Hr z=u|wgvfMWn5(|(1*ZrYkr2sAeQz3Ln9dJiT1E^4nX5-g$c06fH`DP(~fmTO`+i$hg0 zM-&Rsjx9Hj-Qn#1;#*JADZ8b0&+C19`@|DB-T#_-rkTU>I1cYE zUF4OSZ4Ftn3E6r8K3~3(^&a5KQmDOjLohY5M-)2(ntGx+4A*Yp=II-8xllie7f^Tr zp2jeuP=Mx%hUJ>L&`S5OP+~4OS^ht0kew`IR7>ZZYM^=b}PwnwP0bd|1sp z&+D4QU@d<94z+svDH;^@lQ`xqQgo3%75 zFoIEl=3kcrTLg4&>cy6loGKA^1!yEAnHJHcl|k-6p|%E;9)Kq?v1h3gp+L=Hxc0{9 z>CAb9-nc>c9dwSdvjj^hJOB?dX$o1O>0az+ai}Whh(dwJPXDM6rjuXoS0bfGCl%JOyM_}P2KI*tvjQ|v%w?)} z(G9`W#2!)X2x#hwNu)=mhdKI%NqTQpy_#LRBwj$_0eF(w1!$g_p2cN}!UHke3E~)R za-#*9JOJM_-D1ko-~YWNnUkg_(^+cXd0sb(I4Zw!KE8@e)&h^^>LPK>S*B{;jY%`_ zJg;k5uCXZrJpfN)Cy2&M-j6TlBjEN3eLP|p=^tW1KNMx+W&~?~>NcavqqsgelD2;EMLv)uAnt(Zr5-d zw5jk07@;XZBT0P#p2WnSrAmYxy+k4$Jh&go{VQ*)LiNTw>5$n4z9byS12NmIYc?ym zZ3LkJ&A%=Mwg}h>T2vnwLqwqfjl@fmIWgO4!e+=0{{A1}<^gyT6ML2_5pwhrF?nU` zn!c%f1Ns~PfEuzvf+Z9lfQOhgh0M`QMC`gQOij!Yg#xq#?$7CS=)lOM?-(ZF1l3Js zpxrsy(o?k1-$ZS)B%YTc_qmA-#X6`h#4>#1ov-8%%6N(NcDRXQK`ZS8_|5ZMqmz+` zp>`uOE0La9%N~BObeeG4p4j2&pbW;@V%BGX3ltcjU~LkU%Lw%kMy9 zSA|BRbJOgYm!Ivk5bwdqlxKGY($8XhUS^L4A#)hM9wm4xK=Y)#|DPV~daj5gdvU7GOOmSWf{@gfQjz*k3ptmE5DzIox^PjJmf;52pDY{Ke2 zm$IhKt9WX_!HjHbW{c@L`~<#*Ei}mRUOaC%+a(cAX-Z9Uu2v{LVEYljt&7~Dz_F(8 zyy^1^oVp`_TUU?dB}xTSFtmI(=mFVC=+Dn`fM#X0$lmmKr?z4-Z&CN4UZI`X$#&JT z<~gtdp{!Go#_!DCv?HKtA!Q<((uA7a zhG1p&yrhsXVHdC~Kr5t7L|kZP`EM$eJH}#y+Q2-E3c?2z9)K^N`h+Zza?PLOY6tT$ zqN><=BzA9H<*c9H?HUvJiIQSj-j!1e_606a;#$u1*(2SH*0 zl>h9RT{+8W(yWUSssc2U)Cb^6Ozc^zL?|$V*%8o`#Jd#9sV{burjP|jFbdH86%yDY zU?(UAdKK15uIC8+v;3sFo`>+Yn)Pit@Q6YI8VOIU2V%BsSXhhYT`fF9AAlz@v1h3g zp+J+!ELDOf6dr(wm^6hf(DW?!c@IljOgCDBg9qUAnU1XYfWQCG%al8q3O#x3;qn;} z+5_+;u?x^VaYw{kAl8wwquu3vp>vI>mIVgO+-_gP?KOI zyZrcLotp^9PCyU9la!n6gLjCygb`8s+FEBKCm71Mno}1Z|l=47@kH$~HahbH`|6j+R~`qmAY}j6WeCDUtc9 zn-)pVlrH-?zO8c;>87<0E%A~XigctzhEkYl`^ZGUgS(v)nK{Il{2T6>jSk`dWG7;7 zNMryb3J<^+*Hj@(>|ELtUv0^$_r5~{1Qa_0ntIYnWZ;&=^OJbJ_qlA|+JwC%z?lxj z&D@5lDrRSb=^W#q4`dJ>?a==f_RUd)7 z53YKKr~GS~wWMwa`4)MX(-#Co!>SsS=^U0A@!(QxX~} zAHY}i{{TXv0L_sAP61l+$&_AI#hg$mR1rE$0xCcwIl$C4k9868JpfOl$3MR&5ef`o zb_6sf8JxPbvRO-`0s|NYXtSqI8lCVo0;dr;>IfY9*`PhPk-a#;aYsN?Pv-Bu+Ct*# z1<2Iu?gB~$Xr5?Tu8GUwUR?aXi`q0leRdxB{7X^PTmq^J&^&Py9lMMMMA{M1)Dx4) zELGx}2~)N1E}&F^=85T9TxL(*126Q6yA~txt0%B z7*KEF^jMb-h71eFXP0M#yLY)^0xCcwIl$DN9_#isbus&_S*GiRrx7@fz;Q<4SkDHD zZ-MEUM)u->!`>0l)Dv@Qo~24WeHbt`Hg9dhUJ~F;&*H`d6Jm_iST#$0RI z`88@@NSVkbe5L}qBH^3#&xQKK4wEaqt(1v~#}+MYJS*p!}^)eBY*RV=4ZqxR@?v1`@+RNO@7gdTZGVUnL^jYr%ek#!mh zdjX?DRRs_O?};O8!qrd#4GN+8r?WK&QqYc%zytY2NW;#rp}#`PL`+`8s@#TPW%azI zFrr73E2u)sM8sv@OA52F8`KrVl2CX6o{pgl&^)1B^GAW&WsTAUu}2g;0-Aba5}Bn+ zynw<3@FcMd&^$3ciwn-@$^$X$+;B}uMmJi3$pi5DOxtK;uMuZzrBJh8lFW(SWI9W& zdngLcVSY`5d8Zn4bzdgUB7>SR-7}kj9)KrtCrC_3!tIgzc^us|--$eqzK_u7`4Ref zTwOHZi5#o{2hx$ZhTTh1wm(c;!x0@QKqEpfsDQ?=K;{ee4}3O#w)3z5ldSe2Log$LkCVi%x!V!9HSk&9C~LF=dG zRB@vP1oZ&?>9H=mi<1G#KGNWkuGymCAy;5~0(t3QUORIXt!;RsRz8cFH{@FXVoEL9>D7{TlaXi742J+fI#qXHus1!(?tDX>MrogkQE z7od@hWZD}|TGv=!UttJ+0G`Ceo~25J0!<>bR0)<)cmN(^(iE~l)3ew|mPv${0<^Rd z0`+6a>9KAv6J(QZ5}@OGwYr(sys-yiQ|$UQzHYf|B5trllJ7iZ@Hw$}O~jmf z0T+N(6>ZnqCEA*dzH1^TOh*mS6rhoC>K?E)0TrNm(!I1dk9EDb91#mmf3qg>BBiv6>yY2<-v9eeUult0Ren#5uE9d$ z%^GuTO+W=`o^%pToE*7b?WtSK#Qx}6ET(RKzDP^_0yy&WTW`Oi*#(14-D?#tTls0J^_9TQ0{RY@F$TGppz;|?ef#luk=hMj{b^gy?OoF>`s#Ac=WGsB-q~Z z^g6|%A&o&+U+WXkFRS0n)6 z^+3!f_AFH*6qvwFgr)f1k8f{QAR1TSgIW89NPr4pz0kkRx@9k)@Np!8Q-GFVJY!dd zMxt|*pDC!jmIF-PIp;y(&02jL!DZH58Rw=8Qzq!sU|svYe7A0arX=sBzfVe^L5*e& zkg5-Tma9;ovsr_5B!N?aHgoEtG)KE^%%GkGBao_x-}cvKC>9u?Sgn77p!(;+)%foJ zCD43|@TuM?r}8n5!0L_eO-faL3s%^_(@81)5xQAcpGlqO%RhRW^fzecV$0JG+L_+gaPZ2l z&q){Dy@HS<*CTh`7a1ooEo`Adc;EFv%qI3MRU#Caz|4Zz{}!Fs+yYHWz8VPVu`X1! z)Z_tSv3l+<>Lf>gtZRPyth2^7$>ji3cP^2y$JAYZj7A_e8Plcam(K`30}4~O0jzG+ zKM*}Lo)DqL%nyQo$6M6Uj9Ws5fY9H%5hLiF*N8oqf)ov1; zMxuM*RrSr?l=!U+UFz@}UumS9fI z{iI!T8<{IMeDE$6T$6zD>(}e`CH^off?(L5H!k(Jb%`v)@2BC23gl0+%3+8IYf7*OoZ(To0|tj(-AF- z3F=18wYA@|W&Lr|KuE|+N|x#B`Xx%2Z#6zaTWwm!OC)OiXZJy5?z*qsuIp@{Ry#7>C}^;*77F43S5y(f;MeHIZFy!e#p z77JAYUbp=>+g;WtZln`a!_KcwOGH!Ztp)lqgQQM_aY12UMusmVeiv|vH|U?q6^>T? z5t;jvLL0TCFQ#q)omN)CEEKY@*V`3=2M9Nj0e>-tDl|TkE_Nw4nwBX@eOZ@k@oPII z5~`uS3u`QUr5x4K@xXiHVCE-L1yELK{x85Okb-lRkH7;mk=e%9UMAGg-b$Is1zd*V zi}=|ZyHq@f@dn1_2DMX&udIlxpQB82;H{L2h{t^t9>`DUiV14tM${Cbk?>@DAZA`s z3PKi0xk~Re3@QOt55SXX*!eYyP@qX#B9`U3Yy34t33)#d9Egow$tp-pLe z7AwwYKB+B;IigUIp#vxRX-MYU{4~?LCgzAj0h%W`+)AN#nU`rqtEm8APc(BN4N-+0yIxd_u@i5`D;bYIyc#=B&H)_;`8!IojqzdVR`d~PK--@ z<*_Tc9b)_pj(jRK(>Mr5|2Z0St0BX$oCn1fu}WUm<}l0_6lf_(bQl%6tz~#pi$5 zM$)&x(arVUi#2A>-YD>7cJ61o%?_Z&s-l@Ysx*J~$tTfKd)}e5-~D{I(d+LEq07+6 zM9T1*%k8{P<;2wbw9z@^a1p2gjfBr855&wJCB01EVlZ~@1zOh9H~Q-D>0s!p2bD4My4j_h(dvs=6{UrMoZ*Sc>q2S6j|>9 zfB!f6sVY%8;*QXhhrJM)ygc=ldo&wtkeIhIHv#n)mf;nygKUTPb*VAufayv+ycNQn zn9B)@JZ4V#J}QgW=W=+SPwvBaQ-#HXe>l{1bzdIqLZe^nG952_uPf!e+9T%{gN8-0 z+c)?#%7}U`udj}BGKZ!=E+6K7x@(yIJ&-u%8W!FlFA;^>%AI@Q#x`9ItET@})f^_) ze)Ko-Cy(qbLH?|w7dNb{pr17^-~CMX0gK72?b%dJ_iRl-55SYS6T~+oUbL^t$GT8O zjFiB)(${ZX14Z)v)pwNlZcF~<0o(oYWm5(bbp>eI#SbI%nVGt<$Bq-9Dcyk0V_la$u;wK)smpcf974k1 zh49MK*0DVqld-5zTiZF2HbPUO&4FI0hz&Y|Qh-K+Gt33>#Tphe!^Cxe{|~Tv0G`C` z53^K>kYVDwNu)8THHV=Ze%wqVu%bqoV=kb>rk#$ao0rk1tXYS@-x@UMqWaf#QHP~bB zt()|a+qx1vrl-qc`BMy4{%Rprrv4kM3zoXkGqZHo<+oIorKL%#3@ZpGWKNna$JoH~ zzw3XrVj*AM>7`pMWFTS>=gaUdyT_1xj6(>Ymp1#??a;oFS1QErMGEH90iKv1H4H2q z&Z$OLn7};4LpaJj9^U>SfH3%M7$mZ8D~W+kAj*qauBFAq^Q zSYipB1H2VWS3Z5P3AFwPcJHTeej?;=>W;@Le)Fr;5SY6C&+mw}X00;{2H^XLd{Vyw zgT1ckmLn}E_F~Pr5*j+76?6DWf&^IXxj%h5%1&;(QcN z7S^Z21jYfLSZ)D$v5Eb zhx+-?bhDA)(Th2lvW$WO_-gMlvc|z~RxaDi#A!4k&q_d7W&0}K1wXiZ4{>9eG&BNEK$#Q=OYvqsi95Smn+Gsyst@Lv zfnx^h87R63)%<#uoGGjf(hI+9N*UUCc~x$^Rwc%&bswitz0N6H(0t? z^ljbSlV4O|(#lP~t+QWN_I^%i>rr%sXE0Ln6VSmfP70(k&@QL1C>-Eb_8a|gr}`jG z@Q%=f780Iv_w3q_(4SrWw^=Y;O`cID4$4K4ADn&=&H)X+$iE*^ zVIZ_T4#?9kuOV|~l@OOSqbBKaX*l+fLVR}?v{oWOR6?xPS8T`(gwAr}^0m>Lb>jGt zIlqv_Lz$vwJ=sOp{kO^MH>)uri;_<~r0{*2nQgg{VxO0^@>frj!x8lpS~IXLA5l0M zImB%5R(;DFNIap9z5)jJ>Aa_1UPJn5xe}r`SaU&oBjdrv;e%Ar(IsN3>9WDD*;`_@P4KMUMy%e zvce3;0bcihPNW7(%-2S1MgsE?;E7ErZDzCH9byl!?ov((ECcXjA@?HJGE^9ZEOISb zLBRk#EXXvn!Z5PM*%6|gg)<5VczfHsy$9THyrK)Y-*`KZRCG((dC;APOFJ)Jwu6!$ z!v(LI0r>vmo7Has-~X5G4?4hHXy}M1qM&QswVVkB1Mp&b2=JJNp{&Wo$*EqT%m1Kt z$yV$ITDF9O0r>v;OY<68qrMj%x(3UX2I1pwEx{Pqu)Jm&Cf;woGy38gDHwqPc(Ka@ zbv0og|4CnlM)!d89)sLzjLUZ-I}QIkT{&G+8&Qvj?FN6^F7?itb2Z-OJCQ1|5m78} z*g}k)*dOX+m}$%)9pH(T7JwHExfi*Xp~4JiC7`R=#4aCITc-*$7zcR#yp%LzP%Th7 zJ?dee5qE$mHlei2X1xN2^HLX0C>ekk3%M7$mZ8ERWRYvh3JM0`VL_&m6^4;5K5>|2 zv~rL$-TQyQXi5GZ_R?nHf4nrohu+H!$cLIZe(5n>(1-!}{^6U|Z$LOrb*+}|4?4hH zXy}M1qM&QswVVkB1Mp&b2=JJNp{&Wo$tf<7=FpxrTSABd_{MTusE^o@dnbV|v`lFb zKJNAh@7IHnMXu$Uc)#%jpfADdVPqu>kO6oxvOw=OkA-)@^A2O&Ylt0h>x#U_&s#1X z`K0;jF7rx+Y@lPtZQad;$U*o)e__zy(0WJV#Qs2z;o*enrkKGwz!NJi052ADFLEtI zADNJh26F*z#WW8C3rE!*+bft53nvr|z>C$J?0@}*1~SkAo){CUoQ3Ob#y_Jr2J(mmO5uX5>rwe!+_ zzRcxORx>bp*-`b_ktmNJ?9knD%wwn<%s@I7-4jozglrD*#QX#lY8ov-2YAeer>VXpVC+K%?9(5b6 z?;2#nCN{OfPS~8^f`|h=m~XzJX5o-~k!u+$EMQgwx{B#;&%na*^x3hoC?Fi*@iS=F zh(TPSBEk16B}TOU{gy5*TC32w_k#P?0%sj$bQNQ(rksWMT)NY@@*!jQ(vQME8ksc@ zxM%mzZL2^^1FzKntac=;c& z3knB#%)(ICL??!Ug%gSaJnH2M=)>mEz%gm_=j!#ey#1^X&fnJQm;%0Py|={%OZRjc(AO%|tihtS3XLyA zgtEY23FsA@Fqw;$cGdYLEe1pHBMix`UBJluv3t zfpHKA?})SfqoA`}Vs0O0Mh&T>)k0iStM=XlHkSpH!a!)9+8{29fL{x-R{Fa<2B%Y! z7GKfI0bVqH0}EM7jI8ppN|oK}@pe_>5rPUCXs;B|+2Fp6bRtVYTA%&Ate?8e^ zrv|TANc}Xqk8*tC9m2q-`-~&hfv$nf*v|+!h*M;9nRvXNr(Ir~Da0kss7X%wZj3#j zP&o#fenL@1Dk0=-jEl*La7s$F1eAJU22`r=ZQE0KttsZX|^N#sc$XJIMd&rR) z_{mOo1CBZ?guG2OQ%A}%HhjJwj;M_7n*kc1PG5!quQJI{Jx#8l)x_iNzM#!YK-bD@ zAwk!;YnLH7vKkL5)Kl0O#2w&ORtvEva%Ax*71|YJwLs5_A5e2JKA~U$zWON3$Qng! z|1Pd;5UzFIwYbj2O6W$YiXdc>Ysv6_J~8OV3W7VfD#FMbFF*$1#e8kNW)YT+id5m& z$8%`S$OnU(?nSO;s4#3Z?I6aa8%u~vB)4C;PE3QX~cjoP%plH zb;G|Z+Fty`2=6B1Hw>p6=9-)86@Rl^#`d1M^RuMg2W^~z4)DanuHdeP=N-d*|4&H4 z0K8bpy~wo;6$T-TTuW9^FaQq=GL5V-jBIgs2JM}kGFmx^qyK}Jlr&qBz=+a}ume11 z;WX7XS*A3j*IYnbW%WIZLr;0&0Opw_hyk8H)Yp4JjfETM4=m%LEoiLW|xUIvSWk6>-RSdd#HNTgR zgEGT&fX9!Jq!EL-Kqa02(5-c^)lq#3Gf@8D|8gwdOIWB{WByOZWfTtZ#MsuLoQ2~t zY-~in|0gtK0A4KQUgTPa3WJbEt|cod7=VWbnMPI^Mz%ORgDPj?jKTq4XV2N*YR%Y! zf&ut`qO5)c`2N34sSYp~8ahrv$RgKrCKL?7i{&A}V-`lXCKHFr^8(o&IQk^Xqp8>! zx95t!FK?gsTgZ6cQG_h?<6k`3b>wB(-Z6TiR+r?H=5&{(BSKccsCLJvcIXnhnUMEy zPoL#6`Q>up`GIUhB@_(6&$z9#w}CE}gY}&{jfVYUk=u>XE2t2cG^1w8G!KJrtRS)wgvzNJcIPcw(gm;KhQ{MXqJ&BNLL*U@oAo z*u?oLs5Rxi-sT3{3WbA6@5)HmBPhH1w=CS<(Fs_67binkYH2i_{V zBb|!9Q-&?>@ogOyGL&@-ujnB)mT4y;V-jY@{$^&o&6^!U7EbZkUo7mfRr6OUL^m({ zKkma-lTBhq!2o=9PBpT|!KuAm_U7hwhb2fTRsy;*8weS>52!2o>!kWcD2 zfbV7#d$DF*2@M@_Hw(JPUCZewpit|E41#A0v1X%tFi5D|HMl!n?%UEM2;v3eA0xFJT7cmwbo4$Qk&<2bEob4)DY#&PVjPx1K#rs6WGT zTi2Tmn-u$Alxpz@w%XQ!}1IG;PGXvoU!3NDJ7=Uja z_ZI5o;EY)G5?I0$dvQXBm4L3y20|8o(q{R>&78X$K1ab!1h^o?n(4$aurOU94bezO zhynO&W{s?IAT+5sY4i%0Zs%|7E;FrPw>sF=>eV0v>!shWJ6uxr%lvTq3g1DTEdL6Y zkb%%xYfrnpCfzHmg?vSuxgbp;_?`Tt(0$kuw4!yiT8OncqhKJ@gpjX|)E zu5%FQi~Rdh{!svbDjx=*Tm+dh@-#Vl64%7z?LzKFZq%TDEhOj~cO}P1*Krfg{vHti`g26vnK5Kc8l90R2vN{im9e(`aVwGne#a_w3%LLWL|zJ@Jsja?%UE z>5MCcyf0&EzaZ#vIwcSz&xw<0!P(FO9V(Ocmv?I)#6drO1q|#HAy2!!hVd$^g#=yW zu3d)U$Z9;KFk{4^6;x%l5NopRA%(rPkElCXq@Z8`US7ix;4u@e{ab;qiM~e}SUjUx z3Fyi!2wCJ>zJ!7Sc(FVLc+A4c)&%e869WtT+Gx#4MVc)k!~lGMrDHaWcZhfD$)RJJ zNaZXZlrD0+5vsy9EU#HI_EuA_8!O1H6m&!wUfBX<0A7qPP)A#ft?|6|0C&uP`A+1H z61E)rY0Kny&VBh#WG@+?Z6J0G+cgv0B&<*47!Jxad%`5&}0;tue{CX`m$tXDw3|0lF!0A4KQUgTPa3WJbE zt|cod7=VWbnMPI^Mz%ORLVBmsj8+ct{&nXKT0aEDz^~7WF5GUf>nm2Sx42smTy*E# zdX)R*Px~(2Dd&V2N{``!2m|o_!#AtnfN+}XS}ofjbbz_g&=F5WLD#rzITH#7;KlL~ z;4uqBS(AyAQ?)=3Z@#mRG+RQ90roJKQRIlwEAMxefj9k+G2nPpkFf7G|YZPLXo=SavqMK6?U zep`kJ*svWH12VKYX+L6(nVJu^hTq%l3ziUULC$hnCcJ z4a;kmPxCOaa8%f_y+Zq*!tfSrM94Tid~CwuD{`z&DoLLWXug^ZlQRRIb-G z$erunk00JrCV| zJSJp=k*7Zl-bu*yukEeAQ-*n-hVDKd6SBd`)8E$ZB;@+n_EGns>+@Wl;g3{^?ar%a z?@w3t+Mv_x6Y`HTOT~_igb8ziC)PZ3YqS6z;4vGX+Ap_t%}WkTQBbS|bY(Ua(*C4~ zwX=K~1q1Lhl|_qZK!sTtz?y{15O*z{P&mN*Z;FNs{^%E?wGp>C%6; zrPGx<;3dt#`{QwnFKM~@THouQSlz{2lZj1@ZrT0hS}(w7IKzXD@5RebL~De&q&YRy zeA3;XfyTd&s=HpXC?Fi*@iS=Fh(Y)Sql7wQpF5RzoT)HCjs9)E0hu&pc zkMbqVz)$#fgKhyYLG7WjHv`|l{{Sxy0iM|SrdH6z0Uk4cBAVEX3o@+)bY&KVEOISh zM!^8QSQ!F5W?^J&qK6Ly3nvr~GS+{`{nh)&9;sop6FZhpCP61!r-QCqwvb{0I>2Lg zlclp8W0wBlzWEvW{`e)|H@`+);P<~Zey@9j^F_w^UL3Eo!xl5{UWN!|fxi;aRg9gA zl+)r-Cj%gq%Tt76)xSLw{DPm4|3_-VAaHfcD?kLo*g(c3Rwxt!Er;T`$T-_~7LuzH#t zruK{|1Mu>gs39m9;g{@ba(GAR(Kmo%oV_VS?nQ3YpnfexZ;IxEU0mu9z8G{nR**SU zh&C&j6b3@$60%w#?M2~zclx^=CA85rsmE<-R!Sg(JNNN+q1(92gpBtH(TRr?K0b!_ zEq8^GH!J$IhM&!6z2}(Se7lCPS4jOdxgwK3_MAAG<)1Or4s70K#?Cl=9nk6{f3n@z z?v0z}P3CEr*X9XvNi%Aa@{9cY5vdsnjqlEaRtU_hC zdc0kgc!Z!rM%q`Qd>TJ>yiHXXN~{o)PGfr)jgeFY38B;lAl3^neef3#Z27c`j*r&B_699a~)`>3D`t)taKti?|#7}!tess(z^ zn^ALsC#H+dz`}Y&IT%@?Xzl-SuZt&?48V(d+T}INP+<_V$hBkz1q1M~pw-9S8%`4VLW>VY?W2YYE1nU(?nSO;s4#aF zt=kZN>(^QrOeh(E7Yn%;xt5{AAY_qi$qEVv;9)_gkrjrKEk1E_%4p>vPC7m4VNSCZ zX)vNRBkTZ=nZ6etx+cq%X7rj1Xv@qsEU#I2Vzd>kuDQXX$J_AJ$(dt^<8YC19z#q4D`YF1BO~}5tbr=(?N6)Vl=Oemh zcQ3+=jH&}XvC;zYVj=e;*D_R?!K?&y71Q@Q0}Dsh9UF@b!T}yXLXt)d!U7dxf9QpG zs2;akn-7u6j zVMmB^77lMTkQ;vN_Aei7)IQ#F$LQxBturB`9o7ZUlihX_GO1&-f&8ItWl49wir$uB zLN*xjX)@_%3KN)TxZKudunfS9h1`o=%TQqgvl7r%Om}+*8hsa4D_cVX z zfUaV1DtKcY`0@}{gC&+ALjc9I`C46Sk9HGbEeZ+;II?tE%EMmR49KHWesi1C+6#N( zuR(6oov`somKm>*0r>7{PtP?}m<{&2qFatM3p=p8mLj283FyjfAY|c|Z22x*S#@U8 z@Hq-*BESV9)=Vdcfra%5b1+iT$=*?z5n=$onpq=j90*M+P8#`Ig_otMMZru2xGG*W;L z@WjGa=B|b5ac`j>Ce$6^iRBi67Yn%;xt5{A1ZE|mtC((13@jW~cWf*Y2nTr8$p6y>I|JuGv-c0Z^vuY@0DS+D zPwF>du-BE#_7ZkrcP)!%TnP;waW@<2n%bc)r=NgAO~dEjCaBV93bAH7F$^qRFHrim z?Ra%WdSvus0Dj%lrB}RkJKxrQwpBRrn1N#k_MU-)0Uh9p`3Wf0EF4Z2Mpl@>Jj3yA zU2igMS};E=?hUM4f7Qx`k}@oqyVhpS(!I8C>$+P#Oh{SqZC!u;Y%;@jkGmEwC>-E* z_6enfU7QqLQZTSiU!_QZlL9K0{f0eF4sQWH`WV=Y`ie{|0bMJrg@mWvjk|VKoRJpr z2+*2AH>+R4I>4)}7Gh1_p^hJrCzP2jwDscPbx*b3lVH z^6y7f7ziy7;qtW0Ysg$#CB!Aos7bmauXgMqg?N-&&{~NAQ3Z1 ztP?@GKYz%CUryBkCtK zV_@AsqHr*Bh}qt)gU9`y658l1U|^SQo_2W+>7(UJ2#%tju5njdBIC$I3iaI>w4zJ2 zTn%b1aAeUZ6$*Um+2H^XLZ&tqneE(mzKj;8+p`jz5h=Q(h*K#Hl48V)!A;4o6 zhO#CTC#QOW^ncKjzBF4xQU>7r=P%7`WR3b>bm$r^QyPSiyR`&kT*LC3Wte!s_0H&v zXQW^R2H?f&1$z7^eHj+s0na;(ajzjR--+xr{_pl(xzp25uj?MeT)q?8YwXW9P}o9@ zoY)`gW0;A~ARXX|l@@>(3%M7$mZ8E7W+kAj*u*X$Ra>VDGZ+VW{JfMjVo)tmDYhwR z#2w&?O(?ChS+9WMywpV#N(SJ?LhePbWvDO+S>#%>f`S2fSdeLCg<)iiPaGy0tsLY+ z?;pNd{RV{7RM%?R{-6WQg@%rJ zA_}_3UCWtJFaR%>hX9XR7|NPVoSfnUX%6j4vn7NWfIn{QdY{YNgzy8Pi}eb2Ml6Uk z053)sXy=pNS#`Wl*!i~Z^Oj3TK54$sZ524VCrpn(2b;v^ncKpCCe>PF z;tTj^IKzX56S}(=4!IY(mZ8D|W+kAjnC|urH2QtKB3HJC0?Gki{|Y1zU3g-?HkZxv z-T&8$2*1J7J=t)ta_N4x@6!FBpD%NHlrLrm3J$#T_`wd{7bE)+sxy#e>1-+z3I}*% zegXiFFbhLjlLr(G zESyj{!0Rlbbbu!o7R+6Z7N7$>W&@!`#YwYQxO8d9rPHO*zd>MAesJIR41Bb|fBm*a z9_rW({7JWg)prfjdSX)>?1U`2as}uB59XV1s98AVUgTPa3JaK(fUaUXSqv;3PoEtd zivq#{9zTO-jTn#x`tJ9-L?m)7UBRp=pd!XrO*sqixO8t$zfRqtNAcojp#0^FlY9u) z87P0JXOUBa=KxPEoQ2%gXaPFFV>UcZ9k+GW-w4~JP3*-9tsKNDzn4o9nOrBeCJ~sg zRj6sS03F~l8!TP9oEavrfC@6L1axIK5K>Lxc+~P`6b!(h_Pni=;U4w!L`Od0n1N#k zcAbH}?hVeDR~2?(cdfzr_B9^83=zr#epunK{vaec6kk{qt!xG&0MgHlY&VBr01GFvruS#?_acLo0}*Cel5gWvF8(Zztv09 z;wxG?z-w74t;ixCt5n&o9&cAA9wDfZk@i(6rHUF5Z?LR0Y=w}=6@6wXnP~FsiTG~e z*DIucn%qY@KC#*iY{Jht<2cY&kQw_K0S9r4Y%UXzxAU~iYcqwoq!~5IDc_B;=MyR? z;h*GR&^l9yHTw|-1EJ+9YV`tHH-e9+nHvD<+oSYRXgy!6w|cx?%sb{&A!8k4>>)>H z;3qrT4LIto5b`$BOdTo5*zoy!IHEFEj{zE=PG5!quQJI{Jx#8l)x_iNzM#!YK-bD@ zAwk!;YnLH7vKkL5)YH)y#2w&ORtvEva%Ax*71|YJwLs5_A5e2JKA~U$zWPSU$Qng! z{}Z*YLAV)l*Wx-8E1?^qDuR$jt|i0!`NW_bD+un`st6-%yZ{-17xT5znvseu8x^U- ztz$b|FYzhEaeyaQS^!=wl12>Z0`=nC zS2z5tqV2^`jPPzE{=&oG%Ab#HFpWjGh7A(8Qhv}t20FkK3%i257M^zu^Zh>|1q1M6 zA@?HJGE^9ZEOISbLBRk#EXXvn!Z5PM*%`EVa>{7sAkO7~(4yIjG#F8u5q5ybES#pg zCd-s&^qLE3%Pa_4%{qJm`w*Dkij{?6DutMFBWnyaxFuJ8O%yRS21=fQqICrb;rgcgK&Vy zkC3Dh17B&aoyz;uSIJ^duia7o)@R_&sr={ADMw72i$)$KBI7eCpNJ^#ADbx zMXaxC(IT|~yjaM+$h8a=1|f@FOIC1x7pV4oP_Sp24_Y&_!Z5PMCr(ZotsFE3sKymX zL4*PLexj^?1Hx&lYqdQI0gJt_e*e=H1zJw7WE@?)smj|yZh5f!8GU)2qUeTVBf>sQ` zi`5JCyMIvKe13yIcU#$ve?}cH!*zfsR$2gFEGS*%T82I{AsG$k0@{jAyx*c~>(oak z#Nr7B1Mp(u?Iq0LD!&c!^^StXp_R52>+CI|&(+Ff;ZyGuv(6?2kxDxyfXH{l!hvT%Y813-K!uy9Uzv%0LF- zt8=Q6H4aYg#V(3QE<-FqLa`FimDxbZ$R!7BXZgYfq`MkEN5M=4xG;b<3zs48T9_Yzz?5alG;OSZw7vlx*Vkilmk4miA{|j_tvwA3H4{V+}35V z48V(p+>2bxP+wSG<3uhQP4H+TF$Uw?sh{4!83(ev&BpIxt0Flzxf&X!#A(+5XfiX4ZtHrJVbg;7S#xjj!w=fA03G0oZLoC5ZQZSwF6-rqE!2U> z3>-7C=M3z1Z&36SSYFx0UYzjaR|2{+3#aBquI2Nafl$-%ISOVXz=e^mnNAD?3)2PC z5RGJn7=W*4*2o$MLX(PkqydbRTvEtEu5%FQi~Rdh{!svbDjx=*Tm+dh@-#Vl64%7z?LzKFZq%TDEhOj~cO}P1{(M4x zW}$?$Y^XuzOd-~6*+U9xYLErT^~?>RnM4CWvG7A?>@%12WcTderb2}*Nj>q9!gA6J zz3GfAguE|fX}=)oa5^OrBhQJGXu;Xg0Uaun^_O>RAH+dFeFY5c6CqE#yoT{AtAzwz z>-7{w2!DeSfrp}0A60h5a2Nrt^Hepu8F=!8CX1{ zSPAILEC^ZTTE2vW0eG=I1bEEC$kqh!=Mw`9``T#DNJW}0A;bWDf2CtKi+6~3>dB#F znMma<9+WO}yAi6wH7u`LGWJ$et{W@JtQ2%a7+%=|WB^`_E|7(G!t+k!++&!_cOv%~ zdxtTfb{OMcLtMTS*=zjIHV`|8?V5>g64qzlF`UuZ0iIZC0eG>Hdy#7yD$HP30=kM# z?DA2yb*eCfae&9qOGzUJ)dK1Npe3=4xC1<~38hsw>lKji{|T)afENq77rB<9!XRXk zYsm@<2H;^qrjZqfkuA=SkltxDqm=`^f8BY5*3bVi@awap3%A?r`nvPV72WxEdwIJK zl=fY^Q?`SW9>WE%oB{a$;hWWOKsZfxt(NT%I>20L=!hqxpljTaq@gq2u~nf{?x67kWB^`_ERZg?MGt&A zGaxJZimw`8&N{49s-G`QS3i8UX2~YbN8}hLA~HA!cw(gm;Kf4jMXqJ2FoRhM=qfgG zK8mWXQ-v9f13Z3SN*Xa3E>QM}Rvo&)gwkO)Pd2Po=T{9UAOrAXA@?HJGE^9ZEOISb zLBRk#EXXvn!Z5PMCk~U0Ru1sWqY zGDOG*1E%SvyQL63P7y|r;erSQ@cqL#t6xDjSf*EKA!Sw79f zz`{{s$My>CdkVu_{4ELw7OofQlQyod3aoiT!2o?Xct*hTWfTm+%b!maEuH}tW??*Q5-vmB zwQxe=0MA}QkJPY6wphBNk|g~rT)MRH(xqFS;Q)`HL9<2- z=mP1o(Z~i%_jFP73YYFzJ1$+h%;QlWGjPnnjx%5X|6b!(Nl_9`m7Dl!v4=5N|IH7P5r`Y{fkJPY4V8_zQBzD*;`_*r`Z4jqZF~_rCk-^Il8$q%Tt76)xSLw{*bmekVe}#ACJAYeuS;6XQ za+umPq71;xW1@zjT!de;r^(?Rp+_GBdsBwoi`=L|{aT3L6wL*@xYQqfG3a)zAakY= zZB{TT41~rdWVJxri^6+7plN6csmE>TP>Ovj5$I=k?&IyAJItvtA#?gYx0!fI;p5|T z%kjzzA#Yan85c1ln)RMzM#HU}yj~&o)8vXw`q*>gWUhb4d^@n&ml-?b@O40|kJFF0 z^R&xr^Mtsh88u1yMgIMW)C`2ipSlIDXdR8u$kK-t#;gPX&D;%O&?`Eb9qPAwyj>h@ z%%?)eI>cDEPf{ZN?7vOV)zQidA?Y->ci|Z8JyK5U7=PqBaR|!;E&Bj3nymj9a1P?& zFw|GTKtd9Zxx-N7F8W8Sg?vGixo|oqG{=!ep}UU?y3jgWEyP;NaZY^QLF@XW#$@|*DPN`!2rBi9s)dOVR&o8 zju7Q6Oc#hH-;68zzT7PRT@#=0I{M~=r&qjmPn*x)aDeWAi=@YJLQ@9d`{yq`nvJYc z7t5h*uxx(_+r_wBOEAVYEU#IHiCsSa6n~}=ZB~E`z>C!j^urGp2`|RT38i&5>o&v; z*8!ebX#se#kb9A987j2bx zP+<_V$hBkz1q1M~Ak)YS!^jq&I5}msa)8$v%~lX(0KT6ntKWcdn(A6DQ>p{Zg@%rJ zA_}_3UCWtJFaR%>hX9XR7|NPV942&uSn`8AX5g5C&1Zlf!wJJO0Ds)p>8I!hHX-}o z)?rNSvh@5qaXzBU-Mt7eGO7;n#7Yami-p{aT+2{l2D1{-RczvX6jfWN3Nsi7c>D-S z8Zn>?)QfLlJ#Oo^pW_>hQ1qQLOmvsqIwoW&>lR+otzk6N7D6&cbbu!|u|G^5!$p(u ztJ;JZ1Mp%Y_afIa^ss0>2wCJ>GR?!l!jVej%R_)Sw5)Cz%9>0ZCUk*@$#3ZW z%ZEDI7CS~gN?@3f(Bu~Gc{0gPLMC-gHh8b^lwq@^JKvDo++#vE81!i}=T1T6wHbPJh5;(b=Sh-#A;-P3CuHGZtF5w2H?d) z?nSO;s4#(93Fs=OyFCMqzKg1rts#MOfLDDoW z53w=>;Re~}B%@#ezJJIk^&2qQ>&j(&2|KX6mPIoYk9N>wCt;}5u)8pPkJxr)Oz!S?Y z052ADFLEtIg$c|`KvyxgpDAbIsJdfgkw7@WTX}DQj%5h&#C)wn&B9?mjjS+%aeyb5 zTL4}xC@clzR zso#LXURQLtk(OZxcGt2b6e|H;nGJ+2+>$L{xS4ZT!{;cNi2xUbSTmg%1{S6Zq#+u~ z2r&R(&8(3%4umEZCyjiqLd_B+6e|H;nGJ+g6F45Ve7;tprs3nZj?MbP9W(IyW}x6D zIlvPOTba8S4qJ?o6(%sxaC}?Wn+%&4%+D3~1_iwx;EDNKg_?!eEZy;K-L04I6Bfh4 zE=~$ADHzzweMR8_ud?5;r^(@cphq7Adu3mdX(ga*Wwns-l)G`)j*2tVGU(p+UlDhJ zS6MB@n&_XyGN2DB^t5{XxGS}k2JTu1t5n(I$J_bkZSIEzpHwSU#Nmrln4q<@Cvgcv#9h8gk-Kl&K&H)X+$iE*^ zVIZ_Tgv-+|uOV|~l@OOSqbBK!yxOsc6yi~8L2D%fL?y&peZ`F;1EI5=xO{E2W}P@b zWX>;S^-*Y{Pd<%r8gEnRa0{0SS(JC;A%*YD%xuerl)>NcRl`(Ilfx196Phuw?jKP& z7&*jj@7BTN{!R&P^c66$Pv<@D@*2`d%asruMLk{PuCzqPk%tuOyD?}*muR^f)LP)k zqE9Lm7A>j;0;z_MCkG?nqhMfRRqJ46g&B+kJh9RO@M4~JdCf9Zn8BI7q2IBxvE(==#Ud-19_4i(Jc4VGy#& zwPXba1MsjQ)5r?L$QEZuh;kOrC>-GJZSVFTaKG`2F5G_O?L1P^EoJ9HcN#9;XX)rM zT=1G1fbSo^S^Wm^{eRj1paaZ>hK_h53cAK!%b8Fx056t@0FPN1%9>1^oah2+PnsK4qby~N`vrmx0YaxYgk^h3={9S-Wh%Ij1-K(0K6DoAPen;=bgs6 z$1s=gMD8*64r4y;Fvh)xxO^wF^Y~#4vC${?hk3_vMlJ_WD<7=Z5|zFGYSgws^lYT5pv z1I&enj(8#py2f40nNTnQFP4V@k69SXnoOLW;sR+7?MbsGgcyKtEVqUF$oKzcN`vrm zw?BBl9)v7%EziXJjUNDg304myD_MXHz>CoZvd~U=-f5hB4D*_9>&Pd~PxqM96A`k3 zjv2RgTL@vtF#V!V_Y8a8lgF@biW!X^;E9zMfENq77rB<9k4#8LgSmjVVw#76g`?_@ z?G;Rjg%b(};Kk?yA&`dvPmGCF&ce-X7Vd@%=cO)|P%;277IH6gEkhr*dl0h7wPXba z1MsjQ)5tzDAr_xFjb^lRfVZKQ-;(58>=b`mlsVO}idx?Rzo zujV&pn2-$yOp{BulaT9QTbXg%zJ8P$84e*cCTY;*-v-_to!2eoEoRoi=DB$yw{SSI z8d+fh^9*Nruy8_m*TNz9BG)ohSir0VbQROxo`FWck5}Z%)=)q>!0TUu{s%-2S1 zMz$jxEZx&(Okb-|vj&USDm1PoEtdivq#{9^ZzuMhtvyv^EtvmQH4<^k(UK)gGT~wwzs?@DA(s>{kmM z2YA;ko%KKPn1N#kcAJ5OksRQO`3Wf0G+KZT@R$uZku7fP3Wjxn$80Dho|nd>mM^1V z0RFhG(^=>(MA2DU0+yl1@x>XBTDFXW0r=y#PG{kOV+Q^RW`N!`Y;bRIzRYDfdAe&2 z#<#EW=w*md7WgXxUBw<+pwZ*oI-Q2`#Tk!kf*J~_m4L2d<4bp+Z|lB7n1eVt4D@P{ zfyBgqF$CoTJih5Y>F-k)#KC+(jVT4xHeWV#d^&v@0=&v3L-jPd zf>slcxBG%ND*;_AtAzwz|z$5urcS>pxB z0KAy5jn<4*WZ9@l6>c5d*?Ngj8IA)yvC;zYVj=e;*D_R?!K?&y74tV(s989w?$}sl z5DxJ85t1}wKo_VN-@f{q{^~Q%*1Jf&F8^Ek^N|f^wdmHcLE`b08DR%_VqsTs*TVCT zVZQ$-q+kGEEaYC~T80XPkVUQ~D<~L%hXt8NRv1RMI6H&(PEHxE9N=|EvlRpxfbS>D z>Ng;qrn*+kloCjn{h?omz$-( zYvS{sqwDhTIiP%xyz6kg4)(O`XwQSuV>ltq0Q_-V*SmMJ35h?&@7Su)x37c73y=YL zvA95f)OjMUS@VhWQOstYB4!W{@We_Bz>9_4i(Jc4VFt4j&{Zs)h1|7pRNb+$$RHfx z@gpQ@#DFePFMd>y88~KOw;9M7$pN02ZwR4g;d#d}zp710!2rBi$i2w53>5|;i(E@q zP%r=w3o?zYFpO;RiIY=CD+hR;(QE}l2H^XNvic1Or>U;hGNn4eTxjTsC!(Nh+_jtu z1q1M6c?j^Bg`uoTI5^z3a9kjrKbCwmuIT%6v-EdOeBOWb%@&Y+(wy$HbVSGo)BH4@ z^L9e?sMG=JF`N)$0Di`8oxLY?u^g=L)M+&A4~yJxgkC{~xTG01OQv}kbYlgXJq2Br z3ei{rG5{||7f2&Py>h)f&LG#q?Q9me;W8Wtcw(gm;Kf4jMXqJ&qjt|=Rsy<;`3Wf0 zEF4vLY_DKKESyj<053)t$U+<7pX=)zCcfQ{G0Z#aF(IQJ)&-}(t=mb+I{Cd`#oj4B zMymJtwvGxJ%DRPD^pG0Mw3CoA2{U7VGqc_1&0e#Rvc>Fu)!%S$k$GXx*DZuzhGa|; z1Mt;3)yNtLC-kCc+q%&*CZTx~5M(wGQeASe9?Q6Ml+@}Tgjln18RD*m=>lnpMlwPS zz*lo;WQ_x%NhQ^dmN5yCe)wdHn(+Q6)%`}8gjf?0WEO-3m-Sf6C3J9r$Bd5r1}zy_2D(5RqLGXc1Mq!~ zN&N;;p^dgP>4bJoK#*Aw5?t0}DVNZ}0Uk3tGFvs}ECXF2mi*w388~KO>lr8*zyY4v z2KNTXZC!syO*+jAejgWV)})|tfT!*Hq=pd@+hFOA+qzpXoh{XY#|#`Zu-^>OOV5n) z8Gvsb_ZI5o;7YjkE_eHlmemuQHvvIr10jtsURb}m+&M~WbqqqRnNAD?3)2PC5RGJn z7=W+l&d3@ELX(QC8!clJnl}MKW&Htl4OU@Q%GWF8jB}lXIA7%7kMfTK_*3~X0Ocacj4gPYTzXOVnk{=sAx+(p`J}hwV@>A7ewx#0k2Wt^$on!jLthYd=q}$zo)ag{3(kfP;?ySV z{}HT1-DWxCgR&aUR||1TTBE%dl0gmaT??^h%N|nLOZ|wtgGCAo2H;PpJOp^mL~H*O zHP&P)m(igK2r>&og3Ed=SUEwAM>Yvn4cO0KUJ{F`LCZ)Eha6 zL2RWHUPo|SNnHc0wR$b#*9p-P3XlPKF}gq&+6m7)jdPD-Zu6bUJ(SNH^J!MAm)Ynx z--#@K?O_YC{_jyRu<*QNIHR!xysIsY9Nb3w4892nUTv#{*r*8w1Mp(?0wv|+D>9Ov zH1$Mk@j6@AZ&1wK{|9}hoG@~58@+>&;5IrfqgM&BA=nY3oP{$A2Y9_G+|6zJuRb@I zu+;!X7jCQUch6gNOS!v@O$4O-EFIlF3SJ=t@cqL#tKWcm`+uV|r!(j#Ajm8T2`=lg zl*{Pg0FN0RSx-a^ECXF24bf}~AqL=&+d7+tKDOt#--=vu@FS%3deH^y#gFPS1IG;P zHv{ylcH(+<-Z7l&?8NEt~4KZ<;WVCXCCzcg=g5$RCHWRIHyxXK=Soib#fhVDKd6SBd`)8E$Z zB;@+n_Hp{Oef=PFG8{tY%u>J2pZ1-5)?c-dgqd}KCl*fR?rO9E9pEt=-fG_Dwyt2D zCLqXcC?tOO&w4E5%A0}?4)B--A=X4sE(|Q3P&mNrETMFOC+2GvY8ov-2YAc|LW_!H z&6aQljhlcVvw@K6XB(`?GA`X`>6VE+S|2lT%)r$%@EGpmEn&FRb=SgS18RsU;Gf|P z?`jJp2e*;FfNuhVSK9Y8PoD+jIKb9hRj66EH8b{PU9ZG2a&Q~T z3-~4=c%}Vn|E0T9@zMR5fnx^N%s@gX2Y6!Pj=)`w7N7$>X7hG4*0aeKM4Esgvmhk6 ztjAKWpo0TEW_08Sv}9lz5()=+oh6hG@WgzrLQSIu=m3uy70MdU=L(uO0YPR#NN`z? zrCdP=2YAfr$ZXY=vkVD^1H8kI88~L(PBXCAy}|h=uP^L4!EFptz&8QGEA0-qbx^$5 z(mh={J=Q&}Rd5?a6!1+z@Jc&w>#m%@qx&%fFJuN1bUMHj3wH$WYP0|y;4vHSX2-X6 zjW3(@K7fJEX+q%uud{^G0iKwzRj6sS03F~l8!TN>aje-AuAp%f5M(wG(wIQjZ!UMI zZ|kb3$>HPXGek20e>!~{f^rdlc{=GccNGL3y0hQNbK)>P|9%MYqRIMy0p|ekY6~L=m!+?T zd_gk@cvsshAvUTUS$cR?V1L-*YooQE(u}$TJTYBt1{T&M%E8DA_2_k|;%f*Wl+_v; zT?4B%IxVAvnnvd^ytQiHxmY-(aDdkt%~lX(0KT6ntKR^`kKqI@ z48YI$vq)<;Z~wQpGcoKQ!EGf8LW0}swS-?ML`Nt<2H?f$0%?T4j)^m8JDaBLAft4E zceRC)gWE`-!8ZZHt8JAK8#SR|0A7qPkcD=_>A(8iNyrAnyxSn>HITORk!EGc7LW0}qw2WRQ#DEn#70dh7=RZG3sjWc6^`4wU8j5PU`5|4!`^qftz$xlvTotcxUJhlNQQO? zcw*Q|DS)qzVIzB3v_5nH@AaFmhTS8$RsW()5E9&~(;8xx5F2RXD>Q`X&y#2rGJVt2ZCe%H(X*|(yuWP0dYc_G1&;_aoxDoR&AL>L~>=^YZfnh>I zlUumw$s{`onba}a;Jv<6hRu?0DMM~^j|tge(5K0qI|-T8G1=gYZb_5Pwr}vVgy_yW ze^T@u8Jp)J5!_*rd4J8w4Y)Lwb1xxNI!-qf^1Q$WqdLG73ny}SEgVj)Mpl@>Ji~2n z>%z#v#el>TxF#TYrM-(6G>l7co?=b`93h*8>jxn#9fp9BtsWJ)%;H$mI$QlQ`S$R`8 zSk5GLX##@G212S2iCB+i+&M~Wbq_+UnNAD?3)2PC5RGJn7=W+l&d3@ELX(QC8!clJ znl}MKW&d*wMxXnmWL%tQKNT^v_`dZ=P!>t=WSgs|C6w)~)a2Xql4zH_A@x?Y+D6 zYP!eHYr49XUK~&L-b2Wg292)}^01=MEG?srz8j717<|1#e#R_1h$BzBVvmSZ&Zhi( z9IFmS2K=t~AUS=cT!^o8eNa}TIV&Nm#({QPN(MEwdnLqLeZ{86KY)zjo~ME!);3@pn>6b?oXG0SBRGC2(6D?J~S)mYNp z*WhZr#yTzLf*RJp7GkY%Wa;4*L0Gh?76_yoI-VSie2;>Gh4tj=U}S|Ej03!@%?D+* zM*0lC2?$cNFH9Wp6 zbq%c6=(LPpCB%kcM~HG3&L|wp47K|p={`#rwh(*S z1qB1}{lhn_-++1hf1@*}Gw3ED$Sep6F6*(B%jn<$j~N|#;^agZNR!iS2_XjHtItb~ zta0A{U-uhf!s`fbt78xn+*Yq8{5l~zLIE-WFGd&0LObDkr*ZBv%x%6Cxrg#uV?NDl z^)egX<}a8QzjnHNOkA(dJBBl~IKaEw!pOmGq|e}+fZ)}(N{Ee`P%r>5Mi&TyJOp@R z6KBq5HtXHt%>94RXUYj92e;8X2nlYZ(=vLM5F29RFv)1;0PnD4296oH!wg{Opu0zb z7zW_`hi_KD0rU3%MrTfE&`m&)Sr8Ij)?+D`(ZK;8Gdl9b$tf<7=FsFcTSABd_{MTu zsE_mZ|9+3jdUzedZFLVqg4^n~gkL8_M<_rB;Kk?yS!gFb?=;RmhIvi5b>x%g``p$M zAsejijN7^`gwWk%;(B%R7}o7OW0D-;U2S3H;MVDxkc?&y@UFI1LTuE8f&q9jxA!i0`_^8!khhpz2b=0}B6ruq;lyfWg$2wroZ($On6Hi2jBH1ItwPN@u9>l?-k9sFVdUU8@)qz-K=4ZY)qR%k zO4UdAyUakj%c#eoSIt0r?yWV4jt@7O?rOXM9pEt=?q)Z+tt%L(2?#P93YodNu|y57 zyeWt{z+)DKSd#}73@n^bIKb;Hp>%*J=4%yd8ZAHvc+3Vui;82-mT(1)n}8s*fspFg z_^ih=F5PG8mWe!C-+2b~NV>CzWB99QfZjEPI|6rYxUc~=G!*a-@UFHna&Q~z3-~4= zc(tt(Vxwjh48V)g1!_lptwK$^%skH*Gv4_ey1Lg1Z81LN{q+XM7N8qluDL@B!%!ZrDaa-58D|x`&F|avJ zC>+Eozt^)^kJPY4V7^wNrqKd)fX8gGbVbFnW=pt&#!Wzw*+58R0$IPg+|IXkk9zi- zEAD7_%)l`Nx0?Za=^5?_+_iDT2GkH!z(2zo-qjXH4sIiT0pA1!ue9Uax)~D~)+)G- z1Oqv7b?Kpv@MNJ}9fvWVH~Nq&3=WAsN)r-n9^G_9F@gLd#R> z>IJfH1Rw2ooJ1B;|8Y_44I95?A#c;z#KwKT zxx^PVYyyIn)k3z{Rfzym3$bQ$Wa;5mfn70H3uL_nA5e2JKA~U${`j*|OPysRkFXn5bH6s;SwiAv#3f8fm&Ekd`g#)~+EsPx8M*0lC2?$|(&pU>F z>%ZNNWf(cQrmn&uB)C=cHN+|*HqgY$DWjExIO*5+R-vz>NP`mt@cl$t{RYh2{~Mh- zok2GNL1sZna9NL~Tt){6c+BX?eq4l_WvCY@|JA-5bkX-Edp$3oJMa4vKJB}5r}T=K z?rGnp>&|EVt|37S1MtUfoy|fY>s_rMeXg@JVnLJvc(HnueVf5I?iwb}oOL$KHN*_p z0p8UXMh)MW-IBTMSD+ zX-;=pIwE9)*E{33?sh`n%EH-P(qlN`^)UcHUA9a5I`kDYXk|1088vx^>j3X+3$G)%b$TWwqnQJ|t8JAK8#SR| z0A7qPkcBqDKiBi^F!AknjA7nUj|my=ur4_Lk>XB5*2(YnD&1b(qfV!Ld|O9_3{>63 zDSFI}rP@izn1q?JznR%?^Ja&Tg;V@hf5W}7(-zHNw~%lxViTBAFaTejQ;n=qPk0w7 zH@TQg7^MjaGP`Kt9}=-1%eZrt)ao9DShH{$;;x0s0(E~ENg{mJvg=8xI>2K#5SmmH zYqo?-Xxsz@nGJ+g>&JR5twreExQ|9m+;$ z;0KAyQCiT*0iKv%>@u)0J?<^k!-V=X+~&3}j2v7HNGySC0)kiCyVEKmHfs1B#$5{+ z6b`2hU#$Qg;EAzSQ_jL`gx==1E{q&pQ&(XE(*y*sv+}Ux(p@L@=zYw- zRT`^K2}~0ZWEQs7;IbY|xr7c5@R-q&-=HM}%TV7NTz>joN2EtaF9zWI8k71Bph6pM zXVMAnnt&j)ASAe~$5Jk#g9AKfbY!+_%2|ebfj+a;2lpao;14fC!=?k&{E!Ig07UGDZ9EvqLqZvukM20}LY%I6##(~hJ;_61rn1tp{K#|3Ya~p2m?j;M!cM<>lt(RnO{?{wyjB}lXIA7%7kMfTK_*3~X0Ocacj4gPYTzXOVn*9kb0vHG_Po?v<(Hc$Nk@=*z<6}+c#D1F7Xpc58S;+e`HbY+! zbm%VMMxGNV%?r+k4&u}%>;Dm~L)~UMq(Wpro)g3N-D;IbY|xr`1D@R-q&aU7f& zSO#Akt@YB15ecgHGif$>py}VrqO8YL|DceCw zcaMTs&H#M>@XhKsVBY@U=*;O1x(Ns}3qpd+dMxEKIyk^%Mn~2Y5d+ImFVOq%?5#qx zCG=td{(A;E2QT1KxDVna+ECK;_9;FU)sP~XFj+q&D#vMk#_>YML2>0*|1B;=i4W3K)dw5Nxd9vmwN-6Euj|!@H1}fY>;{Tzonds;f)sDItdlBy{?%; zv{?Z%053)t$U+<7-}gQ|y`(>1Dtnep$Ohw2f26pRkn3ODTYaYt^E?gReLN;)gOR7d zt=mb+^{?%tZb{eYxjMrkWa$+C>Cz5cwSS#LiX9mVv*rL#ES$*Q)o1}az+*PN)x61V zUBNg_K#kreb zyLd|$?sVbGC!Wt1HlSyISSfIy;SBFuv+wo8@a{I!7w}C$@JjoB=IOIw90z#)k6`l9 zg(nt1W)TK27;GI8k}REZ5)<>u(wdPK7BJ871n+7KBL}yUzJPB6f>+wFR?SE^Xhz8Z zyjWPEB2;(OA$r(Lnt}3PCjYecCB2+KCaqt;fBz47y=e&W#KIkcyBaM(2YAfp?PjcJ zlPicc0YPR#NN`z?rCdP=2YAfr$PZ}Az%nEh4stSk)1AAV)S5Jd`C5gVMhnmZ9y2PG zHJr~CG;IQc%z}{MvK~vhf({Pwn9-5hswrm~5()>Y>&Xx7VJ~h5zCX@&FK*4`$d&{5 z``?=IaOr8}2KNT%o6J+#ae~_zqJVD#f>+w!w{_i5pZ8k2rz@w&x`(w2Zexf7z6l6k zX?xz*iPR5Q%00RtGjPnn${9%L=KxPE+!45|(E@aU$85Np9pBb9K0nm^00uUv355f^ z&Js!ocw)X*p{CISbb!ZfuyjSmv1UuSg2qiikl8>;V***fx!lg*)?H*(Pm{yN5SOGi+G`=XPKXXtaBwgX8kdmO0%vS;#heeyYAjtX#3gBsby~~?HLQOv#9DpAe#SuPpJXfjAfGbc1pj-f1|1Y2%;9YHDnY8sJHQju#b#h(J)#_ptWb|$hbq2?@IhIvk~O)A`g9y8PLy?Wt*kPa6%^r;E&rnn}t4-U9BI!)Y%!a zAjkl`SXdyWkS!LwEX#J9ICF+<7=Ty?=K$|&3nK@&kv@ZO0)kiDDj_y%LcsvMSXiJU z)DPhIv*WhzW^?^PmM+~a+b~hlcgm2v%WWMKGL&@-ujny1n(3B8@;~eL9QToK{I@6> zSUeoVT_-P!R%h=2-fc!Lj2zr5K~W|M32xPC4Y5jy4K#6b%4p>v&ewki)wtp)h%f-( zPn6ZK!037Vf7N-6(8Nusdur2oqTgQEOd-~c9U;nDxPGI3s?*<$`IirMs$1?Db@m3s zgfyC7L!T!*?<8bW$7F-|`c4@(OS+{Dxy?N$WP?GUCUfp2WKzdugD=yk?a3TBX>bTx zI*ot5w8K{IU#SrLim71M9N>wC6S=z<4kuP4D@)`aS~|cJ z3wH$WT9_X97V2R_-2vX!7Df(kBYgtj1O%_PRYGi3zmE$w3l|g)avpnln}zC=MSTNb zt5CD>6+(N1tf_{PgWJfMz&8QGt8JAK8#OGLyB01e9K^};@35CS1804p!^_nE$F2JO zXL^jspAXrjWE2d*S9_0nJS=yQ_mm+m>${0b8?zxQ;@*)M8^kcSn0rWH@M_>MHtI~2li zN--g~9B}rFy19^_@iH95ktbcTN5m;-ulakN>l};>_+9Toa{5R)iR-Qq+AVW^P*$V4 zDj}-Ifp%Iz>h>Gd(C(EGYxNa}Ed!ymoVa{#v_?~RaC)^J)Uf`w5Nm}a zOAoII!lFgBKp@r7@#J9SdlU>TtS3(gBP+~c9N=ATJ}9d-(r55ZK=5h{Y8v@6cn5f= zQ)&TtF<%?4841iofG7601sZKE$qpt#!id2yP>*YhblTr)BgiAvOd%LX@*` zM&ST&Z@c~yWpBl^$1CA*nBDPZG%UKMybP^NRi>SnF55vFwh&WYP%r@BKYX+L4Vbt8 zH#&1VgKh$X%z}{MvK~vhj1CU)n9-3ZPEPd#z3=`9Et)N1Tn6B)&r6N0ao+x4_Zwlt z>j-YEV-OPDR<9-eIw3kj0WttDRxi-wKj|y5@D6z1VT^kXahvZ%W~=|*zAJZnnyu{R zHN4GtB6D81eY{YtDcwCLu2<`0m<^jjI>5Wy!pOmGq|e}+fZ)}(N{Ee`P%r>5RxMC@ ze0&QsBkBN8>}?A)T4ysl;LQC$^cr`=>j-Y6Zx9mPMyF-;Dj_z+#9@-r$^qX0qobjA z*bAD0ELy+3AiamwI0KKVah+e#k^%Vs;hWWOz`Xsx(V5d3bQ2I{7K8+s^;pVfbZ~&j zjE+2Uathl!zI(MBa&p%oVz6u<#Ce-eHV;4YBiW-Dg?4vej!PpETd+wvGtd;5E;qc zTxT=>8MVR8{r|bsoJtruxOE05WCvaIgjlPI!z81X1H28b{FWrY<&HtpQ;G_qEw3Vr zzCp%P>?CAN!n|0@b-SWlO3iP|Fd-WZm?oEQCn49rwld?ief=miG8{r?OwypqzYV-Q zI&I5SHL^K>tBK7 zp$kvU*G6lgJ_Bf^`n2R0OZQ~MU5R0>g4;-5z&8QGEA3bNF5M5^(p{&RTf!AIZUTbL212S|#D7s;#eJ=q4a|rS1J~T_<<1rF*(^daV1m zxpX^!Ti4CxSCkIo;JQSw1{p|9On(IEVB`=V-}IjJ_h}fr!t+5{jU}#ys2T^>X)zbn zu>Q3WYo))NW?0{$;4$nB_IM9ie8T%Ol2ij~Q>4UNwO;!tWNm`@57Lq{??OhA8WYMowx?Zx7w`pw7F$3^ozBXDjQt>%k|IZ|G18AD|!5as~wC zto${G9!;7uWaxb7WY)1u=+x6-MNUL{0^;0uul5{@Vo;PvLdj)b5G;Kzv~`xRJr{#QEtbOc=m z1U)lCjO+DijPNb0L6J#4Gs;U{cq>;T2I*E<|&B7`IH~3gH*7Q1)tP z)(PQp63_$iWaR>VmX-78*Sl!SEL5^Ft-!-Y_zLh&HuE}+Tc-DfL^M-?ce1S#BBKTr z9)Ks)_gKGT-GTYbz>oRLo98~;iIJzB_BYPD$P=W2)<}@oSwc zB$ss#FY%t6%CwP?DG3W>f3~pQ#hdLy8mIW>2aO#r)%?8*;r|%3{hnDSF{1DQd~r<` zvP8b%4UmRwY}u}X320jd1U(xx_+JuvJ=(Z~l~n6)gh(@<7!M?DJ~0yc^@D7UGD5+K zULJri=1#~GGogXSm5th%faX;|(6dZPv3_2UHtyLh_L2%cyk zx>Z7CRP#NIx)M$(6uJnXEddqak?C5QnuON~J2AZNgVc|w z>D_x@YX-h_=Q+WyrT=?8?k7G|i+>OzGZImF0KPbTge-B{X;$`|U;<)QK+rQI#JFCM zRxY4}0zA*$k#Eq_1IbX{8+1R7ym(H;U$rQifFTEXp1DH3hReBtrd2@DGb6;fUXNBT zpo0QD&)ku{Rbx&v6btl=w2h=8?GsRDefj?g-SCu)FUiG{S)T z5zh0W$c$`U4Cq(@R|N!5v@LJzNb1uQ>rFrfcx1X(rY7MvGq&H>6>lGzOuYp+H3=sa z3gkq-`hnhUpMiY_ww;0EqbG)sH2`1P_YxXocC4n+$K7$Gwt7JGDj?`tCgcJ?`5dey zPXst4M4Iu$cpzbSfjHzwB0?U3FXm3j5;LKJ#g&cPn1JS0K+v;HNM!=OeoMKHf2|v2 z@z1Ra@(G1M4SFEG_}6uXA=S8ycijto1$ZZ$4(ips^res&G*f_gvKcjAzEX&^I-u~N z|8Syf!!@+7*)6|EYtf~AT-xx`?cTDGcop%#zVwnT&HsLd9B{2uAmYj`p--J&!SrYqp zNuw>=yk#NxX=;YPAgIuPe4BVq95qk48Y+-e8m<3FunJ|Hc18#FYHq$#h)Uv`+pCc@ zYTVwX5NXEGd-Om)F@8ZS1$ezZpi~exq3{5F*To#*c}7~t->7*_TDgc0RY1@)BgD8~ zk5(?Cg91Fy+>x;lIu9g+t_{~lY29oA4LkrpUTK?6;yvOF?-a7wO2@no^ z4g-`>%m_{a-pOW0 zHf|+-1YZRNPqtM;WYmDd1Mp<|0!8K+xT??xyo)At63?^seTrh?`Cs&#BFxCft@JcP zj9cl{Mz0bgL(FWGh*k>l(nA-YQQ64$+q$z%H2njg_$zwz@q(WvW1i%YyYLbpDJ6ed z=5X2cefGe6ZgQxz385u>_=#)-_w-1R;Q{#Z_KoZp5SUqZ{uiC6h=^bn5X>#GYlIj# z@3GE{=%xTKx4^DDGGB;1kPPJleY%yUbF=Y49@Mf4e?%V6TwjmDQ!3_l7`M#q3R!kt zDw9nx>x6K^1oQwrS-C*`XM++vJN~tE5FgGy%7TQRkOkdZo6LWuxRH=n;br?arE#0zA3N8qzfU1ac1FO=D!?N% z7jktunt%%MJmU-A5zca3moQEh5cKS*Vd7_huisKG-6S+sfajSJB2D<>;(>$%3I%w7 z$$3U3hY=yuwK6r1CZGa5&oZHj#d*zIxP-=4K+v;HNbzSIUXL~|Y`b*fU(3?9iQHSi zf*H8qAE)>VR$-Nddp-$^A7>?(xxrLd!sY;~jGw?C;Q;SsGb0d!$VMaD?C3gZ}1q4sDA8ojFiL~<1 zbth`xyI*4lzTN-2;TqF^jxoQ!{~M$UIlv<`cLeHkGyxUhdA95{^Lkdfgh&+-^vnn` zuGgcLOX#2g&og)AJGAsbG6WO~bZhfj{)TTvav1H%bgfK{qY0=0&ofu3*Kj$P(6kB& zdS-+e*Xz;BC3H}L=b1aQw`$BuhJZqW9RBzLcDFY-17Gjgx;M9GBKYfHO1ag&!Qm|P zWKJC8R)$F6tAOB%w()J<{a1Vy^Kbaf&78XO8rRI&^NG{rj=V;QUBol!+VZxJ-Bzt&ZLY~uR>4`faQ3I%w*1(XW#$h=i! zj-v^v0MD~*=@N_cnze8VjjMp5XPJ=71bY3JavT3zH^?fUCWntC2Shyp-*umIV9vr1 zyN+)@6l{w%z8TrLZpKoGO5&Q^tC3tMga=935*`qZPyfXNaW5C{`90ddH{BMCNZq50 znqRKbTNZM24e^n07caLUi!;ApA?0K35>2}Ih&bkC#=b+L;7udV*a6#D!E4pb>7ZWC zOIHd}NnGOGoJ=l?u|Qf;SJ9KocFWvDH0=Z&^s_rp~T} zpaRwL`n^ZQF^6E+{qr1{GeGPA1(X83lg*55TrYhoe7^=g%js==#OItr%-9tL`Sr)0)n2Y2CwGzXyqb0D8Tc~3@=T*9m1T1 z-39WJUyS1$my2b;ZsNmrhrjgbfsd3+k8+*-&l?Z>Ae++N!vR`60KY%&MrL7*3r{ug zikOhc1MpttWaJfn^=fy4 z`3xl9r`}xywF_wL0r>s4PG(_@#i=$9YTdgcCWJizPi78TP9Yygylnezoy^*DH1k{O z7{M#R^LI$#h=5t3MC{Wjyg_xptvlN!Khn~Lvt`Q@rG8hB*{j^vc|yjb&Qnjk=O%NV zO-Q0`i^nOF#T?*~-PVAko!P@ejxce3;rT!6H(xa)8@K46m@z_(TXb4OtP&yvHQ(07 z{s41dM?z8$z}J>rV%or7Uq|uP)rg)|Krpw+uDK8yH}A2|<8c(qBpmZ+WM-Q<3v|J+ z-G2L6YCqeFQKqglo{)O$)se$&&y9r4>X>bC&+nAHS>Pij=PvGfLM|}q{I7Kz2|4|> zeVM;(pFYTv47-pevovn=Cu8TD^>-~KVP+NJk(mp*x)L@QRv`-vV2*H}+d4C{aWSA{ z0bCUjJkdVbPvn(+0lWgd;+rY`R3hPoLIGa)$e4f%@W^znOijXTX6!t-b!KGain;;= zm?|K6nx(r>76C|5?20=U_@>Yz!ztakR@iP zS-L43v@-!+s(_$pnULa}BCkgqcd(Lb-Hi}w#uMX#gw1y?qttFBqJanCi@6iB#7t;l zab=@6CZKs05cDh)a)G6Lwvs#%;EWJy=B?UjvfKr#4Y2e34D2&-nHhNGv@Wt69WQo}!l5WZd?My(IDj?`tCZzHS-RrlMJ6K7zjz)+yh{+A4D2&-t{F&3qyUeM&r%O0 zyzImYXnchI*SgVU$h2U7R{dHh9TN%#cx2wHF(=_QOSk`8ckZS8gvC&h&y@)uDLjys zdqJT9udtstJx#9YULjtBFiHtogJ+Hg%sV9enbZt?vrvwaBRYBmIqhBI9Lr8?J+{3#VPvT-Y!jS%Bj zI{npf`CsYm(-CwP5cJFlF|OC6m5b=00M9dbWWEr2AQ{R98vYAfZnl749)KTT zzc{auB`!Pv%YGA#c^$^Bbu>bZTkF-ruM@%}B%lZ2$;t(K_&a_D65aq08;o(SAyY0$#&x@74y@u!cO=S7&{wz)1(_`lIYPpBKVIxQdcqf|~*|?ST5quR8JlR$W zkx>H*55SWZ3zU8>m#K)T0z5MBqRE_ui)=z0TzLMQUem4_*|?RyMu>4Mo!aPCLS%@U zZ4%K+0p25Ew|xfo8Q69PaB{e(M}iIyz>l|YWWNH-&i_hhpN^obfS_kah;h9htz1M0 z1$dshBlCsG1Ib_)h;wkr%@z>y0DNV+B{arm=l{6JY~8#LD$sKTY2gopw>&x{ah za)-hL2?rDk@Old<72uKSTA3P06Ho!3XPMB%;=E=pTtee2Am~{pr1)!muSXjfuCsJ) zBKOw&4D2&-!VG+tzRq34MeYqwP&(?m>gY>IPz3}}HlwSOFM(Hpx8}BP_|xZo>0|~^ z!%dg&@ieV_@9WJ#`s3@3y^nm#45Yu}JwCcE2%~i7jzC>*lYk2FJj)M}{kE=hS8|8B z^FZb_pim%Z_|s=4xkeF~u9c~AGyxUhd6q3*VsT!x7A~Q26%h0+6H=K#uisK`y}{uua#tOF2}4%_!4qxkU+a3gTP@x5iPIz9U+2;DJX>uQFe`2*gkf}T1ilgAp zC(YP*2q?JC8c7}0tGUTaAu5S$Zm&ktsBwFjLZsO@C_EsVo=R6PkaTnKL2k#LNE7vk zO|383_$>>$4O0_Cdn|vx9(Gj3M->myxa+>;0Ix90P=A_S(RwNI1r4i!U}2?@%j+sc zfGCAXGum0ay$X;|jKu;;FTy+26od~bJOE$(m?vb3q;>p_TG^nT;mdXvYWa*1Dq8jNJcMPhn?qwbgXBSxL`z~0PkcoBOAApK7y|Tf+yQ5Au?(} z;Q@FucY!3d5pMjiJ~w(*GR%ifN85a`*YeLtF7Uz=@3{*kuBCkBKm;nlBQsx)sVm`S zdwAjbFDVzI8QHj%Bu0pFE1lZtRYGKlnTu0ID+O|f|ALnLIubSLJODpV6xpx9vh%;v z*{37uDj?{Y5n^1gM=KZ6K>?m;?#Q|?OiePB3lx90?E#(med)cPx6d86eF>koUAZp2 zY!hdJ0_X7txCoc{eR+}8S5JD_R>8wh?&NdiC5Cmr zX%1IeI!DL_Uhjh2y7LLS?S{uGx_da_^?3k(!EK$~M=U%4CFMdir-yONB(9Ll>sly; znH*55SXM z;Eg7UUHma$dGpZuPK-S7q~{5l?6594|CQoKLe|M2^-A(i88KA7#;(7=+s zW-VMm<0>HNStg`dKd(m{_iQEAx*H+Vj3>qe36~3W|3l7G8)WBS?+omZQ+&N^Go$Q@ z;nkm2|GdGy(O4!}^5*lGZ{Rro|turGV7XvyLz*Pak6YWE{N{EbVz7SGZ!U=^! z7vZxdpaMKHT`N{q}QT4}qG z4ro^e1U)lCjO+Di&~@w zk*|KT5<9-z85n)sdAG0e4Zg7aqi2*?z{L^nk2Q(v^=o`&_pwot9joaq>mQK53J7|Z z3Aw;eJ_jqw69LW$k!CzG9!NM}AmJt=5it+I7jq|MiJ8#A;>t#COhEH0Am~{pq%whC zzop#9zt#=1_%9I@i8uUPV{T>R1A=S8ycijto1$ZZ$4(ips^res&G*f_gvKcjA zzEX&^I-u~NlTVMjHe5sNn%(kyv=&{;$E6J~-R><5iB}Q->q{@m(){mN$N^VD1#-U7 z|G%OCy8ymRj~Os$fo81XX>zuA%{L<(*X>mbxxB80LZsR6;X{B2MAK90bZxlirUset z;e>C(t4$ULzFpYv;?3T&koz<>Jzo%1=s&(qJSUEtCtM8`$SIB1|07t1vQ0aqgL*YL zUnxW-an0@3NE$V6?^1{~+dN1WlELKa); znAc(4T2j^E)oQ(3_;o^fgaq^eJej*d650q48;x^~Vb1fL$TgHN8uNKktGC(cJim!7 zf9;>8sX0P~yhq`IgqQ8%h{g)=PBt^LaVzN~_$nZHvaJ##qXrZnfF~;!X!tK^MMM?g zk$D$Q<|JHX6WZXy^WXHEcFoAft@JfQj9cl{Mz0bgLwGxcISEG;3h@46;{*Bw27RBF zc;PmCZ7&!NH*_w-13JNCkK&n;F@-mGlvO6%ahxRtb?&0}2noler6o zK+FLinRn4-PQuk}67F%~`JeTfbIi!bt@JiRj9cl{Mz0bgL$u%4r3YEIHi_$7@3(d9 z=PvIVf5mUUoIICzC=*@hw$2e!N;{cZa9ejyA$Xi3%so94A|8OR9kLQ*42;Xp|53O3 zYDAMNAedWV*9b9g-ea8?(M8QWWEr2AQ{R98tx6;Y&?($wQRy4Q8x6l^Z(ds zNyWSl3L4hunTFO!ryQ0aH;n1T1ddGDZnE$7jktu znt%%MJj-u2hub>y$wFO8kx;AzboDG3QvasNYbW_43J<_{=_YY;1XMgT14t9|F+^Pn z2NVkM`k#E1lyf(F9b0=UFB+u_S7yYh`MZBB59b=;~P}#Q(F6Y7@y9QFs8J z{_9`~g#tX!%qY@?C&mK_2NVi$e$IKo@AkH4V1JzA+gh)Y+Iv0;i|?7Gg*nR9m9V?- zN4ce%P#oa^k4(lj$8fKO<0k;RI+nn#1au|y&NJpX`nA1$GFw6dr2x-Ah9gG=%-1Y^ z1gsm;wK6s7xMt~|`!Q!OqjnO^P}A-(geepJm4L2f(@Xawt6Dl0n7}B&YhJp$7^T%qsrwr)Hb$(xCRb7!P^>4u+thOB$}c4pw~*~d7%o!9dH zQu_5T<@IiLZ*Ul0pR&ynGwlvTm@>g%3Ft~TeW-1GTQ~ga^HxjuEORjT?dY3a zx-D<(Xc`}fsocw!8Q^W-(qV7A&pC5P7 zZGxF(_7(c*`Ij!SLQ1c<;HD-+Clo6IT|LX+Lv2@6$rn+00DkLV>v&9p;%Rc2&;z0# zfbY6bIWTA8hh4`v9}3h0?+D&~9>|;0`sm&bJM+k#q2dW?N;~tA!iR^2 z*;WX-SqntHRy_Z;>3W|8+RqDMTeLs8PBv^#5;=)&rt{hyHK0qP4YBh_v{Gqs9ZGzonz}kxB*W zQ^A|12SBC2&{&1S9y*UbHcQ=k&gx@AR9qohiy0?&)5rL2m`TuwR|pB+)Y+8~RG>OW z@*WY#9D-f<&vRhT0ImNQPzvN=W%w0%Kp_g-+-9h07yYf3LcXBMQs}yX=Ga*-^!HIl z7g}2@g-DBUQFtI9#&vDDHp&`NSAa*xi|v7gdG9C)Ss-a0-wO2cfYJl-WSX|UCK(De zLYiDjmQZ*A9%i%(S)dtN;(XG0AmNBY0bXxzwuGPu;Kzv~`xW4GMxk%ziDXXV5yeVC zSI<;Kdrk5M6dr&li#fpa%nUD0ydA=vgxv-5l3$EV{Jy+c`l}{BTy^w|4<6p}(mh{% z_VNMxAIaT49MIGQ@Z;+j?`9!ODd=D3)4O9{fLhW46dm^tO`mwO9^aI*yT z06bZ_Ku=E+F)v2Q8Kq@5>6T-Js{oHI)c`!1$=&2ih5{p)m4L2fGw(O6S~?XN!6?A< zcSzufK)FDl|6&y0pxS@ox4}r;5Ak8!Ava#RZvJ0=Zu|PrI9lSP;fx9AQay5m2vmSa zHgi6ddsw>VXs%0rFrf4RJekSeyFm1i zBA!Bt?zqpuJ_B3MfV+nS6nFrBzpazsH^#@7J=J8M3iPgs39UQ;Pv$NVAFy7wku-B< zn#v|I#fYi`JhD^+@MK15lPehtj9^v*x{`U9BIYD)RrjndA_xU|{tgKo5ikpshmEnb?2GnNPjtcwBBN{Cm1F^h2jIzK4)Ah|?3$sZ z$;>vf3)HV$p8jm!Zy(E4iMLP5UzG8LTwuV%Y|f2@%<7nJaL@0Qyji%$(s@F1S!eN{ zXR~Z1WLC#)gD-qA%r?7xgI!4L9REpchfB48*Fq9zO#vR6xtyviVRK;>vcLf52*Yh% z1nU8KGLyT>l?(+2Fe?FF$@sMQfTJI*YGz9apcLR0-%RPJ5(y_13h=r|#spM=N2Y6K zY7#c{DP(~G%n^p$x(L<-@MI=;lPeht3}99Qx{}@00DQW$s=+!Iz$w66v2^L-Q6^CO z@Ay_`;9+>2VtOlzcb&%>2z(Mg+3%Sp9#MDze!S%a`xVH}y2M8gwKONNx{@WJPIE2KFnE2@Ncc zTIyPvnq&zma!9#rq9YewJu8p!d7+9+9H5ZfVbk_ zpnH1ajtQs$kL2$_S?FEm$wwq*0ZKV*EE)V z=4MV^4j-&SPXstOw|5LPCt1pSgW*q~%Lw&|=;Z(0G&pRgDT^5G=mBZUXDaxW+p;1%|B z?`d*)3-IpqKwjAkGUX6**F;Ayx)xRnF;BUhc4b!_kk*6#z5jx^0=&XXA=2an3J=_e z6lz-DKk8B~gv?#*V3i7Me!Lxj{4LTn5w4Jy3^NZYjB#PI6+$lZkiuEHU(L4IsKNUc z@*QSSfgF0$mG_7^=486R#$Htr(%@I42g#+!KKO;oZ&3GuXnF{jrfshwb76%LRy`7NE+zXA{B+j&jf zUPF3ou@Hh?G}1NgQcGl+kL2ziPI%28fFEz)$bJR<^S|wU-~pCG zMTfl*8C}z^XHX8qLw_UmJ zd85~LjbVo0M7A3HvkYX85FuyIhjI^lq9aHJcx0&t;K@wxCRZ{P7{ROrbS0ZP<*jPz zRA2<70MEZJ1&#<53pD(Pkt5;?@W^JA7TKhiL33T|qXDG{;K@wxCRZ{PXoNJmk}RR{ z06fgd6tX}wvczY$Nkl6Jc#nYH_8Hh`VA~nM{&n|of({SBkGF4RzXIkm)wgOpA9#SJ zP|;y8L`K)ND>(xS55SYf9N>9ohLR>T7bm+woP&G1*#bfyfUhjKgvRKf|7}W*@M(8E z__%I_G`W&z=KbbxfUyLrn~|AJKo7u^ISX{pc_h369yS=`T0?AnTle{rOXqyk{Ct&p zb%b0%$Aa6sa|#(Ar|9ir_lG(@GvswUdpMsEJ`^K31$bns2H?p|?j~0p}+)YC7>(W zT@5(;qivV&sb4xCdxtkP19+TbdP915sr?LuF7YAQbpeb5JTh~Xsmsv>RDkDMe%1}Q zb$a-knxsf5RsyBo@GK4i=$>{!PJ!$3B^+QMcx>mXPFTHukou*Bws|~0r)OlXX$wC9p1+1XfqVCU=u78465bRsy<`-PM4j z#TneQwn!ip;Q7aJlwpVUxSbl?(+Y zFe?FF$zIjcJ&L9s-`)(QKYn|fcg2{2^jCZobpeh7JTh~Xsmsv>RDkDMe%9@`b(JgP z%vl^TwF=}6fBLK>*C+zhwK6r1CZGa5&$6XUtdN@Nb<@-&MMAL>(ABd{NHKxaQOOrk zcmRIG+d7%D;o}MqzQdcJ0e+~$n|~GGlNYeny}@CaPjdpRD-EWPuj%M8geepJm4L2f zeA;`!(XD^2<3aad>t@!ppCxbAnB(}yzt;7dUQjBKgKfZ{20fq{*$;AH&cMUX=t+N{ z`XDZ{2h=_2H-^bQ<)(E)Sj|$94<`wel0#sZXg;=#Ori^OXM|dL&nN8vmO4=Qh5kPR zc-Anju#~ts6^3+Ko^w6kE=xM&xI$+7WZ@7pw{ULw$S>%ESRv$LMW15aX5y#KJucMY z{R%0cCiju{Csx}7ncf3FEGW2hPBZo$0t)0LI$UNRZ>MS7YYT;_qy;s~IrEUhZ>fpV zx=@HT`v!#vMAHZUa)G3qgAaVMa{_G?KMKuuIoIRuY~Cr~6*ASq#_pnJGC#=0F5_Hh zg^=6eX6i}WWBK#-u%jZ5Zx7J8>%QawuQ19`JWVd4)y(7VzM#!YK-a=bAx77FBNv#&bm4b>A^DM+2vmSaW=;ilCA?q{Q$zp!4@ltwcruf_ z$(0NR8X--tBugkf01q=Vg)GpFEOGA&I=VPTv{E2v_%CR=*%CFFP#O_dfae*%7Zv&@ zZAv40Ed{joOf|IEBws+`0eG^Q13b^n@Y3WKg$EKY7byO0+XFiB`%=`rH#}_nfTYLYXc@Blnn%mJQfW;|&!vrU`@3Y^Cq;38b&_vJ-WUp?tzTLlk4xs%V4ml)Rh zra4??=^P;!c)bg5>&_?Swi_O&=pp@Z|htkoI3$M08dsf&}Rww^E;!o%+@Cx3T_bLDZnF3H2_a$ayPk>VT_)T zhz3gmZOLY?M^?3T8lxvf;sJ#R;K|I}OUR4ikNK76>Cbm!QAdhdi@G z|Gj)WGq68S@$IaYQTjwaZO{Z-0Up`Rp_ZM5(!;?3?g+zeT?Fd^_~Dm(Gr&?;QWO}# ztORr=Ge?=a9R1*5#5gvF07n5{@y(Q8RVAEID9F@-8<8Al?jlP!+}1@5;Q@HErOV{C zlMDq0Fe?FF$#ktu4Z(D0RfBabfK!0iymZoO$9)F&8Q63NHoiAFzP6dmXh6f2fUcf- zXX{c<^63T0)cWB9N(FeHnL(wAIf2!caCvVq{ONNUp&k*vJODq|7}&3XE415}4%5YR zBlD(fWoi;G7wEGDc6@^~@SD13Uj2G_k6d>Ke)GuVC!hj6x;2%AznMMY=$5y2G~m+{kD7oA@W?K(bo*^x@%E9qHlKUcm2g6# zKu+YVAL!lQ@C@)Cd&By_r_!_Xg6f5{Za<0KS-6Axq4JhC$6cT)K^a zts7>V{}Mq#b_IVL^gw$39twpa)wqmz-3xpLaw7ddVF^7T8f&d-+iTRluu{ki+AIZX z3c(-f|1R|JeWMkvt(8Kg#Q}u}G))NU+HegNTkOkGam$-!M69YtuU7~jtK7{^Qs+#y zcxs-MrZdQm)N~s}<9rj_BChCTbF}-vZtrrL9`9Gk0arlW5=H(#?+cRtwL#cvE)Wf;EUaeI7ihL(vo=QA%#gk0?ub#A>=+yrTv1SLe~Wl z6VHjGXu{P{0UZjX^{0317|20Cegz)Luf>|Sy@v4$D}@+c)2@6B!Op5Yq%dMcqZL$P zr4VV-_K?C++6UAXB$7~g0G?h$4)8o9t>e46zR5jG4)LQlNJckXK*$5||TmS=RBglor{fmqGvh4`}59cruf_$(0NR8X--tBugkf01q=Vg)GpF zEb*DkXhbUoc>l2R0nJ|mV&L~@i5G6O*Y&dT$|XMeHhX!S4ivUsy5UE1cMm7Lau2|d zw{K*>0_HN+w`w~dcz~r)(P1w{M%T0}IRgq0z>~!s;CW_-k|r}3r*eUY|1h$fEg-1} z;P>0Q(Wf|>kkMBfJkvc}6udhXIG%tWfF~;#sQ=4n3GcYiz&-;T&VX)TuF1$V*Q0U| zdx9fK1$bns2H?p|?j~0<6d1v*1au{vxgJ^7(y71*Mgg9GT?!l#a2E)nm;*er8Ku>1 z(!0m<`ielP0eCW#yUCRd1sWkut|Ut+JOB?fGKDPAj4bh)Z4%K+0bY6#0`)CyzpXph zEXQB*Ti{&j@;ry!g_ro`EBVVZhs&n#vj^UDlS7?T2p*>hbN6sU!~^i-?Hk!IATYxN zDD<9R|ZF!KDbbsGse{k47MGwAd@Cui7& zv`*pgw|2Nx`*$rQVAd4ikyWqU98Ew4c%J2#_Tjd!`jJCYBor$FT|LW%jJ`Tv5RiNk zg$Lm2pHCz%j)011W;|(PK8C0(;ebK`UgSI@lEa9Qoqy>POQO{8aOuLfOBa4FOUEm< z!&{nx{c(zKX|*z~_k1Q6ck$9@=1_Bw>?}K+l)(WWZ2By2yAZ7rVsy>8qPgSK-UE(* zZB_TZY>_}H!1J%5ks|`;8;m{z){R_X>7EBQ?{MjUwBgdFVIKE#pMiY_wwr;C?+uPG zZ00OZXt)y4)iZNNY;q-EMBxE=vXlcn&&;fve)1Wz?&aH=fv@w&IJ_O<-+QHB|5B!4t9ygPFyqr_ zvHg^7j+kk87{ZhZ{z^bsvgt!@u4GuhpF7leFpX! z*med2hEsq?Hhn!xXrchmv;4ZW|5{f(vqC0q`Z79Nn_woHeT6=H{-sN-kkY@yJMxWx zt?SpwJ_Apt_JF7d;OPlbIWTA8hu+iV@Q&c!=YhN_P3|VwYGA(jZ%K0|9^wj9uSR3sTr+kZOxw%|M`Uc%tA`=Eghwg zR4Ul4nSZnN0LXe-2dhxnxgKv?#u+43Tns(9OS}EiUnkNfulm}P} z6&;;wXs=1mfWiatWHASLo|)mLiMKONxERujK3*YsQV{o>s$WQn|33VnmN^T8Y!({3q27}d~TlMFMby!~=- zp%8ACfF6J+D;MbLNh0RO2sxv)%qHD(jBpj;k);}dCo{R5T***i1hW#*m2BqyW>rh4 z0wWj&c>WFv91$oN=<{EU!qqB&Cay+X0`vdsvnAW>YWrbZIg3{AjFRU6)#n)p+cs6= zqhZ4_A9<_@RDee|b3T-NSi0qCu1kF|p!5JdnaSPcN`?ZBkS14>B@`ZjhZ&hd7HCG6 z_{_yAqLl(U!+#jr&6cRagwlwx0zA*mWvXw|rZl40Qb1eJjF2W*@&yzgfG3MN!1K(E zEKP1vcp%|&fg(?#M0eb0V4s04XTaUV0SY_-zu(psUo&odTZbjU0qb60XRb%?awB18 z5uO4(vQz``WF~i$D;WxmU{(UUl6jXR<|J%Y_pB`<2nBfl4hb9)FbkB3eHw)~sP4CQ zXPe~53oc#acSkX+U|yu?StWTtZp86Kw?F`@!IvfCPPv@?5H$Pp&4m!E1u zhZ=w5p5LU zu!7bs7DM(?+e zWwONEr{pinctS2P;9)lBMnYzF%r>~^cS_zYTx01xA-Sxxc+az0E+Aw)$Nx`vYp3_2 z`}-fraWgA!+~5lz3}fa>_3wv}hnqjVx$CcgI?=!WtzAux)oXuJ4{aNHr$Sz678CuC z3&4YZe8;ZAb2n3>pX=^BxB{pYpFf2xFn~G2a9bC_dH|lRdeL4GkPHO|Fe?FF$@mTI z0Y^Vr)y$R~P z7ZI!n;K@wxCRZ{P7{IIqbS2}7@c_YeXH|oBEPzvhw_@qi!=p@~^rwuU{$n>xFSr1> z|I`2T1?6t9W(FR*;&F<1@pnUFfuoNuq5HS=m*%|bUXAwey^zqahu$FnV|Nq#Y2gxZ zAOD>2=u#aPa)9SqcGe|6ZK#>~U`Sb#0Y8*aT!JY_k znwD$Qj3>qe3F`vc&yX7V|AtFl>>_#r;&1;vKBxxK~WRY=T zuWva6i5FT&jQ>XK$J)a${w5rqz4A(C04sOjC8+7)rr(iw$3rcpe}%ni4>fn+BT;4n zo&r3wSOf56CikT4Uza4$2m|UX0bR-XZSH}Dt?Hh&MF61yZ^gYq_jLOi9n%qBZX@b! zx>o-IU$CevVKbk7CtCy%3h>Bc4ZxF`+>U$iaMDdO6d1rPgk;*GE7?s6cg%6LP~Ee( z2p|;TtysF@Cm-n!{Pf?;KS@*uwO8{W=E!Azue}35&|x$MQU5}ncbSC#P~LE(c6;^8 zGjRALK6dD8M@I0_{bP5_pLxlTAO+H~MwQ+IN(FeHW#?KNju$>{!guV)7SkoE=d!Lg+KAH{v?#uM^->IqT=etdIWBt6CM@LPhqXkDB*LKYan z9AW>pZZsJ(EtsDb_Xg5!ST%Sr|AqXe8S2AYrS9%eM=nAzb9E51zyRh5!_P?(tOwx9 zOztLEG87oVtORr=yQu;AwC%5T7!vj=2bt9br4B?i?jBx%3h)a1x%V_Vyd!w`c_6d< zf=o5wkwYzto~4yS%v0`i_cnJaA3l;CkT-`1c4?X@Vg-XLtP~n04T&+C zxrLycPa@FXY-M6fajwVP^=gU>v!~J(;x%M0m672gg&Zk|jIr$EGIK&w*=y-T3fCH9{1M;wBc9afeil`Q2y)ql>jz3@R^uJO|E1p&x**j(mgy{q=c~O zEzGH)t~B6wD`6PImYI;^x-=b?Tmgj#;K^bR@H{g^NfW&|nVN)kfrcY-_%CQ_w%^fz z02a`T;mZ%G;2J~6R%R34AaCIT;t(|Y)RhJ%cau8|Vaq{7aY39k^k^_oJYdIK!1b6^QaX1{j;%qLOq_)_qBKBFJ!k9`L|}}y7XUv zbu)aqf0Xg@FYkYFbWWwY>mTCZ=%;auzB}0J`%t}C4GCkH!O~O`n&)On_P=GhS8im}2M|NBwsnHDnS^^P; zz(3<@f`3#Ry4w)c0M9dXnd+OEQ$bycM-)}~)5$1; zo*5xcuH*|SJOED?bAacW8Cja#qVPb%!vgh7C0A91!jO&ro&Upe69x_u-2ET_GwnLK zKLzw=vkkl{spt42&__Ly%t_qjZgTq(ioytKawVC*!Az|m%g8Jg^n@9i*#z_eJlU{7 zeGSw-=RvKvpWSp}s1If6ez)g_)^P|mmWRgnZ>jmFw{u~yarw@0B-AKcj|rI4Sw=W_O|Z9*~9sS z@OnpZ3h>BM4ZxF`+)b`z7^5d7qQO!?TQbh$frPE}HWO|E1ZqbJ1Znsy~wLg4{;n2{;u z7(F2pHy2NJB^*&GbOFAx^k2RhhFu=h3)H#vODER;cNtESM#>e^m}5cnG&@HZ7V__Z z&YLTjdefhlIXmNp=rp*-(z!w$Z6{J;cIh?}GI|}cJN!%Dz$0!!H7&SoFGzEGlkc~X zKUgt=|FojdMY_CGAz#aHIebVe$PDV0`#;^#*JbKTSg)j0TQZ^X5f1QRe7JZZVUxSb zl?(+YFe?FF$*P}oIr{bJBlz+e;jZIPAQ6lLJThGyu7Uauz>SR7>UaKMO!7IF?wLm; z@K%jEY0$J*rtvU@DHHsafUacIOZTH~m+tAah?>MN|K@*V(UXn#;I=b>$0?@SAyfDF zvu*YUo6bO>r_IWM0fhoQveBXTlDP=VlBZ)070>e1Z1^Hb4_{N06bZ#jKv&OlA@*>a zj!M3W!UOR1uMiU#M?l3hGn6#pW6c8z2NVkMV80%dfhmDu=|ZBru`pUm4kw@jJkK(r ziN#Sfvta5)X2Y zYuP~oV=KTTJFXRO>qah|VrGRbF`JWg*EcW=rmn;jij{z_o@GK7-OOnZ$rn+00DkM+ zI{A#kBTvEMU-pux8tt*`&j7#Kav8rH*H%ms2$+|4|Y6@ZB4=^catj_3QS;D0=km%Y3~6?xBj(`2leh6D4=V_e;TT;bTaeV zXR<{CafD}huy?q08~<7-^Vq$hR3Haa%bx}ZNF$eGWc=&8f{;1VH#MKYD3F7sLfYL-$h()4)+ zt5n#`1FK@RJqp4$nD<>F^WC&X2wL}QH(1sMwnE5BU;L*9n%pKob=fPB?^j6qG`Wwo zKk*J>h!3}6WfP&%Q zJ^aVM#xD5rtpg6$s*%?5tw7(7KahDKan86B`Vor42x)R98LkkW2mM$^aL*Qn8JXh= z=mB^#T^p_m$(UtJeLzKi{81QdmUul|-=B*JRDeg8Y5<0B^i6LhiyN%N9r|2jf_c#Q)XgzH)NZD86y21>ZRT;T7oT_xM=H7yf)R zX4ckU%Re7ktACc?`jT%!K$0aC9)O1#nL-w5#*?^SoJ>u^5rqOd{9n+bGRww&iA?nW9%A={ zkqdsDD6(IHJMc%~7e|EAr>HM@-cs%yX(DS>DFg>wLK5pDqHk7n6&l&;wNX)^^TYB`Ak!^G)?~_xx0r0 zk}!P4lN!_oZw^_ZJmkev=$n{RL0yTP^P$Q0M1WHb?KR1%$GWNYLmHVqTNGw^jwhf8 z;K_;w`gwekh?i}AJ^F8{naY(uMK+-gM)(Tw$Wjf!lbPI2u4E`Mf>{aZN~UixQtpv$Xpb^sKO0tB)1Mn~-SjYm+ z$P(A9k*P^IqELX>o0}~m2)IlCmTnaU$ zoc!AwoUR&~#g3QvfH z0}2nolbN>{F+X5_7Cv#$*=BizAM@+WxfOqxktbN=c|z9Ynd#$v8EkrNBxE$|ZTAMg#PxM;p z3`=;)3h>Bg4mJ14mYyRFs2^eYA}E6O06dw=-Q-G!0t1+pfUaaTQ$B$2K3LVvmJmQG zz$?C)GMCZO+9Yls838E=cw`q?y5Wy7Bl3Fyo~&uDOk>GVU;wic(3Na*>C&B5Eu9Js zU=-jrFP(JS@omn)HNM<l2Hxd!@0DLjCLY9~b4J?ity~Cy3_}98& zrui=s6l7}o)1U{^>-SJ745`Ltyz5@zE07cE{|QUz0nu1%P1|0h?uC^?UeIPKP*Vv0 zK>v54|FXkqMQdxN5NUBh;Q>t(Lb^6w168wI-Ym7~Qa*YOL-1JTmOkE2d(7A3e3R)G zDNV=k_!yaPgJ_&@Vq3%&eQb_)|JUtZF4N=v3OV3fr$EjZ`u{ide;2@a=`jQ5EYOTO zPm`m^ahZqOsY@BYEpnTord_Ln{Zfe0HSJQ4k952~Q0W2Dkq%U&iwCw)3Xv9V4=J=+ z$A0V<9suJ`Wt~=`w7XbxBPQ_0ZbY1;>I!K|oIj+n=$YjE`+_fs6+-S)k!3`DL0zHi z0*Hy{#8EWiYN&t?h0*%cyLAlYpdY^i59AvmP1|0>c!iZhjIL={K89dtjUG*?F0mNV zXa!YRDMXrh&nN7EO2sDnf>sLfdV4^rAZ$Y60r*~RJl0V031Mp-q2Y8;D;iU=Q&z%Pn*0tf9kc@7&fRG2^$182KNxVnAQ#*y8^+Yl! zaig@!?MEmI)zDs(WZqkixqd7ovry0zW_V^3&;#&f?gB|@BRp(0&NYS^eiOOI*c*)b zyuldP8e;fOWUKK%%Rt^9b}vPv^I_Q@ju=1z9$BgZcruf_$(0NRMldS@UCCxnd8=AF z6&S%N!1J$5fg=LN0uBEKt%$e+JhB<3MK9Fvi*oI9 z_i(~1_W=BO`$qOFU@lXAtG4rj2UrRf9ri+GbWOXGGobJQJXy>Eo@Zt#X)<$hDi`Sf zD|xH9*#eS!0Dix%8-0qC2^oE*!86^nMZr_9!0`n106bZ_KnwqCkui5Lg4{;n2{-Dfo5ch&uo*3RtoUa zgAk~1Vf$^}d1jfG?N|I3I8Qp8l|YWWRvG3=g2tw`w~dB6=+aw9PHBs~XyCl8^IvAYrSpXGaD1?ac5JzeVAJgv|m4 zS~6~2d@7LU6!$Mm5;oa{9HVS#|NQqv_F9m_=^SBhs7k}e>Bobs06DPyMVo^y8_&l7Tik>`J{ z+epaiukGXfW&89&mSot4ESaTon^jMW9w#_g{ap(Qm?Z^xWYsG-M-xy1o@e=`eYmZw ze(sPI3B^i4SI=@Gqpyw^1SDTX;Q@I1=M#yGBcS4$8Bdy+k0I(xIG|8~*Z<_BB-aoj zJO9!pmPD!F;nIa|moEIA-vYc)JG`kGxc}kkUg}LL|K5we=QFXmi-EyO_}g z<_HIPu<5h7?LxFhh)P;g6U`l;_8xHjYpc5NWs3wt0iJ&ajT{j$-(d6+ux{i6OZPme zd525)qYalX4fD8{`wZ+eu-y!7d~a}kVKZlOLc^7SuAZ4IVv{TRA_@<{lcgNsd1gkI zCf*g4ISB_83girb`m7|^C<2?l9wjtUfajSj^gZ6zjVB{{Gcj=Pj5IIZ@RQGwbuZt} z417KN7>Bp>TE1UOzy77X-mUHp4#V_LpT+i5wmD*^-C+n*Cip7>UCE{owT*Ap27FZUVPXJFeI2pCQQ9@+HuD4~f0JkRp$(*A2*@yrUD zwCT&}Xl;U-WcC&M==ql}u|i7!4)4e}{m|=iK0} zE_vUY-YLm3Yv`l9^znAb4ofPYkR|<&ZDt-)`0#LSIbB&HRMLVPrTjww z{|2c&Ao_Rc|3)iXTk~h6^&y2eYv$i9Jpi&^*1;+icCN?U6^SPZSI9*BA{4vf16C1Y z;x_oaWmgCZ-PGBIJvMrz9Mv)8#B<^hrUzP%0iHEl|1aPa$iX(`SKtAKC~R|^p{8B* zw^j=If+kC$>jIi%XSvYdM;TpcZLJg{ExtwJfqY^t7U-BaqNV_kj2GJj3GdH{Z$ zD6(GxK4%pAR-Q=aBpy+$1a$RGHMG|xUqImjc(RxSJkQMV(!|>#%t_c?ATRmFxWw1G6;=@%(zxd$c9WUMU#b+-cp#PEF-NOM*Jpezxe(`P=vP51ig}y=C`CyKVX}6Rh zjB04FNrstI-hR2aPzX0mKo7u^l?(LrBoXssgq%@YW|M9?Mz{*_$Wjf!lbPI2u4E`M zf>{aZN;dO;v#O<2ff0-XJb#A-jtGVpBL2jIy}?j~0<6ljDr zxsoiQ@Blo_$P}_bGqS{IE>01x6vzp8caI?RY^=Z+nb2A+qELY6nYm2$P1=-3^jZpN z>zNVKiG17cSsh>@{Dn?Wl;E|;ofG0D#n_S6IU<9)g(3NcF{bp55rvf7w1$h1r2^d2$le@{43?ocjH$s|RNyd3RkZ_@TppPZ@^{lD{b93Aw<4huNGP37OR~+u)wxDS5MSjivL1 z?*#=+uV3=)o`3Ad?);a!@)()3y|E`53%$fo`GVB_8?&gvUD|aa& zfI7l(TNlB40G_OR(OwXc3g7pAAnaSPcN`?Xhn3aI8WH&VcpYE(`u#N?A3h-7e zU3z$wo;8fMz|LQJ2KL7(UU>o54zL(Gl1L_L!$YKq^lbPI2u4E`MfLRIXO2!A62NJfbd)5{KgaW)3 z_Xge56L(BN1$bm;!PJ$onNJ}L3}6)Ck;NK-Co{R5T***i0J9R%mCRc;<|J%Y_pB`f z2nBd6mM-$uPde}Twr1eK4|I52ns>FBfx{p1QRIL0jA-Ej`0{lQ=>(X$%=;3Q> zl7%y_go+M(nq|7?PH4%gHv^`|;lt<T7LV5*wWacWQu7u6SLdXIGm?P}J){Q1ZrUmn};@&{IjaMxV6qUMG zrY3FHEZzQV-MN?U6Ba{3K367ur0_si?gfPcyuyC&Jxvbp1Kxce$SZq6rj>xMg_T0g zQ|_i+*%b$*^`L+6zaXvvudq^xG~vIS_CUUP*0teUk#+yC);t9eP zGSR*W%{-(q#-fK$tPpaMhZOqiM(kIk`cCD5mPJuZK zUv=q`I0ZELLjV5;6%UA}hj3}y_8Kx5RtQl^3u=@u(W{+$NFg4jX0#R}Komlx)yo5w z9uOVr!li4&HRBFimcMwK z9Cp;VXzhVy`G`V6$Q+}*TeHXgbpdVo6?h=u&THEC8q!;fg%Iqbk*;Z%S|a1bLkjub zXtbh>wO9-)EwHoPClwNl7R3UAWJAYJLCAX)9!QwgDhOF%1fu|tEY$!!nWk;8NrnO= zn3aI8WLRw%z>^uRLKYaoD8Q4C)$*nexeJd>*M@6C0%H#F$YzvQvq|qBafXlnO;XPW zlpcU5Gr5~w$xxsX(&S3Agu(;xFe6jQ0?o)0_jU+#5{@Vo;B9RmwjOZ1@e(iGcH^0m zJ{kYqZsH^5+*w~ozOeDqMcI_@9!{7N55SMNZ)Cp${`uc_KJWlbp`ycHh>WgjS8@gv z9)Kr{Il%MG3?)rwE>7hF4gUo#H(Nkb55SMFUz}IS68XKT&^KsPYJ^X_r37JALwij! z%)H-5SM=r?Nf^Nc@MPrzJ^UTN3<+<5hYiNK))2#QA{&kWx7)5<_q@^Ty2dcWZz5Zb z{aFSwM~IL!=R>)NJ<$=Q0z9%*1Mp-fcatj_3XEV@0=kmTobpz+bSf}{QGn-PmjXuw ziUk_}!^jbF1$bmLN{ejL%b>X~_0fRR1Mp-fcatj_3N%8RTuGKtcmN(|WC~fJ8Cl{p z+a#iu0=!4SZu<=EGqC*(xO+H3hX>%t+c&ab0dtw^TeY1JJit<@=&%{?mf8A#L%|LF>uW$8 z55SX|+)b`z7^5e|=$dvVSwi6fc$kqX&9UC`1mo#f{{F`V=+Zq^!w5 zW!@E?_bucWGpiu;T)lEj*j!kJEHHsN!T}!4T+r2(u*u!zN`?Xxn3aI8WPI9tz|pTq zx9BXG*%A^c1$g66ATf90k?GoS4b*3V8!5gm(Up`wW zfah6$)(y9Hdia`}q(~@M0=jyZ3$cgWbX4+16dr)5e}$O1I07o3nW3b~^jSPwn_yD1 zuh2(ztxS#M38(RBel|7-ke6Ui4*cmTc&*I7Cqdxw1n z_8Hh>20qIm&RxUop=M5(irNHT0Upep!0JlaoE772s` zJpUMu91$oNX!z6TGD1D{0%U5E&CKU$oN+b5QGf^2wK6pco7_#VWGFC!SqbP$_Ntcd zQ8exN_GTdc@!Qk9E5;0@zv82)3vd+Rk(r}RU5+N80zA+1vu?kwt6ULh&fmK;y z36H$PJ_Gv@ zS}BCpECu;+k}xSA5Y5Myk!eN1FNH`e@A-uN-%dqJ0qxp`bG2hL8M=a-9`I9#-^m$8I8j+SK}jjo+`3@@aA(X@6q1J&-9r;KPD~ zJMT1O-yxttPNKtQ=J9r#w!OAch)P;eqntAjDg2h27_AG1NV9KHctA8gMXg*Q>E_@A zU+kPf8^w=8vt7>hcsrYS%6ElKb+EC!Xqn6pa zH14`DIlwE7G89jfOK3Ioc)KrXvl7s?uu_Q8HSNmB5bUhVLkjtF^aXJRc!iZhqzUaT z|4D`NiLqFqW8yp16od~bJOE#Ol_g|}q;>p_THnCjN2)7vo{5#vk5CjwNRunc@P6(* z=*KdGd$uUd$Q(~V55SY@+Hg%sMlYKyl7&mhdbUyGF2YfON0w>;p3LNKawS875zIYlYl1fc-W-ywk`0`3Bh;+L;({I5PQ|AIy%d>GZ=VfD5A^N|ZoZQ`Th z0*PxWA2|?#3h>Cxsi3Zem+fKy{0~Us0eCW#yUCRd1sWkut|Ut+JOB?fGKDPAj4W~Q z3Oc$tMYK{NXZSB@x!Dpmm{1xKR)FW3xlHv<+LT80S_)|EnGw?DO1^-?1Mp-q2Y8;D zk)_Ek3J)Y)E>Qg0wg+_L_ob+LZ+O`D1%29f<>+_hZC~G(qdjjq7>(8cNbc_804*MX z-*4+i_f9e)_HQ}HW zO|E1pFoIbL=t|~YikOqIRo%0;h#(Z;`8y&?xU5cB~2 zI8kK30_HN+w`x<$11yD#4tpUox~5&p8Bllto-F17&oeWWG@02Z&H@F_;|*{TF7f;F zBB`&Q^sudhho4+<>6~wx!&R2f5pscPUT|A?P9ekN6x}@>@cKLezu>lx55v(Th+C-PdzdW-s*UjwYLV|Rf0f(1ji!74wr(RKml@_7w{@3!B}LZx+q$C5PMm!P z54w+Vz8Q$;OQo68)jxo!mUGt*=T3NreEfsU8S+qyfTeq_#}WVY zy5s9?R{4DFx>;pdnP^7LH%RUso8H)7$4I?0OiHLX;mCCE>F8AI2l;KL+T?C3p1M%& zXae4pP2R8G%a2MX&C!kWb0GP#=VoUzP(!S5r2n&dnub_b4p+@#2ni1Ihxbg@#dkta zCpSDDb-N6tuW(tkn8~5rqH=oVZkXd?vN-23kD(u72+qu8>YH;>wvFR(wa&M7Goq(+ zPe-c*BQVT{HX2^~eAGGRgXZDda9dX@G!5a7M@~+BOljMpwrGfPiZj&r+qyGM;+|r9 z28Od~y4CBAmPgF%)w(OY=nN#h7s5q{xLWeV)kby)KKHH*>k&fYhUA`0Md z@6PAtbcxQ2i5w{sD;MY@fn=p3qF@~?1tAnUN<(=_DN-h`=os4+S0I!kMT!)sG;SkW znln%!is1?vhZYV2kr_-n_4w*?F^fKH1qE-xlF#Z%ZQo=kfN> zK&a)3b9*$~Qo?7~ZO(l3T}SCK$qw;1dK=t5tsS+8THzlL#4D8gOv@^DC2tNk${gi= z=i)`#Yd=opv(XH#cieBw01hS2UX>+3cFWaEg)NE^jHWU#y-tq67RV@iuDT2tLR~7M z;1UbBuJmU&FZKW?l1IY1gfqDTGdrvc4@!hScvXep)t%Ni#sjT-S#w z*TWB_;CNX9d+|?Qb_O|04iPLvFBNtRVonfZt=% zdg@7Bxn9<823XRvY>edl7LU+EWLwvjOh%OITb_JG%i2pLTV$hj23W0il$HhWXj>|F zm$oY?Jt<%oBvi5XG*;1#b0&lRn!B;)8HqvTHCEkn+>j1RZjSaLNATqycQ<^uqPX6M z?^O-U#t4sXx-i2io8DD28Rc*FEk{0VD_7rXk&Qw+eR70U0bCyGCz15$gPLxftL!a@9mKh zScT0|{)s!f(}zXBNz1RebJEzPcakhy>BOCXO;y(m?O82L`6_yqJy4DP@0Vp`WZp~` zsd+-Ar|Tv4)Ev3Fal(kLC>OB9Dr}D2vRGwhclk|vQQo&zGq*`?h!FZBm9H4tLv$E^+s&j7?}f;DK$=rY!$VdXMTMCUMj4Eo(yet`hqg^TI8 z`k(LfZ?xuD;NGDBxC19Jzf#{Dz~iIhWWrKLl%hfg>$<1XEm9Bu^S+pIwGAVL0evYkWg+ zcA5IlHc(0mH?WZ~niXVr8V<0FJs|F&E?(q}aJ-J6UMM!Aq2C=g>qcEVkI0#rVFUeY zX4sM(NEaKL211HzQ}1WE+PeMcl} zs?C|D6UQc*VZRQuF(oL~DIvsBkQuJUkXNW-Mlp1l=nOBOC^pjt;AoZuPc@r$c?lb4 zOO+@R3A%3LB3fRHY{qtK7yL~d7 zEaD0U454NcjXh{!34scl&I-iL)PvxnZcH@b2_MbZ-9upzmS=r zq=WxHDSFUos4JsjGel9q7New&tz{Q}>`KpklkNkm;om%}>8P!K{_FVCy!-WU;o0x! zu-)4m?e;eK1@FoNX)H}D3w-%>P{1R$nUo|kGn>gYB}o^*mew%C!QWpP8$Vl7JesID z)ifpK?Eb*#U^A(@XhjMd0-NdqrGU$l))-qF7#E8dV8m;I1EM5pSr*5Jnc>A!`O4nY GoBskIkB(db literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/vga_pll.tan.rpt b/bsp2/Designflow/ppr/download/vga_pll.tan.rpt new file mode 100644 index 0000000..4f432bd --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.tan.rpt @@ -0,0 +1,931 @@ +Classic Timing Analyzer report for vga_pll +Wed Oct 28 14:55:36 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' + 7. Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' + 8. tsu + 9. tco + 10. tpd + 11. th + 12. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+--------------------------------------------------------+---------------------------------------------------+------------------------------------------+------------------------------------------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+--------------------------------------------------------+---------------------------------------------------+------------------------------------------+------------------------------------------+--------------+ +; Worst-case tsu ; N/A ; None ; 10.866 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; -- ; board_clk ; 0 ; +; Worst-case tco ; N/A ; None ; 11.498 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[7] ; board_clk ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 16.036 ns ; reset ; seven_seg_pin[7] ; -- ; -- ; 0 ; +; Worst-case th ; N/A ; None ; -5.569 ns ; reset ; vga:inst|dly_counter[0] ; -- ; board_clk ; 0 ; +; Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' ; 28.830 ns ; 27.19 MHz ( period = 36.777 ns ) ; 125.83 MHz ( period = 7.947 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0 ; +; Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' ; 0.730 ns ; 27.19 MHz ( period = 36.777 ns ) ; N/A ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+--------------------------------------------------------+---------------------------------------------------+------------------------------------------+------------------------------------------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP1S25F672C6 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; Off ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+ +; vpll:inst1|altpll:altpll_component|_clk0 ; ; PLL output ; 27.19 MHz ; 0.000 ns ; 0.000 ns ; board_clk ; 31 ; 38 ; -1.030 ns ; ; +; board_clk ; ; User Pin ; 33.33 MHz ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 0.0% ; ++----------------------------+-------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+ +; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+ +; 28.830 ns ; 125.83 MHz ( period = 7.947 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 7.740 ns ; +; 28.883 ns ; 126.68 MHz ( period = 7.894 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 7.687 ns ; +; 28.945 ns ; 127.68 MHz ( period = 7.832 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 7.625 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 28.997 ns ; 128.53 MHz ( period = 7.780 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.594 ns ; +; 29.078 ns ; 129.89 MHz ( period = 7.699 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.524 ns ; 7.446 ns ; +; 29.095 ns ; 130.17 MHz ( period = 7.682 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 7.475 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.143 ns ; 130.99 MHz ( period = 7.634 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.448 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.164 ns ; 131.35 MHz ( period = 7.613 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.427 ns ; +; 29.247 ns ; 132.80 MHz ( period = 7.530 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.344 ns ; +; 29.247 ns ; 132.80 MHz ( period = 7.530 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.344 ns ; +; 29.247 ns ; 132.80 MHz ( period = 7.530 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.344 ns ; +; 29.247 ns ; 132.80 MHz ( period = 7.530 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.344 ns ; +; 29.247 ns ; 132.80 MHz ( period = 7.530 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.344 ns ; +; 29.393 ns ; 135.43 MHz ( period = 7.384 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.198 ns ; +; 29.393 ns ; 135.43 MHz ( period = 7.384 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.198 ns ; +; 29.393 ns ; 135.43 MHz ( period = 7.384 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.198 ns ; +; 29.393 ns ; 135.43 MHz ( period = 7.384 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.198 ns ; +; 29.393 ns ; 135.43 MHz ( period = 7.384 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.198 ns ; +; 29.414 ns ; 135.81 MHz ( period = 7.363 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.177 ns ; +; 29.414 ns ; 135.81 MHz ( period = 7.363 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.177 ns ; +; 29.414 ns ; 135.81 MHz ( period = 7.363 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.177 ns ; +; 29.414 ns ; 135.81 MHz ( period = 7.363 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.177 ns ; +; 29.414 ns ; 135.81 MHz ( period = 7.363 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 7.177 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.606 ns ; 139.45 MHz ( period = 7.171 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.985 ns ; +; 29.659 ns ; 140.49 MHz ( period = 7.118 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.932 ns ; +; 29.702 ns ; 141.34 MHz ( period = 7.075 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.907 ns ; +; 29.805 ns ; 143.43 MHz ( period = 6.972 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.786 ns ; +; 29.815 ns ; 143.64 MHz ( period = 6.962 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 6.755 ns ; +; 29.826 ns ; 143.86 MHz ( period = 6.951 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.765 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.738 ns ; +; 29.856 ns ; 144.49 MHz ( period = 6.921 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.735 ns ; +; 29.856 ns ; 144.49 MHz ( period = 6.921 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.735 ns ; +; 29.856 ns ; 144.49 MHz ( period = 6.921 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.735 ns ; +; 29.856 ns ; 144.49 MHz ( period = 6.921 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.735 ns ; +; 29.856 ns ; 144.49 MHz ( period = 6.921 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.735 ns ; +; 29.904 ns ; 145.50 MHz ( period = 6.873 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.524 ns ; 6.620 ns ; +; 29.966 ns ; 146.82 MHz ( period = 6.811 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.633 ns ; +; 29.966 ns ; 146.82 MHz ( period = 6.811 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.633 ns ; +; 29.966 ns ; 146.82 MHz ( period = 6.811 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.633 ns ; +; 29.966 ns ; 146.82 MHz ( period = 6.811 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.633 ns ; +; 29.966 ns ; 146.82 MHz ( period = 6.811 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.633 ns ; +; 29.966 ns ; 146.82 MHz ( period = 6.811 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.633 ns ; +; 30.041 ns ; 148.46 MHz ( period = 6.736 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.568 ns ; +; 30.103 ns ; 149.84 MHz ( period = 6.674 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.488 ns ; +; 30.103 ns ; 149.84 MHz ( period = 6.674 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.488 ns ; +; 30.103 ns ; 149.84 MHz ( period = 6.674 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.488 ns ; +; 30.103 ns ; 149.84 MHz ( period = 6.674 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.488 ns ; +; 30.103 ns ; 149.84 MHz ( period = 6.674 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.488 ns ; +; 30.112 ns ; 150.04 MHz ( period = 6.665 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.487 ns ; +; 30.112 ns ; 150.04 MHz ( period = 6.665 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.487 ns ; +; 30.112 ns ; 150.04 MHz ( period = 6.665 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.487 ns ; +; 30.112 ns ; 150.04 MHz ( period = 6.665 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.487 ns ; +; 30.112 ns ; 150.04 MHz ( period = 6.665 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.487 ns ; +; 30.112 ns ; 150.04 MHz ( period = 6.665 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.487 ns ; +; 30.133 ns ; 150.51 MHz ( period = 6.644 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.466 ns ; +; 30.133 ns ; 150.51 MHz ( period = 6.644 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.466 ns ; +; 30.133 ns ; 150.51 MHz ( period = 6.644 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.466 ns ; +; 30.133 ns ; 150.51 MHz ( period = 6.644 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.466 ns ; +; 30.133 ns ; 150.51 MHz ( period = 6.644 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.466 ns ; +; 30.133 ns ; 150.51 MHz ( period = 6.644 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.466 ns ; +; 30.144 ns ; 150.76 MHz ( period = 6.633 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.465 ns ; +; 30.145 ns ; 150.78 MHz ( period = 6.632 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 6.425 ns ; +; 30.203 ns ; 152.11 MHz ( period = 6.574 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.406 ns ; +; 30.268 ns ; 153.63 MHz ( period = 6.509 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.323 ns ; +; 30.325 ns ; 154.99 MHz ( period = 6.452 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.284 ns ; +; 30.384 ns ; 156.42 MHz ( period = 6.393 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.207 ns ; +; 30.384 ns ; 156.42 MHz ( period = 6.393 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.207 ns ; +; 30.384 ns ; 156.42 MHz ( period = 6.393 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.207 ns ; +; 30.405 ns ; 156.94 MHz ( period = 6.372 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.204 ns ; +; 30.409 ns ; 157.04 MHz ( period = 6.368 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 6.191 ns ; +; 30.409 ns ; 157.04 MHz ( period = 6.368 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 6.191 ns ; +; 30.409 ns ; 157.04 MHz ( period = 6.368 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 6.191 ns ; +; 30.449 ns ; 158.03 MHz ( period = 6.328 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 6.196 ns ; +; 30.449 ns ; 158.03 MHz ( period = 6.328 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 6.196 ns ; +; 30.449 ns ; 158.03 MHz ( period = 6.328 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 6.196 ns ; +; 30.457 ns ; 158.23 MHz ( period = 6.320 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.134 ns ; +; 30.457 ns ; 158.23 MHz ( period = 6.320 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.134 ns ; +; 30.457 ns ; 158.23 MHz ( period = 6.320 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.134 ns ; +; 30.515 ns ; 159.69 MHz ( period = 6.262 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.076 ns ; +; 30.523 ns ; 159.90 MHz ( period = 6.254 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.086 ns ; +; 30.542 ns ; 160.38 MHz ( period = 6.235 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.049 ns ; +; 30.542 ns ; 160.38 MHz ( period = 6.235 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.049 ns ; +; 30.542 ns ; 160.38 MHz ( period = 6.235 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 6.049 ns ; +; 30.575 ns ; 161.24 MHz ( period = 6.202 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.024 ns ; +; 30.575 ns ; 161.24 MHz ( period = 6.202 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.024 ns ; +; 30.575 ns ; 161.24 MHz ( period = 6.202 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.024 ns ; +; 30.575 ns ; 161.24 MHz ( period = 6.202 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.024 ns ; +; 30.575 ns ; 161.24 MHz ( period = 6.202 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.024 ns ; +; 30.575 ns ; 161.24 MHz ( period = 6.202 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 6.024 ns ; +; 30.578 ns ; 161.32 MHz ( period = 6.199 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 6.031 ns ; +; 30.594 ns ; 161.73 MHz ( period = 6.183 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.997 ns ; +; 30.594 ns ; 161.73 MHz ( period = 6.183 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.997 ns ; +; 30.594 ns ; 161.73 MHz ( period = 6.183 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.997 ns ; +; 30.597 ns ; 161.81 MHz ( period = 6.180 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 6.003 ns ; +; 30.597 ns ; 161.81 MHz ( period = 6.180 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 6.003 ns ; +; 30.597 ns ; 161.81 MHz ( period = 6.180 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 6.003 ns ; +; 30.600 ns ; 161.89 MHz ( period = 6.177 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.991 ns ; +; 30.600 ns ; 161.89 MHz ( period = 6.177 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.991 ns ; +; 30.600 ns ; 161.89 MHz ( period = 6.177 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.991 ns ; +; 30.633 ns ; 162.76 MHz ( period = 6.144 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.958 ns ; +; 30.633 ns ; 162.76 MHz ( period = 6.144 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.958 ns ; +; 30.633 ns ; 162.76 MHz ( period = 6.144 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.958 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.650 ns ; 163.21 MHz ( period = 6.127 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.941 ns ; +; 30.655 ns ; 163.35 MHz ( period = 6.122 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.945 ns ; +; 30.655 ns ; 163.35 MHz ( period = 6.122 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.945 ns ; +; 30.655 ns ; 163.35 MHz ( period = 6.122 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.945 ns ; +; 30.662 ns ; 163.53 MHz ( period = 6.115 ns ) ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.570 ns ; 5.908 ns ; +; 30.679 ns ; 163.99 MHz ( period = 6.098 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.609 ns ; 5.930 ns ; +; 30.688 ns ; 164.23 MHz ( period = 6.089 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.912 ns ; +; 30.737 ns ; 165.56 MHz ( period = 6.040 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.863 ns ; +; 30.737 ns ; 165.56 MHz ( period = 6.040 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.863 ns ; +; 30.737 ns ; 165.56 MHz ( period = 6.040 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.600 ns ; 5.863 ns ; +; 30.754 ns ; 166.03 MHz ( period = 6.023 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.837 ns ; +; 30.754 ns ; 166.03 MHz ( period = 6.023 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.837 ns ; +; 30.754 ns ; 166.03 MHz ( period = 6.023 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.837 ns ; +; 30.799 ns ; 167.28 MHz ( period = 5.978 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.792 ns ; +; 30.799 ns ; 167.28 MHz ( period = 5.978 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.792 ns ; +; 30.799 ns ; 167.28 MHz ( period = 5.978 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.792 ns ; +; 30.815 ns ; 167.73 MHz ( period = 5.962 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.776 ns ; +; 30.815 ns ; 167.73 MHz ( period = 5.962 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.776 ns ; +; 30.815 ns ; 167.73 MHz ( period = 5.962 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.776 ns ; +; 30.822 ns ; 167.93 MHz ( period = 5.955 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 5.777 ns ; +; 30.822 ns ; 167.93 MHz ( period = 5.955 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 5.777 ns ; +; 30.822 ns ; 167.93 MHz ( period = 5.955 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 5.777 ns ; +; 30.822 ns ; 167.93 MHz ( period = 5.955 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 5.777 ns ; +; 30.822 ns ; 167.93 MHz ( period = 5.955 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 5.777 ns ; +; 30.822 ns ; 167.93 MHz ( period = 5.955 ns ) ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.599 ns ; 5.777 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.838 ns ; 168.38 MHz ( period = 5.939 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.645 ns ; 5.807 ns ; +; 30.859 ns ; 168.98 MHz ( period = 5.918 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.732 ns ; +; 30.860 ns ; 169.00 MHz ( period = 5.917 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.731 ns ; +; 30.861 ns ; 169.03 MHz ( period = 5.916 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.730 ns ; +; 30.863 ns ; 169.09 MHz ( period = 5.914 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.728 ns ; +; 30.864 ns ; 169.12 MHz ( period = 5.913 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.727 ns ; +; 30.865 ns ; 169.15 MHz ( period = 5.912 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.726 ns ; +; 30.868 ns ; 169.23 MHz ( period = 5.909 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.723 ns ; +; 30.871 ns ; 169.32 MHz ( period = 5.906 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.720 ns ; +; 30.874 ns ; 169.41 MHz ( period = 5.903 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.717 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' ; ++-----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+ +; Minimum Slack ; From ; To ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ; ++-----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+ +; 0.730 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.654 ns ; +; 0.743 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.667 ns ; +; 0.743 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.667 ns ; +; 0.743 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.667 ns ; +; 0.746 ns ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.670 ns ; +; 0.747 ns ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vga:inst|vga_control:vga_control_unit|toggle_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.671 ns ; +; 0.754 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.678 ns ; +; 0.759 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.683 ns ; +; 0.759 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.683 ns ; +; 0.759 ns ; vga:inst|dly_counter[0] ; vga:inst|dly_counter[0] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.683 ns ; +; 0.894 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.818 ns ; +; 0.909 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.833 ns ; +; 0.913 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.837 ns ; +; 0.984 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.908 ns ; +; 0.984 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.908 ns ; +; 0.984 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.908 ns ; +; 0.985 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.909 ns ; +; 0.985 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.909 ns ; +; 0.985 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.909 ns ; +; 0.987 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.911 ns ; +; 0.987 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.911 ns ; +; 0.987 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.911 ns ; +; 0.988 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.912 ns ; +; 0.988 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.912 ns ; +; 0.988 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.912 ns ; +; 0.992 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.916 ns ; +; 0.999 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.923 ns ; +; 1.005 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.929 ns ; +; 1.006 ns ; vga:inst|dly_counter[1] ; vga:inst|dly_counter[1] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.930 ns ; +; 1.011 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.935 ns ; +; 1.095 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.019 ns ; +; 1.095 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.019 ns ; +; 1.095 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.019 ns ; +; 1.095 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.019 ns ; +; 1.096 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.020 ns ; +; 1.096 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.020 ns ; +; 1.096 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.020 ns ; +; 1.103 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.027 ns ; +; 1.103 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.027 ns ; +; 1.103 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.027 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.106 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.030 ns ; +; 1.117 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.041 ns ; +; 1.120 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.044 ns ; +; 1.144 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.068 ns ; +; 1.156 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.080 ns ; +; 1.174 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.098 ns ; +; 1.176 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.100 ns ; +; 1.255 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.179 ns ; +; 1.278 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.202 ns ; +; 1.333 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.081 ns ; 1.252 ns ; +; 1.378 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.302 ns ; +; 1.381 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.305 ns ; +; 1.384 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.308 ns ; +; 1.387 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.311 ns ; +; 1.390 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.314 ns ; +; 1.392 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.316 ns ; +; 1.393 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.317 ns ; +; 1.395 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.319 ns ; +; 1.395 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.319 ns ; +; 1.395 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.319 ns ; +; 1.400 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.324 ns ; +; 1.409 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.333 ns ; +; 1.410 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.334 ns ; +; 1.410 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.334 ns ; +; 1.410 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.334 ns ; +; 1.411 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.335 ns ; +; 1.411 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.335 ns ; +; 1.411 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.335 ns ; +; 1.413 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.337 ns ; +; 1.413 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.337 ns ; +; 1.413 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.337 ns ; +; 1.414 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.338 ns ; +; 1.414 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.338 ns ; +; 1.414 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.338 ns ; +; 1.424 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.348 ns ; +; 1.425 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.349 ns ; +; 1.434 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.358 ns ; +; 1.440 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.364 ns ; +; 1.461 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.067 ns ; 1.394 ns ; +; 1.461 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.385 ns ; +; 1.462 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.386 ns ; +; 1.470 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.394 ns ; +; 1.470 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.394 ns ; +; 1.470 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.394 ns ; +; 1.471 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.395 ns ; +; 1.471 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.395 ns ; +; 1.471 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.395 ns ; +; 1.473 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.397 ns ; +; 1.473 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.397 ns ; +; 1.473 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.397 ns ; +; 1.474 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.398 ns ; +; 1.474 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.398 ns ; +; 1.474 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.398 ns ; +; 1.482 ns ; vga:inst|dly_counter[0] ; vga:inst|dly_counter[1] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.085 ns ; 1.397 ns ; +; 1.483 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.067 ns ; 1.416 ns ; +; 1.484 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.085 ns ; 1.399 ns ; +; 1.485 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.409 ns ; +; 1.489 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.067 ns ; 1.422 ns ; +; 1.500 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.424 ns ; +; 1.507 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.431 ns ; +; 1.510 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.434 ns ; +; 1.512 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.436 ns ; +; 1.518 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.442 ns ; +; 1.526 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.450 ns ; +; 1.526 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.450 ns ; +; 1.526 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.450 ns ; +; 1.526 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.450 ns ; +; 1.527 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.451 ns ; +; 1.527 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.451 ns ; +; 1.527 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.451 ns ; +; 1.530 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.454 ns ; +; 1.530 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.454 ns ; +; 1.530 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.454 ns ; +; 1.531 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.455 ns ; +; 1.531 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.455 ns ; +; 1.531 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.455 ns ; +; 1.533 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.457 ns ; +; 1.533 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.457 ns ; +; 1.533 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.457 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.535 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.459 ns ; +; 1.535 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.459 ns ; +; 1.535 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.459 ns ; +; 1.537 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.461 ns ; +; 1.541 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.465 ns ; +; 1.545 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.469 ns ; +; 1.548 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.472 ns ; +; 1.555 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.479 ns ; +; 1.557 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.481 ns ; +; 1.558 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.482 ns ; +; 1.558 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.482 ns ; +; 1.558 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.482 ns ; +; 1.560 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.484 ns ; +; 1.560 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.484 ns ; +; 1.564 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.488 ns ; +; 1.567 ns ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.491 ns ; +; 1.568 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.492 ns ; +; 1.570 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.494 ns ; +; 1.585 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.509 ns ; +; 1.586 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.067 ns ; 1.519 ns ; +; 1.586 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.510 ns ; +; 1.586 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.510 ns ; +; 1.586 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.510 ns ; +; 1.586 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.510 ns ; +; 1.591 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.515 ns ; +; 1.591 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.515 ns ; +; 1.591 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.515 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.600 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.524 ns ; +; 1.608 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.532 ns ; +; 1.610 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.534 ns ; +; 1.617 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.541 ns ; +; 1.617 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.541 ns ; +; 1.617 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.541 ns ; +; 1.620 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.544 ns ; +; 1.634 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.558 ns ; +; 1.635 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.559 ns ; +; 1.655 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.579 ns ; +; 1.656 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.580 ns ; +; 1.656 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.580 ns ; +; 1.659 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.583 ns ; +; 1.664 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.588 ns ; +; 1.669 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.068 ns ; 1.601 ns ; +; 1.669 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.068 ns ; 1.601 ns ; +; 1.669 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.068 ns ; 1.601 ns ; +; 1.669 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.068 ns ; 1.601 ns ; +; 1.669 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.068 ns ; 1.601 ns ; +; 1.673 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.071 ns ; 1.602 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.686 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.610 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ++-----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+ + + ++------------------------------------------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+-------+----------------------------------------------------------+-----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+-------+----------------------------------------------------------+-----------+ +; N/A ; None ; 10.866 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; board_clk ; +; N/A ; None ; 10.866 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; board_clk ; +; N/A ; None ; 10.866 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; board_clk ; +; N/A ; None ; 10.477 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; board_clk ; +; N/A ; None ; 10.337 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; board_clk ; +; N/A ; None ; 10.337 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; board_clk ; +; N/A ; None ; 10.181 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; board_clk ; +; N/A ; None ; 9.896 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; board_clk ; +; N/A ; None ; 9.553 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; board_clk ; +; N/A ; None ; 9.047 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; board_clk ; +; N/A ; None ; 9.047 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; board_clk ; +; N/A ; None ; 9.047 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; board_clk ; +; N/A ; None ; 8.768 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; board_clk ; +; N/A ; None ; 8.527 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; board_clk ; +; N/A ; None ; 8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; board_clk ; +; N/A ; None ; 8.272 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; board_clk ; +; N/A ; None ; 8.087 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; board_clk ; +; N/A ; None ; 8.086 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; board_clk ; +; N/A ; None ; 8.031 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; board_clk ; +; N/A ; None ; 7.974 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; board_clk ; +; N/A ; None ; 7.874 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; board_clk ; +; N/A ; None ; 7.731 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; board_clk ; +; N/A ; None ; 7.731 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; board_clk ; +; N/A ; None ; 7.731 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; board_clk ; +; N/A ; None ; 7.731 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; board_clk ; +; N/A ; None ; 7.731 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; board_clk ; +; N/A ; None ; 7.730 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; board_clk ; +; N/A ; None ; 7.730 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; board_clk ; +; N/A ; None ; 7.622 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; board_clk ; +; N/A ; None ; 7.432 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; board_clk ; +; N/A ; None ; 6.139 ns ; reset ; vga:inst|dly_counter[1] ; board_clk ; +; N/A ; None ; 6.135 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; board_clk ; +; N/A ; None ; 6.000 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_sync ; board_clk ; +; N/A ; None ; 5.680 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync ; board_clk ; +; N/A ; None ; 5.679 ns ; reset ; vga:inst|dly_counter[0] ; board_clk ; ++-------+--------------+------------+-------+----------------------------------------------------------+-----------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+-------------------------------------------------------------+----------------------+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+-------------------------------------------------------------+----------------------+------------+ +; N/A ; None ; 11.498 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[7] ; board_clk ; +; N/A ; None ; 11.488 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[12] ; board_clk ; +; N/A ; None ; 11.016 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[7] ; board_clk ; +; N/A ; None ; 11.006 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[12] ; board_clk ; +; N/A ; None ; 10.588 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[2] ; board_clk ; +; N/A ; None ; 10.106 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[2] ; board_clk ; +; N/A ; None ; 9.477 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[1] ; board_clk ; +; N/A ; None ; 9.477 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[11] ; board_clk ; +; N/A ; None ; 9.469 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[10] ; board_clk ; +; N/A ; None ; 9.408 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; d_hsync_state[0] ; board_clk ; +; N/A ; None ; 9.318 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[9] ; board_clk ; +; N/A ; None ; 9.303 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[8] ; board_clk ; +; N/A ; None ; 9.292 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; d_hsync_state[3] ; board_clk ; +; N/A ; None ; 8.995 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[1] ; board_clk ; +; N/A ; None ; 8.995 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[11] ; board_clk ; +; N/A ; None ; 8.987 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[10] ; board_clk ; +; N/A ; None ; 8.892 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; d_line_counter[8] ; board_clk ; +; N/A ; None ; 8.836 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[9] ; board_clk ; +; N/A ; None ; 8.821 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[8] ; board_clk ; +; N/A ; None ; 8.765 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; d_set_hsync_counter ; board_clk ; +; N/A ; None ; 8.649 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; d_vsync_state[4] ; board_clk ; +; N/A ; None ; 8.622 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; d_column_counter[1] ; board_clk ; +; N/A ; None ; 8.569 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; d_line_counter[7] ; board_clk ; +; N/A ; None ; 8.509 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; d_hsync_counter[1] ; board_clk ; +; N/A ; None ; 8.495 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; d_column_counter[0] ; board_clk ; +; N/A ; None ; 8.493 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; d_line_counter[2] ; board_clk ; +; N/A ; None ; 8.490 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; d_toggle_counter[6] ; board_clk ; +; N/A ; None ; 8.377 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; d_column_counter[2] ; board_clk ; +; N/A ; None ; 8.357 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; d_vsync_state[3] ; board_clk ; +; N/A ; None ; 8.356 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; d_column_counter[8] ; board_clk ; +; N/A ; None ; 8.325 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; d_vsync_state[5] ; board_clk ; +; N/A ; None ; 8.258 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; d_vsync_state[2] ; board_clk ; +; N/A ; None ; 8.244 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; board_clk ; +; N/A ; None ; 8.224 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; d_hsync_state[6] ; board_clk ; +; N/A ; None ; 8.193 ns ; vga:inst|vga_control:vga_control_unit|toggle_sig ; d_toggle ; board_clk ; +; N/A ; None ; 8.158 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; d_column_counter[3] ; board_clk ; +; N/A ; None ; 8.130 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; d_toggle_counter[19] ; board_clk ; +; N/A ; None ; 8.108 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; d_line_counter[4] ; board_clk ; +; N/A ; None ; 8.106 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; d_column_counter[7] ; board_clk ; +; N/A ; None ; 8.075 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; d_toggle_counter[20] ; board_clk ; +; N/A ; None ; 8.054 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; d_hsync_state[4] ; board_clk ; +; N/A ; None ; 8.052 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; d_vsync_state[1] ; board_clk ; +; N/A ; None ; 8.041 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; d_vsync_state[0] ; board_clk ; +; N/A ; None ; 7.958 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; d_vsync_counter[7] ; board_clk ; +; N/A ; None ; 7.933 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; d_vsync_state[6] ; board_clk ; +; N/A ; None ; 7.911 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; d_vsync_counter[8] ; board_clk ; +; N/A ; None ; 7.885 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; d_line_counter[5] ; board_clk ; +; N/A ; None ; 7.885 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; d_line_counter[6] ; board_clk ; +; N/A ; None ; 7.864 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; d_line_counter[1] ; board_clk ; +; N/A ; None ; 7.834 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; d_column_counter[9] ; board_clk ; +; N/A ; None ; 7.827 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; d_hsync_state[2] ; board_clk ; +; N/A ; None ; 7.823 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; d_vsync_counter[1] ; board_clk ; +; N/A ; None ; 7.767 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; d_set_vsync_counter ; board_clk ; +; N/A ; None ; 7.753 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; d_hsync_state[5] ; board_clk ; +; N/A ; None ; 7.748 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; d_toggle_counter[16] ; board_clk ; +; N/A ; None ; 7.744 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; d_hsync_counter[7] ; board_clk ; +; N/A ; None ; 7.726 ns ; vga:inst|vga_control:vga_control_unit|r ; d_r ; board_clk ; +; N/A ; None ; 7.719 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; d_line_counter[3] ; board_clk ; +; N/A ; None ; 7.711 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; d_vsync_counter[4] ; board_clk ; +; N/A ; None ; 7.682 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; d_hsync_counter[4] ; board_clk ; +; N/A ; None ; 7.678 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; d_vsync_counter[5] ; board_clk ; +; N/A ; None ; 7.673 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; d_hsync_counter[5] ; board_clk ; +; N/A ; None ; 7.651 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; d_line_counter[0] ; board_clk ; +; N/A ; None ; 7.617 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; d_toggle_counter[13] ; board_clk ; +; N/A ; None ; 7.599 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; d_column_counter[6] ; board_clk ; +; N/A ; None ; 7.591 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; d_column_counter[5] ; board_clk ; +; N/A ; None ; 7.589 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; d_vsync_counter[6] ; board_clk ; +; N/A ; None ; 7.572 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; d_vsync_counter[9] ; board_clk ; +; N/A ; None ; 7.486 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; d_hsync_counter[0] ; board_clk ; +; N/A ; None ; 7.476 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 ; d_toggle_counter[2] ; board_clk ; +; N/A ; None ; 7.458 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; d_vsync_counter[0] ; board_clk ; +; N/A ; None ; 7.433 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; d_set_column_counter ; board_clk ; +; N/A ; None ; 7.416 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; d_hsync_state[1] ; board_clk ; +; N/A ; None ; 7.373 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; d_column_counter[4] ; board_clk ; +; N/A ; None ; 7.330 ns ; vga:inst|vga_control:vga_control_unit|r ; r0_pin ; board_clk ; +; N/A ; None ; 7.297 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; d_toggle_counter[0] ; board_clk ; +; N/A ; None ; 7.257 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; d_hsync_counter[6] ; board_clk ; +; N/A ; None ; 7.247 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; d_hsync_counter[3] ; board_clk ; +; N/A ; None ; 7.230 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; d_hsync_counter[8] ; board_clk ; +; N/A ; None ; 7.202 ns ; vga:inst|vga_control:vga_control_unit|b ; d_b ; board_clk ; +; N/A ; None ; 7.194 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; d_vsync_counter[3] ; board_clk ; +; N/A ; None ; 7.185 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; d_set_hsync_counter ; board_clk ; +; N/A ; None ; 7.171 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; d_toggle_counter[18] ; board_clk ; +; N/A ; None ; 7.161 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; d_vsync_counter[2] ; board_clk ; +; N/A ; None ; 7.160 ns ; vga:inst|vga_driver:vga_driver_unit|h_sync ; d_hsync ; board_clk ; +; N/A ; None ; 7.157 ns ; vga:inst|vga_control:vga_control_unit|r ; r2_pin ; board_clk ; +; N/A ; None ; 7.131 ns ; vga:inst|vga_control:vga_control_unit|r ; r1_pin ; board_clk ; +; N/A ; None ; 7.090 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; d_hsync_counter[9] ; board_clk ; +; N/A ; None ; 7.012 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 ; d_toggle_counter[3] ; board_clk ; +; N/A ; None ; 6.796 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; d_hsync_counter[2] ; board_clk ; +; N/A ; None ; 6.735 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; d_toggle_counter[17] ; board_clk ; +; N/A ; None ; 6.701 ns ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; d_h_enable ; board_clk ; +; N/A ; None ; 6.697 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; d_set_line_counter ; board_clk ; +; N/A ; None ; 6.686 ns ; vga:inst|vga_control:vga_control_unit|b ; b0_pin ; board_clk ; +; N/A ; None ; 6.613 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; d_toggle_counter[15] ; board_clk ; +; N/A ; None ; 6.602 ns ; vga:inst|vga_control:vga_control_unit|b ; b1_pin ; board_clk ; +; N/A ; None ; 6.340 ns ; vga:inst|vga_driver:vga_driver_unit|v_sync ; d_vsync ; board_clk ; +; N/A ; None ; 6.216 ns ; vga:inst|vga_driver:vga_driver_unit|h_sync ; hsync_pin ; board_clk ; +; N/A ; None ; 6.159 ns ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vsync_pin ; board_clk ; +; N/A ; None ; 5.785 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; d_toggle_counter[5] ; board_clk ; +; N/A ; None ; 5.535 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; d_toggle_counter[7] ; board_clk ; +; N/A ; None ; 5.412 ns ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; d_v_enable ; board_clk ; +; N/A ; None ; 5.351 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 ; d_toggle_counter[4] ; board_clk ; +; N/A ; None ; 5.347 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 ; d_toggle_counter[9] ; board_clk ; +; N/A ; None ; 5.314 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; d_toggle_counter[11] ; board_clk ; +; N/A ; None ; 5.060 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; d_toggle_counter[12] ; board_clk ; +; N/A ; None ; 5.055 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; d_toggle_counter[14] ; board_clk ; +; N/A ; None ; 4.894 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 ; d_toggle_counter[8] ; board_clk ; +; N/A ; None ; 4.891 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; d_toggle_counter[10] ; board_clk ; +; N/A ; None ; 4.812 ns ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; d_toggle_counter[1] ; board_clk ; +; N/A ; None ; 3.704 ns ; vpll:inst1|altpll:altpll_component|_clk0 ; d_state_clk ; board_clk ; ++-------+--------------+------------+-------------------------------------------------------------+----------------------+------------+ + + ++-------------------------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+-------+-------------------+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+-------+-------------------+ +; N/A ; None ; 16.036 ns ; reset ; seven_seg_pin[7] ; +; N/A ; None ; 16.026 ns ; reset ; seven_seg_pin[12] ; +; N/A ; None ; 15.126 ns ; reset ; seven_seg_pin[2] ; +; N/A ; None ; 14.015 ns ; reset ; seven_seg_pin[1] ; +; N/A ; None ; 14.015 ns ; reset ; seven_seg_pin[11] ; +; N/A ; None ; 14.007 ns ; reset ; seven_seg_pin[10] ; +; N/A ; None ; 13.856 ns ; reset ; seven_seg_pin[9] ; +; N/A ; None ; 13.841 ns ; reset ; seven_seg_pin[8] ; ++-------+-------------------+-----------------+-------+-------------------+ + + ++------------------------------------------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+ +; N/A ; None ; -5.569 ns ; reset ; vga:inst|dly_counter[0] ; board_clk ; +; N/A ; None ; -5.570 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync ; board_clk ; +; N/A ; None ; -5.890 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_sync ; board_clk ; +; N/A ; None ; -6.025 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; board_clk ; +; N/A ; None ; -6.029 ns ; reset ; vga:inst|dly_counter[1] ; board_clk ; +; N/A ; None ; -6.631 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; board_clk ; +; N/A ; None ; -6.634 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; board_clk ; +; N/A ; None ; -6.637 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; board_clk ; +; N/A ; None ; -6.640 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; board_clk ; +; N/A ; None ; -6.643 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; board_clk ; +; N/A ; None ; -6.645 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; board_clk ; +; N/A ; None ; -6.646 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; board_clk ; +; N/A ; None ; -6.648 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; board_clk ; +; N/A ; None ; -6.648 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; board_clk ; +; N/A ; None ; -6.648 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; board_clk ; +; N/A ; None ; -7.132 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; board_clk ; +; N/A ; None ; -7.135 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; board_clk ; +; N/A ; None ; -7.138 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; board_clk ; +; N/A ; None ; -7.141 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; board_clk ; +; N/A ; None ; -7.144 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; board_clk ; +; N/A ; None ; -7.145 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; board_clk ; +; N/A ; None ; -7.146 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; board_clk ; +; N/A ; None ; -7.148 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; board_clk ; +; N/A ; None ; -7.149 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; board_clk ; +; N/A ; None ; -7.150 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; board_clk ; +; N/A ; None ; -7.322 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; board_clk ; +; N/A ; None ; -7.512 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; board_clk ; +; N/A ; None ; -7.513 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; board_clk ; +; N/A ; None ; -7.620 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; board_clk ; +; N/A ; None ; -7.620 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; board_clk ; +; N/A ; None ; -7.621 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; board_clk ; +; N/A ; None ; -7.621 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; board_clk ; +; N/A ; None ; -7.621 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; board_clk ; +; N/A ; None ; -7.621 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; board_clk ; +; N/A ; None ; -7.621 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; board_clk ; +; N/A ; None ; -7.864 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; board_clk ; +; N/A ; None ; -7.921 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; board_clk ; +; N/A ; None ; -7.976 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; board_clk ; +; N/A ; None ; -7.977 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; board_clk ; +; N/A ; None ; -8.044 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; board_clk ; +; N/A ; None ; -8.044 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; board_clk ; +; N/A ; None ; -8.044 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; board_clk ; +; N/A ; None ; -8.053 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; board_clk ; +; N/A ; None ; -8.109 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; board_clk ; +; N/A ; None ; -8.162 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; board_clk ; +; N/A ; None ; -8.417 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; board_clk ; +; N/A ; None ; -8.847 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; board_clk ; +; N/A ; None ; -8.847 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; board_clk ; +; N/A ; None ; -8.847 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; board_clk ; +; N/A ; None ; -8.877 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; board_clk ; +; N/A ; None ; -8.987 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; board_clk ; +; N/A ; None ; -9.146 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; board_clk ; +; N/A ; None ; -9.146 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; board_clk ; ++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:55:36 2009 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled +Info: Found timing assignments -- calculating delays +Info: Slack time is 28.83 ns for clock "vpll:inst1|altpll:altpll_component|_clk0" between source register "vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4" and destination register "vga:inst|vga_control:vga_control_unit|r" + Info: Fmax is 125.83 MHz (period= 7.947 ns) + Info: + Largest register to register requirement is 36.570 ns + Info: + Setup relationship between source and destination is 36.777 ns + Info: + Latch edge is 35.747 ns + Info: Clock period of Destination clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Destination register is 1 + Info: - Launch edge is -1.030 ns + Info: Clock period of Source clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Source register is 1 + Info: + Largest clock skew is -0.021 ns + Info: + Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.043 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.483 ns) + CELL(0.560 ns) = 2.043 ns; Loc. = LC_X72_Y6_N1; Fanout = 4; REG Node = 'vga:inst|vga_control:vga_control_unit|r' + Info: Total cell delay = 0.560 ns ( 27.41 % ) + Info: Total interconnect delay = 1.483 ns ( 72.59 % ) + Info: - Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.064 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.504 ns) + CELL(0.560 ns) = 2.064 ns; Loc. = LC_X22_Y42_N7; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4' + Info: Total cell delay = 0.560 ns ( 27.13 % ) + Info: Total interconnect delay = 1.504 ns ( 72.87 % ) + Info: - Micro clock to output delay of source is 0.176 ns + Info: - Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 7.740 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X22_Y42_N7; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4' + Info: 2: + IC(1.012 ns) + CELL(0.332 ns) = 1.344 ns; Loc. = LC_X18_Y42_N6; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|un13_v_enablelto4_0' + Info: 3: + IC(0.336 ns) + CELL(0.332 ns) = 2.012 ns; Loc. = LC_X18_Y42_N4; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|un13_v_enablelto6' + Info: 4: + IC(1.768 ns) + CELL(0.332 ns) = 4.112 ns; Loc. = LC_X28_Y35_N2; Fanout = 2; COMB Node = 'vga:inst|vga_control:vga_control_unit|b_next_0_sqmuxa_7_4' + Info: 5: + IC(3.139 ns) + CELL(0.489 ns) = 7.740 ns; Loc. = LC_X72_Y6_N1; Fanout = 4; REG Node = 'vga:inst|vga_control:vga_control_unit|r' + Info: Total cell delay = 1.485 ns ( 19.19 % ) + Info: Total interconnect delay = 6.255 ns ( 80.81 % ) +Info: No valid register-to-register data paths exist for clock "board_clk" +Info: Minimum slack time is 730 ps for clock "vpll:inst1|altpll:altpll_component|_clk0" between source register "vga:inst|vga_driver:vga_driver_unit|hsync_state_0" and destination register "vga:inst|vga_driver:vga_driver_unit|hsync_state_5" + Info: + Shortest register to register delay is 0.654 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_0' + Info: 2: + IC(0.419 ns) + CELL(0.235 ns) = 0.654 ns; Loc. = LC_X42_Y42_N2; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_5' + Info: Total cell delay = 0.235 ns ( 35.93 % ) + Info: Total interconnect delay = 0.419 ns ( 64.07 % ) + Info: - Smallest register to register requirement is -0.076 ns + Info: + Hold relationship between source and destination is 0.000 ns + Info: + Latch edge is -1.030 ns + Info: Clock period of Destination clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Destination register is 1 + Info: Multicycle Hold factor for Destination register is 1 + Info: - Launch edge is -1.030 ns + Info: Clock period of Source clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Source register is 1 + Info: Multicycle Hold factor for Source register is 1 + Info: + Smallest clock skew is 0.000 ns + Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.097 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.537 ns) + CELL(0.560 ns) = 2.097 ns; Loc. = LC_X42_Y42_N2; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_5' + Info: Total cell delay = 0.560 ns ( 26.70 % ) + Info: Total interconnect delay = 1.537 ns ( 73.30 % ) + Info: - Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.097 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.537 ns) + CELL(0.560 ns) = 2.097 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_0' + Info: Total cell delay = 0.560 ns ( 26.70 % ) + Info: Total interconnect delay = 1.537 ns ( 73.30 % ) + Info: - Micro clock to output delay of source is 0.176 ns + Info: + Micro hold delay of destination is 0.100 ns +Info: tsu for register "vga:inst|vga_driver:vga_driver_unit|hsync_state_0" (data pin = "reset", clock pin = "board_clk") is 10.866 ns + Info: + Longest pin to register delay is 11.923 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset' + Info: 2: + IC(5.624 ns) + CELL(0.213 ns) = 6.978 ns; Loc. = LC_X30_Y38_N3; Fanout = 53; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(2.397 ns) + CELL(0.213 ns) = 9.588 ns; Loc. = LC_X52_Y41_N9; Fanout = 6; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 4: + IC(1.609 ns) + CELL(0.726 ns) = 11.923 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_0' + Info: Total cell delay = 2.293 ns ( 19.23 % ) + Info: Total interconnect delay = 9.630 ns ( 80.77 % ) + Info: + Micro setup delay of destination is 0.010 ns + Info: - Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns + Info: - Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.097 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.537 ns) + CELL(0.560 ns) = 2.097 ns; Loc. = LC_X42_Y42_N9; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_0' + Info: Total cell delay = 0.560 ns ( 26.70 % ) + Info: Total interconnect delay = 1.537 ns ( 73.30 % ) +Info: tco from clock "board_clk" to destination pin "seven_seg_pin[7]" through register "vga:inst|dly_counter[0]" is 11.498 ns + Info: + Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns + Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.043 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.483 ns) + CELL(0.560 ns) = 2.043 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]' + Info: Total cell delay = 0.560 ns ( 27.41 % ) + Info: Total interconnect delay = 1.483 ns ( 72.59 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Longest register to pin delay is 10.309 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]' + Info: 2: + IC(1.164 ns) + CELL(0.087 ns) = 1.251 ns; Loc. = LC_X30_Y38_N3; Fanout = 53; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(4.867 ns) + CELL(4.191 ns) = 10.309 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin[7]' + Info: Total cell delay = 4.278 ns ( 41.50 % ) + Info: Total interconnect delay = 6.031 ns ( 58.50 % ) +Info: Longest tpd from source pin "reset" to destination pin "seven_seg_pin[7]" is 16.036 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset' + Info: 2: + IC(5.624 ns) + CELL(0.213 ns) = 6.978 ns; Loc. = LC_X30_Y38_N3; Fanout = 53; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(4.867 ns) + CELL(4.191 ns) = 16.036 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin[7]' + Info: Total cell delay = 5.545 ns ( 34.58 % ) + Info: Total interconnect delay = 10.491 ns ( 65.42 % ) +Info: th for register "vga:inst|dly_counter[0]" (data pin = "reset", clock pin = "board_clk") is -5.569 ns + Info: + Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns + Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.043 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 84; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.483 ns) + CELL(0.560 ns) = 2.043 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]' + Info: Total cell delay = 0.560 ns ( 27.41 % ) + Info: Total interconnect delay = 1.483 ns ( 72.59 % ) + Info: + Micro hold delay of destination is 0.100 ns + Info: - Shortest pin to register delay is 6.682 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset' + Info: 2: + IC(5.177 ns) + CELL(0.364 ns) = 6.682 ns; Loc. = LC_X30_Y39_N4; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]' + Info: Total cell delay = 1.505 ns ( 22.52 % ) + Info: Total interconnect delay = 5.177 ns ( 77.48 % ) +Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details. +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 141 megabytes + Info: Processing ended: Wed Oct 28 14:55:37 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp2/Designflow/ppr/download/vga_pll.tan.summary b/bsp2/Designflow/ppr/download/vga_pll.tan.summary new file mode 100644 index 0000000..1a709db --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.tan.summary @@ -0,0 +1,76 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 10.866 ns +From : reset +To : vga:inst|vga_driver:vga_driver_unit|hsync_state_5 +From Clock : -- +To Clock : board_clk +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 11.498 ns +From : vga:inst|dly_counter[0] +To : seven_seg_pin[7] +From Clock : board_clk +To Clock : -- +Failed Paths : 0 + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 16.036 ns +From : reset +To : seven_seg_pin[7] +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : -5.569 ns +From : reset +To : vga:inst|dly_counter[0] +From Clock : -- +To Clock : board_clk +Failed Paths : 0 + +Type : Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' +Slack : 28.830 ns +Required Time : 27.19 MHz ( period = 36.777 ns ) +Actual Time : 125.83 MHz ( period = 7.947 ns ) +From : vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 +To : vga:inst|vga_control:vga_control_unit|r +From Clock : vpll:inst1|altpll:altpll_component|_clk0 +To Clock : vpll:inst1|altpll:altpll_component|_clk0 +Failed Paths : 0 + +Type : Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' +Slack : 0.730 ns +Required Time : 27.19 MHz ( period = 36.777 ns ) +Actual Time : N/A +From : vga:inst|vga_driver:vga_driver_unit|hsync_state_0 +To : vga:inst|vga_driver:vga_driver_unit|hsync_state_5 +From Clock : vpll:inst1|altpll:altpll_component|_clk0 +To Clock : vpll:inst1|altpll:altpll_component|_clk0 +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/bsp2/Designflow/ppr/download/vga_pll.tcl b/bsp2/Designflow/ppr/download/vga_pll.tcl new file mode 100644 index 0000000..c260434 --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll.tcl @@ -0,0 +1,184 @@ +# Copyright (C) 1991-2006 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. + +# Quartus II: Generate Tcl File for Project +# File: vga_pll.tcl +# Generated on: Fri Sep 29 09:31:24 2006 + +# Load Quartus II Tcl Project package +package require ::quartus::project +package require ::quartus::flow + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "vga_pll"]} { + puts "Project vga_pll is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists vga_pll]} { + project_open -cmp vga_pll vga_pll + } else { + project_new -cmp vga_pll vga_pll + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + catch { set_global_assignment -name FAMILY Stratix } result + catch { set_global_assignment -name DEVICE EP1S25F672C6 } result + catch { set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 } result + catch { set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10 SEPTEMBER 29, 2006" } result + catch { set_global_assignment -name LAST_QUARTUS_VERSION 6.0 } result + catch { set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" } result + catch { set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis } result + catch { set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis } result + catch { set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" } result + catch { set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation } result + catch { set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation } result + catch { set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA } result + catch { set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 } result + catch { set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 } result + catch { set_global_assignment -name BSF_FILE ../../src/vpll.bsf } result + catch { set_global_assignment -name VHDL_FILE ../../src/vpll.vhd } result + catch { set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf } result + catch { set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm } result + + set_location_assignment PIN_E24 -to b0_pin + set_location_assignment PIN_T6 -to b1_pin + set_location_assignment PIN_N3 -to board_clk + set_location_assignment PIN_E23 -to g0_pin + set_location_assignment PIN_T5 -to g1_pin + set_location_assignment PIN_T24 -to g2_pin + set_location_assignment PIN_F1 -to hsync_pin + set_location_assignment PIN_E22 -to r0_pin + set_location_assignment PIN_T4 -to r1_pin + set_location_assignment PIN_T7 -to r2_pin + set_location_assignment PIN_A5 -to reset + set_location_assignment PIN_F2 -to vsync_pin + set_location_assignment PIN_Y5 -to d_hsync_state[0] + set_location_assignment PIN_F19 -to d_hsync_state[1] + set_location_assignment PIN_F17 -to d_hsync_state[2] + set_location_assignment PIN_Y2 -to d_hsync_state[3] + set_location_assignment PIN_F10 -to d_hsync_state[4] + set_location_assignment PIN_F9 -to d_hsync_state[5] + set_location_assignment PIN_F6 -to d_hsync_state[6] + set_location_assignment PIN_H4 -to d_hsync_counter[0] + set_location_assignment PIN_G25 -to d_hsync_counter[7] + set_location_assignment PIN_G22 -to d_hsync_counter[8] + set_location_assignment PIN_G18 -to d_hsync_counter[9] + set_location_assignment PIN_F5 -to d_vsync_state[0] + set_location_assignment PIN_F4 -to d_vsync_state[1] + set_location_assignment PIN_F3 -to d_vsync_state[2] + set_location_assignment PIN_M19 -to d_vsync_state[3] + set_location_assignment PIN_M18 -to d_vsync_state[4] + set_location_assignment PIN_M7 -to d_vsync_state[5] + set_location_assignment PIN_M4 -to d_vsync_state[6] + set_location_assignment PIN_G9 -to d_vsync_counter[0] + set_location_assignment PIN_G6 -to d_vsync_counter[7] + set_location_assignment PIN_G4 -to d_vsync_counter[8] + set_location_assignment PIN_G2 -to d_vsync_counter[9] + set_location_assignment PIN_K6 -to d_line_counter[0] + set_location_assignment PIN_K4 -to d_line_counter[1] + set_location_assignment PIN_J22 -to d_line_counter[2] + set_location_assignment PIN_M9 -to d_line_counter[3] + set_location_assignment PIN_M8 -to d_line_counter[4] + set_location_assignment PIN_M6 -to d_line_counter[5] + set_location_assignment PIN_M5 -to d_line_counter[6] + set_location_assignment PIN_L24 -to d_line_counter[7] + set_location_assignment PIN_L25 -to d_line_counter[8] + set_location_assignment PIN_L23 -to d_column_counter[0] + set_location_assignment PIN_L22 -to d_column_counter[1] + set_location_assignment PIN_L21 -to d_column_counter[2] + set_location_assignment PIN_L20 -to d_column_counter[3] + set_location_assignment PIN_L6 -to d_column_counter[4] + set_location_assignment PIN_L4 -to d_column_counter[5] + set_location_assignment PIN_L2 -to d_column_counter[6] + set_location_assignment PIN_K23 -to d_column_counter[7] + set_location_assignment PIN_K19 -to d_column_counter[8] + set_location_assignment PIN_K5 -to d_column_counter[9] + set_location_assignment PIN_L7 -to d_hsync + set_location_assignment PIN_L5 -to d_vsync + set_location_assignment PIN_F26 -to d_set_hsync_counter + set_location_assignment PIN_F24 -to d_set_vsync_counter + set_location_assignment PIN_F21 -to d_set_line_counter + set_location_assignment PIN_Y23 -to d_set_column_counter + set_location_assignment PIN_L3 -to d_r + set_location_assignment PIN_K24 -to d_g + set_location_assignment PIN_K20 -to d_b + set_location_assignment PIN_H18 -to d_v_enable + set_location_assignment PIN_J21 -to d_h_enable + set_location_assignment PIN_R8 -to seven_seg_pin[0] + set_location_assignment PIN_R9 -to seven_seg_pin[1] + set_location_assignment PIN_R19 -to seven_seg_pin[2] + set_location_assignment PIN_R20 -to seven_seg_pin[3] + set_location_assignment PIN_R21 -to seven_seg_pin[4] + set_location_assignment PIN_R22 -to seven_seg_pin[5] + set_location_assignment PIN_R23 -to seven_seg_pin[6] + set_location_assignment PIN_Y11 -to seven_seg_pin[7] + set_location_assignment PIN_N7 -to seven_seg_pin[8] + set_location_assignment PIN_N8 -to seven_seg_pin[9] + set_location_assignment PIN_R4 -to seven_seg_pin[10] + set_location_assignment PIN_R6 -to seven_seg_pin[11] + set_location_assignment PIN_AA11 -to seven_seg_pin[12] + set_location_assignment PIN_T2 -to seven_seg_pin[13] + set_location_assignment PIN_K3 -to d_state_clk + set_location_assignment PIN_H3 -to d_toggle + set_location_assignment PIN_H26 -to d_toggle_counter[0] + set_location_assignment PIN_G24 -to d_toggle_counter[15] + set_location_assignment PIN_G23 -to d_toggle_counter[16] + set_location_assignment PIN_G21 -to d_toggle_counter[17] + set_location_assignment PIN_G20 -to d_toggle_counter[18] + set_location_assignment PIN_G5 -to d_toggle_counter[19] + set_location_assignment PIN_G3 -to d_toggle_counter[20] + set_location_assignment PIN_G1 -to d_toggle_counter[21] + set_location_assignment PIN_F25 -to d_toggle_counter[22] + set_location_assignment PIN_F23 -to d_toggle_counter[23] + set_location_assignment PIN_T19 -to d_toggle_counter[24] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state + set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin + + + # Commit assignments + export_assignments + +execute_flow -compile + + # Close project + if {$need_to_close_project} { + project_close + } +} diff --git a/bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf b/bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf new file mode 100644 index 0000000..9df0b4b --- /dev/null +++ b/bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf @@ -0,0 +1,626 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 14:54:36 October 28, 2009 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus II software and is used +# to preserve global assignments across Quartus II versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name SMART_RECOMPILE Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name IGNORE_CLOCK_SETTINGS Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS Off +set_global_assignment -name ENABLE_CLOCK_LATENCY Off +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family ACEX1K +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000B +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KA +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix IV" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Cyclone III" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy Stratix" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KE +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000AE +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Cyclone +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10K +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "MAX II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KC +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria II GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000S +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX6000 +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "APEX II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KE +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Cyclone II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix III" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX3000A +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Stratix +set_global_assignment -name NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT 10 +set_global_assignment -name NUMBER_OF_DESTINATION_TO_REPORT 10 +set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 200 +set_global_assignment -name DO_MIN_ANALYSIS Off +set_global_assignment -name DO_MIN_TIMING Off +set_global_assignment -name REPORT_IO_PATHS_SEPARATELY Off +set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK Off +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family ACEX1K +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000B +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KA +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "HardCopy Stratix" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000AE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Cyclone +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10K +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KC +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000S +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX6000 +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "APEX II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX3000A +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Stratix +set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family ACEX1K +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000B +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KA +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy Stratix" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000AE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Cyclone +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10K +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KC +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000S +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX6000 +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "APEX II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Cyclone II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Arria GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX3000A +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Stratix +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name DISABLE_OCP_HW_EVAL Off +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL93 +set_global_assignment -name FAMILY -value Stratix +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS On +set_global_assignment -name PARALLEL_SYNTHESIS Off +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off +set_global_assignment -name SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name ALLOW_ACLR_FOR_SHIFT_REGISTER_RECOGNITION On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Cyclone II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Cyclone III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy Stratix" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Cyclone +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Stratix +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING "Force All Tiles with Failing Timing Paths to High Speed" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off -family "Cyclone III" +set_global_assignment -name OPTIMIZE_SSN Off -family "Stratix III" +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING On +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto +set_global_assignment -name AUTO_PACKED_REGISTERS Off +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO +set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name STOP_AFTER_CONGESTION_MAP Off +set_global_assignment -name SAVE_INTERMEDIATE_FITTING_RESULTS Off +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION -value OFF +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family ACEX1K +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000B +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KA +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Cyclone III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy Stratix" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000AE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Cyclone +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10K +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KC +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000S +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX6000 +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "APEX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Cyclone II" +set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Stratix III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Arria GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX3000A +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Stratix +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT Off +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY -value ON +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION -value ON +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name INCREMENTAL_COMPILATION -value OFF +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE POST_FIT +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name DUTY_CYCLE 50 -section_id ? +set_global_assignment -name INVERT_BASE_CLOCK Off -section_id ? +set_global_assignment -name MULTIPLY_BASE_CLOCK_PERIOD_BY 1 -section_id ? +set_global_assignment -name DIVIDE_BASE_CLOCK_PERIOD_BY 1 -section_id ? +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY Off -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Cyclone III" +set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Stratix III" +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? diff --git a/bsp2/Designflow/ppr/sim/db/vga.(0).cnf.cdb b/bsp2/Designflow/ppr/sim/db/vga.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..1787f1ecae67d34daf0cd525c4d12b6f40defdaa GIT binary patch literal 7427 zcmdsc^;29yw=EXjA%Ve@;O+zlx8P3jK!6#X3GS{z0tAOZaEAbcYj9_Ry9W&t0)gP~ zkng_t!>e2O54hDeyVqKK_vzg|b*gsvAwWVxs>DG?G6Nb9fZsrzU2I^Ebi$n6bkc4% z_Lg)!e7tnryj;8jT)f=e!gSh7bn-xi6c@}za6GG{q%FIU*^5pWu1aFEp1R0Ew`B9#uIAP6YrR%}9!C)EaD(*sF zg~3R7S+9cmH*2gC!sG%{?LtT)^RPaPz`dDlpDf{X!dv8*(rCnk1&AS&@*PoB4{2tIly4GL$muIQ%l9$}7apHcjSP%@FM!Q#2zSQtX zbx=EJW{zC^oI->c?e5w$Ig8$>Arwi2>Aq0I_~{HQoZFRFz+Ec~{j4O-sEXAqRu@{u z5;QJe7h0;xFv`Q>d%!JJ`^@E~9gkS;v#FOhQu1zQ+rKtPna8B0XXT$dbB0!&&w58s zD8WA3!ePG|ZFSr6EZ)f(tUQCL)-&7rMAuf?XwH6o2060VoUH;nN6lFpm;qOCkW1^y zHD!lPTIV)6^eXca98Fn^Q1;!W9MeSNPs!20*nB&p*L(Hqy~%4>2}L*k-1@o{>$t;yT0 zVTQ4_a^uO{l}GRu0MsJ@fCIqf5i|lIB30IqcgcQ)tf@}ZFG!hk=6?kI0j3HsgedCu zVaMRnADjA5{Q9zp;({>pRyXhW!&a@eX62J=8LCEVVGN&ocICmoiW$CRvECzJvL zIvWvd!cn!iD-FyeoiZ%LzfTST2HwbHf7r-n9tp^0N=W`|0~UNYOfkc#VvxTW%3`OJ z$qk9MB`K=Vbm&gnhLNn%UXs)Z$TrRXSX!}%>zHv>rzfqNBeIwSWyGqnLK^@p_D%M#42-`ZJRU6k51uP?gHvK)=O z@a4d?(;k%?jBD?`RMYLfu#|}?mo~;RGsB{hUIgl6>KoJ$BKJyv8;%OYq}VAg`jJTH&&?ox(uSx%)MK|P42NsbtPC)I%qQFHq=>@{ zJRmy5zqe~~N$JtZy87xcl%iE5f%XG4QX*2~$83B-FXLl#M(d?@4rp?EIk0VD{HdeK zQd|ZSnz>W-`n&qc=fx{WXHct*5bad`LCf0Iej&`8DrrYTSE9Ov?N^-Or!O6d=e(x- z-=(NUc5{AZ8Wz7CqypJ@2ehjmgi1Lb9DZu60V^(ssV3jF6gyjO)-7Z*MjdDthU;)m zVWXD3{b?ek&0h+mMHsSt7l~Xlbmd5<DZTEbM*&$Aapb=8 zjYM#e98_ywg+Kn8BpPA)F0mw9d@p)|3!&*_t8SA-B9c6(G7-)t#Bu6=u~quy@n28~ zbTYq4Db6vRlV57I!)r^ku~kT%l1BB7bTY_NBfm|D1jcZMvux{7|y03*TH?xZcBhNbQm?SojJeRF z9YHPu51?asYkQ2VI))SeCeeG*1aLQ`X0*y^yOgJ9_(*HJxWr~~$(Qux=e!cdGe-HMM@lU< z9n{noXOAT)Y*I&gd!~+0DULX$f6kk_<%I>Gs`D;64j`^WFpoeAC}kd`*LU?f%~AWMq{$`ygW- z*ZD_J-ct#&0UDxuzpa&A3NfyaTcMj)x~OfZ=2h}DIDEQeTpi*VQ4Q2LN3a6sn{~6a zt~GIWxsd34|3&SI_3lm~Z&nK9+e>di!$AsI2DBI7^n3sfvuOk%=HIG{^DGEZmhj1| z&UN^kbZb%twD_AjGyF3|M+f(KA0HLNc>#C^_eB4Z@yD>iJ)M7qQS>PuC$ETi#Wl3a zGA#F18yXqNZ{Tr~e3&ONiRF1cgC)sANWhew z;+nSh7MxCt#g}dGyPLvkMC`<^p+hF}0CxAT(MuFyUBV`_I$MR?5Xuvz|3l>}h zGwuvxp+f^LiKp)hJ3DDTSTx^jE;F@F<8rb-Sa1o1WJ3IwmB-ehW3*>ld`-IXah|21 z<+53f_Gzk{9t($v&CW%IF?w7APs!#UAPzmrsC^pOQS#~uFqTrofBc{3;R6f`bcUy9 zSkP)1d%%xY6;_9e*FyB2u4fH9pW$!n*yZTRKo?3g-YvIzKhaeW5VGU;e!Z#n%}d%pK)}-uYC!YI zy|6V^4QbW7!G7#?e7s_dhF(&BBrgSDcy=5;$y09E#p6_J`EE3`-d*7GNYq4n0D@Ae z-3Ab?ZlMoz4D-0q9rme4R#}uT)f~k{^aloNYPrO8O-idr_VSI~F{Qi?-x!_7 zHT=CF4eLpGyQ|iAzUq@WsSPIae8S-1dr0q-`vh;O*PIV5=Fd&1vx<_2lQ~t3r4NDhGy@L`Dirt9uUUktm zJAKh|ilw;7EDlMpBA*g6*hJj=JyVJgJUcZqSq62V6?5-=vni^+R0cUUvNVP4YojQ{AwyF}I z@b>PDJ4Tdpe^d4(Uu6)*Jtn3JcgI5DlNNq0{m=LXBVD7>!P8`ETX z^1!^akt#vCza=q0!JmGhi^M{|hon&tPd!E*4}o9y8UXL`)a@w+M0v*l?=NFlboxvC zxAdJTfVpNQIqe;>7Gu= zN0KNH1(q04nT{1!Cq|i|=7`4(A8x3oPL%l5849-zc62HG%whYuFwB$q(?7H1 z9xE4TPJc8`$>}DFZCg_y#s5#CB_>FoR3^S8H0~M1!WBgfqACl-+0;(pB2|O#9y+d# zca?9tdnh_WBNo)K2>3d0dlH85a#UOE+fMt!_qG3o^?-1s)^|05F^VXDH1Vb>GeuKHeN~%18giO z5ZfkD&=5{ZZPxMrzY$uJsNke#ZDV;Po}=e#(P8@Wm~<$Z&ow5E@?sV1BNSWJyK#^aI*nfIwQN>n0&tTJm9_c#O7pf3|xU!w{;f&OdF@tXY< z;y`x22h@)_O63%|NapfBXMNY>*w2{Tie#P4rr#PX zxzz6}3j$DDdGYr}`M6!688s$0Db@TIY{D!obv)$XOU*A@1^I~N!)rh{l?ByU|2a4O z2*Zk8nbBt^2Tl~IxJuY`|NQ|X+e4CBo|o-3JeJ)G!Ns(2>wJWkX}TJIP1V=-yA^>y zs~A=;LhT|_&RZYvX)lR`w@_3|N~~A&0)HHhWN1XtjZp~^v899%()1FCLvMW_;zx4@ zLME~D)&%+9pniewWeawQpo%`=-J-#9UnLjDzklbxv-44;<9QnBm9DvbM>9vgTn!wz zUTO3jLkAXN_z@y|VOn#SmSk50u2dGxAz1N5+YgB$mvs(dHO{=m_}_!2mroX^08|9Sa9 z%q#+puZvwS@Jc^=tB4p(=|7gR7`n4hY*#RAAc1Zf6AX-goYtjyE0U+=^UgkyN7BDM z3g=$+38}{0`XN8Vjpatz9^+r4P|prUU%n8PLlv2c3-b3-e~ZFXN_hDor|Ex-K4|fcjuV0Tr0guM zi0#ti1>k%i1i}YY4(&ZhKPvh{gNsI07MfT(Z1U*u(&h7y(%$%LzogWL>pjuv@cVazm{1lKrr)hE&f76`bU{K>=yskfirgc= z@XaG(c6WSJO2}*-}5rhWB z`IJQ7yl8un-^m0yvMN7+XMz3@H_{k{4FzfOFpB7fFGN4#!z0p!KsfYch7$?pYUKwuKBgM^aP*$S;qj^uYtqS7#dG6z zq*vkEAEVC=u%78Q(BBt}MZ`4*r&E%B__NX^nmWf_ie6i_=ZFF1(WDTt(=T_;C&W4V zC3&MeUK2z{T+y4+8Jz`Dz=E=nIwLBU7=L6N2ys@YRv=+PpupRO7anc4)4v^dAqF$R zQ96{|L3Rv?|2rBR-CGA~;9+x1HkK~-FloS@8J{-$=yo)wFLK*@F&9c= z%+1M`jzO=lGlnXN_nR=B`zGsLJVja1BZ+#+m!IRMcq=hKWY^Gr-e=MJDFFTZbHP^$iTQ2f(weQwb(WK1vD{J{D zgD`{S(b}!OvSeQiXVvui!XUSnKr7*^k50n2x)bA0g1R){00l%7pQOl$bIvE)V z3;k#ZQitgLr-q;*85`EAqn8k2l;G&8FMV%&OMifLhNh42=fCMhW1S?~sd&L$*Bn?5?DfU#RhW^qU*NGM$nc!O6?pc>nV%!V*6mUU;mb#MxA`ryZxcx=W!XMcYAyq zwI=ZP6gDng z&Ba@qkUQA(+DSoCSEIIHfD}?t^^t$mb@xfGy{x zGa=4KvHuyk1o-Io{k?$L`T8_l_-6jQ+D&^RShtykL(7P7!z}vR61V#dN)_^J`J$gr z)FX`t6VdJqNL4m~xpxJOlo?V}&-HCEFN-cwRSl!@HdFK?z zGOKMh@7UhJw3q}UF6*Miu`jz>NUajXL`s|UMNNVvy;Bt}O1xRw4 z=oew%Ve}$GA6Sb6$?ypjR)Z^}$5-;*CYl8rIYopzX61IE{Bs zbLARx17Cw{5=ZyoR!hesI>#5+HUDy9#jw=iqf_sO@^2UE-VG~eK26yUT=U|Z2zRmh zvfslEa&~W=#@5*C~{i@uV!vF+z@ilU0{n z7jB=1FEDYATx}9RU0&wcR9b`8W~qQ@C^c_}y~7xoW=+QtSci^z9c?eO3>7a`mb2=D z*en}MWdPq(@Nui;WLC2qD(m)#CW@v%+WplGbz@sh@g5SNG&=2(3-sJ8EL}(+e4yQ1 z$XP=ZBO_J*@w4eh!k<44-Pc=%^73Wr*WkCfNu7TmKr5b9)?dCmU&D^g+WKo#1Zl}1aNjE9y9UX2YgqIy|v(D zlsmicVmSmM9cW3MGoRj`DXign6P}~y2!(VIoSWo$1abzgVnz8YCuD6oVg4D(iI6-& zF+uW^C=pjXne+ATOyR@2R}TrmJ}1&Jv0_Imze(t%AypTs=zN;=2EK}W9g%Y_%EZdR zzJDn-?eXlqCub_B^T{A`PX+ zJEJiBPYYK8z~{7w2Tx>Ta|UGBF42J)b0L9Qf#l<)K z6cmJ|5RxR)`l=736&&8w&9Bp;3EMzsJCM?14a=KeSQDZX|0aQH+v1{gjlx-Nj$D$W zMmH9h*N0f^bL&3n!}08570o%QLZ*H)8S*|XAkr@Wgz;{Ly#iOrfSg<<2fH%pUPgBh zOUoMWmcWC9N~@uTte8ta-TQ0M4Dn0h($h3&v558Q1wD(pSXe1j>l6A-adeW4k94yToSL5Qj=7EG)^8^G!N!Yd@ge=Y$$s&4DZl t(lL^B+C0ICInv3}`zqWeANV<#0!LC#jnDX5&3isslx^QY@_(E9e*iGI5A*;4 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.(0).cnf.hdb b/bsp2/Designflow/ppr/sim/db/vga.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..44243ab74d43945aeb19e718da583eed399324bf GIT binary patch literal 2443 zcmZ{mXE+;*8pn+$R*Pe+m7JoqXl$_+L5vu!QAdp$4XF_;Rufh9)C$p-7$v9{wQBFJ z#0)>NuaaW23@O>km3xg&7g2YQOsX zcWK9jgYWe_UjIz76Q>$(C$6+mC0bV$)KPOIfjlta9y_T2cHiyY^P;x&H>noaa$w;C z>#lj<&L#&IdVeDpRKFY~w4oIo5PaM23V>LX2mI*{mrE#@)<<^F_J4MXh`g4wC9K-$ zsl1kz{5=e842k>WN23&?wn~27FO6M_6mZ~}oAL;XyPb{H>C;|t5BTA)?fS@RN)A}J zLP^(&7ibp@_LYVzMcI`aNZ)N+^L(wB<94Cv=#~= zUA;ad;`Ag<=YY`G-Dd;xpOeu3wG@jKXU?Y7;4B*cOn+G}+!=v$w;T4Qc= zj6_VBX-ixs3gKa2WNjPuhKgRb*|G4g!}Lz|ZN1ghc53{U{9z_9=0L-K zqBC5jlwE!LG1J_pOE-JnT&Mb(b?{Nq#&^?+FRx10?$>?&)&V)qh82LZD@ucY=0z@ltcTpSFyTzU9~bcm|YcFGUYAXL%v*<0MSh*|t0Rtm>Am~*daif?g zD-SL4!dF<+3iR}}`cuNUPd~GiDG)bYKFS&T)@DPop4SA>bQ~v)b9C7pMF2zwm+;e^ zw)Be!Xc@9QhPkbr>wd~}#vnsyPg|~F{K*8P#$r}DHX*C9V{wCx z7IWY7bEY9%zeKK1Yh6f%c&k7CugAPO|^9lf~7db|JYQ(({(0bV{HE&%$ZZ5?1+i9LXJ8@ZM8Q zC>CMAu3fvMdJ0KifaKC+$vsDdh=;FcUTH%VK+leO&WRlb}`hWQWP*WBG@knexa%Cu4{aRaKh# zxiTGCC%F52miNQBk~)g+{cQmL9#jdUl>#JDt&$ot6lBz2@0SGLvMe;vCWAZYlNM^E zMM?H3bQ0c=C7%VHGLD&fB4wVFU;Zet3P~u%eT#HtRHA$^$S;;1_#LNQ)Ri@>?tvH) zB*MwgYxAnECt)`F!?oOId>(GBcg*0{rpYXgT~>A8U*u+@NAFAUq{?(>DT}aJjEoTQ z58tN^SHN$(nBL&q@~9h)vf^^Cjow;uSRJmE-2xyr-UH3c>LqJ|f9BktV`-g^tc*TX z^`G@Uq*!Il7tgD2Rs2-_-g+gnA$rGmN$6}%XR~>0aY^TO+NLjp7F_ssc)n*p_s?}r z?y*qGH=AZ=l+27|Mfr12x2B<2~)jfBkHE*(eE1sV+(>U2loYRCd!Qo zw!T-i;Bi1>T>O9SjVD$Njmp zSkw*hsVGhx#Yl}?$2}+V8Q5KU<7DE^ISpq(A684*y*6w-l7WZXrzh7)6?c%bH51UY zvV*uPDgC4x{{qA{m0TI*Mrqocwj2KkH)R)?op;B_ZIYK@A*tm`AT6Y>`Y3L{fPNju zIGO+~wTGI)0{C{dNW*r;GsUF#~Z86q=h%ue!6y_p#{GecBSKFZTAJc(cC z@%xF+D7#H-i_R8){lOJL+4en#P zun4d0rnFAw{lrh-GScMFSu_H|zD7<6B7Q^nae>R3%x~~`>v0jXe@9xAthfj{R@OD% zqXnd~LgNB)pM&`NrL>fOrWPj*EaJ?=gIZN6VYC>2SN!hx#7OAZPq3Zv0ghUibjc>z zB1T%y1p}K_kSJC@(Uy1^gJlsMuV$yhiLvCN0p=S4{=ay?INyvrbicIIX|}|oe>9`S zvDtuHCh?;@lHkj)gxW&n}53U4L6;Z-){)^ZxlV7~iUt%;R&cu};Su2BW|S zXvvjjtAc-~a-wcJilLTqrVpC=>U_S4I!t*!^5co1vbNaA}~!rC=eQ9(8qMd z6x$PV(s&#gSYhJ=xXFj}rgyl`(9_nr&D;*uh-f%be_UBM72m)(qkLxBn=7Zg7}i9; wbu>qMN6)GN1ZFVl#XadV;d-VAjBkKF&CA*zv#Z7@xn8pbd<_3jRHD)T4ey+ojQ{`u literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.(1).cnf.cdb b/bsp2/Designflow/ppr/sim/db/vga.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..005fbc909ec3ffc4d71b4e489c2b34665a19057e GIT binary patch literal 11815 zcmaKSWmFu&5-t`bI0Oi;fe>7RyGwv15MXh4cXwEVyL+$%cXwxTcUyeX#TR?rd*9#p zX3m+fTBfV3yQ*foy0PKl;40AJ;SAnxjyGD})XCY>-j0f&m7Pk$)zZdssfdatBnoS|E=h~4FC2P92^nLe*v!Pe@Qq7*8inF;7@$fo5`h<&y;&r z`{VBLwNpe_cfP^u z*}6jO=J0qU@$Fi5kg8CJ5N9eD$0QfBhm8{(^7JaogGrvD2o1^9iN z5wNHaA~6?(rU+cLoXZI&oz!s;x9eNerD*G8ws(RDSQ z>9-oS+6oD(lC#>%uB1c}%NIJe*5#0pELfRUMFMP6;io@w40pZ)=S+wfaz%o;Rm>Ml zCH#LT%NgG-K^rJmdJTT-_x#2apFE!}e=y1AYiJoUUS}m9rX}xg8Zg z?3K3_~=8e;ZnTvqupFyBIba`IK+JW0gqrnqwWCX2c z|6$WqSCL`xM%GVZhhWLZZZ;ubtogQAvION4q3PYHL{?H3q@R{ZGpKZNVh+oia$I3S%twwUcMn!IX zQfWfjuhCzImt7KlT7Yr}a$VKy){#AqEAgl-{`O{YAfGh`tn&b{AGX9Zq(^Hu$5fT? zGE0qu?h{6ZKVGyX8p(j7e5{l|sZ<9yVmaz2@S^Sw`{3o$)J^H7z3>dP&gBkELlZ3a zM`n95EUf^WSQOlBfE&~4tTUl#c#rP2MruTDUpWP4<`vFAk)3ynEQ++rs{=cj`FA=d4Wk@&68fmW!PxSEEMBmEWupe z*J}(VdEhkvi^yqRC5qDh?IHOBeS$~4P;}t^(vW=40^*ee`K3W8E5pa{lSv{mmOsyS zLYyppvsmwxlV*L%o~5)Xn{q2+Z3FjaV0;%zD=6$LAdJ)+>>8n)U;NN7+gMwntJ z&D>qzVd!5_0jybMVZXzaNn7KUK=Y5^C2_J)naAtyMFu;CXjKe;5vvu zX6&S?%>IwY@mhY3&C}BBwWUHO)s)nTf1gA5iss5_AIL2&d*ZFh8aY|Z^NK=e)AuSP zJePX`1<8+CHegA?c;j9b$#&}!XQ3|F)%n}CHGf4G;-}_5<;Dsf%USGD5FM%>fhgdG zQ!QwXatlZ3l<-q=cS$M7W^YGCf?Ex|X^^As{R?p^pAEz9Rd(Z9XIs=u9|J^X-Z~qE z?b;^Rftx!uSNlQ&UBbR-ksSuX%Q3Je9H7$lULq-Tu+__Y?&aK(g}H2pE(!!xX)e%k zb&h-qH0C`@l+Y_xfl7$tkM0r4Ax5XAj)w>H5`9iJcKi;cR%*1IDHO%9Jif(xyt9}Q zjVm73g2X&gj-nf#BhG?!JS8jQ!aN`p24f zZr8^)j_yvtbbA@y_>{=kac1rJdw*-{bV%G%K#{t$?JCoSR`o)m#r4|LDy>x+EKA}_4Qg8BsaUjDfFjs(1@oTP#{kOf6<4LsJ&AK2Tl+1T^lWnErrG|FBy z9b@j=EUcnhIL&Yq4W_M(qMgyTh_cRE;jT5nH5S5AVP+}Nd{+KG+wC~D+oAPNv-~Ao z^`UE?(<;cbUyg?A!GE>2Y^3AdG`wG~5(#fEr8J|gCx2fk3O&KzoGQN_1MkZ9LonE` z9EtseER)3GL$6~H2Qa?r@GASQ4D8C=?(z8c@mca+TZQr>YgUsB0eM4q{F zzck$IcF$PkN=lTe(k&D^O)be^CP{<~k`jAAh^-yPS&m*)s2f>X%T5tb35MP4t}AXO z5s!-d;Cy;VF^O>LOIYyBl=_^g7kyq6$>^!`<{_4p5F}ndFPMaIX(aY-R!!ZDf~6C# zp@;KSu%&o@U|)bH$1(pVGB+|=L){mfuJqi-Bgom&Vdt8dj07~grFFo;y0mm@^%Wt; zIy5QwtevolaYb;Sn5r`d>LvZHyf|%}f6EYsOuphISq|HCaWN=3G8DjfZ9RC}oC}+; zkdmw#7W*c2Fc27u$o5^m3I%(z=h7UF;>DxTy0fo&>mFq-HB=G;KGdY_mEkA5qdNrq zTwDZLan-e5RMsT*aNvROyD8?xmu~}mHb1nHsPc7|Us(9f?gW9sdGQ*Q#ZAKRt#>gx zMW8YMHX62aTcW4O2p2CMAIRIeKj}K4#i+RSx+{@O^9`RfLnZxFdSL4cux3&CA&#x>ZPurVr@p$at>9N~ zGMz(odeKXbA)}_7O2uwm3H}C~83FMuE9%HcI4oI{q3!!Gn&`{QZG?Oz@4-}%)*GU2 zqvbLcftz1U#TZp31v*WR@}!ZD6$_u-j)sQP7b~`jZ$4bnhM6NWEDGX`N5}6$w|or6 zv!q%qgI+-b_T~HZ1zE-ofT53%pB=QtG9h5>pyyK^hluaW>6GFlnhLj+(YI(~Yk%|b z2EwJ&Qw!R8bmClPK>V$D>zTJRJY}M|OzapU2^Kv2hm$V3e?VCqQ|#lhw7KTLq@WWL zaxuT-w=VU_g66~C%lVkl4DE+~YcO=O6L6o~K4@Uz1#DAy& zG0)vljHCvbY(~g!Xrao_Fy|8qv>?b~m51;4O301zmEH+F;KY%s;i4UUd#JGfp>(=S zF2>nKlW-{^Cu1$aiA4ugmxAuURV^>caGgd7L6|%t)<7J=-9ozTcim*JV%GEUrKU5Z zfT5o-8lbjcl>3w|l98uD_eJBgkm+Q9&5g9O%00Py%noga>7ruvz+6LfEEE|!4gtInHdi@AKTss@-(gEj91nbZX1Woc92+2q!mp)zQ?49DCjP@JvDm>2U*qf%gvmES@Xe&3mMz5&X ztzKa$?v+C-ksui;EDm_-bPvI;7IO7Y_V5tS?4x2Oc|NEXk+&6jf9ryzDCj*_!EbE` z6F{oXzu7*OO~i0>K_mzr#-2-kDsot}f%dPu(;_h5YFi|I#CI&BjUf4xXk|oJPl&@S z>us?uckF~15e0P)c@%hDG|Xvi^;DI^=LNqgi_cgg{%n6;*c~+$adxwWF9g`OMNqW1 zFhFf#Txb0=Gs?mDdDj&+%ji(-ISWhg{9BKsy)qduLAPP+c$MmGAvAVd8VUgx4Z8kKewZTQeA2a!qQg9n+0scQ{ z53|k@NDzfzb~Reu>tb$CXKhHgdJM)WjwBWssl@0n@UgfX-ff*LlI1WTk751S@JHOjW_@G*v~MRNRZy-H-I-& z*WTF&b0*D_D*lwhD;yU#xe0@x=vNYU`|{8|K-#cJ@a(kZmyU22VaYox=A3j3#+TXO z%Ugc_Uchs}luuLb_v3fm-5ZpiOi1hcv z<%l+JkBDd($j01ij((oE-{3}9UXtzrAIAHaNokCn?6c6s0NyA65CjMUpnUZgISRJJ zHoH$~CS%{vEDdKAZA_pNaIFN&+rjUA$U%GX+}J5w9Z07ln}m~%kr-Ti7eXe-oOBoZ7i4HcKIG>e?>P3RXNBg#9hez5 z0nQf$aA8i}Gdb$y2nINQhVJ492m9(tqBC5^ZRVxUAx<8I{k4Zr_|hzhIf4p1XtL7z z+omjs85-y>L~c=}-+Vr939P-j3YMQG(Nu0I#jP?pfV2~;Ana!BWfa?*kgt3cvzjjOakQlTt zL5Z7~(oX`Z`)riWFZ0&yao;z$SZF@ois71GZfpcb5^2-(5On&JyI-YO$$67o8@$(P9gLVbL>EKoO9e0|nvyWSX_02*#;s%%DM`o0% z8(hL7xTn^4;ZJD8R87D4;nUi&_!8=eTda$uKe?R+G&;bQtW1+v})ueO1`GV565D3sh7$$f}SiZ)icO(~iT6kPjXDMcVBd<2&% zeTh60?Y%oaAE^KRF;(*Y_m$+@;*z$lS8q=JhmCU zY_xogF1~?IzSX^S?(WV^e9MmNx7D%ixkTJ{fq7|@(|1xoF5;58&yXsMMM5{W5Pb-TIlGox0 z$pa6@5q+C!elDa4X!-Z~W1Z|B)SoJ#HYXtV-sLf$A>0dYUy#^Zs9~!Hj=eUaV)zLmY*cXev^=yExUlUE=YTPt~zh$-SK`mMfZctkM%U) zt&&{3X2yf*gif_iZ|rWJOn7=}US#F3>kjKOD96R~hjP|(- zfu*rb^o4DRJNdo}6rO%dO#6^V`p3C~-@|{9vIFboW<0S>pFi*zagd9y^&Z3uNWo~B zs>;h-poJ2JI~CO({^(E73~N;9f8}#pVRHI#ZDHqorVV$xLQT7n{PM9z^H<_gXCmvi zxnHy@<~2p9_>pdvHnfvF&^1PjbNy`7-3Ril21NM1aQ%5xOu5{IA+~sh(`X7QJn~hO z(G`O+DqjvBCNN5ku%&Da0u2CMPV+qdYiio;TJ}#Jzs$vl^LgHN@MIlAi^WNfnws{3 z@GNy*WRfl~r*p<{9gKK~wJXuQl1BscC%kW>Bg|y7My8^-0NFpnA#S&{nX>v#EhejE zw~i{GRbG^Xmj-Npr#ZVcpK6?i>L%GXt!4^!S?+oI1o;{Pt*`k^T4W_QPDkB9qpfmX z(DxTXEELm`-72CDcYbFYQ_M6xn4O06DxB!6+&R?)wqD{v*&|DjWmDdVqL4WEXv(Bc#XP%Fvsw)&V+)x*i=(oX`@;?@uG|pGb4FhjhM+e@8c(&?z0#vLx4>K7U z9(00!=bv(73-x>I<|tJ+hghi04_3S7Gezyv=hR(nFQ-pT=vIc8ry`Q(sK|JvI*xkC zUoA5M{7IZyJO2#8Bmk-t7qgCfxPWs(s#-l{n=2NP3eDl=SzA#MtQ$W5#!-?A5{xXh zFoYFY{($bka}Hb9cUCf<@*U1cq_J+_WWT5tF%mO*E})LP`GH6Sp{0fdI|^|}8;g{$ z58ix=ez+hta@33G*gV7-70?kC#CWPZ-{SLX9ds>^bxxu4*a{qkCAn4| zgt1ZL05*|Mt{lHD3bkVau2Mk*!;hXA&(Uw4LVN2J1Sqz^^d`h2W?;EK7gcSi8>)%# zO29Bo@l85jjPUX`O+zGUvUI23F8@_0{T%(J{A+l5 zyV+}{T=i^DI$me2L)S5H=4}ph9rNpN%0P!uoZr{dDZX|nwF?){AXCA(2A=rCFQ#0x z9mRDWBd}=ARl;rFT0;4Ik+R(MRtsZwcjI{a%(ml zQ3|)qycIK-D&MD9s91gp3#Jq}>RiZ1MZ`kF#s*3SI=6S^1OHr1^vgQh?Hj8fyh=ta#y} z67f?~BC&J9t;43fK1t8cURY4o7THS4f~2}0V3mb)p&7YWCjyLa!KRJuqWrT-2;~rv z%~SHZN~d;^#E>#I)eNv&A-|AuYN4^plfG1LEbzaJj-fzyJSvYH1}OAyojm;=UN_uUBa(JDcGsjLDrp; zXLNfbrmd!gD+imOg-tJKmdtBkBBIlu-mxGmz`Fv8hWVy8_>rv=gg(T^KA9K@PK;L;rVq2}pg<>V%TQoEe+q!b! zuty>FFQhgnRN-?+g?pA~b)n^^&7vxaSz zW>O@pt~23pEC=1PxrJK3HEr5HN!3zpG1Px?f>;PvTQjgr72wZh{1S~$1ol@71MVPM zh4Brls=G$vbK8;`54nle4A!URj=)q6AjOj@X;-J&Yr-_H%gzz?H9p`m731Ai7qO(E zr3ZA3#G3ndc^Cul;-o01#opL_;FMVh;`9N>(kDAn=B+)Ght_KS>Al*)chTk9g>N?e z#wan2A(dI%%Ey|PORl>vRoqjh=BO{xmKTK0!^y;2XSSBe%yrGwYJKS=mx9RyJ*g1N znSIZjC`N$BkUv|)Pp7g;AZ=55bL4iU?wN#ES3gx) zGow_)uJukO#OofbGqjrRVjayl1gaBw4nZ4aSDEpg7=`97ax~{tB7e0<-r9L{Xtcfr3nf>`4Z>t* ztBB8bpCO5lrT)#7wuaO(hDVeMu%jPakDfskBC#$t4c}!GCY;sv9&WcDi`=o&^%Z6% ze|mf9%6P}!`6hOfSGcO_VP_}|QKSh(`5lptrUKnMhWE2Gr_}3{dYuHS+9{rHFA5ue zr{+atNs5v;0<}5(?F;DYdXowjUFdgYk0|%9Dqb^+^)sN9}qKMU;UfMKC64!^)G2>qt}w!4-{ytox7fyOU3(erJ$SpMs49n@={g0&ic45@*#krDqxUTdeL%;vUTG{PI zL)2~cS|iXM?2b_DF)|7<6@5-2*T(fEZhYCkyYDDbq=LjQb8L4_9Lc$GLG@zWC8uOn z&#Tngtf;Jmy1?BJlvkZNN!p=44@%7Yid5qdpRW)f$FyfW%{BH`tuapzAuBfZ`%kv zx1OY|AGPP-PA>S^fRA~bI5Mpo>{^IjaNJ_hISFOWY^@sT8R&cR&^^)wKb7}AcOgF} zh}VcB8wjledF=useoI^X*!-RBZ;e)5wJy45D{{*e`|<&I5(l^Km2qxjCQitTLGz0f z4FMU)yT_l|f85xi3l-$rrzZFy8$<8DmV>C=u3F(B(V@L(G@bYB<_N6%aIIwvRivWk z%-DMxb_9CldZ|-3?rsJDaVfQSIZrg2Ygdry3;RIL_E#!@~FC${d(@srj2y#1xKrVbo6kJZHGxn}NqZFeglrs%nx z;bI5{@J=mUM8YVf?ortie~&eZ8Hhvh*00zx6x_0=ZY2CluT-rZT=%x zOp7E*@OPf@|34VdBF@_5Yw@lJ2l?8!OSC*%s-p5zc#H`y{;^GD`P5*-pBM z-yQ@w!dhqYEk2NIySP;yW(KY)2a0*DUaF(_*cryJsy6R29rFxxFs|XRrMO_v_?g*D zax1iij(n_jh68DP6ow9o+w$1)&sb5v>xDRg$ftUrm*-w^=UkLB*O&B5I4O=oXm3J^ z?(9^Z1V6gFk_wPm2{6f5^#KCSmd&0LM_cx&# zd7SS*Ep~Z+eTi4L+9j-qtqh859fx~sS@_6N#iieNJf&}X^y5WsgW;DGZjFA>KZ921 zm%cJ=GFGs1N3MjO+100`ssxjE;}SR46+-NU{okFQvU>UgR{dj=o{*o0T zYV(knx^@p4k0@T+{-yM_i?mB(!}on`w;wk;UWxTMEifpBEil+9xWkArN&5Z-4_*xC zahz0*-6U!o>*u{K+I**F>6o2i*(>nA3$k?VYn$0x2z}4%e?R>{NFl^*k`mXKa_Wse zdd2A(A_uKIKjykF^Xin9JGxf4c2bAJj<-mEFeVQ@&g_j@omqbpJiwHyxUQBxo8Ke0 z_Ol{+HOt6tmb4sF57NOLS-^C`(|hOr~&vuhIH68eHyE&aT6 zjDNrVl%fv>zv4cZJR&^*1VXH}CtGz8*3)CSzlGs-mK0mxqJspYG_4+Ek_6i#B z$euXc{~&Z4ZA54{$XxUY>mvp!_YZL?eb+w-`L10u&a08q zB%9*0F+~ORDMBXZDB8^k%{ z-MGiwzF+!GZ>FkGm(=pZyi?|^%RWn0N^L-}%ky)x9%Zp?_Naro%asd8{MB%GF6EiN zWzyO&MLk{9slQR2e5jNuU}9O{NF>jbJg(qB7?poD-s*-w z&-uj%iW;wdmq%7BeIFmOSaLYmG|+K+MyU#AJv-#a=`TT95-DXo`s!gA#`DPOa<<9y zQWo+`_+J}cp=bLG)1sNjUrHQR!Ak0siMj34-m*7XBQ|TUvNR4ZVB?THpZ?I9o7WJU zD-gaRtZCLvbU*aXEW8+&$vNDs^^yIRg1oPt9*!P)%CF8V~c(X{ly1c)!^in zK_%U3ceh5IXNqLAhUNb%(VoHt7qGL=IpR=M4a~KvtR&^{_oikiW3(`p`S4Cv{OQ-G zPO;792l?wJ_5Z78JP}KPdeh|&ekY9?FFnhD;=^~y0+bYuvN;=v*VEfx zyAO5pwxR+QN0C~XkoK%F*Zr*yof6aG;;Jfht?P``xTY*g=?{tQ*zsdUZm++BK)=rQ zGadH;MyD7ApMwRNTJ|eB57m$@r4@_+*pJc%PXq*V-uraC<;f7&KfX=AA)@I;jMsl> z-b15nxSO(=OhX}B7f3b9K`zO6ZPmK`Vehvr5p1l}bE1!LF9 zpqb*-60#~YSd)b!r44=R);8I>^AR?H>9)edn`x)ouqMQ^c~`%nDw+YY3yH(3+q0$I zF(}GAwsV?47V(zFz%o@Il=K~q5RHO^6wX=RH?CoAnSZeXkzm4D&I7?^)Ar*%sqp#L z;s7g^z#Ah8gDp7-YB}N4@QDN&yJk-!|0~D`*lP%9D3yjdQ(xXlh&;L9{$r4)Tfm8G z-5V7IX|SUt(cG9&xYY{&TTyN6$qH7r(C8vB2gbM{;vL@5O*kvxeP1=^A6M+U9IOgG zhX3}|?=a`*`12#f>}2p?QCs?Q&vy|x~2vvrr66j(;m z;B}cU-p(^?WdD|ed9_{RYr#D)q>yZU4lHItTKAh(MhxTz=ZR4b(@b1N6ZHR*;X?0H6&6N65_Z zL<8M0j!vJVo6Q7b>aHxBQ4N0qr1m93HGz%i#^q*H(p#UbfYlD@OXzcpP-+@9*m^j2 zO*s78$LUfsV3DAjh3tL$CD}_Mr61#1yY-6aYLY(heBgi>#|wc}1Z64*<3=45)>Wfd ziICneXZWgG8OlI)-JpoL{TBs7qJKh92;+rTy`_x}W7Rl@N+J@N)BfTlP2^yn+C;C5 zjq)e);Xm*Rlca$UVPt72@TvfczmrYdTMvnG@5W149qG^ZVom(`hC1x~eJ2OR-DO)M zPbB>wesC}=N!Dh|~m zFJGu8H~Vf9`7R)3xDOA6JDUUWB^1wa=H-(zg|g{m1CDGvcUzhPeCG$jGEniAr|eHJ zZrdP-{X2##39|DMDOqsyyUW}ioYvg~H=WJj;NI`{BgoAs1^?=7SGKNENqasAuF>vql z5M9KfoZO0gv!uAZ;jjx);wUNt3@n3$z(6#);3R=Iz5$dr!La6DZne%I_S;BI$KpRn zWB<)<4!HIn51==9e-X+%oz^P2A-S-`74JI=MtCDjPM4Lg?oF6eZbp6yICE#F#5cDR5kwa9b_YO_aP5| z1~!d@I9K^xC2<-I3^Ar2xLtc3(n6GNcWjkKsv#=#*x?fAJ3BKYxOd4|IDe3F=H7Wr zgKldAPsicEY)f+t&AzJNZ3vEGV2Mq`QORKPnEUIO5VJ;V@_iJm*+cCA&oS=T*Z%`l CX^&q3 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.(1).cnf.hdb b/bsp2/Designflow/ppr/sim/db/vga.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..47204a1b8911c8d58ee6b5fdf1686528cf70113f GIT binary patch literal 3576 zcmVV@SP5=M^0002|3;+NC0001a0RR910002^4FCWD0001Z z0qk5^Z)Haj9$?t_eHUgCLLlsW?z!um#Q*^*nLLCjVl z@6gYeh(|P(?8)t?gCgi7C-&j=GEC@CxQ~Ay^)(RY*;gaQK#Y24D<(C>{|M#n9iv`wd?@Oq!`&b z`I4eDGIg&aZcpEP3~d`xtFvA?!YHc$4OsPuWR?u%Oe>$HzVhT^`aG$ zyhdJ}mr3e<@M+9#Dl(AU`bbep z3zUUR8O)=puEeE)wRoRwDW~r&c%F{|Y7L_)<`ryF!Il+lRl(MbsraDW z1v@k*c4$oO(3sevF|k8qVu!}W4vmQ&8WTG-who^ooy)Z~xP+D0V(AeU9%1egW*(vU z2vd*Hd4x&$c#1>``~@T8A3=mCN4kP%5iqxk$*`)!%R1}`nb)~zb-1s?(>mPM;lbp5 z;lIa@QV2GGvgHl;@II{h%$d7uUbt&kAbo*M8Oc{Qk&`1LCr3n1j+Am__;Q{};Ehmr zgjIXLaD0z9LK$6cQ;3dztj(cjk=Y(WPO)IF;nKK*^?29H8nQO3*N9Xyl zX!T;}J_ii=2FduzE3e4OUWx{&-U%aq?}i7OThRVOEZk(#o-i4n}T|`X1%}8 zkj=l9y3P$Lc42ikyET}7pQK%5EEeNX3^wY+967q)ak0OLV^m(`K~=}Q<9pcj6(nLJ_k zJ!-LIa;ANLeZ;L%9oR~v?6tyTH@0QHQ#;yAIqSseONRrR9FdYQD0G?_C~{e*f=p3V z0wu~9$hhi(VjW!4$|o&-(!wXrebUS)^*(7D+*8yMU5>bxbwN&s^%K;(NnJIm%O-Wv zq|TevS(Dl~snaI4?TtyO-&)e|lJJAu&-iRPwnnzU549tR7!K9NwkqDh#Ws02?x0h; z-NXhcZpZgMdf9S=8Cl;QU+C{`$Lz=&yP_Vi;4CxwGNq!^(6U;En*3vsp%!)ANOmq| z>QZ`_GIuF6m$Gyz3zxD21tqTh{+&&+AX{#WVQiWOS$7MvsTO3tEXW30FsYQM+oV#y zCr&EmV3L`G)#ge0oHO;FgUO;CWQ(w$GW#a3%)!?VjeBrtY>`7_B^(+X?a3UDe0lIB+fQH!t zW9?5RYRI?6#faqx9AI+QTT~Szsj@o*slJd#ic*HJc|qBu(b}?ffoJIlSPW{+$m(V5S}hQ`i( zHqNC^Xs0Efd{x}3MJ0=Rfkmzry2qAui-Y-t>=$yPPp*;2aUfL6muSa0W&ZIWTyuyVLnO~{tzuBdG0 zq&!aO_8uhU9s0!?ydvW&&5J}8PQka^@2h~*bu-J!e{m+~E(VmjakdpibRJfYB)sE-64v>4pF@15XH3)QO3g|${;#K8Tqnm3)cV@f5ysf z4Hfa0SG<5cqS644t>W4$NgP`xH3W)NtF6SPRgyTgN)mTgNn&l4G`dplxb8)#sXZ2> zdBqo8dDSO?bFO;D7@XHBLGtmM*GO5w$5NY2FRcrTujrx8 zg(B{DE>w}h6)VYj-zVQ*qX;7hDJYW|_F!QDm)u6JrWcO;k)2p-EtsApmx9SYl$03X78a-$d8FeM9hqs+7(C8T()Z%D3 zMB*L-DgW)4R40~mX%W^f#itSJ-b(73?NcSdXk_Wz_^tNJG1wm3QS( zpk%EcJ9lH{GXe_6B6VxE+BeNiNl9Liywf}jd<@#1Jx!m|8}>S&;bY61e7t>9HdyVX zWYz=n#5EG>LPFzu6>WcTtf73jP@m03WjjhOePUVpkX(;D8y(qsI!5n!$s@@|j&jB6 za+vd=R_;>^sw~hkFNkEf04xUqmn>USmn_>-mn>UTmn^$0mn_>Xmn{1&mn<8v;p(I; zs-h~6VRqXKxm_KHfEI^%uf-t_Y;lMWTO8uX7KeDU#UajYafm-#9NRr68(b8nv7q@XH<=N;1xS?JHv ziNB{86p-;878IP?@HBKooA@8l9zq&+;&>Wu;y^JQPVe!zC=UNX0-l{iUMJCiiI)DF zUQqPJv#V}DC*HqC0l%S_4|DUa0&Yh(#C0-GnT@!vTlxc{#HcS7MOhCIQ}BN}sDCH{ zv14wN<_}nuZb==V0!eCsNr6#=BpPffC}QtPQ6(UK;4JjJDDAiO@t5HCQc(&Lh zOf?@U3QFa8w)BHbXgtjJx2oB2?H_XrE=2H@il!M1D^udaM@n4y_*0aI8?4j@=_x*k z*eb;58GopL{Ev4^10>b>9O85spXcr4|3=a0*@=kw_%sH1N4DqL(r2ria)|m+{V0n1 zP;56Jw;I8xCb~+H&o(Eje*BOBp$1BH3JO@czkfjgP-WoY@yTWA4leH>?O(q2@V@{6 z0RR7Z0TN2}sKTF-U2E zYfvyyk*i-wd~isRr=NQ~kQo%29BJId0#*(o85k^pX28t%bqx-7ba#a)b&X%M9AqK` z0|%IdC=~^=LFT&$`G*F?heQTIRjaU9%wz_ulYpw`1kzGK?Bp2i8WHc|=^Er1*b+3JNDlAO;y6;u;ZxZn{rj$wD>;7N9ba^#A|>0RR6)V9;g& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.(2).cnf.cdb b/bsp2/Designflow/ppr/sim/db/vga.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..60676d6fe5a7c66b279eb46fa20c2ab1538094d3 GIT binary patch literal 5028 zcmXY#WmFW-*MR9IBm@-c1r!7UC6rn~kdj&?1f->0y1P4;1*D|AySt@fS4vuP$psb{ zkPvwB_kU;3%yZA&nR{-`hdD!zg@si~f{kT--}&z4kLFI!5PLhI2=6PPv@68M49F+I z4}8V{lK=Hf{#UO=fEtQGIaeDS;Qw#j>$^X6-;yZ*$7byRv4HA-^uR_LcvmpW(n@wB zAxP4o968U606cH|ReuT^oQF6i)HOshFk8;T+~x8@ZN(Y=#GDxb*U8HF0CMR(K7tv! zhf+RkxITe&4`gJh-u7b2ULT$ONnc6DOt@Ge;926GI~hmIsFj7xRQs;gN{Fa!tC-UjAYO;qIk?l5@e7;ePg$4K(ZW1Fa zGj&>>T!CHATZ7PF0UcF?;qu-8CPVF8RQz1ho#)oX!QdU}ciDML)!&7DBkhw>w(8Rh z-%x)aXcUp!G8PdLx45)4juLr%T+fv0)2B73z<*1M(l|RQjBYG}g+uQZjQ0X5DRs^i zXv@6{pjzVUgJn#drdqLywNq?JpfEaV;?bs3$~smomCzvq)E_NUvFTH5LN^2_n)gUO zNxV&(;XlDpl|p zROU25Nc{{OHVO9cnhP_&8gOc#*K--oY<^3R)=oJ3LlIZHpuB?LtaLVlA zmxoD-jBQ9+lFd)oArd>u`ggc~K^zB^On=__-N?^4-Xz?TO_ohC$E7s+#-IyT4-1T# zSr$Lob0$0~VWUZY|6snsXO4ygsMMH%p=i!TA$SsQAQFw^9d4fH>M3Pr()J1ZsdbW? zb;4uQ)4i&CJ0kbX&rg@yBu*;Og~bd;eZJ50EsNdM!SKR0evNSN ztFdhb#aJFy|K0vI=WFMsk=rKAn@hB<9PKXpT)s;%+s@xkY+;q}i@Z8E=DD{`+o#R`s{i!Nc9(#Y-s<2B4D zSNZqjpW1M=H=JWA_{MxkrVKnMx#CtQ32Ud2@XdPXd30flBK*&W;drmS_%H{}6~k6~ z!S%-C0LRj#yP1DRd#!$h5Pv-16CFPmHwLJHfU#}u6pPOM9C5>(W0c=_U)jeqTHvn! z?oUp=M|dYPg;eOf@qyx(5JQjNdq|XvbbVe~+}^s_4Jz_`YjU<2dAbm50Exb#|IvsY zuQ)UaJ*efI4H8%Osvl_-n2}|Vv8Aoy^Ly0Gc0k?hMk#t~lFn8;p^|+qi6UgRYNQB{ z`=*f^w0m2AP%dXXX7EiH#`e}~mD32%VA$z#+ok4i)QM)LRc&UbNxr39AKO^8vG+4- z!{nJ##_MMmrFdz>PrniPQP zYKV;qhFmZ67ytjrWUk|mGEgcVDL&Q@An@_HGbh<3V-Xw6G%kYvKs$>4CW`>JP{ zG^^<#Ng?p4$mxePpy~#T$L-rgi-%us)r2`n81=G0Iw)>TYH--Tv@Nr3-w>}617Y|< z;eLhmAmNpHTg^=3rMJzcfGO8#FMdI%70BdyEA!bq4Qaf*!L%Y#cv&gan=ug@I8lX+ zDMJJd-21In>3K)>@DBEm(beE9&(W7-iQU!o+x`b8xAtgO?fZ*!y)3%?bJTwPLl#>< z=3Q)UtIEJ;CucemY9iiEDkV7B&$Pn)8#UICSz!R379^$esjnz`eYMwN4u^GzhFYqA z>dmjM#CTfULSJq_N9ZOEJ;@(^EL1tuHITrA}xi;5>5eFb%vK~5X5P>?00ra`oon%?< ztS3GNcv*r>YfUi4>+)%c#a`h1-e7XLx~A`8BPjV7_KH85?-uo%b-agN#E`%ny@BRC zQ1P0ukSqd@<;Z1nx#3vjS{r0BWr({NB~1&&5MI^(z`A;o>B4*BMAoXxwn(MN=2h&$11o4BI@v2Y;@W-^75f z1*Kbmy0!8GWY<~*k_Y#aUbNK`tM*mMNVb!;ZFUUN30bq9=qCqryed=;pBOkkO)cI{ zTm*!>7~!3HR_mx6J*}Qd5VC>cnkQ_Us_bw}tw_J;+e@fA&5F#@rg0Skfot`?v{QQ2 z?F5hOB9QUypD69~_#Q1Zp+m?@+onSvsb$Wwn#t(V5$$Yv2rm%1Miog5kL6s&G6<*0 z_N|Mv?YXK=;qCWerBzoXf@OxofxVwQbQok@Se z3%;Y(DS(aN{i;V)_S?qnKs~OZg(t>4+k&i#V((iN!V_#N!QM z3&!7dh@^F4(_3b^!7!8^>Fy)EH|LtfQbZ)WWMLJDn??PH%&!t7a;aH~XZ_H?J(;pMj znP|*DcrjoWQkCWe~B(}yzwEMJ;;Tne)pZQ0syqlkwP z!{PT&Auq|fOY@Hq)+O6I-ii4W^ZA?l?M06uBvo>=nW_35x3}+)82nF6bDlTIA)GPK z*@G9^fn8v>LFl|X)xxTvzD5!SkAWGsOT*|no+T<_=*3@)N_seOu)vHz`eZry;K!6g zWND}}liNiqH}A3?*x}p}9U30Sg@l5+t?a_$>toLY>dDDOEQL-31ePlptt4?X$&7 z@XkWUPWVk)7)G;Hg;l60x?qs2y!!ru&TaVAq{JjCsS~zwRkcT(+Hw1P^z+8fLExsG zKE|Tk;5*`6s%O2>&u&6TkWXZwak8THOyJqs)MVd{#np?=+mcX}V*^gSEy*%Rj+#{5Fd@4>~yKM9}( zr}bYH9d{=6N$7NfGiAxae^+(4ARhl-;a+Sy0(la7Oq!nw==^snG>k0Kn2oEi_gP;! zc);h!b3Ha)cy8UV`PpfB%kl8`mhkwDpRZthx<)4reA(eXVL8F^q{fHyW!4#MQ`=_e zIbw#x1OOo(lRN7GA_?z!?z3R0tGg;rAT;K(aUgV2fgI88=Jv{+?W!NXZO(&Q{}Sp^ zMAq6xq}b_JJL(Sfsd>Qwk{vQ@R~7xv?jF`%5mr9%y{L+`X7&8uYC_vx{X=|BPBk9& zMS$qR;1EnyGv5xs>_aootQ7=Sd@5l7M02!=F(U&}I^~Uk{}Jl=VzCd%EMGTZmo1x& zCstq!Vb0^y0W)kP*;hCE%jSokB~n0V!fn*DLiUX%Z51KbZq;FkqV?H?u4xg;$Ris6mdudU3YMW}rADxFr5$7%;U23Z!1>ZAHaWi?M9zrme)-JF@^67&6Wl})d?1qiQ#Vn~>a6}JhCuxQAGh=@ zuWR;73w^itr6Jr@QpBxl@kWfvdG=@(JGI>H4yHi67_a?M_c;A%xZ-U1r?;GtA@pIk zsFi=md+UDOwakqYJ%_X>-*VoTg_c{=z#FdgcNqH3UvE*hc4}V*KQ$)wq_VsG^p@1u z|NR8WQcr%jI!Z};`moVc0Kunp;3xWa2O{ncY|N63`}7i}SLw|0=-dItF$C9Y_a8F- z=L_(kFAr18o5Y{4@{qk2PY*%k6kBc-$KHX?F=YJA*6Uqfi#y|F;7R4fCe7x?^1PH3GB*b+{dc{*^6o=G7m(IF%N0V9}U-^Aclh zu5@u1k&K?>{E1!?ZWyfWK!#8h;VSj#U;$fhUwQ={_^Wgw71lg%W0sw!-uPzem~B1f z_~JQ@o>nbdg3rjeFZ8z|=_Oe6xn#kn!q05OO`@i-+dR{fiJZe7>&sU3<6}RaTYN(k z$uleUBY&o)l`8Tu1_^q3-K}t+sUlP?BFko=FMI?2uwqMw+h6wfX~kXQp&0h1eB6+f z;@;4CMK>b}^PZN_${jft*4>>4HsxniEF3rBW1J0R_ihi#ISOXPTAYV=cU;bJ7+R7R z3Vif{%=l|yAdy0FqG-GD0H9qgtffy-v+INXI^K(YDfQ9VvYFKZ*S`G$l9nDWP|m(+ zU;|c^=pDrza8>21T~~*;A>e2F+ee?U7D|Z4rHE~tc=;_%C`>Gj)dILQO-+m`g43Qb z(V}RX_Lz=I8pM803-#<+=0bSIf?3o);gvDSIO5jUx0`l!C!~}+54RN{ihvIZ2y)&V zWBnG2Ae{ySx7bu-6U> literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.(2).cnf.hdb b/bsp2/Designflow/ppr/sim/db/vga.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..0f183f0005ae7f7af18695c54c0e92c276050d09 GIT binary patch literal 1813 zcmV+w2kQ6_000233jqLN0AK(y00011WpZV@xBme*a0000P1^@s60001a0RR910000L2LJ#70001Z z0qmN~ZzDwz#=}D(UJ~y&2S5n8Bs1-qv3>KhyFv)G5P2!wcs`fA+6v|V4vma-RiXV>ve{c5_azV7Mi+U)uH`T2$%-^%A7IluS0yEM38 z#{F*@cYMM;_)E_3eeNy|{+y3L=D;)Z86Qmc{qSfuneHF^lY^1ZOsg}kxoPc~*2=V& zrnNAwUDMioPO=ytjc13GI1ggaiQN%9YrjMMOJXmGy-)02Vy|GI9*suhg>fTx&DZeP zd=0ziW!N=-!>;)nb{41RW%#SkhQ0s1oo}8okTc*I=rB+)P%=<4&}E><0L$F5%pJ?z zvCJLI+!arV>|gr*$?l#^G+7w%0?{9PAg2rD__6;+Nt~aRtJ$RTUyN@z4`t@h9u@O& z{I&n)K%UhH<>IreSMl}!jcYH;mofUXGEH0h=mO<4`7_G>!E18QY~uXFeUtsODSDkE ziaBfF2=ks-ugss^I-H&D`mQf+bMdNnk}q~54UVTrd3*5MeR!9?kM!1gN_#7P&{z7< zskR(%;dh^|L+iA?tGTh;HqM*vw{4Z3?*(zYCzk?rucQ>}qnp_zkAf_GRwo)oRJ@#M zsCq%*!azfCS9nG`lsHOqN-{?BJ|#U$x|CFul$1~nM0TQ1PBqA>26^UN&tiMi&}X2> zK$n4vfs%oOfer(Xft-QNW7TUdCOcTSj=g^E)n6mO(-J#c4Td}Kiu-#XeQ@K$>)Z9x zBhLg|Q_#xt17G@~w!cgYAupNt$Ra`S>IhjQs`3r#;oA0<&;2JKe|ly6ddMPPtJY}H zy=gZXFBKK(Hd;BVcciJ+)a|og;{_9PwniIo9DV0FDksP)u@@^x-*-m^a#$tiJ^bSNn)DJbbu zQc=*!*DI30u8| zSlUejAr@m-&}eP2Mr(sLS{tm<+F*^=25Yo7SfjPU8m$f1Xl*dnn!KlT6^21ohC!8v zLDhyq6^B7phe4HRUMFC*nV(9{=t%ZbfvKLN{aT3>A zu*?f6oF08z zD@9-}6oIu)1lBSUSgS-}EfRsXMg-Op5m+m+r4S6KQVcGL9z8WfrE646 zx)$=nF!W_DU3Fin0+HX1A`x_rNOegjl~B9LIa0?p8rC(Dm2c6%FX;kHLWm1T0YIvM1!jqmGE7?u!MKIxP-^=^7-oVjSYC>7+_}; zj)6e^r6de7iQGads&3Im_^qZAJe1)Wz%4YQ7ZGVLf(s0B1W{~J(-CR^UTqM$VZQu2 zPjCPo9+>w!2q)SpE*=i#dvOaPC8CZrxcrOI;w49LQ?mRq2=bkrV9$x;lKTnaYtvA( z>2!&}1&2oPNPWIB=*!7(AyE8>32c&Zs9P9hoi0BIx2Wl*i)c(Asv9%XZl((w2(v^x zMHI^szzx61Z%EWncsR5H)DMl@FYS9Gf_d7wMGZ9SppT|g{OisS;T{y_;TZ4>ylulV zz*-oN0qU4={O>NJOu0HMlJM+6u7uVrwsr^I?ognZDw~^I^7rKSWb1hU)aP5LqutHZ zTZjJw00960cmWb(V*mnnAQl4RV0XWGAAe`Z5Kn&~!!tPKbnmVsj35;Z4q%djfeA>< z05M2ufNM}NP?4)&NPKWekf)z}Jdha_nH*`{!~#|hAsHAtfjpS`zOKQ+j_$4yrLOU7 zmV-=WVBi3g5T&9(HpqPUApg*S_>jl|sA?7VikZw{brMk3tUy`{h@BjRT_fT>JY9nv zgPc7g;{*JILgGFB-2Az@*C~J$F)#>#Nr(qTfNbAjcZ6SqojqKA9Y0vs>qFdu>>7Tk zVow*K?>yarcKmJ8)Bpt;0|U&1AU}Y@NfL-b28XytgrJ-5(^s;PjiDB(0%Sb^00960 DSxIBx literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.asm.qmsg b/bsp2/Designflow/ppr/sim/db/vga.asm.qmsg new file mode 100644 index 0000000..c24d8be --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.asm.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:19:28 2009 " "Info: Processing started: Wed Oct 28 14:19:28 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "269 " "Info: Peak virtual memory: 269 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:19:47 2009 " "Info: Processing ended: Wed Oct 28 14:19:47 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Info: Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Info: Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/sim/db/vga.cbx.xml b/bsp2/Designflow/ppr/sim/db/vga.cbx.xml new file mode 100644 index 0000000..cc0ffb7 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/bsp2/Designflow/ppr/sim/db/vga.cmp.bpm b/bsp2/Designflow/ppr/sim/db/vga.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..d9135d7ec2c1a59bf325ec4f44b68ea20daeac1e GIT binary patch literal 1290 zcmV+l1@-z5000233jqLN0AK(y00011WpZV>-Hvj+t0001w1ONa40001^000000000A1poj50001Z z0qj`IYZGx0ZdH6MiYP?|9~V7nH@lnNWKobp6@20QYDk;3M%pAKsUF3fe}kSpD1shD z@FEBv^x)&<3lR^ZBEImTh$v{B$X0uJbUa!CH>gxJQ zM|+zF=7Im$1Kl*IKWI+Z@`=3k)Q+(rri1Cg3YZVf2du!fSO)l^^}5w36Mf!_w`=nm zD_}nOw?5#xokwpk;b!*s^>5#kNz^3dra}$T!&ALV;kopV5Pc*OFH+of!Z9Y9*2ogU zq<~o^kszg*car6zg!>ekL%4)Oz9<9{UWN;I6G;Uf7Us045oHcg^J^tSg-<2oA;Q%B zP6f*2C^L9?X~wwd3%#SnrGiQM%Ckly9(w0ana2Y&1?q8QNZU<-M#u&CEMW?f_mJ{f zGcB@$t=t(3)P zfbW#pgY=7pIDm+HvvslfGhGVJtMo|-q>@G8*&xgzN`9$rq2$GiLCNn46YB$Nmq6SF z1Umx^3d#ym8jCRzXCa__5n!!eNlF6qT`Kg@1=H}bq@YG9SpgR3J6T*aWYxRB3+f9( z6d=O4yGELe)iw!ti01hg-B=&g(-ng(HL#B?HYYcaqMr+mkEG>76G2wCZ(I-7T8I#~ zjEEWqMd1D$m!dnEP>n`r*V5xkR4$hI%Xuj$Uj8z7*MJHFt9|uaSDb2L)F~7PEBVoUv6dalwhAg3qA-a}?@v$rbHVH0p_ zQYN6ony%Wws$GA3)a$TqY@^(hlVa}^=9ekrmo_YA5O`sdZXP`}Udp*oPFUr?y!0bI z60GSINmuDNV*b6N=`%iUx&+ipwgOquAy12Odq1yEETX6-*C$*h!vFt(CTlnxXdIn}ian zy~Pe9f*>M@$ol%e?|050XZ+6n&l%Ub@9SJg=*W>HrF=(^y!e}y|JJQt{R3Y6`drph zynXpTmuN`u9qm?)9*27^gG)T$(uFChuoA7Dw5#M(HJQa=gvzQ2Z`!!ByuyOb~fwNVWD=X2u{~Vj)hZ(^= zZRmd<+l6@&EU9LiO)WeXF|sJ4>BrA%SP>~yfUk-8rsby zj?2bDc8{Ucz(B!}jHDz4P1gWBxcJr{B5_J-XX)S20UFu#4)5=N+ESX!cxYorn;gwj z5v-U5Cf#{wngP}???7nxGKf1?ICB|y%f;o2Hr|V*_qXhUGONpbouSJQCH*8BL;^e& zu=~zb;y6e@sArIRTqEuq5aM`}kF`@7^90tW*;VeKh!$i?SnCU=X?{l{af_r7Ef@@> zXdf);y7ZH9wD=uKlSperR52-kCAt3L*d-vroz6eCHb_wC(O8qL&Ihe)dFA(^Yk z5hKcIEvy!K1WQ8JKxo>kLf1Ew)z*tx5UP8+fKkr32+klR4mJ+JA$R;kOpk}a(ve2V z@{=!ij>#GbK2X5+4)R*Ox~+MSmb&mLSyQmiOA;ihyBZ)7uW9ZJa+?cXSiwqYMB>u9 z_)lQ0?6yj|pCtB%JxVY{LWc-k6tH}i*^-Gl+v58!HA!++*S*W{b_4g8sTHGr%&bwq zMcxKvl+Ti&8f03$zmAa5qe`^%+f6QUNWz=>miKoM0|$=US!|Su)_r3e7rw9DKctk@=UTc0-H22h z7r(4))3I`>MPGSreumZ_K{FNLc0KOJi5i z!#0D0UwoMXcbP}k;6|AzFFL#&#$2>}`Q`eG(X$b3PqGab5m<6~Jx35e@PvT>^({MY z?q@?~z1bK!0EAPL&4t<=?6=y$5ZV(iaL$FoHz{Vo3GzjJGCPveI2 zz2v%;#}1#?Z(#mBJ6s1sUDOgSI_3gU-8IhN`!;wbUzllMupeNC_Gnp%xPmWpfo9!1 z2}Kd#Sa6gp%9_;cx&Lv`aukk%nD)uxl$eTL&`B>BSt23`e8FcCW_wd3UFAny;sxT) zF%h??&O3zOP*@EEoiA=T!v{uTp`o{^-)5w$+T5r)RNL#3cWfk70-nSb{j3=c{$wpk z{drE(D`)-;AnHJUO#ecq@6&^!U_~;;(8xH55*}ribO%sGrodR9o~hb^=( za~r1l*mt(=$JqA)eS6F5Vv`{W-VRlJL(`8Y57bhxsgK~54(ui7Z1Nw;P{*Beg?1p6O#X6Rvr<5<2*Ikr9M5YW^{q7t&uHt{DOpF(uUXw zeZ6LqDsegXkz)y*mi*R*9j|0x!du*9ny)*z5u;tSg9|+VpRpC^LS$+>4LpDypiK83 z6j#&8^sJRfE(IG38xd2nrBa;ATaO*zNI}np?>0!u>t~ugS1Zh@ps|gEIK7@-Y3W?f z8N&7YfDnFUlyrruTtrb%`3>i*8d%=K3Fw(T+c;jZbRnlxhA6r5tV0=d~Qa zmnWKwwg}kQQ^)os-efv0x7d6MnmZCr6(IhFilC+Id8?t0S3F@KUX7i_wnz==j1#l+ zOt7JneuAaJ5wrWq3E@q>)|#E~?!#R}39q70?%797TXUJ8ak-8qCo9437{g$DhpVg? zyg#m=wFtby(Qh31@hBQ*#i1+K?2u2%4hDxt;r1(7?W^fp9%ZSPe*3uYeYXUayJ!&C z^RZA-~@8=R_VfYVdGE#X>*H`u}7 zUDc94I(s(V{BxJfHb_KUuDUxYx@3{%~}D(ROog40|{1h=y5Kl0+gh zvK60`c=MXZrV*+~n6(1ySeaolQ;ekR>8TuV_MOD-XrU=-fK7iE!OFB|cn zRerqyeUqZI4dS#{FK-b=tNb$ZO{@CWQ1Rqa2eTnX04+?DVhQY9+iAKXvWL;aa@8k# zGrsG+V8$26x{EK_ckaX*cub+5#S0c{crh&i*%P&k*&4VR)SnB;%~7;K7WjHGZ5L3# zxbctmZzs-pwFyNz=@n%ue} zR2J>5Md9m0~VjPAK#k!!zz3^ED-;bgl&vM(>#BkCn!bt!Y^ zka>LDA!8wTw9=wEvpiGTVgHY240(tQTmRWOuqVb?SU@@m$v~D|&FLmraR#|KfTmJR z?k6&xFxU5JXy6Hm()Z4DQ&=iWD=07Ldga72Upk^3FXeIBA2R=0Uli{a(LK4$W;~@p zJZm%#emRX#=ly%Jp5nea(4t>%5Xk5O#G?bRyuu;{G4q_fqA`HTQyLcsKV@^%`~OUFCOcp8)hw6n zbU6R4`0=TzHKzHI3*gd|w87-=OF@QULopBir*{2VOPtq0(0hIGyz>jF zDWg8CmYZ{py?IVndj$Iz$DgfQILWMq7wi>!Jl9(dctw5d?cD6+iBU*d?f@o0&p6yH zIeUQtp)P+H!p7)>|17r&$@)Vd=}s{g-x3>~IB$uXjp2O{7ThuhPdJj7sKhNR(t-}%t4XPN%baXEE2;h2>~9R zryNHBAe~xwbgm!TJrBJpL1qLvyl(kV)hsXCee|sA_fdDRLhyj+pQ`dnu1y60RQ(W>6!ni>m*i2ByH=3d2io7$$qTX-S-pnq6(~9}`*!_I zlivv>?ZD4u-7@L*CY-F|4ViG7H#N^Qj$9^s(?sa#|1l}`4?n3JXD)&RyFV==zoWSa zPk9+1pEAu4O^IK1*BP}9Ip_*H!(IrkfP9=i-Yt9><1)nIx;VbC9j)@YAM6)XcgC@+ z(kq`Rx{s^_ZpY)eXh`k8)XL`BrXDB14AM~r@;^2ioYDPvkMf&(>atw-xdm{h*}7P~ zzkrqF`#s{2nM45Kn01o&RF}M>ja2x1>#@r4hun*rj7kefmDrc!sjJ+aa(kBvxaZS9 zAznLYgUog=oQ>VP+ZBSZW_Dn%Fzp0b=taW6Jq&i5bA7+}2Dm;W3N-|hpHcgf2;7uASL(ba)r-u2fZwxW?Kl?u6|B6glu_NEuoenHfZZh)chrUw z{G(J+1z>5e@n)3?MojBMhm`-^QNWF1Yb$lBfcK$_YXGHpQhOL7n=t@`=pxH(?mTP# z#QJC8u%^S|6x?C7SFYo!lHS!miH+ODN}2XUN!n+j!3r_6(JM%!4{XUpJ-{6~1Dtt% z&l$_KwwGpw11NpT7bfPIs5Oh%TVU%^WMKQ-P2alm*tTag_+4>Zy}_tKLxrwNUrrb6 zjWcj1R(P)?DB*fUmqA0C4iiWVdyL9e51C|853tLW8FQQT3&tl0D4ZgtQ%rc=S z+RbWq(@u2=e*ujuO%j{LYP_;Y773}=h4Tz#|>@C!y-vv&M`++f=N&;u-E6t>>Whr$_T6R*#BSt)R^JAFiqAO0lc) z$Fz?A`z{ddIWcMx6lN;7<+-RKB@&e^rNK0Gwo31L{!V=~t6@PT5$wj5R|t}Fp0&y^xr2wW33HF?<2llP3A^XjsiZ=$5?h}D-)LuVw1&YCZ?XO6%o!zbbbd%~ zYhX&3ruGFgqxFY2D7bh*%_~YhF2Fs=*p;b3;2NHI`w`?norV=k(?yW|eZc)6FKYXP%gT+ipW#?0W}XP86Y z5-|SiQEhizM`9U?tR!7!Ct>h}*pXBz`!*Uqez3C-f|Y((BI*B_5riyO%r$D}lLPJYo32i6~TcQ)L09QtVX_Keho46$lmWybqSw78jdzu^~LZzKD5T8EjOS4ZPt-RGYlrDM(7j@$&1uA^Xe=A0(^pDl9y$6^xhX&uy@2R_{y9m*x zck2sTG3qTv&gsV+&TS4=rni5w#2FZsY&OpZ9^)u3ecWG)03(>N=+<_L_jI=qt0gE)x#-g&QBvdYBsZ76pE6Z~l4WV0UPoSS z(`|GnsaiCJwZ;*pB)Z!}QGgX=(^7J4a6@^s4HiBqx~?(bJ0Td<$GYhF!X?^l#!lxC z>0@&^`Z2VOqL#^)VoITo8B|o^# zVa3ArgUpCDp+ux<9VaK*ct)H0c4-ayJz1Z#=*3p)`gby<&l|Mc_{0%V2s z!@6Y+W*3_0oV}$uE)H-V_V>}}cyG$klzb|)m;+*6N|P(uj3Up$S1B|bsTReC$WZq$ zxPnhE-@wbHp*Py6XS-3$=x)@nvKy(R-Acbbf9u>1lKJoWYW# z=5W$7;g|QIQ1NTJu-E;EQ7-zo44Rgi4Wt3s#hw1Ot}bES&K@W_230WR_9*?4vYxsp~h_-3cqX4lDKZ1}hL{LBG}?!@MnuIAMRID@#0Iw2lnk!-(R^^7B9Y zrf-n0ADm*u-Y0QYl!)in2c`oh_TKaj%8B4y#r#YhbDF{*7Rir~ySRUp-0gceo#8ir z#YlAdrsVHP8g=20$cL3_Z;gnMhVu`?SG$$OzoA1SmAkT0!QKTp37MI8%?=}t-iSM0 z2}b%3l?Wq$7-+CZ_9W=Xu z*LjefB++aYjl2x3!qU+^ZG5}~(vlw0gWf4jMAXS=QQei`G7uGDkG`n}(FULvhU7VJi zltKIeK!I^TLGP1e=eHfJ!U270o~MC%nEz(=HU2RR!&qJk!?^h%Cu8RO?Mo=?hN;!S zHJkcNU9Z+hzv~fQstv%N-dAmM`};KGr+!o^(D1hn3e)X<{*C-)>2`jO7jY?7R5qG< zQ19Wb5AIlJ=e!~%`ULNEs?r)V)gwq*7l77=b#vNxIham zXzYERK5s%A_bcTbTPgA_GAcJ}we!I{MZ!Y7^2D;TUpPL#CXgz9t8<)NjE4hv*a^1%IEqs8Dp%;h!g~sT#e`q2sXsZbd*#29iv7Z0t!2`5xve zmi7AkWAn$EJpi49o(Y#fK%YKmW$nIA%EKvquW;qhy8QItGEdK^b9+($T>mZ7^dx{X z+x4sLKa8^b4@CNgua%g!9Dv{gH?7xnHjc)G@*go+e+VlpKBxKC2$nu;ZtWwhFwy*V z*M&c6M#b~ksFe3lesZgldowSrV@my>vT95%qMmc1B+b`3>n=u$!#aHqNJNKLSNvG- z641%;=#g00S~;A#1c(t&yfr0%h+3WG5O|E2RW5zxaNm1ZSB!fb6L@aim!5b=+oyo- zb#mgN1^w~R54U(WSwE5W7QX29Ws%}W@UqJV);sELzr9&6evmM*p4uQktKJ$}3SA~& z-;n5?xxOd7R7s z>vK`PV!4z_wfY{6B?y+dk$-r^_dSzjFa5qZFT&g&#_P+A{36;Qb_bij= zfaLWofXx+JsZy86g&xHfnjT*b)+XEDMa=6R>r&kvXq+{prS+ot%noupIN<#8P`pM^ zxxYYdfIN4Y`iePe4?@k*=)0kI>3UF=(%T6=d*S>_^NMqBrhk5!z*rI!)S>Qr5Z!H! zO4FGg9Q@Yuq*2j_tYRB(IjXyKuVZ~V9AK<;Ff=wbe~g;A`@!t+@NuO>Bs)|#coJD3 zWiS(&Y1I^z{@TGZ9iB62OP+R~0QPD0df&6(5r@PRag6!8xkQ687o@$0x7uD;a(^>x z<4Qcwr+K<_$>A>#dj-OK^(2|7%B}iPA#3SbP{@4*rO9`ItN+iFcvsXV|FqBT@)(;) zn!C$DfcQdK=2-W>?{0A4BB}n*er5RTaQ*5t*7CpA>>@X*Kj7?@sr0o#>vpU1_iMYl~M;w(?MJ`@iy(}{p_M%M|_ zbrq>tqnmX_1~YE|1%DahTtWCLZ^LC<9kVdDHx@9SZu@=O@9tve_$EUvN#1pl63f21 zB9-yL`nZZ+=taPG)ND{T>x7ttxs<#WBNhF+r%Zehr15Fuw4mtGq%ca+!OPh}_U#Cc z7r-|z_;@qgOHd(QWb!!f&lkl(Iz4m9O~vS{nQ|jzcKv#AYDQ1kcAcWsj_Y&>Dvb?N|6D1L^FIWYEn;Wdk<{p&NqZ@mcN{5O z{vP&LL_KMc%{*yyof+A|Q{lR*R2PRixuKR%(Y|5b(8E#>{9<|^;UwF}6aV#xs6%A< z7wqlrpCn?-8B5)Y_}F0bM5H2T*7@0-=vu;;Yn9I(86QE_JCFd*dwlHfs_pp2YS3MUv2p{p6Qmcgt~_~KpGTTUCAbr`NY<`T4lb(}74??d6fiw_1dE~l z87wEWWSz(2^@G;T7q<1E9?*a;FAm5RP`u%~X(nmKNb>moFDRXzG3um5E_EqDup`lc zNT@%f#TsVyneD7+^6K01*Zx|e$YYze9uNDD8ceHhoUqBa#N|1`jT}6*UFZL#@YV75 zd(Mi~(dfTELQe`UeDEe>H4!XU(|}0Zb?=o^jY=gy7{4)Us5VaMdT4H!$RC0TbE|P5x@C{kvGgpGfP2NR6bVLh>$LseWmP$X+q3~$}Jjbok!uvzF z4&>&2n2bqr#FAF)4I#ljE~+Es;07M3IIAumyORnLRRXYYsNwM0An&RlbH1C`Rw;|* zlMn*Y0{c}7y@ry2p4>l0LI>#y(WpE1n*fI9m59BB;yz%wC3?pEJ@p(tWoYL5)MQJ~ z$^dF+I!7Wn?u~6Ct>JxyF6E;7d5&t`#i)O;D3G?#G9=y#$Ut_=Kem*2lbu^MFF*>5 zIyID^?d>h~cCLdPL4u5uS{@MNgdX zAzJ0EE=Q;D=rGntIWeau%&oX7k`WNBAS!HLbZ1`Q&pp5mys_pTlIUqN_$sblwT~@Y$ZD}GVg)*x6i7l){t^nj?Uwg13n4w!% zynII6k6-fKaVabQBLfmQmsoD#TIVA!bE~}DeusNcsweGh6;)ah(B4X1=uK;`2{%+m z_v@h2VaSX0=lPR|vLcCpV|ZjmoU~2l@~?3hzOBda&f_~?raN_%M09qXOcd43z=Y5L zbhW~y@=;VzlMgwOT|>HlojhHk>Ovy$9T)cbpI3N_J7*Z@3z*YQTo8gA7m|G|PqRkcsL*-NcJ2*VaBz?Y@(DV$-`^TiUClJMkf z_P9Q_t4IRUf#KUgaVh6@+sl^i0o2}y#H5dt4gRnNLNf+?d{-Dl*N4HSH^U}R;gUi* z8B@%@j<^P;bCnA9*)8e6B=d{b%D4Ovi$mZaVUy_grlW^WteZ0mic|RzNu)MWJ_6$nw)3v)6B-%g`-M>Az8di~SLfLS`6tUBE?An^twa`k^COc)1K1r+RF43+pG|>OE>;P8PUpvF zHSXzp>J>@JPH!YotlAqCLly8X<$u zKUNw6r#_f&{vFo$&FMl9+$tRCZuU0HQ!g$;uIru9%6}V(zqBU(psJjHLkZdIKT_da z?;Caa-pcu+dSlwb6$HvUa%nNUh*JJr0j(9Rd`7GMn~{#6U~Bue8;d%S2A!r`!0|nA zJmDhc3!*?_`P9@x<^Nu3+JO>PelY<%gn2#hf0Uh1*BZv;W*Fq61T0%@+_@a@Bf25| zp;@T6&-2x{&G31CSLh2Dg^@8XTR}4@M)rg@PY^<4EK*3-C-u|a{LU#`Je zC3Gh9>Mg7`e3D=Dn6OZW&bAF#n}Yag|JtIXdwW?Wzc|ximbTutgNgq1Oyg0iuWAW& zb!Cw1MPZF#Vm2d@%+Cm=*3ljsakcQN$k zIwxaFDgV(6khE=r1dZ`5G&k9W~3cR3_`5N^desbgB-o>3+Z zI$IZ^C(n4+nOzN?brkV^rLCY@5GNAJm@V`0W5+DV~6u(doB%QB)7^PR6k9~ zDN-S44lA5SDs$xB^M7(#Yj)(0&qr60>R0ik1I&3fWlo$5o z#0@ldLP=nc6%JSIKj6z}#(rvE0ESL()1(;jW&YC0meCuo?n=5JdLg9*-8J7!8@N=h zY%|_MW2J=V_dZM0;W_;F6~aruuKQ}EuS+!gjHOp~RIKD3gTjsGNO&3O`IlsV?{A8` zvhG=i)YP)Kq|5PrFNov_>M=AHsG)8X$lre@=+C}wBwJAq`q3oKUrp65wfCdRZCpV5 z1yxs<1_v`r*i$x&jy=HAMx<-9cm-Jc;G}NSJEdY>&1g55wzaCDn4q5_=RL7aKXsxF ze4nOk!Ob~~g7gv~GUhuQ35eaP5BywM%qnS-yq08oSn6cIlR)c&ly@- zz5_(p-p>XcssAN2A~wO)vPJFj`4E*f|v zs9|~|f#c>ohqxQLt7p!MJ9*2;{0WGoG;b<2>qN9_QAVu0&G#~{_88cb9L4y*eJ<`x zf9+EC`iX5A^(R7Sods0^E6L&DKWOl+*wRC~2=|W=J2xH8-ur#Cj55r<*h6BGv>$=F zd-T7ute@py%|NWHJVz;iLwYr3o|!S^m6m&I>yn_>8Eu2_ms{hxxtp=TKy#4JG16D? zsPSu)CVxG)9i^A-wSO1{}IbFyNf0%UO48%z)ALJE1wV6BXy*MrErdUqM(Vz_aP!APhqBw{Gbj%LMeeY!9T8 z)^=ro%|@qLB{leV{wY1sS{P>tkN#-ZN}#yiEn~klJKzu{=Pxx>(z8NL6Qp2|VvQlR z=1}$Oh>g}FR;-X6$5f3G-+yXvJvjB0LrndLH(lSsj(vN6oCN z_{aNJjKxPy=D`$7tssRoL$vga%&Ky8E6=)OR+Vpl=!Qu1xb-I+Ig)j-xBSWs0)t{{ z7Qa$2PFIBxFa_aE;0Ci2s34RWQDJuo)kp4F}z5b5n7^98jUibfixqPN&X zl^(t;BD)&R=`XwopiEKpsM$kwXnV$P5PLr%qL}@v=q`MioRxky8I+BWc<`2YR-2hp z(P78x*EbvgnKOdY&k*W~eKcpYaec1hs-&X}5^>X=wyVw5b>-J1|KmyBph@Jab3bCq z(3kM&0`I||rM(+qAx%3?lr@mQcY?2owQT|ifJ1NAeO`T{hiSp3NL`99%_K`du=lo) zDA9btDV}N?UTn(qX&0ZArn`3yt}6|0-axmO1IPAefJ|+rJH-b$7vxe5sz95Y&iqq~ z7kM)yx*nWgef!%|-+r>eZG#Kp7+W>G7-(=NYY@-8r&I9o#%P0e6Q^+Jk}5J4N{{nh z1;y7M_g4NcX#a(C86i+My7W7R9(-$c54_4 z@NYzL#yNfUy!a(ecwDwmMBN|$l~WMEz3sxA@PfboX7MAs?lkh&8F++FxDrf}R5ov_ z>gB!v2pkcIb-bW#=dGT+ThR~k>yx~Yey?>E z8f)NydSm^-7MV-NV_-GJWEYxZk5l4j7LS9m+ z^>m;Fp8|ruV+W|N%^p2>cpUNgv*t@Dty5eq6?x*a)KADMeU9!zwqG4`58X6@rCZne-eh!>|hpE0k;yFRG5Ty|gUX zdP^^1jn5(GXXoK|)BddMXHvx%E%xiDR|R7SqpSBHSkEu2R->DF^=|CT>Sbo1UGKO! zLe=Io`0Bo~19(0jQ2E2CXOX_lY znVR|V7E~*no3lk34q8Uu2)8%HGE&FHV=rCM_!`Z!`T@_36T@F)+_V3TdUShz(!nL@ z@Yv>_F=LoQWE-Xh^c5BAU046w6C_S|9;B<~6ewiKMTgyB;wMfDj0m>J3=CQ|Ve7=6OAm~LOYL-~HA~fMTFUY+xaIFgb_Op@v>+rrIc6d)mq=Oq~o6x<$CYoBhKHW^&RF?9rg2bWp~KygaE!EGx6sf%gg&M)Ny)#`2~`sH3cMMi4{ z^mGyJTR{h9rE2umW2u@Wewwl__uj)N`8F6yI2&IfwgJkKhH)nd4>Q zI{MZf^*+IR*^vf~dPry<#-m|)qC7{Z$9YiuLO$omlm$0vvb&c%hYpTH|1;XA_b@PM znUqydoJedMjetbL!c8@G-#Eb+)eSbbDLD`Sw%^=MEY9F~v3`SSv zB30n>z>R~qZHw}Jq*zA^sIA#IQ^mz@mnV{Hn}o6a;y4#n-p z{;I5b2g$&&!DW4YnZoFBwD$aT zqlD5s&OE$6sLV4m`O|5O*9B~<+qsOe&*6xdoB#Mx#^}X_`IUJ=5mu8heOuPuv83*6 z>ZlaPLTxbfLu)!CAgm2W2K86^&a0pH`w#687AqJ|DvNmA9c6M$MoMW2LFGPD>~+Gw zu9sW>kH`B{Y%8(yZS8Uqb=Z0|S#jNY*ReU3a!)l$!OL0mlJOh!1UMu6uh>Wx$4KEm zn|d1KA~e%;5CV$OPoLt4<)K8nY(9G_JJ0S1eNf-aa+_9mHgwd1DUY^o9*`Y5%|Q-y`Wp+p zNV)DO=WV{{nh^_3C18yUULgyS1V$hS92dz5Zj1-sw@g_mh!f49 z9>IM_vqzbM{nB9v9C@es>v$u9e!qiqHSDE%By&a|0&38r{yv)%mWNQ|5NbSh|?;;<5e&7IK6zEJ8st$^)B}jXA2^;JL zHH~0}cgN4#Z&};lA~Gnhgb?RL#~wl>aGD<;AxkbUX8F~v@y1aj59}VyX~L=nnb7*R z3Os###>4^wW&G8f3pLD&#{`L`*ILAIec*XbLYl)fiz8n1;pu^9;`dZC?#=J&DuB0o zxtq*urgZ%yaCkp1y8(Hgqi=5l*eRIkvo!HTEBQRn2+>F2`F@kS!6Sr|Oz$4f=X3`~ z$yB?0f{;#@SBzQGE?b3RCltzBC4KsZ_#NCek#SaX)Iny;a5g9IiLHS1UE7Oqqw4dN zZPzD`WVC!5*(1aSu-?AUiV_&+O>0S@zU>$2-S1k!_Q-)k%BNRg3+ln<54}R325Hoj z;0YKWuO;*P#}84vH@!PQ?Sv=H`=z#wd4CW3IXiPSPOjXrk|-ZFHR z?UD>XFfpBok{Os@^r5qdQM_mHbb7`e*flPKN^&@UfLeE_u^kXf&5}0>C^NtVFFU)M z%KVvD4y3yBMBS6Q?YQ)R45hX!PgG)|4c?StkeRIxZcq6`rnb1k4SWjJG&jrA%C#7d!!HxQ&m`AaJKl20aM06OhqXov^vBnJVBeX9> z22~^jbte0M8G5M>8?k+!G}Mu>ZvDHgCv^6c>d-Sx{&q)&XuW{I&w5F2gg6H?M99V$ zKiv5-)8jVHq&5t~2r4-U*&DU-AENVGEqU z>;q9*yu|^+li}=HJ#7>}{q-lAz;zxbJr6>&QchM2qY<^2(HojSgSr-h(6GO}EDWm2QNi z5av?}jP!XT!!9y7v`oE|zOtAaSn=cWZ$ayU-5-I9db1pdy$I?J-+Y299)Su2*Cw!3 zT9sb<(4kxXSf(0Lr{C?wM8mjf0WBZnmeiHd6BL+RPh01dDA~cB1Oqa53NhDi{xkPVCH*$qi zKYGka&H}ht8hBGhCu?A?W$W<=S{-TdleFC4Y9!YrjiE}mr8FD3$W972)MPVXQOAW} z$$rnTlFa)#qEOK77JE7cNk$h*0>(N&u(Wj|+QW85z>W^^;LmvFuRlk@ zvrMeuqXvUaFyfme^N=#WyT?pqr62A(m#^r&U5z{`pLh4IF;T5`sF85#c_h~qy5}?x z9F1gk;53BJoAGLwGsNDNWNY53)|%dQ0${5@eMRV`;g&{HLOU->g~OISMQd1swi`K$ zZ=-;0wlPFKyp&>eZ(s;vD(+;Vb0F6Km)YnIcfoD;JCJ_9#ie8bmY|Q7Cv2P)a;oUR z*S>K<%_FE_&+4TW+il};pK4hf7}aw4$6ptq2J&?8YdW}!5rkL%a!IQ_D3%=4{6ntG_9~l z_X5utF9_`#iEm8X8BjS|L@g!Ev2tKNL2){}c(d}MF6{^$(TKjM(F4yEj~fHly$`Oz zvQJP0O3`M=(z0KMZ(|yLjIQg1I*RW-?u;jUgWnM3#;aQg5W)j1l;rgNQ$~X&!e%M+ z;;VxC)_PjPX3TrV%R0-06Z)>4J3I=ULVe02J|(>n?v=K6*h|!Lj_~B}*eturmtVzH zQEb?&U<8jkF+eNYt-eSq9<9h;O|++QNaFB=FH!;@UJ)F-56VJZK=sVm6WH@gi%Ag& z(I{e@AZ2E?=rtaa#*J)+E-cw40k~G+?_RIjDZa^OWNHX=V^}DPZMXrGf()0N7Wrk< z-(*W%d8298RTJ)qKFm(};}fH=cl;!yWcNK7a9jBDprs4IAm9+#1YJO)lLj*UxHB_* z!SCVWN!lIyT3A@%jT-QkwQ?hoFvY^5E4d0ep6M5)2P!=$eg$wQ-W*15d7kNEp4~@( zVwVTJ>^0cgnX?8``RIt@rK^J^6ie*4*79s3w^b&?Y-jK0^ieTKZ0#x#L#jqr9+>BG zKOX8rcS>zK@PMel97N_VeQB;Ohu^i41zMt9rqZS#-r}sC0>b+o*h#KZ>U=+TR6}kcWV8|KtlB`gEPFkfrLH5pN?l4_< zK5|e$@f#wCR)^ui=lzN@qT(A;ZYu^jj=rVxx$X_l1QDEe`%NUAK; zTzGHI6%K%GV`+y;y6MG-ev`9m`wF~DmL;0wn*eIkW(Rng$VuUa1 zsCV2Lcosusx0pq0OYJw116py}951g%&NmRZ23>zBSB`tDzG)xOwDgd=jWf@KA6VE6 zcRby)K`UfXYcr}~zW=bBtvK&**&ViGiQ&eTgvaIF4O4zi|ULFRH||AZM36i8>8W%sua%t zFRn`hco>g}li2i`DkX>bKtu{IrD;BGo> zstPkzE4v&wM!yf=J^2XThrbTujFvzvg%TcjLvuAlQmmOCf)*h`h8`xnX4=0mLpT91 zd6}sCE);i_WkTAIg_BqqSW)-9FUIJb#}3_*M0rUnzsdD9g@f=)80Kf&<}(c43E`xh zT6S7tTP9q4R|YVYtJUta0(L#L)0SWPCNzMIHF#C?bJE7#)rFf<0F% zTL?+ibw}|a*~H57WJqfZ6B(BNZif$&X>izl(V&M-M=c@QU^AbwU-0TZ+qLEtpJI&S zRP&rab8fgx>|x7H?0)k@A~=XMK&6r&forA_4PR~_&PFIzEp~`7n)&`80JcC$zv}jk z99Gl6iR&c)WZu7(;|KFE`iLj9@xcW?eusz9-$_Tej}OQrZLjk5HycV(q%Xd<{&Pzk zmp0dMUp&J0Qj8_yIbXkYS#NzmWv8`(nI-F@F6&utYahF;)c>4hH|$13T_ESh7QPmM zt>yH)-IiW?-h71~r7FMci|VzI&&A99qH(Cd+Z|u!K5Nu}u<4?hs>5952OVtvuRhi< z+xn-f|9sWItv{!MtxaPxH8#rhf7(>leObsqmXEc{^gnj`+hp|h?t)@{*MIoZnNGh> zzjbr11HFBx&*obHRGp!}bG`l6IlQ{ByH0Uf@0Tr>$zt(w9&4b%x|a^cUB^_H5BXR2 z@@4t275x)cerAsa==YJZuS~y}Pdc!Em#?9JwbP#>i!~36{#Pb2UlKJ}?7m1B{%xfaSOX2@dEWV%ks`a9OkzogBd!0Os>m72M1=ZXz7~Q1U8cThYPW%~&!=kIe{+u?d0&2| z>7>z@weLe-zK*}6A2a#NWBDICe>YuW4FrFG5`SNo{O7?M{x)|0mdNZ|)7oCz>U)yW zV^#6?|5V%evR;4RuC1~D)vA9=*N+yL3Xv)n_|n?HM;C{={~eLZ|I}&9P^zvv9{v7(R9AlLY*)l>ZOz*hWzF!~SWFXs6kzEhcZ-FCU4Z_}Yz zQ7Ibf3UA*T|vc4b@5}ln*QZ}v%_K|Un?bkstt-nOnQF4d zTXp=~!+0%5Y-RC}^7m%^J?j3opMS@r93Pf6++qs<<{0I_%;bN>%YRh!O}{0){H$Ic z{ps@#@SKufVNmF#?OnQhWnnXaPMvov|LM~VA2n9l|8u{o_J88z0n%Z#A6nQ;I?L@J zKR$0en+xFuRqqAc+{eFl_s$;~DK3@j_FjJQV9KuDm$Tu@#(#Ftwy+YN|G8(Ir>c2M z-1~lQW5aw_a;~ek3q4Hf?ey~-Ob@IXypy%_j_zkq&oWv$dgs0V&&%3rO>f8Np0V=a zZ0q@YM7;MW7IO=;Li6KYl&@Q8z2L6l`BS?eL;rfCNp|B_uYc1gTlDY9>R-<5w*g^H*0 zJ-Ueec~rN+=%IMq?Y!)VN4p=-?ENTyxQ1ejKG832k?{fJw?U^xA-^> zJ;j>8&eM8}K*dP6W1Uyd1LNJ_%m$rjP#gHD4#kljz8N=Zhi`H`zWUJm0lbo;9r1(h zGC#P%q8UDcfCLTeBEmKW3T>cJ|3dKxyyY70`Akr+*6pJ^a%FPO#abM|Da1#b>FzZUc&q9 z-MoFNf9p&>#pYg7E_!^t&v@pkjK6sdw>h4HivzO!WjudUGL&u*{lhZ)OF934UDfnc zH{N;kM)NbUa{GM7`F}hc?<{DrR39-$C&gSdn*QK_@r=L8J^vX^d?J07@#pK@#TuiH zKjQp@-Uoocke46!viTSEKgjBj@?Xx>qVMLL%GuhWP>Z0gDQoXkx*qEygV0udCzPx23GQ+g!=5x*>ALc^>ULdi>1zv= zE9aw+gB0w@gNpAxNPZUgVSh0hxz%HT`ixIEv-;-{PV^b{XR*g}TZWnsje}FW!iipepXQ^S|DVzaed)2@ zF7QDt$9}%{v2&gMd@{uL123d#7tT+uupjZ7(onY>ejMrK3j5K$^wh3H&4>E!rv2!3 zp#AJ8?0l&Ch)DjNxcNn9Kg2-ksTkq!+pmj%fAhWW=(HUF7_a5ezQi*h#6|7_VxJRz zVv^R`=M(!vdFbMsQP(>^L*W9PSz(`%J*L_NzD}>O&;Ju~7JpSgTfkGqx3J%#)`x5= z`N=5#6YpiOiH{g-be(PY##lQj+n?Ai4nM%gGEgb!t4)hL_qK?D{pD*LA?HJ5$o_mt zE-rN6D3dezi1<0w`i_1rt0(a^n7{Fal#j*o^K$t@jWOj%eZ5oq{OXW2&QwI`+EF_> zFYwh5-gs{B-{6^w*&TPHbR=>;@@Zf1qBg5(Y?)?vKz}aWB^ z_b*F+zEI;&R*47fNZ-=wWuKPm$vJDhB-~l072jcP{5Fmi?)L><%jU)oJx0*8RO744 zxhCbHt5KrR($%oEucci+?cOVCfpPd?bTxP_aMxR#R|-|7gcd&4`;_MO_BJS5cwAoO zGhze6S>ts<=V-$P`mCTo?gzbFP%r8Sw4hX=1zU|6mZC;yEeuY+{#e8{YCEneF$@s% z_R-9ZucPm%-y*_Dc#Y?!i&y$d!VYft6XA6?{-W!*RqjDq(<^D#!wUM&YV~PVb}rjP zuX@nq9rDt}`cZsH6sB_M{0SdD-Y(p^`r$r3pdM}2p=%83(f6l`R}y;MOnSW8wYyV# zyB(6eB1xX?C&@gLWKM$?=n=bN$K*NEBRdo9nZiA#$JyJ;GP-?UxZ??G+7ckyioZ% zD0M4)OW_hbb;>XKlWqhRw}~Py9mEKQjP(bn$5y+!=LB(AjfRIrP>(fFC5v0D=w+pBgx z99QtxrsqY&_&-Hh)3$L6|Nju~r~O)Ve6{F_Dm_8s9hz`TZ;JL_b3GZ9-V}^h3jTj1 zT+=dn-TG}UTy2pkbY1|{qghRx;95J=^h4e!oYPAaZ?yjLpY-+a(vN?3abMAo|DTC} z-HIxpXo;1&Rn^yD-G=+2=x$JJy;0VVeovv;qm9B_qQI=}F@qN9dOlr0-n{P0@6bC* ztv4kpACf$42-DUhq4lw(+^yO5yT<|bT~ zZY(6|ef?VEhiZvyT#tIqhj3|$dxfhaSsGYMJ(*OrkkQ^I()>$J&G+@qtm~I`-R=C) z`}uQEUJ%Y_5%k42T5#SY=zIO3lM9MX8pXc8Ep#1v`)!J9+#>&}>>TgCH9@?p1l0rc zMY>K$?0Pxj?&MJRG?+Fg6!iNJ{cAd^#>NDKrqazn?sO^@+GJgDvU$=qxcY2-?@C5N zIbDt0)b7Mdp8HX@5>agxVV&D1CDDIdNyYOkU|B*VXZEwgsC{!$*tprs)V_k~G9JN# zpm4UzhMxYGw1THEEG%r%AmEV}6!cz|T}F5hr8?0Q@2J_JjZ@n0YH^AOQSPF2gwx4n zN$crKOR_1)Y;h4$*vO?CowNY%b%N@UrLek9o$l^Uoh~5U({*LBH+@^7_a0HyG{9l# zbbev|)a$uE9pQ9bK~HpwRvESLDgM`Ws5bW$#wp(~J*FS-e!{hq@gJOGYkUXQv`tU3 ztSInhdv@MgA4X3xkD#lGBfr1X;G0i(>v~-M)I6dN|GyX3Jzl$wHnE9Y6`4-%$CEZZ zlz0l8*hILdIk@fCZz0j$P&F|R07mU$6I{2VziwL<36TD1{nds0hql_`X&KdiLDE`a zqfg-1+2D|^LRg=s0Y1nrr-X}h{syO92#L?rm~B+^^)Hgbf13p z=pnt{-3+RF`uenKz7&Pwi+b8MLNoz-jp}(%Kf9ZcMuth7+O8^Ukr)kaE7`s8aWER0 zSG5I}9m8_kd$Vq3(wpfO1U{?jYCJEE9HQ%1d93*mL3eJ0QqO6E=2Eh5)h-WM$g{S} zuG%cm=$ld4M1q{!go4YcYs)rm0%bqiMon$%x!`{F&_2|2Wxui;3-_{q&=G=Gk0T1< z$_5Hm+qk1&JwT~v8F6hf1qii2AkML@gY}!KIR>OZ)J@SsNc_j}P`;g3vid<_eVcRj!OSwfbo-Jt-aaRj zhSHpD`DSTwyY;(6_RM)Jh2IcYtrr;9(AN-~R{mVG$Nzz9*IHfg7flFM=mq>s%8hMP zebhd;pnYw%Co53xQ#WG^rNMnu^Pz2As=bo`Pa^2|Md2imPP*wVu6f_X?1FwRx{{?3 z5)TOWzF_?5x~DOl@<%qWyV5md>`nEvd&p{)#>~Q6xQ!3Edsuu-*9L{VzY9ul^suP5 z=D{6%gHLHcR@C>ZUh2PAuUZ<7T1 z{ztU*?h@fdLcYTU9W8#*;)^=kIt^n>M$pGeKC^q;uUpXLB;S?^4=S`DZS6V-q(u8o zA}F&Yt}Rg;?@&hb_AK+LK6vVD%J*p>H89ThePt?vJd`@5jdR8zhp6o4;_(des7odM zo*6-Vgn`F#oPu~LSj%Rgdqhq9ZUgr^c;h)wQDdXJ^t89eFX)(ci(``HNbzdh6u>o}Y?Wm~t63O5jgOQ+QS-VhU89>b^s`9I zsOJM=o!?d?ZN0T>j5`IoRDzGK1SJ-s@s_W?Cko6Xt`%q6a#7gZvECJQtTsKYA_`v$ zI(8cry1ccY+DwvBeOs!YDVv=4G~QAERL$$IbiKXs;oIUIt<1MLZtW)tPt~@MeyNes zFHwiKGYUkV*F|A{NrH}BQS~)JU5_eBLXv+A`rUq#{7X<)JR`oWta{>`KeWlK%AYBd zgzKLR|H?K=UKG^ksHr;r(I$G$RqvnM5!LgpuTJ zLBH2elD7o?OD4$zs^|Mn&U+fqDSwXUbyvFHPWXDcihDe5!nc+F(Z(~9Oe-Ba=Br)hNLEUqoRYajvbYnP2=_P}iHk z{ebxLkf6{NwIV&$EQ*VpB)aZtJgEF7n%7` zSWEJ~Cix6XzjUjhvkQ-Ty+M)h7C~L-!XY8w1A;DToF#y<%PXCYr2>on!*Y5Yd{ zt2M8?()Cu7jaBiMd^dvCCJFN0EJ>=zP3&}AK~!7v{Yn(plzjhelTRO;@10F}yq%^c z-?f6e&Rr7ZyHn8RjnhoNI|PNZknbk(w0={bp2juG-=KNjm9DpxY_ICKvbeNJy%e6wX7woW^>FGu24O!PJJ%E6n=CXcRr($}%K zYhHJy>&=D#iGHR>Bso(xw)2c6@Z8>mQX@U6_Db|_BdV=PahfP#Y2T3)21Sxn1>MwY z*A)p#E){gMHYjv2aUOJDFjn1M_3YZ@yr*%B@^@=qcctsikX&ujlH^2T{m%3unj|Dy zNwogf2Bk*&FzyUcOG}dDL;;(kJzA0+E2!%cqDn||j-Ws9C&}4@qBo5J3nW=l_3YQ= zyr*%D@_nUC`CaLn8R2&%$?@XHyn|WA8*Mxz$uy$%SQ`{c<`Y!=ND@`OZcP;Eakz)d zm*!_omL;_Gp3>7*yXz5<5|W%O=bX;+y}6QPpY}297@_<_RKumo z2eBqS#GLLVZ7Ha&jpEnbD_Fs8-P*WY(Axz4P@I3LoQV?!{F)Iu{h8hQA3v>oZKCTL zq@m}MhLHMtuSchzSfS&NRn%i(@zA(k^)T}`N!r)T4eJxtYkb)?_pHH=as57NUcoMb zBxH2ae{J3Q&K6XyRm}ysIv-pj(EN%@yuTG9mR8dE$~bozZBk{!at}f z-}b_#j#i4*k(-1I^bOT#t-77loiNqjrG*HMAQC0;NLBK|Yham0VmH`2<9fGQm6aY6 zRP&5Nr;S~br6BcTZ9IyeFwSk-`YerYm49CIx+`5PG87;llHh%!f}~i=_J*lE+800B z&n%{BG)DI6MI}v{4sK!|DJ;0vqrt+81avm9$6(a+g79oa7I<*XTYL_##$w-b!H=7Yp~{CNA+8U&q?ak4E*S*_xzn zm94T0#hJqFTKfkQSM2@yxoDl$#v@+6y?8v@p(`d|K%)!R@_35lPLOcnack$1TCp_j zkstkwvX$Of?evs0B@Ba^F4$4CWfPAa9{jsdxLdVB(c1-ro@%uhc-$`H{5Q>(nWeF+ z@^5KgcQw}5HGHV3r)$Lr+Kg+hYPLlPgX2M9g_Ro=4tVOJB^7YErMLGU8;}yX=L&jk zliTjbzT)<>CU>(mMkxRC=5<$NvwqxO>3mq*C&K!}XBj8XAP!rWjDPPT3Y#_UePrLI zviIR?rJst6?kK@oRr^x0mq1Hz+dfBwV|S|FBfnjXsp68eObl2jQYM z-Hk8MKz~d9m&>!7@#rM+!JEaYtybwNx13)O6D_1}VWNu@q>If3#Ty<@_5(MJOImoGg)VjwF5X~t z(ZvSBg@f>jTo;EWNj^~RhX{+DL(K}DI8g0UD0Xf_iF*eKN}tVYq9Jzc@KZs5)TT{1 zy;mCPYTAKHOCDQkmba(!=$z=-ZnZyCS**5wlmtaaNxPYz3SBl%7R6hQ6J5{JSVj52 zZC-aZR@ZgA=UuKE(MWsjhBmQ#!=_YF$ESWN3LCXS!M#dQw5?P9M)sX4KCWrjJ4<7I zXt+u+gMX&Eq1E5l(4JwRAbEO7~j}k5aczS_>X(M8yL5Z zBNHyRF{^N?(fWCwcP{IyDx#~kQ$N3XQzwi?7;)319od9pD|q;PZB{{acuZEo?p2-Y z*WDPY>wn6F_YjBn)G|E3t+E?B&%#tf>R(FgWd&uAv3VpEiPB)SQg{Tj+o_YppJ)_>&I{K1=?Q(Jwcnu(LM)*4=kc(BcOwOv7jq8`54*vJMnQ-#s~I$bMxB#_XV}} zNh-5Os`(=Ev68T2wMJA6+cU|^$Pa|KjN039sc{A2u4pytiUjmF;iik`Usw$EjOHjU zMz>ns5bO3zqXV-5@J5Tr-BoXOe~DiAl8nS-CVfC zg^NXEF)s>hmUOPYz)5ph%x=OOyUp_`J6fJLP8(FM+4w@xKU(dgsGS<3$PUfrnjGPe z?~5YZ1;g}%KRzzprJ8u;LGgY#cc_gxshzt`V6;-Mb6d&iO5d0X%QH-N)luVFE~P;k zeQYmHE+bA2!aw!=L9-=!de(2j(?R0@bK|L?xBjkpY_Df5E3e#0UspUc5MwgyQ3I2D zM~j>+ee=~(8=g?Yx5DvDM69A<~lEc zjS|IMr5o!s_G0Ayf^f$Z)cO%{p$O-kw^H;alL>dxwy4TF8Qk)tbbrsO z>PxWUYs4e_r}mfj?8$)jToU%{Slx_;mJpOVyFvJe$M`C83mP^xkK-Pms1W(ravsf> zhNYd@?G{kSV>=f89ddryTq&e>`n!p>UgGZ_DDZ^$rPu4MrF1<@V_k9ZtLAlA14)DS zY0VbhRZ!x|sZ9vJB+wKGf@GTS;=Fj73GZVn_t|0 z+9m@&HNIq^T$YM~<~DE;GFTbvLO;w4T2!F@n)q5!(AHf{SwLf1QFtojYaa1+uB_r8 zf-Rb8V0Vn{X7n~Ss#xx;!sYHKd-v=~ZEC0YGMxAi%bi}h+!ZsrSOxt9-0D=nE=C#6 zo?bAePF8V#(lA!R3I#3as}xo|L0Ro+wI{Z+sc>xs?r050y}uCj@V0hGo`VIQ%49FF ztG6Zf9}G*^vow}b{(a5su5=x?V!EdH-bPsMy-c@g)5|O^?tHW=2sKV4T>4y{>Nm1) zFJaNjM>Kh(J>iShj(wX@Bs);hW1A3BNRsU(l~fm)*8&-%$1w%;Y(3!RFMg|~3|kbw1)!9{I&L=#@A4$&tnPK!UK4qEe+cpP-2_F0%M04Rf`%llp!pppaW}H>0$t-{Ex%#hMKo!*a=aQVKfaA0 zDDExjXH9uEK9anT8kTC9r7^$qA8THBrR!Z~8!zd1lz!Hu&m%0>_VFTn{{?Yz20_DO zbg6`7u^)Z$WZCmlGxTsp1ShjYFZLluK_Y;26 z)B*Cd&U{*%{Q})tdL^c`vl)Q#M_XBns_~Qkg?mg> zXXL=+eu8rMQ>XfMH)fP1Ex(whv5@lrn%ND06MUFf=?#d-%>0>swbquf)Kl7Ogb&6Z zt=>z3#0Rtckxf2E_RT6j9&gq=OJiQ;KheDIO4nf zL)5{BbgEx>V+nCX#I_N!pbh+hmDjo3qENSTo>F_w)dq#)(}J$sq}XWuN)&nTDLeD` zO8p0|__`=E9#w$`ZDy+ztQ9#oWGGS3;-Zi)nt#!Xx2WW&PQ`j_W)HMt+?N~OuNA8- znpN=jHW)1)p~Gx`lIHjyi@s0s)8|+P{IS2My)1nTWt;43^+$4)Y9O~A%ibf^PAf(Y zKWj?q7jYFXByt*35J7j#F13a`6yvC6jBQ#H;S9MAnnI`L#T z^9V8LiJqVR%%d|t#_z|6>P%XhTUc)=sVI(*y(1YG7WB0yRN8C2CFsgV5kw^@{8n`L z6EyV8^M>8BK~`+|&XK)Qlc;KTLOBvG$6kxDOq)+=Rk0$fzA2uRirC#~qv(jLSSH!5 z0vJzAlq{2-5DzvZe@}{(4>hm58cPYky^XjpheSu}2W?B(`u{KL(Yf*vhybY1}2KjX$C8m$}= z?JCoR%0Uq*c4l}2B#Xe;8*Mg5JzAo#*&}#QvvxgAC@dSb#YzG8$q1MoT7I`g#HgLI z!EA|Z^-+m0>Os3-h$1bb%f>jO$iA;jWuMl=66XqGwcka-#<+`euF5j9T_Kgn#u!aP zsg=UUn2~&Brsvv)iCZ#b^=UZOyzZ@$@IdD%i=y z*x-TYbywrZx^B0NxZ@d?C!$KU;$xC;eb>w0i3T;&bN4tjp*G<8MdAKhQdnz@>{~Ch z3&X0iQk5)TkhbrUw&zGRnFIb%HN?&WD=TZ}4RXoy{0n}9s6`rc3fFMrKeT({6=)m-NQ~+?vTyjHv`yQ55w zF`hjiyg71&@hy_HJ>!gMdx4Z_dv@e_A6CHMRjT)4wI9#+nMUd9u(|eoIJ6&gQKC7~ zc-zD`?Kh!tb;we*-$BCVjXJINTU5Am_ky+GR{LR(tvCZA+K(8}iZejre?vjh_#~=a^$kkdGr^X)$x`^YxmT-Z-shum& zW$o90^oYjbR0mHs`nX-%ezU1e*y0s1f1+=}Mpf+0oLPE+I#Tj?Q8tf!y)<19J_S3#`RSNBb ze|pjlqg3WvjD`(D9%*MmXI44WArNZ#QhHocP~Y+B&<%t;t!w1Krq1!YyPG;6ud%Fb zcP(N4UKkf7F0gybE+^=ksa(`U?d%UQY60Cz(EFPd_08x(d7-peT&Y(4LajJl=eF)e z52H5MRU~?t(c+8UaO?wkIq^sjv#D?c%Z|~C>kD^v;SNufXhnJ$o-XI^ut8}>dKgQh}6V&>6p`^66 z{+AQOKQGkYdbuc+s`H1KS;bnmxKpDAzEvS z=Viq6dMOt#B&LJWN+C5fu3dy{bZNiYg}Z^EJ2jz5J)5A!Jlf81k$P4^y?vZCQq#YA zALUS_rl+Enm?g5?7EVVs+qS1cZ}ejGx+`5@CaGs{lX@m$v7+vTbwKJriq;z9nH4Xs zBuiGLX5`Vr<1D0JN4N(x7(TxG+rj}isTf&wE)?EZ)*6sf;2C?k>RMKu2sUF^c!#|R{;djx%{ zpVTu8N-Kqh|6Wo@+_l1S2e$qG8u5_(YfH3Ah4nlj=se=oMtgmI&-ROa*n!0nXHTOF zu+2vU#%SSf=Z5vV=$CE9^m5e@nAU!qsGiokK6!A%lWz2N#!YwQ(tg|!m&)AqU*&ar z1;zR%V3s&c9-?*CroZ*12-b>1UCF0H`zo%)h^M_Jt9Fx)cC2+>vVW&Ku;<$}Vj!|4 zV(Cv-)3`?3XGI|_XyUJ09%6Ub8R4-~cC=HxBGI7LpA__~ei|Gm$-Xa~alIX^)!9SR z8b527QP%3#U$GAG6KRPN&>d}_40L9%=i;V3KN8fQx6u3E6BM49LB%}Z#ToL9v}Bw0Z1N7R@l z)r7whIm|P>g4E0N@T#i(a0dJFx5+?f1TLi4R6MVgz1Cd@v~OM~rBv>7M>RFJCfJvq6IwdIYHlQLeau-LEGg; z3&`v95?ztd0&6X3A@5sWkp|~Yt@3FfbHz?lkJG@C+ObAUYUs9mIR1!-PuI`${w`0O zwhf9TywUI>$!C_@-B?T>PTz2ow9EZsTgzsADt+oIQp^JXpt4g)yZXkCbV{V8KL{*4 zhIa24?q|}Y(M7xT+`LQmlO`0Nh|9jWrqLQtGqQw-*fq?%7+z?`K)szs`7IyQ+gWtY zmuv2mBsRJuve2GW_LGFW_V=6#Q4J1Axk0keZrkw@)=#)Z!+QjNzh4~P^6wz z(0jxac&+xEL{M)Zr;XIi4Si(gP^6{@9j?-5m81HYt$o;(vZpbz^8eMm?n>8VNow9R z(~^1uVa?Z1YF3Z$7SD@`=hiHc*y9ZNA*B9;aA#`Dht#YO-7n}2O(;^2Bk0}YY1THW z#}?Gv$LS(9b3>osITWcGW6Z76=4qq)9u=owHl^%ojHUdqn%7;!PzX3 zXG-r9cf-Y9YZizFEZ(LIW`X%v4ULhw_lY;mEeZxj>M2A4yZ86_3WC&=3(DGs-8%rA zSs-@d?E^>&slO|zjd&ACe@H!%pm1jMmQj88OX~JW*S{}py&Zd7(}(CV|2a>z#8sio z#>f8;d*=aYNm1#jOer_Y&l&fOc>m9KVtdZxRks;j!HI?SB8 zxdskcbcyJc1C+9#;xp7=baB@aF0r#vnaJ^x)|mC_J|Zl(tXP+b<0=v`KSsW7R9fcX zl!{7cbM=S6xjkpCpw?%lb=Y~E7=V*=)AWz#zj;c&=^=@VS~`R zqOWxut95+Y^+2`k>|86nY)5?ir1^3(i%;P(<`zz zozJ_(eVsl&e;0)bEB$ch1)t}L&w*V&iXMG@2I+Y`-crt&rp z73kw<($bbH<(H6e&Haqtf2vY28t`!)+#=1*I{LGuQ(Ni!4N9yT%Kpdm#a$?=xfhCO z3wH~ZzEr8~Qd9Z_;Wl`jv9BUX+X&C+*+_FzUQ2qfiC!aHdFUhyGsd2O8LJ2 zRi$-SLFqEJ@ygKVE&JL$$a~@0s})Gr{V<+Y_4mVd(j~O0fo=hxeU&wammlr)=X!G4&;LCc}QNq zOv|50&5EF498kdMfm#7Qm7RBq<2`lDgPyHWtSU`R^OQ@cNl6;$tX#Q0XMNy}?WtH- zBRGKM8ZxE3l9bIE7FH9xsD0<^X_oq_s|or{m!u3Wn(*qL99k5td7Ai=dNxUPjD1=O zn~)Sqr=$$C(FC-BHBS>X9RI;Tr*)1dRF-r~$}k&ExG&C^Cf3S-8g5|&rpu|Ii9)R$7dA{V3;a*%9|5M7=IR{K z5}5^a4rtel4$8)Xn+wUu_`&$6sCXYa$CHC|NHa;rq>cN9FxU-26 z+%rrO!}ewflkK6B2=OP0qW=Sz8gQ06R_0ue<*j*l-sYTgP^A2iiisEb8uY&p4az}Rs<~qi>`KyFv*gN3iMip3DH%A z+C4>L03D`?h!+*nnJipT1n~$k#@%e;6`|hmVCrMW2pY>RfLnxa&Ap8w4O2wK>rupt zOb+Yn*}pBN<#3HGP#K)Uf{(XDSvaWFFwZn+P zZ-lV~tDhRC2ynnPg>ab__rDu)TIQ9vy#jk^w}^t-J95M_Kd&6J{;-umXK@oa$W9HE&1p;Cbx=i3rC=WtYlz}oIW+?zKD&kt&AV3l8{ts#xtO#)2 z{5_{^9B_+h$JNvHnax$LZtc2;NtU=vzeQgvIalgb0`Mx(%z4((C?L0xMk&JaL@Ha) z37_JuC}H1yMc8PU(sGQ}K#7kGZF#(xbCdwI$A^=|Rj=R9s9U>A&|OJ4kqQ(gaDKKl zaYMD-(w!sS0^uW`u7Rwy*$kcB6fI%?6hZgty@YI&9w=$Gibw?-MM#*urAN72Llm&h z8ipz2&_fFs+~PF{!C|mlxB}{&yK99Mip_DfMd(j|%rHZ`*N{Ldm8>+HG6=q8+YOZX zJ%JKI$=ehWMvA@>qqCwApX<;G6<0{1*c?YILT`%ayD|4MEJA|MY+&>enolDjq>86V zZHk~S;zie(jUr&}7UAcEa>^o%Rs>zlWD2VoLlMI2n@pJ}Wxm4{5%HoTX5$(#Sr|p2 zfNv|^Qyg3oLxQDVp~*UHhUSwzHxHMmO|7X`$JpVCr6DQS*Abb5&^ft<2B zcRbHWyTz%Z^j}IpkQiHOYY(BZFWMh`>5i0R8jt?KXF#9;dZxGhX_hZb2NilK$jpk=&w%C z=(`u)AgR_RwSiQiQ3BVXlOqJfrYnJ*vKj{AfkXMYE&+bD0(S$-N5N=Iz#*AUvS@IZ zQ0tN?lM3`I0d&d{LZ?{?t_;d*pv)EJc;Ha}r)xPgOSqk=2}((GbW5NlDx$#{el}VO z43$SIJun2viQ!5B9YBcM%-fVuk}<#iOd?P3Ac$CtM$`LbM=lj-zL6Bq@cIVK&~e=Guhj()@sD=CYuPxkOB8p}sXQPB6-83v%X?dC~;5=2H{(lkYg0 zis$fjXq#~e(~3RqD$^HrxSz$;wvnEScokk^VpA-zhupRgV(aXza#wokF6 zB2;~?g2uH=$`t8Xq25Pj2!eoGrc5b=Q6l#;Q%Y+Y_oGDcpZsJU3^v`=&wBIODxy}{ zXho=pvwzSsR|Hb%l2ky7urts}pd$p^bILeRmgfx`DLjAMrmf5sLH#}N$+5t*pM^cl z-69rU5gLW(WD$tJ_ui%jW&htIOc#q`5xud&I{`Z<+^?c*%*7(aHCxkcEP`goVCd&D z_j4DKyp9pEuAHL?_98|pVx}|04WN@eMzCQ^d5jTUMmZ;! z!fb+NywA^TMf8sv*NE$$Lf7|ny1`PCCKZu@b#=C~$ld{+M7awnp%T{sWvb4bHMyXr z3`O{;@!aP+raqgvQF9*8W}^r!!jsb&t%wPsj&KbdJ~K_wNp2Ci28xIl!H_zt%oPFA zU+}n0!1*2YL6JeQS}1}JA2xhShM_~HTTaA_ig?tc8qs&$0hP?nHQX9vte6*zSZGD; zzkj2M#juD488w(tsRLKZBDfC~EQCcgsx4;=ZV}_e16-rVi>|TQ7J+K|M~yLp08hVDg zsx!+$uF=b&OtJ>W2;n7g-6EJ-zMr3-3Mh}T@bd`1jIgs<{#wl#whXuZ2+&CcJA&X` z)*3#u{I}STn5!b_bzf_6z8I@d_{@8-oDW6JX7yS7evAzW==Gjc2E-V#U=u1B$xV{w zWA9MO!}6#x8;gKP6yDD%2kPrm+N%gJ>&*$2o2rQSh+ASrUVYj!oF9#I3q*(!z9Gvs zKv@lxA+$IouOr3?5{LJ^hhy2iecp40DJUh)(SxOzxWH|><9Qw}!pnMdTBMMke|^%T z2->^S?&94D6QZk#NU%*2!buU&6#@Rf`<5C;jT87Om4K4Pdp+O?ar~rob@Tl@ooR9A zvEN*N+<0e=14w{$^YKu;ey{;;pf-b2I{b)93=R%{AHQ4rj4XW1Bb)J)_u^Dcs(;dV zoP@nxTUu0E_b2IZifh2i%7q;%lL_?TQAPQaELwYByePA+u1Huh-2 zxZMw)blzhUet)6FRi_ipp_G&(;{_0vzskr@?r^FYzeQwFhAY8BS?W^H zN-(eQbdHxxP-O!5gn!DoT~tlHf>)RM{j=G;=N`Z0sz*q^CNW-lC!KRO0W2JXCIUvF4E| z!+k@i9Z^W+lzG$?PHUOtw(Y?z-m>B`QrjtV!XNAHlxa1K>5~*e%SoL{DPT75&@HrI z(5AYZDXYP8$h~f~IebB=yDCWCKlp`|lT=K?FK(wZf4oN#lZbUO!fs?G!F5HBaprR@2D64@o z>LZ@x9CEN~1dJ7V3a8BLJRh{@5ET)X*uvloCHaMO<&Ae&nb9t_17WvYhv@FF%gEV)aYZOkDkc?Z6!8#6$i2f#J4QoQ zwf>&d-G&@I=%R!_+)y}R4Yv=I>BWnXlj3|UNqka>kZZ!s0lm37)c)YtMYqjyv|lV2 z@>ZHTJU&E7-IY{Ks%H-R+jyPN{#*LRn(Ng|Yd3yv-d zJu7XlN0mVbmf!p)X9b463Im3_f}s!094ER*9U=SYkZLVIPwIYDiU)PkB{HktNrSv1 zPpG*~J(#1N$`YuQd9Rx;2bO^geEj1j=NKPfEnb9V4#|fHPY4k*DqwOon?rgxqcQL5 zwj7)Ym;=y0b09))tqA$l5Fw*aXb!nlJ-yN%+vsnXcJ4oq5t7Dz{_`CB*H(*X670%; zOS<}fFp0T5tyt`3g_}dstr?|Vj zdx7G`-5pACcTzOP9g15aXeb0oAtb!{{hxE*k4g5c5cYurfB{y)f8ksRp_Ui01A zGMtr%jUmcA%cvrDbN(4!+)J=*E1lZ!F2jc z5Phy8O5Uylejv2at=9;L?vX7pumS=~pRCUJ$W&?L>Wfbf-hQaN{yEVdxP`no(4uP} z`aC>WmMb-=s11y_`5gJEg!YJiJD!t-l2LP9*HKT;=d+LSE>L{V{Zxg&%3f5lm$y4 zG>>wgB$8+nX%2Q1-qbCw@LL{xEG4Cuq=CBz-R$fxx%0jal_4KZz3VSEvi*Uj|BxZw zWvmP$TYJg~K&`42UL6{O{}28J<#w06G+~|Ko+JhQ4AIAwDD{rVKWSt!*R+JWhG`*j z;9BD!q-(KVHB6M5er%voVuJ0<{k^GZ_+-x`u6svgConCY4b@TZKae`?uj{Y(5^qs~ zhD{5j+f|w1POMm@cr2>EorDc1M?~m9hcm$WUo&|FhpkH$Q}25Q2c*T3s)%!Ce2}(W zEETO$T-4ZcaM8iIBgF6B6OmAUhq{bZvHmKd;%Zv15~BB&Z_<`uYTLK+_Ti7Q-)|%c z;6+MH@QMii{dIhL7H1#(Qfap~m+vHP2FyC%c&fa;UL$F_#&D` z?3RvvWN&W|8n8q9Qcn%ryKd$Z&3-dxIex7xw0IOdN(jV?YR((aG;8 zvzKf7yldot3$#P{!jp3>XX^ShfTn_hC2OR9I~ z;kU60d2-;fP+N3+-6gEv&AcE3DqT#8?!!SM%&=Q5=!;1O>4vXiPYT~p?6rrTISvOU@@sERw3PsliZpmX^~&^vDrW za<;3x@MX2@8`*bjTfxzDoi!P(4GcY9jdv*cQv@|`1lO>NUx)o{Q=x8zg(>LBXRZv* zKlxnNdbeR9qai%Y*4W9Q*AJAuq^Q~4XBxvE8lS)P$rXvZ&S{|$9Llg50mBd?=QmNo$7croiGJeJ0cf-F%UouI`iv+fZ3si&G~> zJuN0n7@TM}Y8c_UgW(PEF#j7IdsGoMBJK+-TCq`kxB1>?EA{I-ql45Jb8gjl+(GoT zq*U+Cm`X>=o-4FbC9d&%7599L=j?LHD=U$Qd$vby_lHy%fkUbh9%T7h zLD9N|w+oZg#9)rzY%|dHH9X)-cfk?KY+A1|)>G<`iE6yPSve#j+j&!+!g@i0zG;HC zZAE9AE`sVmaHou|{EzrI(9)8$dT5|ULS&i2;}J;FK>zAngt2I; zV;_DZVLA*zjiBeqq{HBKn%B+ro^&Gr3KHUqmsByOV?}F%YylHIzxo%h8={%B8~>QV zSBcJkVJGe#P_4NF>0#DFOG?Uqm!QM2bo6r_16oS8n{^~INdBt>UD>0|ie_%;&KWQV zSs+Z$8!2v$UotfxU+FKw760`=envFv`b%WS~*)OpRjVL zFW?hu3|+c^D~}bM=riRPG_h|^>x8MsdxFuCHPF|Zl0h%_Zo2F*ZuT1*lFdkTq={PG zONJ>(mhXj`v6Tz=tatg)FGpc!lAQQaF!pe{sJOM;A&t>YxQB z1z?a#qlJ&*s81D-Btct&=#_QW!{;8(i_Ybr!r?&aV{O>jUfxLEn@T_T-TY+U*nvMU zOY(quBR?*LZxeSi>+^|h>K|Mmmu&aJWIRGPj{_+mb+@Vc@+2axx@-V{r3kSWd)YHq z_FGHlzkD<%zf-dV)Q~PmMS_w+4<~y+OD9T9sJ-XK3>U%Fk?4flZ(845Y>-lEDvm<- zeu}$JU5*($-rzmJtLid_OIheL-YT4_?o?vVWH4M0uAL?lSsGf(7k}2p&kvr&?5x|t zsOW16#vBvK@Bny-DMpFfLhO$=gy7ACnThpNDW z9um7CajlX!3a!LwVDFW%Bx0;chf)=mdztqAI=te3~*avMF#lb7$By%~}`U_JxNxcONg#lt^bWGp7Zl--` zS16nN^|U2h-pD4uUcbT zja{%u@?!W)G+NON4Q8m^V$m?fvo_i98_D}IT0=y1XR){*p64raWZEWuO7hT`(t}l0 z^cN~DfoHFacL9;a5hmVt(L|b!wsoIMaGxfDUY<{hxuMc2dNpH2-l?ceNNz5}NN;+a z%FRAh()?J8l8SVdM}Vw=tCs#Q+>8p{s6AwmZ{e7{vFM>lDOA~JF2lO;4Z@|6K?!Mf zoYfM{Mx+U-D>5@bNjXnZrflE}ljdbnD|SOaK0V~SFe@p2~K-0KI$KP^?rewL}; zsps#(D59dv)j7N)R%Ufe!jnsh13{bi!7I;1DZqOCZa${7{o4odPlu z?dJEmMC&7>vNw>}cw1btnWNZiecQZCQnt!Sl7VCM$wrM+7rNV;FK79pJoP7k*_5ka z<*ULt&`XWq8dYfZbXY95%N#olXZr^Mt@V4p8qVW5EbnUxD`#!&{jA6C*DVs5R{Lww zalvXU6v0&6xl!LfAkoVQ88a)>=RYjlAAbvrvmzb)NcXHcF_%cF6~79pzXKaHJlKU zxgk_}eB$SZWApk{!9T=p*J`m@>3>XERAg-FY=(8X7oBb6sfOOzD`a~D*f<861HIjO zM$y>&`MFh}KFx@Hd_~;)tI*+^L=1PO2k`sC36vP*rfyUXyWZ-q;kxXce>V7$QTJ|L~Nd`I70r7U- zXW#`|Uv&X!gezGXQ~pKq2nNa0cZ+@af#g`4<-w4beoFc)CsroZ1+MIgpW%EO8cn64 zQN^Js|2|Q1k%tvSp;owlfXl$z_R{=k}H``mRW_7EK;!6mDgBAp@L zfor?6`Bhq{1&uNFi;#mGJK&%1UtGKKe;C5nt_9}H0nx3;E&KYthY0Kx2MTqh`UicV z&;R0!3V8x+Mto*{PjW)dDuqRmOLNDkik&Qr_dhd%I%N5um5A1j*?F;3X@Bg|pKD4P z8HG8*07f3MVphKS!lPdZ<{aw^VnLao$i*Fqs1U|OmPY|Y`#16Gpkz9slUWvW&T(a4 z9NM}4E1d7%XI~bOPK*sYGjx3f1<+0Egmyvt8Q|Keg6jZo#RZ~`WRr3-G=Xok%2 zM|8)cq31C96pNjJ-%JW!q6I9&7>3!rdRS31Jr2d%f9rfR|K0*|)Hv~}ULm6KP$5LJ zuCsrf1pF4O9dIDdc;+N`Q#Ku4I3>z$J?fE=NrWzxQ=44ZhuO4#>giP?ryy}zFK*P| z@6+8EIxo3nUApCiuU8F~sID5TO$e*Cy#seG2L&w?!X`Z}cm03zI>P;kldMNwjW(J^ z)L0$ohJ`VA37#^j4)OP23x5JtS}nc<#yP)=p3&Xu0P@%&Ff$IwRaUi{UQXUwHSqky z#p}}4(f&uBZ8xLT@NWCWGhIN4J=r?M>}?*Yiu^YRoOUZ;uW!=o`xWx_72EvW5hx)< z(qK@PT~BM1(@cA?$G3P3vY9w(snaJ#U)uC-6mo$&4tbo$2$u`%OzCe6m`|Pf)f_wD zhc-v?(;L-a&9*S9zMt|y;Of>Y>ILqM6j3HAb!7jbYFipUqDW04~EL_SJ> zeH-enm)Rb{O09$Gz3p`vxPeMzFM^Om_LP5;G{5vhQYfm+-UEk7(uIK;?NMe(NEXQl zb)$1iDfvYAA_GbOIOM{6o@L>=0Y%^4%MjnV&~%mKG+H^+D%Ym0ULtew*9JZ~)YE9R z{>FN&8GRhoPE;AChH)$x6~w;iTFwQk1W~~043H#*f|nRj*hz#^Do~}lu(KBf8lcg~ zC{$#Lgl*kbZsFzK!0yt%^aQ0BL8fR(h9|ju4=soy@T+vdvd*BJft?u)SpMRS)E((s z@xasG@1Ku|a<|4*%BPBC6s@=echoF2X=X;}($LANsj_j;Tb=Nfo{^ldGg>4VNj9$!sz&Z?9AA_!p`t{iHM=|N- zUvXx6{-a&&PqCBbs@Kb(W;94oxwEK~AYwwfZtCZ`)K2w(B z|9O^s5(|}heQhozP?K%41szpZB)arMKku5qe#b4AmHs(;Won?Wc=RaXtcP7 z-3;grY(hrf3qnj1y9tZ^xpsaBcE5rP-XzkGa9*B?6_vxR{JnR4PXD$oSTmdVKNZP0 z21lD3u`t)>j{2~s6cyrvn_9f1nhDFwEAM^G>lk;IrPk%5vG9%z7=Hdf)l|+u9KKq- zS$tkmzK-Ii7x*Au6aP}Wed&nxS;F;BGZF**jwBp1&P>o+Qi)vZO!?DiWUTu4lxz0S zmv64$VYzK`ULL%Nab;l5-(cI%L2$vvi{qi4V%Y+-F%Ce z;5_qDZ*HcGY`JlEfRP!=EP)f0*U$s-|yf z_H~pxYf|^PfAWB(dr%@6gTty1b$$o{e>zrP_0x`shdtw{V+a;oi%HL{>nFE3=YAR$ z5*Ahg21sSwBc_Lb9l2OiqeI6Ux^yKPzFNzveRt4HZaI#5x%L$^F_1!Z%|wkriZh#Z z_A~NlwNX!6+*8#0WZHsBEX( zQznC;;ZC@-%FSE&YB)%))#Trp;B478h{EXVeD?d-t?cDCFh-G;K3XKt`|sVp&|*Ty z10>z5Y|!t^+%!x3F8(kk)9*OLNbyW{lE98PV*XxLU(|W^vvO!|iN}^2HGhM5`H0DC z5uzPK)R33|I;DA|*U{MuQqZrai26`Nj<1DWwf`2~C^pHA(?#Kx&_d^Ysp;VFy$~vk z;=LVF@@FxUaA|9h{|JsrSn+4ow|st`uKJPcamdYd+TEuO4VvtFX$@keA6Ex^znH!P z!)={tw2PQG|F-Bz^TpHEN}W-Nf^@Li^xohFcJNpEz5M1VX#5X*6!T#0+umS8RwIV5 z)_-xmCZYq3;hcP8nVh%81IFmK{Kz=9yXE_euPV)CsJ@AU5x<7BaT34u z7ppYpja4I6Tyhep^WqHV!EM0i_hbkd)l9knD(Ajwf%dp>WnWtvZl5bPYRKvh!^cy``gs4fw|zOC8;OcjdoOmTt;T;bc++) zKZMhAUfjN7yg72)S_&jCXva$>)lu(u=7cNc-|W1&-?~0`=CVhiw2NYMEOH6k;}QHw zd8=4$r5$0nT$;utr-u=lc9Csz>>mhDhzpRXXFCuoe*aQ)l(+;Xc8o@NhcQ`F2Nw(< zwNs{OutY{Tt3dgEJf8sEbjkEU6M4b!ZZzaW(^~{Xnu&kFyYwAJj*)>D9-P`^ri&H z1+Qha`Fwj2h%GPhGWor~M15GBV6|lRE0fhJQs5P>#E^r<%-X0a!*n}Q{(MeDhPo1k zn^As10v|U=4@hLD*uCI;&F3oje8(l1NIPowBE5`~iX~GLc7Ip^F}p94M`F2<^QjT` zgtJiJzmRuJoF`=;dZ6DR<4)}Mj6Cu~?(h77Uu~4Y+^I+J!5KOyFXXqji@(}`FYjG`rA%xgwnFhuBzyn64;~{I z=ua+s_{Z{wscSx!-v>DRPo56$wUm!6{OlkD`>TZW&bFI}zook^j!S8Wq2f2AYSR&S zyj2zVz%lE{a~>t}`&{aiRv6kVTmDzW=&?7oR0Nak?-AmVF74-c&Yo}LZAK1SIRg>Qryfshgi&HxkSH8@-OZX{}gd@PZ2 zKkM}rb&-fpIQH+mp)T)Ei9DjCGuR3F7xH7LF;hcrp&5I775Vz&1NNf&W~2+V9+n>j zC)oH+D?#tNR| zZ68{; zMP^BQBC{mhFgHedm(KtWCyS$AW(OGUtEy@a&tZ1f6c`b1$T0$_92PSWJhPA6x*WU8 zbl%9Mn>bn}&4qdzUuj$e2p?T71jlF4<$a^nT0J8WQWdXrv? zjg;=$f3Ex1UAf>ek+62@o$LI?BVpW@p3IDSZpN+0T!lNw3;)K@%H<>LzKO}Jg)};M z4qpIeZJLNKrOawrydL!l(>W0>M!XojTOGx-DGrU!`D$u@#Yag_u@>qloC9C~pfJHk zdi@hV@=~{|b<7MA*l8}kB5I&Ae%~^YF-Dp{tI|{K?7OpTGE(GUGs>d%cH?2!>xtyD zHP&zNv;P7by|IeTn2kfcq?0vDwO*vd2Q9e{TbL8L&IyZq#dX`yh-5t~nqrz(6_6;_ z4mt96tWgcq6zw{92N|zK64&p_s-&J^C1rokST$c%DtK#`dLm<4w7nHC_jt6ue53r) za^94^;MLENiy4tqVfdD<5AS1#+C@bU+}X}a#S*riEt`>ox6a7dLeN8gqmUXg-Cxau z0=Ye>rrOy;S+j@C8fSs;{LpYo0NY_l~!gj;gW z%tR0L19s!f#Adm_FhOE+3M2+hgtzj7-hO#7FNgjNn-0c4@*LReB0OgE#S+Bgu%rWN z>7nFPvZxsW&fmU+`2ez$xeTx~r66z000pgR^CrfmUBlhMJzm8ujVG=kn#H3PxWJs~ zJFoHI3iuqi+NHo#E#;x(ef5@+*U?|U=JoWPk!QSeQsvTDr%jW$zA>~~bWj~Hr#nm| zd}sVJ|DWcOLWH$gmeH2s2YD4iefMH}0e87yZd@d_I#fSHS{I2QT@ePg&r!)akjkql z)l+s)M%>#A;Nnr^(PHw%Bg<*N_m_+FAj@ISh7XSJ?oKJg@C!EO922^rIUNI`fm3?R znl>zNfP)Ko_YBnNRqjxWBsfR=D3DKM0$(0Pm7OgUcs4+Z_=w_*PnI z&Cu3q1gFxv)*hludng-MW1oqV@6lvr=QAsxsAYA+7`(g;`?yOEf)}$se_}$(UV+z$H;zBAWk77YEyuFcKDk@;>$~DU?toinb*tsow8h-ByOT>mMu?JXiS`lo_Uclw7VK%fvX2 zNIyZ3Szj@&k$vj#?ZIs8IWr`qEnj)I9qx4E4*w8O4*HP);mGFP!Oqu{>v-XSGl?P9 zr^epn*?`NgmL1s43NZQ_P2Qt0{gavvp%=wc?^81@>QQmYpW!b30 zj{DVUkAh5~ogM7wOGK>eYd8sN<)P-@sSNA+e%o+a(o+8U`JZe~{5cA+u85XK<^6_1 zgKAmbV&QkvRM$1GG?|~7OuX&y9*>okZXssIk#Qq`C1fB$M=TMp!|B_)M7pgbru<4Q zjkABd*;vkH%$(0zwOV;%)9G{%%5hx>9=?UG_dyl!OEPzsHATGZZ(CaR?@MC+)H64K zAy_31D87H^2x1Yc{rb7f8*8$6esz`_wz+QQi}fQ#;A(NI^H!%rM~kHB7_aMsWO7}+ z%-~%WqZ(bhx{?+|BjYKHENY)Xui9N--);XW`uJ+h&@`vVSRv!}33MCN`?=pp?{eJ4 z=%gw}M`H8y;!|@CyDPba9%-u%7t>8019K6&P2at{6tlsa?4%VL)GO6fPxMCPTB`d9dFN}z-HNJb9a*0km7~abCqG}hfY0+99JM&A?mV7%-)W`7?aLo7 z6>YzVCU;3})a*)haV|1=ChQD_C}m(^*+3zzfI5Z)G6glyN3B$cLv8iWqIxij$Pe6! z6Q(Q=ywrhSK+*J~Zbqh+=yM~p=$Ql7$+haF&s!L>Gxf99lKSC=;CLAAyn+fY2qWmE zVhRR}1DVLYU*WOwN2(1I?2B-NIFjoSoJ5FBgvmC^0r`#2J}ypV4-aQhk_#9It439~ zb@~l<0#d@5!TOn`qXz!nE~hC2ms?B@6RKEE|N0j{wxPE)!aj@=42IQV&AItnd>mXi zvDpcM43!m??Q&@W!t3-^uKTuzjtT8j%v3X2Yu{|6+00$6G4mMmSN^`*R4KU#tt0XOW~v!Ss=TU-QCZWu`(U*)d58gFlE{R<_=LUfzC z^EJ@Xr~Jx|-&eF+fRf+88aFD(UKmvN3PLOEab34|m*?7fjiXdD&p`-8wNkQh*J`vqKegH%b!(XeCLAtl}_B@&<~mu9J@wlw<~pdh%Sy z@|n-|Ui-5f=ERrJ&q7w-_f=v}`#XW;dR0uYEJ%F3Wg<#d5cI)y6kvW$65IF#_h~9Y7oB*Bo5f;T?e*H>m?8^chnFwYm zLMmq$JXW}Bc7%wwvoGJ0?aXd&ycm1>#_h{{|HjhDpvehmFD&}DHg=|#Wyaoo^%{SG z&lXe1t3j3b7s7XDXmHiyY|y7mhD+L!9*g8pL{(1X!Oe{ z{Y2FR=T({m#|m6!m5Lj{!69C^E3>L4%Ab^wyQXrF+BfP?G{8~lKfBp~Xk891HB)tV z)@BJp`Q>+#1Fws+1(>z%a%1rZx4vmW@DBx1FWHQ-A_e;16g#kh*`V+ji= z33!9()BP{F8+@zFnIWN-mIIu{*!62r2M{_OYAXr78!PHjL}oZ63ydg$OWv#oXkgK- z&zTxi=#brc12Ve9EB2H5uF?ULV^7=pj9>FynUJ@qt0%<6M*Vv_oz6-hP?M-7*|tQ> z(NnJlKLk_^|MWS{Sr9a@?ez}cT>o?~PFUVnik5F=P!)B#Zn%e_@dRjiLkPhAJRe4` zC4TTwzFh|=MSBCUQYh7TQMrgxrr z+ks8M81&;2J_z?L7QFtk9&Pq^JXX7OhJ}8AD*t19yJcBU{$j{DP1G-Wuq6j^+IpLC zFUyzX5*r1Y4BywOaAfJLzOveM8*8n_z}pJquO!D47BV?ovst$JeYfY{f{se=>roU6 zzX$k+!%Fw@#OoeX8WZM&-okTP-$ z9%#1C#T9SSNflhAoaKmbk+?d_boyw!i^luv-*-W>;g>dJ%)OPrXXg@j0wBGpb3vuH z1e-l_4UbmgqOPwg?*bDMeoh3me1j$IL82mUh@H&WC^kXjjo$KH{;u2Vd9glBAFXD0 zIMx)8(& z1~7o}|NE!>a8*fv`OA^S&svbRc$na)HN{mPh6`+q6&y7}h;_O=Q$crNx#qjW;I<{? z()iZ!A6=Y8&i z>2*tSU+)wjDbbPCb=C?nBoZIlc*`In#bL0~uA{Fsv48Qis8v=|H=?)5tkHz)!l!s) z9s}aw2`HE2A&W43ifit?9NRMa{jD{ErbgyRNtVJl0>_f38{GU}y30bM8TnEPW8~z0 z$0_~MErUZdw%~skenND1}XiE;o;XSH%qJ~8@1h3ij&j~;(YYuw`4OTaT zlW#c}ewS87RaN0@>XoK{nEkH*9fI$2YiKR<;>h8bfhNu|N2ZVR4J-FO3DjRlue6$i z{bA_oq+Oa~vbYgG}iST-rmGOKF$*h(a+4$YIDgZ@KZ=p8KTHS*B;`zHe z9834^l@vT9J%xR3^*!?CxQsI>9G48sGn9H!-2Q>j^DBMlI=B0xov-OnvkgDVPL zooRbTKVG62BGAx5%-CU!vcZc+(~95`+D1?(q4K9MC%KV#5gzy@9E~wUGcUwDP8j9Z_ zeN!3oDi&MqaK38F^GC7FBGb;}5}$z$Zusi1vS~B2StFWuviF~;pEjx+!jrA9hAkhC z1OCkV6L8+alz|s0s+&7^&q{Sh5B^63^S7!1@wRDP-rC;XL6XPUz0a(ky&7}RgvjO* zZKyBLiVHt_QcF-hz78@oJG?{==wG8i+Ov{kTYwUl4y~padweY@#g2**0@>_9Qre9psassIjY96rw#81&5!{` zvI;U68p(88CY*Cbz?8^9&^)gfTw<&Xb(a&EcgAwh zSUBCm0Gkcd>&eumb!qNQT_8;Mv(mlluLq4?*thk4{K$!(Z>Qf+wbVTxQkMs&d3{** z^C(?MMHW@^5crt?s*8a(WyjS^)d-fVup*tj2v{?CHmkZfWehAbqgOcHJ!~gz+~j?I zBD4$-?i7*ooj4aSaX2-9D)bCjSZV{Cz0dN!M7T|tf4X98jY`1*HCE?rfN9-t5;P8C z5@!&U!XGXLrgup#v6!{Y4hJ%1R$QH*CT%5msJmNKR$Jmi2d=NYIXqj{xNf=J`BmRu z3Jes|318I+xVb#anlf}n2^xog&wDVIdc^e7_ATl6|0r6;DZ>G*;7DdGKo(f3#ZBU( zv}K6Z&oyi3%?yZn&#STwR}We!NdB#eX+~G>im%s)U>6$~V*MHC_ji-%<&t5#zIU`w z%zCIR*0Xcaj@&Jnv0wI8%5f%>^Z;xLdP{u$RA7})eum$ePFRGUwd%b z5|O=ou0eD_JszJf(Z}^YnWS+I_dY$RQ{Bo-XG2RC69rs8YMlLyzrT6amb1M)NSu^? z_-UZO+*a(zWpvK2Z}@w&>rjI2?7+4A)|v;b{`brGUab>T-Fx0_e>X3peZL8OPB+7x z2(dgAIUbbE?{`))YovC9!$2GC9ZRf#NS zuq@M!h&uS4LM7g_ZDG&&U#Dj{?rXhmvgnbC4kketMKB=9Pqbpn$9{jn^hToJws1#Q zaqq}l=yt-{ZwxV|^0g^P=i{^GO@af>Go7fa+0N3!xl^7Q$JHIvRbK-lnl$zdG0@SG z!Y}w_Bavt38orEu!=;##rT(;O&A;>F&C4rJd4(sU7yLUQAQhP(za&r(%sdFB7%&Q7 z!$Q{8s6MaK2v65{owzS&++B{5M=B7%-P~?=(cdcGXB_JWfMdpCNJU%LX-_uPlnJOk z0+UNLWf4F-)n3|NGCsL<_3SDY1d6EB*x&bOorEePFREHT!ffZKxZylp_dQAlhycBt z7ZZP{NyCF{I<+raJ>8L@2DO>8ombUt6@?SX9k~00yn<{M z^N})q-z>G{wdalWd6&o_mGz~ySZJrigc|X`j(!1!3EySW<(t9zr<~vZDhqwzKkeZ1 zm|QQaRI`+(lK3;EKY>SXFt*nm7B#Pevb>LkX`X3 zd|_txaO3=SD(7%ma+3RKwk+e7?dsqLLc$ut9d5kAvI@Wcv>GBo~hWvo7R51PF87qj^rpn2HH-LEi-heudEEjnc^ z`7E?{u>+F^1gOk=0d5~EQxv=oRY81HE2JCSFW^=f1&V7EW)k&?JAYKC{yX-@o-kV5 z5a)i^>AAjF7nRBS1?nyO+tE);}aSFv- zB1l(X;_Qsv+#qM3FVWo%Y%%Uu_faOubMRTyUAbo4_p&31fL?J<7v{ixj*BA(4hnxV zEWq8CzjtP=Zd?iJ#Tq32@JUwh9m7S-Ex^ zR508U-@d&FaTb3LLA}Hm-{g8@ix0nNzFvF*skaX`a$*;6bC(7*=kO`cZPe)EXEnKM zH8oDcwa*Q`_rLkKoUP$qSFJ+Aoyu4Z2qbA0<|GU4#d&wBfyLp@_aSPD#Q>6v2ld60 z13MY5j$(YlUTB;wdj>Ow3NF>TnbnNKfS#x^v{oi zjk;wuYO&5dAJ_8bWI)Q-)|cnkhW^lL6_Xv`uMkEgo?N>rc0N2?Sq)ROYa4V9e0Dp3 zv-<0=|6oTyQ1_;DM#YZ4DZj`e7-JpPJGwY9{w!l>Wb)GA26nd`%g*9WBtbFya^10?5y1}}4i zR@t(8!Z&Z9qDnzJHqiPWO@{{1ZeFp*XSkW{ZOCv^J&1O?t@w`ao6Fpk8kg(+6aHav zrVAl_<^Cz76f~zaheMBk7ZQE?fU$B#FD|+(;#O#K`k;6ynj=(e2kKl3i#`7Xh&qS? z)EoqOCsCd&&S|7g52`yZ@t%(Zoz?12EmqANKx@Cn(gH^{&_94vj&pDVbhIePd@(+2m>-bi;Dcji0S1jmIBU?;4DsEH)Ifjz z;?x93(E8@BSM^Dpf?)DmkZ|?lR7jHX$tSKPnBWA~*(WRJjhH9AMQbzB#&eC^fwZR{%e`7RjB7j( zCU@)#7cC$GaTvtSRq}PaeM-$q9?0GGI+NA|`{wDfoi0e?S$?$*Aem-dH)3-4#5F;6 zC|^))DCkCetKVe*)Kdvu;^lDr^(?{=d&%L}?8Z>sD|QFFq-{8efnJ4->RhaE@_s1( z!3nSi3;pq4X$yPI+NDU{AwmMN>E6ho%O&O;5w{S<2$`{mI>PYu-TohE?){}1o8s~#0^Gd9h=e&*dxd(sk^d4#z z6uY~IxUZChAnX!tVX%T*C~b^B5G0zI_b${pVC`j-E8Nea3e@T8QFi;}{Lpls8`2nb zJfLVbIJH>~+RQJ83tPF)fw08QQxHbCA;Jk{0QZEQ4x}2bCe_>Tnol*XSR!8}{^j^0 z?>WxFsa&GCxrOIi$>tPEoA~nmlQG16l_Vs57}k|c$*gxB*mC)hAJ_?Ut`fc9Lo^l+ zI=6vDb9ZickQyV7hnT;3@XH5Cft)H7azB(sE~B~o8$p{HEy+^JJ=e7VsIJ(26|6`hGBH=6uZXwf zUR@4OnfZ*_P*f=yR^gStzj77zHws4@I>2|UCfxui$wPqIOm;$1un1BLZ=&&lBo&HC z+zJo5dr1k6&h=3td*&>UX{6?)`xz6&)dUso(uAc##m~%Fd`kc;L17taC;{?qFq3|S z>B2^}{SLaF3Bf}>$Y{sB&b>7DmA}pb{N08kSFJJ-EjSC(9~PT^TkyU1v`Bj%a)p^k z|0t$#E6RWspLVn-o)ydjFS%~mh5WsyDtcP>DAj_9&ujpL2S2WZcRA~>FSd`qd9M%z zv9rx5wz_b6<<#f&hS~kn%~t&*(k0(0PQkgSI-OZ5mUQx(=W1@ceDJlK)2}V=z`6PmsSn7x z=A*%Lp4g*MRxa0 z)PUd>3IC#dl|7KV4eba$`ZyV7Yr@%W2+IDa1JG_p5tUPguS=M3doUYl0 zki>|XQG>9^FId`Q{DU~jsf4h5k-s8deu=GcX_xQMx}(rIH>q$k)-vmqh&!Qx0vRz^ zvk7BhQs_*|8?wcZj5Yd@?Jhn(WKHxYxHao&lA?Pf;(ACKueJjy*~udgF|G?{?YN{L znEUgy@y|^|fWBckH(ty5t*=Kzj*6UytrA78x5AX8x+76l(JXZARexe8xT1aWPwino zqEn_b%9SnfJS@0mjDI{i32mS^^gM-P4Z(YBTq(E~1}prNO0!r=6f_^G0Vq<7(gi-s ziChiZn@wFK;v-|j#Z*tda30C?}+xa!*t-Cly+~kdc60PV!kQgZClT^xmnKQ8N zH1yX-PRmGHvMjKmIFI*5yD*UM*r%R4v`8OVhFc%{Tl~taBZ>Ce`ewY-(`?GKa$^6{ z;|ab3P_BF0%Db1w4g7U}ZA~wX2A6-5#Y@repe?dQ^!ZlV$JnMfRp{l?@?4pao|H9~ ze=K_W;T^Hg=4ILgbuEdYImMAB5|wytFcJ) zlse`g+G7t7+z=fPl`J&pdS8E2rz{>{qI!-CePSAhHztS2 z&J!$@(-;obl)CM9#3-146>;kaK8@@`{kM9?U*EFns+&4q)*bE+jS;j_QQ~&FN(eh1 zHg!!wjPMX48E1_F7{*9Y$g#l~m)u_i;db6AAc5w@&!Uk5oVUuF;q=(+Hb+HrzAcY^3xvv={&+}Mmc+jcGI(0bcXI%QDtz^Ef2>}#Q`RRBqb~8!v2vvK z8^eaCu3bOeBV8$EkLRx{UcYDm{A3oy4sjNy(RNb~D*<(wK7@T;3vS%R+92^BP04+= zH%Sw8T%=E*dj|asD|QL~`eyl3bo1hfe|UTJo(gN<)Cn$4mC({q-BooP>vn2>qNpaU z4+~nwO?gB2_j4i0SE72&@0p%ba&Ky56?~d;sC%~Ja=62g)f z+8D^vG^G(9<5sij<@MkCmExi3x`E|QW=>shc)^$gJ}@dR;TiYL*2LQWg`2P592Px> zJraASgug$;>8N_tkm1(f_m5o%z*pu3b(zpEMp07vGRTurV^P9qh2lw>2an7gWHcU5-?ADi!# z8oYS+%q>wE!})v%i9B%-(sWt-cf)luAC-S1;=ppAx{|;YVm6Gvxz6qyOo=XGmupWk zu!sloE=tLHP2c@cCr)vVb3{vTRaf$5vm=LlVWQ84m%(MGWq|L`q%q4Uf=Z@C2N1Sn`8O0dAi>9kvQEFJ4&zha1^YPh_ zzxnLCO|_0EZmkBh4+vlzqj#!Kf$wl^O<^_Bfx@S=vWfu*3Xc0gC}qiRI9;1}%vW>! zOM<36$ZIx`4>n)BO@_!{`QMYm zN^FyR6s%Fh`?^O!wXian#U-YO4LlQgsT6oAC^Rc^Z4auU@9!`}R5p8cOJv2oI_!>u z^3Ab~!QHVkl%P}uzD)Rw=*$c^!_JlS$~;z#=%!B_u)@cFz}T%@HRklIg(RU+zvs1E zjkI{5F@8y8V19z*1@=lR;J`1Kvu}l)%uqOi_?Eouqa2W(ZO6=-@w6~f1&BM^*u@Kk zqg}6)h$Jc^=q7Q2(`DHwTsYmk8B!dawV68eYh>y=hjr?a-ZrhQ1V@PDQpB$%Vb8zf zn!(oXW)mMijD<~rK{e4no%g;?^Vh3YO>ras%(N^6;(z-VnOa%JUVRoA_jCtXB#kc+ zu=8^_;PW^o%m#MGINSk<2@LJzz@=binc{@{F@JYN)RlZ9%wltUrR!#MeMuKcbi?FW zY6)iufMq>M!b73dk7`l1rglh-6BnY@E_ zTsgv}gA{iJ9QS6ZGwru83P0*0Duzp9P*uIc++;oC1%AenUhq;z)?~Fn5-wJSU3zs~CXv{IHOF$6FagmE z{Iq1F;o4)w4t#unPf3;{>Mq(&{QWej(oel`Bbn$$0zSREfeRf}UHS__Ey?3dNtwl} zRkGrz@FE7CtbL(i9PsxCsdTjLQ`*jm;X^dS5oh|1F2=us|B;Si*YxRR(VEqoAc01n zxI&EBfn}#$RDS$w1D0Dbdt%RG)CcSaUR~947&s%VX3?ua3KZ9-ClPT6K<)GS?GOt= zOifi+2F*Jp=;|6iYJ~Wm8Bn~R1lF2ZXc)Uouf|-_T)KOvU-Km-W-5Cq=ia?sdK^2M zkJcIj#bHhg0MZQRWb3@{YaX72R!ESY{E8~`SPsLgax^e z_h_b%AKVC`RgSnyF0OW)38#YPH|Gn*jfBd^ZX@L-l^b&XiK8}2N$6Zg_-C_8p z_!l;MS7=uQn)a!$M>|W%L|@KaWhcf+Q4R5dWysok~{|Cm0<4(0#)Jf&{KavQ=b+F;panZu36 zx5O%2ZM+k4tC9&|&{xQ$-773T>BJ%<1=h1O_9p&w#{_2>RE{3)&WORI0w zrjJ%q5b=5$r=B}|NTfvMDRM}9w=DC+-2bjS zIFxL#_C{T$FAOrnfXY7V+2Ovj<_&r*mDk}Auc~r*o|~$Im2XtnFT_6KbSQxBIk2j;)zDdq+($kaQ zjOEVwZUbLJ*veI|*-v~BSWvJZBJOKXX*#Y7qEZ=5z3S7Y>tTM&DRsi`p;%b-);k43 zrB_8HykcrF@+kbD3Sy%b)CHdNwcXt=dvT;c7rX}96JSQYU*m_kW-{NsH1G62CWSAv zCvK#obAFKjGpk}vaITl(*)9`0>bQ=atj`vi6j4}}PIR%A+1oD)`hg9+#|KW6`YZooX*FvBGf$iL zMA0(tXA72M-5HI9@sD)Y;Pv4El!N`tFT}Vm&m0!D7oBe(p;3D~QeHiTdCHDuNZ2xC zKLi%_Y}E68e|u5;EYhvoebgfXQy$|lt}ee|ZKRH*-1(N5Lolvu7OX$b)m)cma+!}% z@YiyICkx_ux#skOU0G30lFi+qr1Fk?6B#7B z?niK*b(ESm_?u0UF|rw2LroPE9vV$OlyL%IGP>F@ir3dDs+9?7sCR$;p%qkMbjGQV zlq}=*zU5MzEgc+gQBee>rj8pU9Yg=t=hWzkC$>xAhgMt5@?5nVVr+R2EakRI{toAI znO|X`)y-t8B`oET^zxOd(z%S0Erbh7=Hyu7pWDf)uMh;Iu_`aGV5X)apLQ~$TGctv zvT9ZS@0^ZWfSFJ|d(0v_W75K9OxXU2Jqjw|)T&fB#i)69xzIJ-Q>r0rN$YR*_76qQM&U`Zm ziv|7Ip|bVe)XF&XF9JVAS8+g@?&9DrC8$G_G(bmR9-pK(;=rFpKR~2h;aO=WCTQFe z^^SE^82`i*Y(@7*q2C6tzId;_aI8&Z^HPFTceLHFrdsQX1MAJ2(>_;%98sAkRTtCk z-wsQL({#}ten8i7ew@=oRn*VV!jQBc0A6;IsWJpumNizFY?$Icz&o%zYQHmDhI^mS zSj#bTLS;S?HmS=XmPD%|Ti)M^*0r4=i7+Y$)!ct2dd+m7;Kghm4K?Yk2C)^)rzcwH zYq&C$25gnZb0hCJ5No74^ji{`K&k4SpZbsx_Xc^myLzqV|C-SZ=K_qg-+9(C*{B4x z3L&+~U6U*R1E>c{{@)Wd@w!5O&5Z&SOjGVkoI0B?rY9t1k*@q}=_J>h)2OxS5W32N zP)XD2>BIsLMsLbDoEextI^7g>O-`_~+iaGJRcf5rhowR82MEc68NMCoBK`{tI7Ga} zV(a2127bbF2OT$3_X-2@`!kWrO8CYS4}{|1$#gb*i#QLox@6#g8}MU=(yCy<6cO-M9`H}ybCh`I-#-nx7?sSy^>NE55)6~Rtz&FmX6*{9(~&A> zfUU2`@lxwo4S=dpGxtd_@?r7Kp~#3>iu_G1_>HrF%tgt1#D_gV9Xx%HUF%xaa=o@u1}=n1i{ zq@I9vd?Dl^#WW>?FKE=C^ zQIKB?gN&$6GC;;`%PVgBoC5X<5(p`n{Pg8Akq1D$5!=t2dCg3-_>!b?j0n%Gn#rj3}F zpwj0olP8S+@$qOyaI`x!f zeYgbHE9;@v7gLsYeAK?iRhE-j;i}8&jb{stIx&Zp{(oceOUdo_69Y#pc_&%mb7{{W z2?+yQi`RLdjPtU~`24^1^!upJ1KIsUU_g0v#zEa#Yl~=!6tv&#Vk{{cLG&-7h^$l} zIxTF*Ze`GtR{rSTCUW_SXMf-4J2Jb4?OyH0O(U`JXvBfVX!~q>nVh7cN?7?XC7PV<47e3GXJr8p#)@96 z^+-xwcD%M~Bv8nVaiDA=);mJ)Owc^8TFL$lN?4jEC$rrys#Ew?D^Jiy=Jh8pf*Q_1 zKKVGZ$csj!r202`5&r*t5G8HA?>~QZkSeIEteYC#c#r$yQLfi|(WyX&Zmf6r_Dj3{ zN!P~)TV8lf%{hTTdz7awKnuOkMruXOMITDCHpK=~-DoabZ%WtEZwf@+jQkCPifq)N zuyuZ7Y&AgG*s)2fl$w3!gdGA9v9CNWVq4A$+k_L|JfG-Agg=oil|kic@e&a-3(o&l zqAclF(ZB-aOqaDH5CLNEqHY{WNZOR06o$NdT1)#CewWlC?~eK^KYQ6p9$i=>yOc}? zjO~CY^BY=tF@F`f-PIrsdX4BoaL*wp?J#DFWBal^CcQcBjHc+OS+q--+T1?SAha4*^WT+uP71Nv6q(se(f_kCnY^ltcD=|+714siP0 z$651E<~Bgq?U3Bjw~BK=jjXqujY3{MHM)S8SX>gkz4keUAI9F9o7s4B^1Ks~!d48# z<7`7#C#)9YN9Wc#Ln?e77Fk^KWMqa;Unk(j!ib3szLSova4Fo-_38Cb^D+b#*5(m> z-;1tN5aD!YoDR44CIemObnEVmZ?iTF8dI0Dhv<4w)O>5vGqEzkFSQh}pi&ggkuf?^ z9-81&bxz5}l>$!Af+?wZ3}=mK%D5Rimix6yhc}k@#HOIw+TQWnq|`poUb^9zgN0`% znxGGhCkNNdz#$BBEY3d>&oUH8pad!Z;tNzTd}>p6(kcXsb$XmtfoWvjL+Mp=2f58Y zpk5YuT35^Yth zPA4i^eVkb5foARlyY|8#{V2Xi%RQclW1XyKon&}$B=N2NlkX;fWX-&C4;yb2xZM?X zuXXD}GYXMC56%9pXzGGbrdERP@_!<$`^fIAo>)uYAbadyvp`d0clkZmK;3sNp6QOK z3K^NkGEP;ZqjIYH)~L~}4oNe<6I>hoXz@u)DaRS2`=26;(i3s*U3b{&L3A=<+m7RG z`_*@9sjk-<<&=Q%P~l-oz^W|ryy+x&@8;JX1Dop4{%K8Qhdiv}uM)gBSHR4FlnNJw zSZb3|7ZGw{49i^HK8E}08Tl{E@&8UL4n?Fd06&GCg-uldI~?~N<Px8*vp-smlW3*KrOWZ?(o{oeHA}w zO@y|D9_z0^3Psx<`swPnz*&?PH<%HeU4NLMTk@f+pWT>8flk5x0D72o3Q(D~{#O`5 zNZw0b@c;f+A2Tf~TvI;_-zjhUz-eJ?i!UIS)5kWJTg3k!s$ERU6j-p&eJwa+?h=|A z9C?cr1KJ)1(81TR{j)UsFViAkV3tI8$UJGG?(WF1HBV7W1EMExJ?;wggU}k>XR#BE z^9VfvU2@0w!NTn*#^0QR-f`j#%la%tWng#lV$=;cPnrz3q&G2WavX&{CM>A^gx#v> zzaM%CZX#1aMxZ?J)pUVkA{`nlv!D_|vQMqJ;#?{Q_ z56xrRoALc8h;gbmX6uz^$l`iFy!dqsceFx!^~any%=_(rSoBIZ7YY^3JB?9USKRU+qI4SysBm*J+WITudmQ(Z`hkGulwviWR&yi~8!9{KR z<|nj9tb+7-w}XacH$`UFdVZs|QsyY!OZEWI-914ukDuH_M(eIbJHxoOk87x8Y)qr7 zE}m5Ni?3m@-MrsI^r)zxT)I1s^2Kvp6d9NeA@q{hoWs%9r63eV36vIJ&Fd|7pg_tt zHB=;S;ZQXj=>_9{pMLADy%+$eIyI?86(t_UuY7jqUeJYJoA# z1~MYe8REe?)|z^-^HkY@h`csWV!2cFY4>UMdBv0|t1+(bZsAXZc;XCH#UqCAr?Vk^ zHs%hD7?(wEMwPA;U(0cHX6J-Xf1h1;soWT03{T$pHl?H8T@*%b)Q!$!w883}axjLi znNe!Pn4dA~P)uRWCok~v!z)MYwve=<#G5NY-l$cLL3CcL`e5kHRVvc`q&U6|R9c1Y z)^zMf8`gQj)yc7FIGXI7yn^}`*=IAX$dyhljElp8W+$IInnTOGx9ps_V{MnihQhxp z)Rq&5w1Qf*e&)*Y@(R74UTb*0f=u=LrV3o$O=>}vUnI@&LZ?;&eNiq>dmj>ubmx!< zbp6*GTyjHa<&^e_t!F^Ddj{ zb;Wp`YyidG_(SDpq$c+OO-8PBgMWhx5sLZfaFPEE|I}5G%&8_B^lGP&`dj=>l|Oa| z$b#Z8$Jb~oB60Z&oXq^d@HpDkmcmRGN(G%&Bq05cLVbj!LfMo%a%u|Un7k#xX}=&T z^wmYdq2CKKD<0nihXiIIA*yeCh5d8o<12gfgwHqc9o=`DV;LOxN-?MKpZe{}uP0p; zrKF_9V(7O2KA1l~Xmya3TYsdha84{URpE-iH2$-6 zJ(>4ILEnFe?6J4M+xPdWoRM4&e91mYP-yn?_3eaHPqrgj6P+O^WG_~d`CTZ{25pQo z@yuDB6r!4Q!SBkgLEW+ohPDB>O4S?d#E^OwId4Sb3C_W%TC{9C!`o%a69L<_NUH6F zOSe-UURd9BC2At|@0w(JR}{qP{o!u|I0KfuKPX!&QKU8vp2hV1od0rb?NDP_5bMv4 zoo11{_a&K}>6Nu<%RXcx=i72pZS39yh3eWH80zwrtkc@;Q26g4pRMb+O8$MAt=_89 z7PQ40>l(far92?1vrfLdP3f}t{?&UXC0*!WwprRGta1HnEY^=*Ym5A8mDWxHIHkBm#x=z|7(f`>?0 znV53MTL6l6@HM;3#+R7I kR?u)u2h#!M{?%~7azsiK?)61`V^tk>==&V#f3L>>0|l78>Hq)$ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.cmp.ecobp b/bsp2/Designflow/ppr/sim/db/vga.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.cmp.hdb b/bsp2/Designflow/ppr/sim/db/vga.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..fe86b6518491e0b4943dd7f88d3fd309266b116e GIT binary patch literal 19236 zcmagF1yCGM`1gss6D)Xem*7qa5FiA1cX!uCg1fs15AN>n?!Gt#SR9t+_}%~BtM{tz z>T0{c-TkSao~@Q=W?C?zprEQyp`i>vejFchbu(udD+hZDepYshZ*Eq$rW71sIVspV z**Lk`IN90xDO6-Bq}*(6DgLjFz5OsBS3yCQOaGq%6ei;Tgw%5X6M8~l_#qqWNiMz1 zt>MM{IR!#}@yYrl_@$dme&a`GG8c>Cp--c{HjI__LSwyURAK9WP-I8=#&PwN2fXAb zg73iF0d(v@1$c36ENm>f+xNGBn{6KE|Ck@UXsvMnH9qDD9)qVdGQL_IP3wIX5)$gf z+xS(iY;|yU=o2UuW7|Z$C>v&6lp$`bV+i|4ceWo>lEn7ii5)rTnmk&@el=#;N(h(- zbG~M1bf=+SO-lG#cSB*h!B~C9aA$a1+&MhXjorkS$MuYT-Hp`Qo#|44oyeP07+P?$ zh`m02OU2Y|Esr51Wt))GB*|(wKg_y`UH((VS52zx%f{Wt{P5Ow z$Df17*y*nV;Xdk|O9e#lk-`lFSF&`2ev{rhn%)FBT&daZBZ?G$)0gpGrx(hndAq`q z^1#TQh%@Mi+wXQdbJb@;>`KOgm%9&f*qar%f5=(Rc{7u_;~%E{GU(KBZ>)1)H~J?k z9a5Em(#_;Q0ptD*PcMW82Bw7$wvYtpr1oo*KENPhOZ`t`(lLG~=~^?JqOl}DGth`h zu3f@?>TA6pBaONAxWZz4`?}c+pzwg!`<2!k(7tYQ@cObIO6TBnJt8OEk_L!$CZc?~ zQFR=2*vq>)L~ObOcH3+xILqAb{+#>)w6pQhqEl{&?i5mS-~u(bH<0X2Cz*_E1NHeHs4;WM$4DRmy*$glVZvj1s@I+DK&%@pH4DFLJ83+$$zT; zEZYj{OgFe+Q=Y-QQ5p;*!}hlC96{8$a+!7VmSMFsO}99vpstjf&@hl->r3G8 zbMwEdzDRL7wlYZNdZdVaQDDMvxOFqFQ9ZYu7w6g9t`@x{HpaqOippWVF~=iaXbq7^ z)@O+4L8SIxvJchA@UQXG-w6JH^es24UEgwrF+M?LgcS!znuidDwVoU|eEGKD(&!L| zF%fns)J1uxBB^2?MHtWCC&`K=-wEE>%sF4LEJvhs`MEG@Rc8`vu^Efv2~5UBBH=uA z4hAeb;_?3XdM`Q+3=XwhftG3*?05g4hVX?|zQzBrt;;6$isUWFSfA9B-GAJjnSO%4xgSvy?GuOM zpcpo+BwDl|S~U2juvYW`QIa6$1=ta^6yT)sSlIvn+Bg31&Z0(^-s84YRh;7yjFF3@ z+3kPdOTE$o`_vA#u(OYd_`Ujx<$v#0wC#w${xYRpi@r?G8brDEe!u#sJU4>d9p%F7 zSU3o?!7*=_z$2YhU-ZY%#b8Pftvss6cz8l&qn|7F9*-v0E~98kWXZ$1XZ>L*sHRD{ zKDOyhqe#O>tLaQlrNsir-RC9AtYcpD5&FE3lZG@3BwfgCRirI|f4Q;DImr{_{yqva z<)0)dzwV9Q57fB0BP64Ub|67xHdxTnSVwj{Xm;Y(=VDILZ*so|R}Bng<*{g{q}U*a zBCI^fVY5;vKf8aKP08)+j_C@nFr~H|@?e$4G?XpgimJQe940w?SFuMCa9$mai`O{=33oU z_N$X-d5Zsh_aF{DlZwWm=>6#v)E6868a`Z`VnS#`om1wag*F?`{L8gs=+j^HiRL8z zb-wbzqpJatz48v$Xy@YjVh+2=axwI)X17w>Ivwk9OOtFl7Nn5hcCO$1cJv|GxoHql zvGbM2$3MQEiu^xJ{icM%z9uDil$9U%kwUyrKURBX~ zjj~lFJw*v8FXtO5)d3w-6u;#d>F1VP@yPzeA8E}lKX}o97$fZr_cKW^USe3H+i%RV zU`|#Q(db8aqGMj%Zt^0V16ig8GBbseHdhDV+o2RlBlqcc>{9j3Jljm;O+S4`_<^ys z<;veJxwO%n^sA@(jxu*n1)0l;Z-?IM^AXF{mrG=)GuEdM6<6PxR_;1%^MDiJ*k6)< z3OUN&Kv|Kx!0!U(ciT@^L9LM2WLB>lth8w`!4<(MN!=IZKa)$-1o+Kc(?6CUl)lVX0XJ(5=f{hTkw~G=6z}@%)weJ(-2Wvq%p`l~Me+%ZN4 zk!1=xte?bVP)>z@a_`s%Z|Df-Pp#`T+RCnDSRbV3f~HE1$H#r5N_1F>uHck=zsj~6 zJe3x5$6}m36Jz2QyCR+!E0=PvHdZ_L@;jJoUNkPX=ohp*efH!kte9k37B?rg@p2zI znwOZEuV`bTEH>G0JSiCuasH)}3U!hlPd63QKUF1{B!!*Jr_1!*ye}&jtTl49JFHbM zD`J`|c|6tf!t|X(1}`$X7c}ePf7kp3&_8p%B>)%h5EB&^$fZT(0fZkw=|7+({H2d~ zf%z73KJY=zIv%$H3GVrtqW1?zj#N37;*0J6tW|}$g*Cdsg7s{Me(-ekXIJy({I8V= zIcp-MA`7+|il39jYTYX2#)y$wX&mTVlRN8nl|cx>9{~LW@O^-r|G;m#^3-t43YssO z))C<)+FuI)OM;}}(rPR3>!>uEj1}2iz9F6@kwXUyh_&<{KOfA6rR2me%9Cb)S(3D0 zXpF3;A$Sg;YHKX{tlfd+2|k~d&#(hZ!T?>q zWU$emB1k$!VvPI~1`Xin2cY~94C)gmpEB!swTYOV73ByE5r+Onl2Cu895)?IdI>$* zIU63Ab+~V((8&DKbccT`9ea?^S=v=~(70SJ>q=@oAXen%2g7|ruDxN&OzS#xo%qdO ztqaBW97V}f!t9M{#kSSZ%go%&JGNQ7(buktfUBw`sM97`qKYL`z0byf8)5=B-+=2n z)8Xb;{3Jo6lkAb9Dp^K2v2XotNO4Kx@W-OJy~Zt3%1|kr)j#VLY{9Pjx_QA`Ur7Ml z{vvyR+Eis2F@mpWS1;qb?O(Zj=eL0Y#idHef>}Apbk&vO#~LQ%unTEYg#UlRC(EDV^GcyZ(;*PexSGNZ_YjJ-+AV#b4)AVe>qim z2{%=}GmZojQ&TstVJUP-4>`Y=6@aYTKRL7}xaBBepHGwLaD5D|M0K6_b^n zs76eC{4}ix*y|k!rpg|Uq_Ss~m%4@$oy)29v!fTUspuvl-Oz8t=msgg_FLiO zdi)GcG<@^RsI>!DlqNQZ>)cE~O$zrNlo?~UPB4;XJrd;^D_hJo^Dh+TjHH@|V4)pC zw3g~OJ<=58lve>vD-RBuU*lcHeF?^v3Nd>fFDPuhlKwQ>HvedyQOlj9zv*2HBaJaW zILn6T_{(#ITsbAHW18jq`M9z9x`aqWb8S?uI#i`jb^cg4OxYV=pFU#e2e~Ll@m&xA zPxkaJgfV%3gGi0O*h8U0QPyEuwIF$$xZ)c_7v7=r_;B2O+tJsj3#Nx8Nruff{9?yr z?d1L(8zmHv>i;JIdDsiTjPXXW$vHT#8&j3#rrLK*5UDEY*s45|1oEJ<$hpl)Q7ZxJ z3>cS8MmDY+!z$PWmhgtuv|K+cEfM6LEvvc;!2HLm%1>Me)eT%LbX4X}(Nz5A%B!nR z2KpL9Gc+Ap9U~&1|JAOp_}uqPi3PE%AShV4ET~I(v~)Fk zN!oQ`+GAz7;J_|WVg$*#tCU1wdsxu5Gv{LV#ywA>6yLz-7+acuJ7cimpeq7Pc&u8l zrvm3+mC|y1%bZs0N{v=)%Xz({gRAM@Hq>N)7e{W0PnZ(^znlal0+m4DM#j}j0ipjN zzqwJ_xwoLAwIirHSN;9D#8$s%M|1B9qhK1n@M(6e?MB$9J8r+>$KTE#(*DR-&998Y zZv?cw2%i}VPL90rMC8lqxE@a#U&KRr;ken!6y|Qcv9&s%N#Y>%`uJA>P5P)u(S+oo zS8|{6{kIu)vl|FvYrW^Yim_JbyHX?b_IoGs&3f(VU5&pqM-=8`jlJk*kATSkhu_}m z={z>ls?C)3rV~t_%si!9D=_5|)sQKPb79CJ&5#?5PSuq)|8bS<$c*{^S*Qu9}A*sL1mQZ@1cTB?0@0HYri1u?^JS5F){%cQFsG0E=b!~w( zSJ#QsIAUT>h15L`(}TYT9^b+7nq-n&@V?MS-CkNcEmOZ>|I8oTAYVOH#Lm8Q+~q=( zPv2Uu=sP}~YINB_g1XXh!4<&Xlqu8^tZ(o7~_TA7W)G(>sdwlRm7f{JA6(2j)ZU=LuH#DvjEN_B_EZiDZ zk6856qc_WMbO{aC7SK<&kEZB7H!2GRpCx4y)?GPt*ct$%Ck$Ph`eEDbSo34}om|$H zO0|RyP9`q+7b|n9&4O(*4vrXfKHPU-Dl-yeZ1UQR{8Z`-%2swmPAK>6xa+SYBi!kF zTjMLzSOnTQ@{>w6I}eoH>Yz!xR+DDe8O_Udap;#J z>_`G%*@;LEDDM>&*&>SMZ&0JrQ@Y33XKlRaBDIAnxfcB-ODidD#NhB#PRIKzE}7Ep z)Y&dqX3g{3Yb+nEd}Xse89zy0EJVlFU||rLxGCEK$r1*>m!Yh|_Bj162WI5L3cQ4y zO$-cup7?dH)W^I$JErni=rHNVD!mq;c(%M))5I^gQd2^jEwu(6g^n1N)Xsh6Tj{=0sY z?>+Z0-jm7+T`K;36}h@?sHoSq|L%xStgrr=)|Gc;D04zqf=;3C2xpGnh=nJ=h(Hit zNpGrterM|D02mumTwb{Xgj=LO=FYW(%;hjUG=*rmy64UG*4#z|Y4B>6rb+{Vp$Bn(1|* zaAo)r+&UaL8m+oiWIXCPS7h+&e~5?ShqM^GHa!W*H1DJy03|VT^%9P2moZ9>PpVwn zS5DOcZ6Han4XG-%QBt2Pm5P0AhLOmkEa3REt!7 zkKStC3bfbQ{!?e1gjKQ$R z0JB>+)oxXaiEs=?ZRAdLWsUYJEf^d7hH;%{;q~moj6=WY_W6|@b4i*l1AMIQl^)Xy zyk0h*rIdfj_!OuWDedcQ-oFHi7S8Aj4@z|JT~a8$fAQDa(1ho{<}|>iEeWr=oGz4P zPRS%_ypyiEo;IkT`|v(s>>6z@rMUUQX2Q#!%aS`SkFQ`h<2<7yAq1-zg0u;pHe<+`M#{{iuk_d8hnVc@rTfweaM-`hg8^nh_jtY=ers}zj*Prg~RV^ zMm<2XZ)X4>9zdY;3G6qC#Dz&9n-0M>V*X};kb|@y9oa*=tPEzUt5}@H2aJB$V(F?6 zTip6#i!VNG@t%zuvUIIKm+A`yS#IZRHyS)(=A)c9d!_5g_K$*(KMIcf57>Qx<`1yq z`$LR1c0cM;c4kdP)MVk>BS(H<$AbqQaJx{ z?24935=v+8IYD=A)gKYJ7$LuE8{nyn9+g7g`Dd>e-IdXW(CZt+GRezxH75fy-5G0B#h<47s;nwTs{xnFKiyMR ziS7pWDO}&2EB^!wv_t~z>%AvM`L)!*4Y*gY_k;$Y^ziu*>(8HFcd8FCp*mtkVJ-w9 zSheR*CcPg3<^!O70QTDRMJz=5(@7&#Ya4!f?m=-Cbf;NW2@l@TG9yx!^ z^%dCP3=lYrQOXPD6H}D%Ib+C+yq5K?I8)gpaN5gwf}UVk7D+vk0FlWln6abX_>+li z-av|rPd>XzZo-TN+~bW3qJvgX2Z+8(9&MMV>liW1(yn)I{Mm6kLL^T_E-Ey@=H0P0 z?iqAZL>Ob2$8~TTO{Lih?Omy1%ILvK(U|ERNc)hU|D@wX?%aQMP3}aES6XZnPk#u} ze?st|;Qc4K)0G+~om|U=Y%$!!sM}@p(%su4BB6$)(nkYu{7c}uedZ~0=3m@^zkEyJ zf_>Fn=}46+a{3RD{Q->r1IWkM)Uwe%9Eyym1(fy>+OSetgy~@A%)$C^ZG>X*LaT?U z+8wbyhwh|3NtXf;NaOjF#7Ol)oN`AjKn#iW@SB0XL6(4*uiY8&rl~w>WToU6+5ZKe z{HDa`RJ0P%0e+20t7ptV$!TDC2!kY<8h~+Npu~ilBN9sqM)hQqnY%ytp6K(kl%e+S zZLaWIV)QY?xvY<^OexL{ub7=Sq$shH`CWXh_z)8{EmagsPaC4>VfBodA~zxG`;my~ zFFwqJgs9VIN3XKS$+1=m)g`bnDcG7efd-}2|34$4UPF|EGN%o-d|7`|{q3m!j9>7NhA3V@h&A0YDs7=8eg{{W3s?*zhk!(69|=c4}dqd3&k zOi|8kYsjkO2N?JOm>=NV2O#_}$9LTrT)D!@OsPCGIMqo@qO$I7lU{3uH?>fNSH>s( zFn{e&%KN6DT6g1F7?e5vQYxu?N-XLenq79+8_%=E6Nxc`tfAd2zZHmIrEaCD|9UYk+8`FO$ZO;D<=PlWvTHF1z z&(X=);UJi&UP^Ia(%xZ2YM3|FUDa_rZaEp5HTUOkA=~%&5vZX@ODq~|Q?fO^i+1y;qnZ)(N={9Q- z32R;={fT1XuXEKyHVrtJ`73uenAH&cnG$mw`?>1E9+q{ryB5igNJz7##@r76CF9FR zp`Ii_r_tr%5fyv*Ma2MYD0KCJzBh)*KWZ^<`0jCs^n3eM1h@RrLJs#Ee^108)XdK| z+zH;!6*Gf#SOR_td_`96jeW19AI4DjS2?gL!Lx|Lscec^pSWDGlk9%|2bg2&eEwjT z`HFl*);Ez1o~#b;$Lz2Dv|&^8hG!Z}x*K^D&XFZkx*G)(=jhtCg1D+Hy7MBs)6!Dr z?mfEqO&;YDPr-2q>ibCEmp3WpH0nJEm$5phQNjWGm)}YL6f$SZm&u7F7$vl{w%j*U zvJ1dLo}~@Kya-e4JndJ-U-(KYNm%9HvND|jTs6{NJUD=#x9wbfzj5=p zXi@)WmLw0dohnb@)AYSicU!GDmowZbBzag140DrG15~(0sOg07^D5|42DxKoPS%%a zAkP#xawa$(Jnt_te}3H{uw6xuC@|agw^M5gZkS_i=g8&$o}a~{h)A^$S$Haa zNs2W+8XpM_i;I35Evq=35x+7hwEKorG|WTQPEoz#Uf#!pH_i^2J^Ri9_4{V@#%B;d zg~$w3E(g|xRc0+`Pf{Xd9C1Q;wjNClM5`-hQ@$a{PlH(IZ!;XFKJRg8P|$KM8GRBY z+XkKZLa5zMfYW_Hvp z1W+zjX>t#T3N9A*SqZFz%&7zP`w{1e`+g(4=$Su&6OfeTE%j;VwE16%)ATvZ|c)FvZZzI ziYBcJAZ7)SCZ2ktaD!4NRZ>tq(bGb7GAqJEwxS7^QfA$S{9h&sjgA0OqUdNU1ObQN zaia8FBJ-Mk01&CX0WPtlcv>xLDQf%mQw+wR|AzX@}uGS|BWjL!%>4|qCxf*)7z~c8H>A4zHC%; z{3MCrkIB?H^-_FM6aNTXR4!Mm(@0bR7b;Av7~8(3=vK(`h$r4{zEa3|+kcd<>PM7F zU146i{7mt z5~%xs5PnhNQ~E|9W0)3ydz@#xI(JAx+z*`Lr!Ck~mF)EJamY`vc>NON40*rQzeF|q0Ruz2= zZ?Y5QU4xjzlZjdsy^!E}dA^cswBV7_*@s`N0qc&II?!3?h8NBxayuC7m;%J!of~+J z!61VJK9Y|>AV5JXYdt^sFSi~dT3kW%Wm*~C49+*V%#5O~ypXCW!xNlFH{`Q-NuX#f zcx|+W>Kz@p69!5CjN8Ht{FrEk`IVXU>(Dr5bNOUCfNG zHAj6sNMKfT;~@57dg(1C8n7nkHlW;K@2W^QM6oupL%dg;*`6rF$FKPof%@?4urJ=T zl(RZwrNP@s-i#+r`7HU;{lmxXsXQhr(y#RGXE7e=CyyI-8Ia?BCw^Oyt5nJx+;b&` zFZv0o=72%;OLi>!lpOwqnJwWL0}Iew?4DkzT$WkpA*3(rlkp=_VfT@?ENK zimZF@v?`4ZRwUH5@i&1rFdRbQ^~_{Vf6mZ`qjfzJ2RQpj1lh2BObcJ)lJ)eDo?t?3 z9dFtzw;SLsf~3<%Q}dCic;jSSKb0N(=z4lV@=_`JSUWqPllI|Dcdyd@9q&!JeWPn` z?K~B9^^Buj1}ABF1fyvXyMetLHh{*PrR5%N-=_PWxr;bWKcLw!(9xGwB>c-lx>*|C1hYAIyf3ZY#l08DVFU8Rj9cRIJjdRf&TX&4vc7-v-GfdeuMm!NUt@Uk7magyZ#~+Z z^m5X(BGCWmx~F%mHXQ4%A3uG#iF?~KkXP-j_b`Imt=$uo<{tCt&v0fCDP@f9P;9Bs zxL*(+_THtZ8~px0d%?_RvixBS(ZtJv@Y`44JOQO>ctjsn5< z(9YbtP324$L@%=1XTsjM$Z1Ol1dn&14X#L>JDyqJ>bCDWjo6!NezEOyK{b%TyBUp4 z98IWn4T-sd+4+7O>Z_{tL%K(=`sEXN5w;m3U%Z)Qb@zG>BLoIk#MzKJ-aM0}ZZLne<`;~Xns>c7&Sl@{QtC)g!YogEuoq*U z_Qk{J$?Lpo0I)ITnIA^pzx|v&Ki&L#psSJu`0UQwKel>aXE5AGFA5_@v~xjoIMGaC zCVql4E*ZTUscTJ8sn^Bq36Nt9Q19IKRFsE2d{tLR$F&q zP&j>|^tqmd9@328Rq|_~x=>uy%vj^e;bOHD~g8T(B0D=HpG1jiN$+CsY4xVLWgV_piekh#UJa+MCPg?7Z#1VZJ)8%y)LCrxG z-+^jJyTi@T66XzOMSMd#Ar@nU(?b^s#*;7>&R{jqGKzBJJZHSEpMEVgzA(|*GImLr z+Bry5K&}_b``%U>P=7T8{YFp!`Yf1VDYk>z4s}PI|CX;2;2t1?-j0uV@Z=+SG3Inv z=h=hijcV$w3(^zh|JVK7FP7i;%{*)Va3(2JzHY?Cy;`K-3!JA{izW7cQ%2Y%7~6H5_O!H7Md z@C(do)NIU?71~*i;CaMV(j##1pjYTypWg$XNwgJu^Ge{m_AGjS_W3rPH|0rjewG+- zpgzh+G)s(sMGvDL{|;sLR%{1x9reX%)w>he7QR=fs2 z2lhpHHZ1^x<%)lzApR`Aq$5rj48gh6oZSf|gZ9RxKc?`9J{ME$ejmn+5kVPm8s`;% zMC>x2QMN)CX}@=yO$*dVUPpYfoAt^khr5J-V?806jSFH$OgWVTDu_dp@+ZUqFaoG| zA5WtI2%78X69O@UUtnIIKYj*b;#mj_t(UfPrWXtYmAxb&(1Fj> zveM$ps=K%xD_V6x1`$PHIkyP7R%D27kL;%5S>($IleKyAB6Mb=uq{{^ejnx$a@o%7 zj~9jAznb?6+~x2e+h2Y;8oJl3x=m~3^;l}*KOTL}?@g-IG*Z$y23H@2pl%2pt*}|U zOm_nU@i7acIAiCFFmH?{+FV-xSqO!Ya#0snjwc(rPEtmEYbQ81GEP5Rdu+A(U}plV1yu4jc`%KCYHM5J47tqdrRW+gxRfFN`rPMm&PZfEVkH6Io ze_z0k?m0T?SiBV;{ezD>=b%|JfpO4jH8t{kENly^e1LxRQvT8I&>HXtbwlpMjH4I!wR9SC9v zUK)-1K;Jx`kMv7bV@QZ#A`y59HC2!7G^>)<`~yJq#lD2T1l}_mY`uSB-;;bwGnVU4 zdS*NB^@UFt)6~Tc_2ou;)+Tg@rRanMbSrOU`Rb#5)(#aU&v2czHGObaPa z4ybeM``pE8Yl?+6?Qci=l+{i8FKPC^*U8+*w(N1y8;RaE{q;vPG!*zzcYXuODSxj9 z<#QWU_{si~(4*uEYM{{sbOerj)oEcipMNDwT$cuH*n4rt^h96Hn3WZ-BeaGch6F3J zggz<~M8{jcy3zpA$x_DG3Ik1alAzv23uBVl#c(?rc0g3_Q^kyqv*9+%&)i*4onIuP zFBv1-8!r3X(7F`KPce$%7PvqXo+}jMwl8R29)zG=c3@Y4BdxQ3A@Eq`rUxiu?F(hR zZ8)L-ZuzgwU_Q{LHnrKyuFB<{pk?ZDG8_D=2EF1>Umf)dbG>9l6*nwZBA5l%j7~rM z4rU53lTd-F%J>}m6H~(cH|5uXcrBw*Td`SN1>HOno_^8CkoWE^-_qZdY{(M7;0Fyh z(n4dnVEc!>o!?Mim$~R{ZTt!Ak>a^9nX ztoLq4qlW?4*a5>OV+(Q3sjx>Iw*lMG@jW`*$Z$EceMyrK>Kay8Flg_7fp>w1TI6WN z&x(Z3coct~9<`!8>ju;gDub7vJ)?iT)3;fjH z+qDUr-CcQGG@LhsI)rrtcVPR2L50&pTX-`mzY+UO9{PuDU;J-ocR>XrB0j1K zJA_1f`F^e;s#oRBWBaRYgTSnum39w=RD#c`tmzvfJ{7Yw5E0BW#i6I#f+D~9T~w$W zX@R`mdnyb}0u@Xo8^NwVQUmEh^UTfjN2*}AJ(tu#+8*}ms2aIvzJ{K;5jgCT&`M|B z+0h##D!Sj4bfJ`VA(Tl2@eBdK3lrm_U;QS+CSY^;xY083nJv7rIHzEGAvxy z(_ANvEauk&9Q}I__*~K&ce}l9R=(j<-O`0kb@8F`e^{K4(a%Vsdu^mNw>h`pP4zmT z-Rk^H*X7q{c6MuOc57SjjqjMxYa4fIoma19Fnmk2>2ap3KL*;n`;XCStKKKXt$vC^ zDyg3bEIu}8(by_ke~sA*;IT^)^n2+mzSXM+Yc8U?oaUzF^pTB$tDnqgk%{$rt`ycu%opx zYfcjnNNs-(?PNjovLFOivjZd9fm zUYu4Z6`a%CkO={P4hTWQZMAOp8#u=(=Mj!>xo8sYj*dt_Rig&tze3%#oKDszH1x-( zg;CZ(Q79r-*;Y#0tzk67+!{tzb8GBXqVWbS9(l zFU=>@!fn#BSk)lE4Ar{&r$v^{m9A6Z2zZO^cSg{v|yTUUJLk zV<510W?f9yEeqA9?^YtL_ETY9T+}U#gT*^Z8-eZv%xq221%=ZEBP|Cxq%hkKoOAjr zmCvv3`9HZ~=4;VCzU97|{C?fs4WQU>CEV-&=i?jgxN~I>2Qx6>M|S4{%sY5kwW-L+p8RD1Sh6Q#*qzt=G zP}!!`p>lf7H199LHmBt=`G&J|L7jHN<3{%--YJ1PG7lzm2}}7-Ci}0|0eOQWZ1$|( zj)r=GoeI=*0`zLN!S#Qsgi)d|oz;ND*^s2ym23Sn?l)pxeBqt+6u!KnQwGIvsm+Z) zQf2aDDRZ-WIzkCvy8`m*I@wTbU_!$b-cc(mT?(e*$3?fuFrA(Zh0*B+Mi*XAjYgx{ zP1OlG1^?GLu_jc;kT`d;g0=HYYYkoV@IY!hO#$9(S9XUT6pSwS@V6u|izL17~ z_--LIE&R~Vk2eNlED_9le@n-K@dEVVu4;QhUfW7GH2x1qjwRyTQ&x$G33i>d$-1gg z2CS}TvI9lg$)~zY&(pM%VP}wQ>oE;cS03&j*#7CL0GJ(o$d4=1NL=9B*t0*ws;slj z9xC;F!R{Sn6`B`oE!>#M`_Ts>`9as@&f7r+;X3+QOj!NnctypXL5YrZS;NmXUE2&n$wtMK~L+MQ%~xaD}l2Xg7H4-f1%HN$r!fe zj=L1=C0qpSJ9VqMu#kI%9<*(Al(>mTa{6bL_+t$O@j_Qlf52bhbMJ(_Z0^kOYdK$R zLQ!8hAU@5E&mdT~AlW5ntcGJ8YBQ-@khw_7Bz}-rg;aE z)^Om8x3(i-rheP(8Z+YNDD#-&y6zJ(q$BW{fV?lVyDWT*`Z7!yL_VC#UKf0CtjgM> zUJhzAJw7aNA@pT+(46rg_RUqq24wUd!({vVlj2g#kOVj0k_GqBc@FmU?FO643)#T9 zK9M8jqVleLHS`^u*Z_N$c14+vqI%$d|IBW+HWA*j**GHuvTR zon|K%ypk*whi9M$ii$r@GZHUc4B260@Dh=Gg{SHH%zt3Z>N2z_dA-O9HeCJ&#yalGj@`NMn+zDNHD0ji8qQyXr>7HWqXbW+2|wY@^pZ8 z7~SoH?~FmXlHb)cyk>>H`V#!;ea%N6NPX(Ix?y_lF0GTxwQgK3Y-xzme2%^)T=1G+ zFfo!*!|Q2wZO`uM+xi-Y5EmEozPhUy;5>DJ%NCVB(k*_yZNKP1>UqWG3A>vyvfzI` zX+oMO6?%5f`>|0TTCUsfa)P&GIt-0khSxCe$vk*wWPYq?u6E|CZY$(+4I%fIRke_< zHkjH<-}YEwU^*!M-7Z*Rh-b9h>Y9IM0n!uW?4|3Cwb6|L!2OsExkcSrm7lMylkRSl z+O4~ASas*T7E0X=zQhWiXWv-c3@2GqIm@vQGyueQMn?LUV&U(lYe(FcG>(7|#66b5<+DiJl| z@$W9MJvx(s@m<~#cl!X0douwP!A6&~zT@>E$mY`S74Gdb0Y+^Wb+t+O&cLpVo&=-; z4MMiN6?|zJJcC*g9VUa2ckc1<3XPC=Yz-v0ynckc60!9m_N}fQ1;qB%AjZAjH}16% z4EhRu{KI|k(3{%4zGLm&o947W0KO-)2YK+!?S@b7rSY|wR~k46U4+eQLDUW5U3fPT z&kvfsL#Ca~2iRgLmmW)cyO~fMXRKp%;t$uEf^apkdr#kqNx4%A6b%P6(!4_hHJF|s zsQ}f90CJF@EQAq=y!uM`Lf1-sJ3*`Au)>f$;Zl;jzQ+4yAiAPY7mgB9ZQ zs(^rKp_NXYcdCm{&9Qf^XO(1d%xKT|F#x&O@|$YN$dkoS7ytcDwYZpb`V0#a(xTmj zrFqiPIrF9srP>T>3n`2Z-KfL5CXq0f^*xd^S>2*m(|61uq5D;Qw3?(k-=>{DM&)0a z*V@R(r=`0R(>a@DDi5VYuPp%pv}W9d>?O6JnEg4A6DgbH&ApXl|qOSaa za4nHPb*zJQqi*(~o8=OP_S`Bd!D8Bsb~=0GXB+=YhO^7RuZKsS7UOp3@^}L#l0Bt< zSE0T!(*E$kW|z-e!yUC$HB>)xW$lhdD!`}`UAcjjF6jhDiuPI|{3qwHhee9}hfB|D1O3wTs# z(8ThnVRRf!M!XZU*Pp&n{YR*dZ!QGle93?--oRVR_h#wo+ZH-u=kOwzKkul9 z`p}znu!I}l$q!4eaGV~97k5y%dr(Ith9daAU{OPSZ+3%_&H<_(1kkTIFjG7m=)(~6 z*LVeKE~NEdek72djpM+m@g6?FyfEJgX$b#lnm14vaif|ph?J&U-r?ayA#AhrA3fL5 z6<55D(2EM?dzSh1J`>`4}N`5!m zgW%O6jp20Hf@hCn2!YS`5>9UC6pkjnefg6t^Md6*X~U;1_2Oas>T}j-BZlY+>{K_= z&w^o+0Sx7Ov3$igKIX9X@xFM+1$7C5q$zhcsNNBQM@{UtiFZ&BlQymqSb~oxUu)(n zK+^FXHD2W)y7;vLl^z4J?cIdiO~)u{5oAym*>hF=NAnAjAGR@sbFWpimG|yYxO7Ut zYsBZBBp&o40*tG7_aYpCB`z|y3Tb1z2 z260hnZRoDgs`>`WD-(xZ*)Eg-Q6M<+hTL)9kL%=0JP!Jel)i@utfT0^@TidwX1ub? zN6+tpvV-ZuQ{C3#Lx1ST@X5(v2^c?julKLlpP=kP0qM_T27=bY2?Dp_y{S*g#W7*K zFz?#(K`(8c822pK1Oe})vu*#aR>0c*H)H)xQN+Yi;3Q4_@Luke{4^~k9smd05RZfJ z!o3U0e+*a-h7jF7=jTBQ6W>+lljiS0`4N4rAl{0J7aq^?R_k|Ty8AHx&p8dKdLzR! zkN6h#Nw^uwtEt2pIWj?`;1?IMYY)L|naC7MJBp$|mRInhi~6FCzGW+FAl$OMYAB|5 zAL;ftKiH2A|5kSlgo??Mm0`=(`dj3xbg42*1XbJVrAzPOTUC}(>Zl*ni*L57e@fr` z6_eI{AGUbbVpU{mh9Dw)n-5Olk@am8qhhovc_rlrbZC4y~UnTQcyLK)j18P8wU zJDBU|e?|^bB@_?+&$-C{e6rsn!T6=1#5Swia^JOL; zS=2v95JGHIWH-uwFCi&WJ&TAC=gRM+@~{ZmO@eKKc|w)tvJ1lwr5186`I5hq?zKE5 zoo@xP$o$7c(%h>Iw-BN{2A`$%zFYA`>H?|OZ)B=-K3k>YTB0yg4~||f@AMh35F&oV z*1M^;$DU}s17Vcd?y;oOT~Q1<6$Bq%_0Odg4|EQ`hnX+Rq!_ur6vBjK8aNy7TYb7zl6AzSt{UInHL6<;LGU&& zMj=xu)GsggtGj89j$h3hewTvFc*g}{-mA-UJ!-$DzK}F5P0tv-i++D-@KpL2cLF2V zR_bVJ4Ys}}VY(CL+U{{P_gQ^xjUQ@bdnq`d2Rlsvp#BN_(SHNZ#0$yRrNRkQh>)2Y^w?8U~SdLK$af z5cauPo9K?GrlzRU74J5GL0zk(fsP}5f*rN$2?y5B7*XUD+8*r;o9XNOhDw00uTGBd zn?aSRt|x)P-Auti-n!(KMPDi1?Tutdy(htr*-nVZf6>GCCsskPC!HaFasHUFoy9GV zNfQNNQMkiX+fSmKo+w8*P!SvQP6+QlU0;;kjGPZm67EZU6AcwyNe zxh2mWR~0@mCOfU`-QICaGy`Lj;TlVwuXotYavaHbpo*d@(YlE*T*!UC93uC5&sFa8 zrl;KJ*^bfi#1``Dt@ z4OSyuA4cgcxRq^S;>$-{gsfapv4$@EFtPohjs=8&nPZq~5;n8%O)SSa6K~^~*lo?5 zWpNH+%2TiCiVCp2m$|L=*irONf5@AOn>`_^peUoQV5jp}iprN|bm8Y}vj zuOF(cTDN*R08B|AnHoYYFgyn`6Y+OP=QyWq{fu#cLGX!4FETP`US}qt;L{5u>j#(r zN1N~J-)hTs*_o((mw!ZiXEHp>{9#JR9Ur;V`7Zys>{rWo*}rR;>%8oEck!z&*M)c4 z-(GxIJx7Yaz1lTi${i^`kCYvk-(2I!#UH8q2s_c$mNF*~rgT2Zj^B|jcgL~s^0Vl# zo#>Ntp@#`C^M{Fg zsVwWyJE6yopNaysj4evkOJzR2tjt%>@ghs(^8@rpnLkYQODa1z6T7KQUxQI^tlK`; z{g<|VV#Y+R{WsD5;%i?a%bx$}b4Yfq>_|SVHRfxbdnSTjtv(wsdcOD^FKx(IPma`5 znLkXUbqx2#{Ek%5v@ZJl0ipTK*FK*tEw8$Ms5L&vS}$#1_82f;WImsbwQRijY^>|) z>xZ$fi?2LiJ$*8t%~ZxazUf@f5l0#S6bAOo6f%*|vcz6KzOb`^>Sg{grS_w{+vSKE z^M!rBzOUoO`8zdrzOe7Yzno>2sl-HWVJCVeqf8!C%KxNxo}co$XS1{8@P!{HW!fwF z*?PM8Jm!d_x!ztE-o+PovgKCG7xuTkU9Ni8%16t}3<@T;vQ|4#En|yPVQ0tngP1pV z&5SSCyd?N9VuQ+}Rbo`}+OfEPe0yfD5iz8%AAK=V?Og1_yJFXMo!f;U?|fHZR@*L@ zpI!WF?N^Jhw%ls*uKJ9WKV9}mww~GhSF&8r_4shl=8tThxNlIF*+@B26&X0Aa7f_; z42TI82aIWZT)QP>%r*I4FEj!~s1pqH$oJ%V@)F1T$k*j_IAW3EQOxBU|Xm zT64Q<+(>G42-@ekbd-k6xbZ{O+WcnYliV?{QS^*uH&=XS;ZEbwkt@@jc= zV*CxL6Tbs-Chi~0F%zQve%1q3;H5o&KM&uf(&iE=E9mI@1$|$VKIXT2E7(SYsTIs5N_*HGCwwk3v21`=T&d%-* z?0hhDHWY%1?##TXyfFiB`nZd3qJp3-1R;?K0wshXsGI7dAJBCYhG0Zq^zlAt=Ird6 zG|QPY%X!Xo-uHQ*^Y&FRdKzi8(#Rweo}zNyGCiAny6JMoR5edG>}>kgLLm|5CPJ@J9nY zrg|FSFT%$bo=^CsWdRh_H32lGXDMO|g|R68uq0tX?+akAm2)UH)iP|$)J#raSubHF zhXCH{x%%)ZglKexmdmyfbdTOlbWlOCOK2*Ut{YZVgho!09Z@k zV6<$Z_Zdx{ja+(!aD5WYsEf=?h=shm^_3^Pu_~4^zoA`CG#3O|lvm$!SNm3xQlSz% zgB^;CNDXKJdTa3LT`V!yCf+{1j5Y*E+@GUmf)vidgD@IsMi>eVp*ZOrc-^JZ*f$8z zShsjHRz>qR8leLBUO)3X#VRDk13r1Ko+KVUrE5<4<(UE4C@d?ur0lNd+n1_&BR6+v zivUVO$O+~A0P|UMic{lS`*bx#4D&`gVc{@F5{PR~N>R1>^K1QG0O!qhl5P@Q2{a$J zVeGY+%ca#qB6$a}0pUu}6*jUC85olu>Y#5~OGB|eD@BI}_YfAq!AHanSxbb8f*Eew z%UY@;?BuE$%}`e3Q$4=om10Y%DZrtozpi)2{3=RP_z*#c7h;L z8uDJ7mSYs-GCi}iJJ@&X8K^=&GtEe7PBHS-t>{!On+X^Zj;PbW?FcfI485qV5z5Jw0Dp;$ta5=|?z?4}bo&%qkcRbe2YQz>{{Y zoE^sP%WT{6#Rg&hUV_OSaRoObo8I>GdbULB;&MrIZR|x{os3rrV~rC^TAS zkeC>07jdIT7|0P#Npy)2beK}`0g^>Cb4CHnA@(o;&x<&XC0saL50RR8uHj=LZ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.cmp.kpt b/bsp2/Designflow/ppr/sim/db/vga.cmp.kpt new file mode 100644 index 0000000..883e1d2 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp2/Designflow/ppr/sim/db/vga.cmp.logdb b/bsp2/Designflow/ppr/sim/db/vga.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp2/Designflow/ppr/sim/db/vga.cmp.rdb b/bsp2/Designflow/ppr/sim/db/vga.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..e837254b9c3871889b05c9fceca417d3b009e765 GIT binary patch literal 32317 zcmZ5`1ymeCmu?^sG`M?!;O8xV*>rLL+`aLUEOS*ohbNO*eJw3Y#l5p*f}{U*f>}@ zxLG;a*!U^bl6q^l|g_I0o z#cdOh2|FAGiO+X#BteOZ6Cf*)yOqd;1g0vc7pA4b>2=U_`*HV2BgyVb|2l!*%voGk zqg*d2FaI*%Z@Wub=w=YRpg*YH;0hPW>P6{=0M4_!xYD#Q^K4f2(%R^fZhY0)2soUq zwnCJKDEX7sg5l92oZy#=*&s;tH3Z{kdt2mY(45xw{-CqNu!=t}!L#{-LHIpP_<}}V7%O_lUN)sML`k12IE3OPq z)xQkA))J&^JccW|TdFOstJPW6vGu1N%Y27yo4hv47wjCGoio1GO0=T#8EUOFTf3V2 ztgbS0uY0^fPF!b7;RH!mc3*P|Ty`m~uU0K@WHc^0T-Hy$Ts|>u=em_W4*FUd(+_ToWLOIcLPdJ|>y75(h4(=-(?PzM%uFg=%;a=&Z zRj2des|laxYu)_O;zgkse5qAdV?7(Gw!XSDT_?WrNzu%SJNQf8+xM7dZBwjzrvk}7 z6RXTig8F5y9Sh-1ypPSKi>JN)txlW`6szLs@}ytpe~8F8)U~Wlomo|E+O$jyOuJ4o zhnOsEhDH()ZXekfI}&mJTC#1J*4*%{)U(g)N?3&8nvpg(4}Z39ZtT#vyQyRiA%zwkz?T_{O@}U5orvo&Z z{^rO2-JC!@TI*+`g)&B*>O?b4CT@%`X}xA8B3zeF=>p9yZuQH*CEN5a#ZqC{Vk&mY zx#b|%f8P4h_sCMvdwS5`^yTrZGhR0DsH{|V7_Rm%z}(x?NZ66JySgrIbeAm|ZGjqL zII?O=cvPrF5Xd*8pN4t1?_S;Za+D5S(Y?Onc<2##lnGngd_T=#AZ9kFBhGF2r^gyA zD$1F%Tfcm^w4Z3NH*}30zjw(gUaM;HE9!q6HK1vCNfTLZSezlr!_H#t-JL*E-rc2I zm1*}+J-I6Z5z$^C{X?4K}|w2dI~ygmNJ$(Qu>;$%Ajof zvi$@uxt3io0HP>u${AW$J6a^hTX;G#2Zz&PV@VaFza(REJYS;|eE0~HT9eTcN22mY zsg?!q3znRy($HtVz)Y8~*?n#*;NGc#MH9UoRG#Fvm+&OPe#z|t2<8!_FUyvXi&OZN z>DMKZtMD6cWYS4Mp6fzG=sx;TL}j6cbqiH?{5)E?sX3xjF&r@DZ6j@$&7rr(7oO3rb^ztLG(h|r!O1Wk*rB=f?IxLlP1 zqf6WOTEfqKtD7#XcBL_C%ths|vW4B6RGA(~%IK(oe1+$0OL`Bg^sy#sqUz4t>n~uI z+(_7BqC=6LNj1z61uQxTuIlf5Dwln>+YWpW(^uu|4n>G_;-?YF7`0v6HR>*iNfd*7 z*$l`GmN+*4Y#MOlA0s^~%W#V>&)(ywSH22oGUymMQ~anAZZ+5x&qhYwj-bN0ir@{8&nI4zav`is%9`7os8J zQO~O1cOgh7TOU=Sr(JZX_17wY-%zAp5aDYgUEMl=&_C(&;_6o#%2=(BrGb z{!!tw2;o}|D@22Z-@V}_?Y`|}cgmwq{-6OGAG?_qxNZye#aoyFurgXE&QnJ9L(xY? z*16tLE*6VX)`1!~!34ur52wb34W^|T&981t0-*Y2a>3TsHOAbWBimu-$<5sLb^H7Q zxGnzJ?|w@HxY_`OE9a3YQWXLbD#ZLkf!2a0zLhi>5Ekz#i;$xQ7jtS{n!HH^XDE)U z@2wv%4Z=?PkT<%B6`N(aj}rCNf>TX+WrsZc3KxQRjMY^sbU3W=);D&K=#L-9Rm9**t+yAMZF_$c_l4cdIge?&Z|IptBj-PMy@ zfe$okV(pKL;yE!UE93y{GL=Msni=S)I{2D>VO`)o?A6B55e>wWX8WjfoY;0xF8h>8-cZ0f%c3@2PJerLtb@ip!QO zo-8purh*x`dIr?5WzpxZ2@4bS8EDe2Y4Shm%nYWkDzdoI+r7)CngW?0kvjq=L#;C8 zbS+iLv+1SEakABZ!f~jNDt_RMn8ax)R`}9Dp@7>^C9b83C>hD5IjR;BEN)R&{$nvM z{o4;Y3o!d#k``eDh<$>*5yWnS1_L=UM$DXe{b&WU9fGSdE+)-(zr+eKQEHzFh&G&&^aeydC^YF5ZJtT~&@F_YqCJ_iJERAViJp~G22=?zSpPAnft!m~ z#OC-s%GD<@GD(t8?KXO7gCai2nOI2l(dC*Qu6=|%RIN$UJpdIZSsNBx=oKbk>&;_q z;i0r7oa3yUF5FZK3=en`P(VE}16P0~qVTB!j+!{XAKgNHG<;S#t{`GGNa{n1vGsx2 z`*f^RWdsQU#9}`g62wbQ%6H*+WOR2>Q)m9n>c(dZUL7ysg)9Y zXT8MmeM5PnC3-HcwhG7Pjq=tCrpE2A2W0IqUN7etPBS~FM(Y?X<`CXipSJ`qZ={nJ za=m+ai7qPZ?s;~QH{Schv^kg5_9}x};iQ#7aW`|RGP#?5v_Y$m=0N&w(ePyDC>694 zkr!AM-4x7F{56VSw5-i)*{yEwpp?7%{>(|`ZC?qTFoQcbn5m0d>sC|Li*uz6ytQj* zoYv6Y3D(04{4^hq5Y%AQ-$I4^X`Itbr#Rvc_C(Exw5LGp+wL=s+i((_Fh+;7H5m*? zEI3D1+(V8;H&%&nV>qhUd<-~&JnEH-WgO|q`a>?=)_Cc<8dj+_|rA>v3q)kSiTEU12Tq8 zn6uW+-K$dNsX)$0fm~vRMn+djU-P7CncC%6Qi@ZP!LbM_kWhSC^d2+V26;ZbB{R9gqdGb^Aq>P0KqtGYJ&@WHU)H4c_P;VY5=P&p z{0wE%=c%Q@mZXU;RQ@lph7gMkW@6E=NR-%;vmdPf3N6Q6{e-3U)pBAO_aEAQ%zvTr ze4T8t+(-DgT7kiTLb)i3W&4pE99G*x|DlQe51MX^1LzY?v?7LBRMuaiP$6&Sbi|-& z+W$eL{ckjmiHrpDQMhCz$`cIEgweldaG*e99EGAG|3iEJA2h4ghMTwl)Fu5Nbxq-+ zXa-{c&-H-dx@AvO2r8^V_aCTcFig8Y9!W zA))haM9%Bdb-d=g%z0n2wYuq^!(7s9trPG-*5rdeZfLD;Bi7EibB2-Naz@S(@G^ef%@BfY<3 zNAr9)XS2FE`VUV}FNM!NJe<_v_7F%S4qro51BfaFM5SJ$n83k3UbX!i8gxX(@qd%0 zpEvFDWBjD9)ij4&Mt0-HA^Az*|Iw>z?S~Ny}q&wff{|S2Z0X}ltDrraDFg4j%g{%%rPw5Wf_*O2*+PrdBJ}8ff2263i z-5CYjexSRA;1{a1E)(Tsg?Fv!_Jf=jvYDIL0^CCu_XihzPx^O*RHTg%k;+diy2Wmu*nE${wU2g1tuCpbk&|+1slY~nTTkKy3KToWR0pEJ4?$Luh;*9wt=saUZs(a`$|?Et zTa_REix`pA9v3QKb!Tra)`yuHHl*n4X$75r9JM$#n zDiqqT1uPu=J_As=c`%_Q5+!}bU}}eP$T(#7vE)OxqdH9ex<*vjx*_Fmer)5sS`AXD5z0yVvey|jMNTv;pu61fu@zX(>>IpdZEAZaS+>QNRh7?J>WYrPo z5)sk8FnGb6qxI%zg~?IQGBk+K7Y*e{`^rEeD}>fr%F_cdkGBPNLZ^6Tw~mI zokR3C`P;NtM6j;-*B5oVzD*>H=G1UIxq=r$#5dxItMgn}9h+MOxUEOqV@n;8x=X%n zjU|bEE$}YXaYr5)z~-O+X1q!-Mz24;k?RI;OU3~(xC$)H;uE|uIMJ}jr4?2C5{Yt* zJmhwt*7#h=x!#9tj`F$eEAFFodcFsqLWdKJ4B_vDOEs292ZJBne@du=SMOcsfO+&&$NTncQgVcC?JB|E3Ue85}3xVa!)w@6#sF@U)ExvH(ivIUBI`T zPvl+vKlSfW%wFnoOin`#`r#E&2Bh*nuG*q%-7D=)W<2%%F^89YH#mg z?Rk81c*C9L5guqu86;v%LP)Q8fMR_YvG*G&>r%|7#V0Bx(r;e6F{|=e5r$x0PRmv_YDpTzvy0 zEsc>OJ2Csp+I&8~R#ABN5<2SxIlI1_JKE|Ue z;Et>=+*RIl^KrFwOdR{nJjGgb;93xu^HhIRKetPXN&!OTmj{j*B#!#d6kD*1w*G3V z_jDxOl=y6DsArtr@1YFG`fm35Y;t%gdnh}7tU3EM;ZR{k>$sfecrI;dsX2YDeW+Pe zHLI*9nq`?~^+(ZKdwsLaRSqg*%Jrgwx$_Uf=6NF&cKGQPTp7U_?FkzT6shu`3!j?b zTo?9rk76=)l$}rGram!!`IbI`*}6wmk+DLU>P9pdwq>x|C_6h*I+Xh51bWtlas^K# zQFoy-7jhsV1bXvI-X-TcSwQ;dVE?6^0xK;u9)zBF@FJq~DZCU1PHALwsKfCyq|pcT zJIV&!DQkt??_f${4~xrtI7d-#`ehRV`&BUnE@PMJm!og;X#3ia;;J2M>$V{E6KmIW zCRd1?6x{qzd7om&P|r+cm90hgP;ahl3avD{jb3-D|C*hGiD2dH2l= zf8$u<*1LU@+>cRCBKb-Z%oqD5a+rq`EdhWj1TPF54RIBRUo1)pdm2;V-YLVRdzCaM>YfhcnG4eLL zR~NZR2wht?UHJ78wf5*09;Q?L^9PK&@NvO{`*%m6XCmKZ2A*dE+w@&KjX>8H0lJGq z?%)r~Lhr;yz9-v!r_?(MW4I3%$GH_d>?o4O6Vn)&`&4wK{tL?{Fix*K&LykmK5b>* zx1>F;JH@zN8KqE;E}l+4+FG!K#qRN4G@Pm=x#|ygHgso4Bi7v`bdb;B$vWlP9_c!&pgypI}@@>j+x+Oj0dZ5k{;SNY|S(4a&k zYqx5So7^_7CtfzvIDsT@`F9_V&a8q4PVTk=xd@F7mM=pIxAH`*c74WXqMO;?aMbh` z3z?uN8M?;#Ix6#|c#4n@%Ne>~!ll(eU!zTau|X=oo>UI`LmI4_wA1mq>RqqfbLyK^ zy7D&XgGOMKldQRib!S&@A8cs?rYWO4V@hBQLE%J9n@;A~4DNgDif`2YN=xn|1a}53CT~wWuaO9z? zl7(E~7NX0j2X_~I?OUQ=*J8|?$B8UoLKW%L5Gw2WvT1>-$X9WP9qBbdu*q8ZRgGXM z01SJC@|1(0&{4FS>6Z+~p<{ zE3E4=vxqn*EUEPc+ltWjz`t@ql#|_v$ty)@s?k6?|J9<~qtvyKH6_fjp-2$# z0Ax>eVf0KgyHK)rTrg>zlU%H1R2(`95y*dKg0~7poDi%R8dPhTZ@|X^TyT!h(r@6< z!*^7z1Yk)a#=J!m;8a&Y?9IsN;zRHrG;DN*CuAT0q(56ibM1bhVKIc1&vRgVVn*JA z&-$RAr+W}vCl zBE`3%cuc9IK91NDr|r+jC*;lIn{b!C)b;h>KIIXJhhIg~dFlz#Jk$BH^mdcHRS_PEZO!1EKdf+BUHnC_$7bnM-HEp?W`t; zKS|T-sD#`i=rYjAOC5;JJ*D@lW2os^G0U&bCFy+TU=WmA0BwGAPcq{%$@rA%RIPVP zE8M>3bitphk8|^;CxK6HO8nypyFKg;xBRo$LgPnoVx#JobFC__%!+CB^0=S)r(a|M zI}Twy7aaL0Jp4W-s!|_O4oii98(L!My*m8)!4WtmDpJ9ojpigMBqt^ur;_+1Ja7TC5hQKI9=?O) zG$t;hA>^Q`Kiu@F@}&=K8J`J)eMgeT-J(u3-vDBBrMS=1;zcHVQz}8fJdwNG=<{io z`{}hdMw`QTpR3Qt?fcSd$zbVTlMRpO_r|ERcQw@f!rVbV0Uq%3&aXoXoidC%b-|M{%>%2@70g~H{$#J=^+k@3Q-Ap9qA z0D&l$a;nDg2Nx6kUmx6In>4I~O++P=D_R6R<{8wdi>Q&53F+tAl3WumtxD8KN;Gad zRmA7x)X?y~TfTo$>=&~%t&Rzzc%w^Xs;`7hivl9?WUJ6Wd5^`4+$d`y*|x<>O&8;k znvVQiyem6axisB#obgY5KitvdRCG$GPo129Y%hHc!l^Cept22t+xJ}rr0_GtSyH3D zy(RXr7*r2Jg%$R70<{e$1TOuK3pbeYt&Ei_xE@s+I-3@orC5E|>vM3}-01h+CsXU) z`Rb*vo##0cR@8z>ts)XVE9;%zbxE_Oyhj%mDlgdD%CGjg6GmUB``aIE(%(_NUbHwk zLKcFfzCV>5DtzC>oOhK^JAbgWpD!ftCz8`W*cWQ!@tO0BcBAMd{WQ>Ob5#T!ZwZ_) zMZhz1wTh*`*S#@rI=2n*c#k5bl_xCkEa=C!=Zl`KGq#F?Gw%9JdRv##aW5SnRc=FO z4Y7FV@_yCR?>unp*rO)gz2Q!>+15%oltAR=8Mr^H)edjkB8xFPut7F7)Nax}3!3?$#giP&>`j zycm^E8Of6(A8X3*XOsOGXurc}RkJfU#PQMpq&m$G8vXH&}ZsjDjlTB1O=9lrnsnxjs(b?l?5oGk#yjAc*GLOxBRTZRYMQV4|WOU$j>E zlEq<te|Am@qRT%(5Rc0a7PsERA5OQT7vT zmNZl*uq1T23}_-g#IC@#Oid2Jrz*-^5*i%NiBP@LWD|Ira!LzgFQtoEX@0}eue?E2 znzjSX=?+VLPH@UKzW2H>CwUDBhP)=fnYQeX6=aK-49TUqt%ZJ(KB=w}Z+XxZ2| zElMevQa+ebQX#sf!%MvSVCbxo%jywpjq)UPE00ilBB&!kZrMO-Q*H|`y3LuC^~FBX zpC9tmAqZi?JK6^^()ke~R@$np%YJyvD0LbsX~gL!LxkV7>m>c1s`M8@Gj%(0{yW)k z*;gv?S?X!GBI4=4*BIKV!r!xOeS6uovGM1LC_;!sf~gHy7~)wXMfLnyi-$+KY7CDn zE7+mvG2Ze1|U>g$ajzcoN zpWA9zK_F&+2I&>LGI*X~ZrS(t!xXyAhc4b=E>J0YV~kO9dgh zMX^m3iR%gdr$;09koR%4~#AJ-NuyIyY+SC?BVXYAp&MW#FS=hMXd&ukRCq zy(dve2iI@20jmQ)E{5%zmY*E{c6L5bfe*UO@*;f1LpZS-v(H>1lGc&b2c_|o)@SKm z(&;H~M8<?O((6RW}0!1M&UJxY-gr9lwlkaj}eUQ~;=SvC{FZycCl77`I;NQ`n}` zzBQRhB@`5+2i#m?$Y@X$n|+_GaQ*swK;3}Xtch#7;w+8+EnLtJpshKgp}^w%gkTz9 zpZy)Fyvd~+1CH2hMUW)RjrYMEO4|>tg{zc`E|We%%-Uf@hH8B za^fL<{3%t`!Pvk(-oyfLeJ&XARj6z7@9JveSZUE0&c%h2qlDvweA}TT<%A6VA&bPR z-!1+T?_WT0B`?j5`^~Gmv*KRb-b=++R-w}D&HrMb{$md=3_U87mB0K*>`A>;>Xi34 z29QZ%zX=CP>0`Wyzf$$UR1gSb-{5#GQe9f^? z5}m!e*kj;FT06F~21EDP4W0i9sO6=p-vN5A5dWKY#}+Vq))tQmj;~32l*3AvvND55 z6nj3x)*1<#GJ9@~-ygU*-O~qb-w)pV#nX4g`(=SU4o?}gY3q0DgeZb;hna(He4d>@ zD4KaC4GrJR;4Rcevc)v}YdR>BH)aKnnw@<)7nyeToq@@chWQxq*x%E%j~6G`AWL&X}7`nuHuYZK{>>qP3^dC80|3_Tj{OeO`A4mxHJxc{n0`sT$ zPXn|@h86Dj6Q;E`&xCgZK{~3-cd3H6_Vka5+gn*^v^q zuA#HG19!@s5%f`CAw{Xbj-{;NC4*VWkr0Z>-NGSW$T~c&7SEDYa!yRJrG#Bba=m|L zz0gD(AL-iQ-NsIxq#{X6k}<9Ny&Myiat2u$cG|?9NR5(f`Xv3ySs0IISB?SQIP(on zrI7zCCR_TC+)|H}ht7{Y<@Q=iriy!<+h5=m>zakS;C28UsO5xAe*No z?}csfz_x{|$SIcxVEUm4_b64foAIhutd9hz2D5jq*-;5Wxm9 zHW32-mHfI5%`h6L=1QK7m&S;mnPdehCIyA{8{FRJ`nXP;gp;}{ol09jNA$6 zJhF1r>izA0Pue@?n}9ySn#3sf810z9`S-%C%+a0d?WN*y({RH3w^D#PTW<=ZF%TAJ zILpH*#c|3j)|Z9H`E2CmS@3&O9s<{Y&7hg4Oo{}4Jb#O~pw3K_JpsX9t_Y*P^7&P8 z5_4J-e@YPp_1heXB1XpanQ2`hxlM8!=<$oZzUE~=RUnDN<5$nYa@S)p!Nt-kc+~6} zH%`tSq^yg-@s>>(`<@zk6QZqm^P(Fkr$<}T0Mxw~)rT(2f(chN?ho7R2!`_f4=A%o zCqGaiTy9wHrtu^i%I(AJ9{+1zERq*mD~FVywo4s$=>+JBT>F)DY-1& ziI2n7%UH%E!ivr1l4OeD{?TziDsN7$Vpq?jHq?7k9}tX>x>U9{uE##jJ!7lDPA-z-LTOl zdxI1w2Q<)csIig?Vy5)-YoSUF?pvEF;4RoQl@pU(4_=`Wx%rCRaSOA@Y?5*v#rL~U z-BLdRS=p@-o?M*$Tg`lY(}$>Ulp0QedOugO|CS8B9n)V#dvg9({>*gx`OD&2rPq7* zeHlYdHtaFYvhS$^BUKx-SfEJoBQq#O!t8SAd&lr(<(c~VrTO$(dm|kyx=?pZj_i;8 zt*fF#Nzf`Q=MY1F4XwG#_@Y}-3*@r|c0&CkWC-$xmRTFCe?&*&FIj*b*^r5D`DAqu zp0!OXyz;+Hj>ELaw(ecz)FdY9L$gzgbN?ljS65Lg?$l#)3jM7n%VW-7_$s2UB2FN= zDLe;8L7Ovsf}Wxp_KSMPf*59B9J6ymFLezzO5$|^v@Gc<`$G;)iRx>x`CsWKPJ@Or zQY+w(nP_zNUsN;HK`s;PHM#v+rA8P*8}r1e@xgmZ`a+7{ zr;d0F3QXlWOtiL}Vn&D9KjDg=X#biJtzm~wk+nfcGrOW7?yw-={&j&>H{Xb$|NgF? z>G!}rBi_OtGfi^pq%28Vy>HEnq_SdhHiBi83v?^_%gIt2n8?vCe0cBJdAHS{FW31( zxfML3f34K$(&)jHN&Io=U7lgEFzwUpHIZ|19BIdil)6aNI)4J`;m-g#@}u*eZ8Rg( zkF}G2wfDY_0Z(bIPDQP{Q!nM9yl_na?R2RQ460XR_Xb`g_e~78l{N?Ay)}vzP3asC$&{0mQ{C@X z@X^7V;{&MKrMh#Xb%yiFF+h3jMrtEao@5#vJ6dNcP0G9B5Qs1IDB(}>PR|3sSio19 zUvPJMNgn4C1l>cxj}{{#nVXT+Y%+ZX=r?y{!`BV8kVC}4z^7F)@KBN5yeC-s*HaHO zT+aw_yALQz2m{gfg1_M<0232}4+=c@(Faxtz&Yjv#@0aRQzXbdhG+*WmnFhOcp|UaL|p(u%mdre?;+f%L7Y4wZB7tX z{qFWS;01c^2P6$g25}Dbu<(F#2*3}*yP^bV+X0c8X~+4|;P(R)7D?1Rs(>D{eh9&S zrpB%T9GI<>Iw}QYFeDQuHT;zi&@iebZ=ni+ctr=vv43pOjoA@JWi4ZnYcAQ@#_Wf% zO&k-q^^hg@LcSn^CkVkE1YlD39R*ZKUi~gFA-Jo4cLEhcD!hA*as$ysWqmupowx%r z^yXi@4kEMmCj4U1N-XaEH0+NU7`3}S1p;}a0v#hE%fA7*k&r*X0s5#AN8w#t#69P0 zDpbf4Dn!upcFX>H?^4V=bN5i{CuE|0l?-%y48L23(J1+*o%~g@RkRNJohZaHJ}Bhb$DL6}fE%e3a>}3*WJn=&?NNv3C8OL-3qx|GbrF5XGDN zw2OHA+9G`ahl|>2619GlM%4;Qq_;Wo+T3&7=JC_Tdq@~6f?Ha6JfL!hMp z_7uQ5X6Jb61CZPdv^@d1jtidA_m*?-&JfO{8Y8C|oukIjUOccO3)w-gT$UA$@K%SRu#}9JG0h zI?yw-Vyp^SVGblbiKPPD2c8*X0*y^~3!+?uSRR`VvV52)j*lsKW^}ye?ys!^hL6mF zF9n!@0{@tn$G~9-e!MY5Uu(e6jxJN+wz+rZl1v{Y3ImMJ*{N~BDzgJ&L1BH{(_4=9*`iLdJDfV}!3 zHJh)4hFYrKBCwF$h)l_|kCQVVN5{10y$WXcE=RP;fxq`M`}<^cMU1C_kOD-|F;ge% zffA=EcO>Ki5ooOoOci?o`;z!3uQIziwE zSa1h(Cu*luzHuMGm<$Gjh7uI{1aVtd_E|aJMFcwk1aJV_@($fnZ+NM8wykz8DIRAg zA?Ji(X*mCpeg#Tb^!;s1V5>~8v#vK`mT<&weF+743mXPviD!gtT@3jhNf@+E4%6eb zTc+|5H3?Z^1HDk~j4*)|bn}DyZ$WO$GsX!p5PekE#u`;Xfp79z5~_wIIhgtGE)Ct| ze1?{(lRA{+Cj{>9?umV;?CZUk@NUq^UQmcKq$mE#M-C1gB80R=Gu&W(T+NN4nJ{SpP(NwQbeX4m+@{u-KAF^E8Z zsIy|M*}z_GAZ6*^pqPkE@iEbc?tqU25HmQ?CZ5qd*H8$wUPxd9lp6sYDwt0uZl6J~ z>Tn=+rp}W)=f*(FV;Lr}1}bpd!dubcJ{Xc#^2`)bq3r5MZXTF_>$mv~a)Dwf%t4$j zf!0#JklF|c8rgSQp=Xq%;~`8ykHg;z#ec<0q7mA22W>-Fcd`(r%?`?x?1h9z^sFQF zOaoZsf+1oktPwTJ_5Ma90PW}giHp>eft81Fh*g|1azxMsklY%$i3l=wgcgt6Cyarf zyxm8tooc8vl;@4N#{k!f!9g`p?NWMsGIx7;VZlpyV15ywK>mTAI@Z5|AhP`%8yq?W zaUcg{4L}^=z^u)H?a|vOw~Uz_La?YzZ$UFa?RUzbK*0fsG#p4656nU?nu7}ILj)e{ zdDExK`t^kDisHdQm{1^0YaEm`$|>LMU$4Afx)sGvp~neW-|13;KzyG?e>zR&}%t$+|Rn4sx? z(Up;zcLNY#V{dvc6!7DHcu>p`YeZm35dHu}2@YJL4_prbIdFnRq27AMuM{4aypYiW z8&3cV?p`+k0AKKoXwV>$yFIbH#sn~s0;bOR?I9yFDjUsS;2y#~#3j@JKmL6{E>|nyI{=A!4^Do^;vsm#HX6R!=DU#4} z&~Cw`cOH0eh#OkBxruLpb2}X^=X8xjQYrZqceFLfGx!zp0shZoF19=8G3z)aSr|Dg z5EFPDx$`OoNs{Un6^6Sm&;UjudLyKJ?@EHx_4csXzrL{1z>Q)ZCw z(*Zjm0^bY3avkWx)%v2Rc|<+n7h3or66|2pSK~g(BJ!=C(fug)K7z;~@;~YK126ch zT}0RYQM)~&aM#AA50;pKZT!&8c3fS!Ki{C>QhKU@ZPNa~U5A*!ZQ{^O1F2!OL#Psn zeF`<2!)Q&!syZU3-j!Vw#nsStqVHzb=QF{&Q2~PV5smB~8b%!iVZk4v>Xibo2ZJA# zV@E>%T{87D6k}!jf-tZj17Gi1VcQU}!KP0TMZ~QhSr-9Va0S#5QYMX<05|Ad%E*HF z;Gn&kP|yp$V{UI~G#kX!dkojoi4v_dXzs7-#R+u40;C((_Fqg4d5M3Yftqhx-D=6; zA>`t~*Ek0j8K~(LF7@zMCHo-Wh&_w0mdSh2yk_eRN;dmzx*J4lU}n zF%B-mRu3HmRKBVP4yZ#Mq`+*5mtdF#6@6{Yg$EDe)QLW6^{TuarJS~9GC^IR&_WDM zvbSuYOPvO0XXm@)ZF<(M*$HZ&8uT1}mDM1p}yOFP47SoL|d0?~D`$8r(b+g*GpQo6J z?NfajP?30te|W+`0y*m_3>kB*jNFYbU`QyYn%2kAI9^ zA3pOYxpz|1A9ylc$fMcRadG)gk*K`Cu` zl+qkp+cFObgs&xKQ)q?P)|k21{n7L_pEU*vgalrF-n?VHv_gpL=P~3DMU(Lm-Q!VZ zr8bq!$5XP~67I5+z=D{P4( z-R3E;m{wljTWSEJlh$U0IGQapyL8CI-amyXg!7@16(B0X%y$yfaTCnnq;8uP)=(r+ zYNLWWdy03Za4N>fUJvJU7p~NQR3XntYB0A%lpt(EVaR~Cke#r#)K12Cmhh~?Dl{lp zgvj+L)WNbr{1~%UPr_bsO4{0QB-`0RdjicUE4$ixxATjR;4~-^<2SufXM7luJvTu) z_u}_=+eo9QOP0s5&jc8q%GB$=Mwcb4JJsN=O0fd+v#&0ecH(*`V>=QM2ajsn z9#YY|7Ty}rYHQW<;|u6x7*RVhkm~+ksvJAb4xBwmMZF(-Dnm)Vdkr4@Ot6z)Rz2N^ z4g}^Ge9OL$%Y}3LTE{X~>*N0&<39C=bN+V4H)_Fe1Ex3YU*RhkW&7Gy5?YY46euKr zv>)dAKA0khX;5M}yD;uLmqwldk3^K}qU>b~zdNgMCbSVoHJsBf>5NgOX3()c%VaMr zryzzUZMbKV2LF_4~-%y~WT)~`x8UI^d}CAY)n*UssF z|Fh~;jPtckbI>HSdfsS7*(!N4rtoH$A7}3_a(L2-!(vu^n0w@19VK0(;KjSZdfY*a zfC9N1EtfS;A|%WV;&l%?;)tWS1>qS(0V!&tm?Cqir_u)0C7;Cedd;$(cXae+hiHtC z;+=lWmPl+Kq98FCzcRf^z7dE%_8>`K_vAgvx>D;BmoZfMGv>vxRIX36ALrgd^{MIC z+w|hB=JQ($apMOAM#tr^5q*n}BviPEsG5XxGx&a}%vso_)(Q_FatF_WMg$3GSgr(B z$=?v{6_NPsD&F8Q^OEEA@hvj1$iJW&!#CLSdmGN5n5_9CD@$+ETFZ9(;D@Em^CY5I zT31*lr?-} z-Jvu>{itZG1p8Z^iS$|0XF2n2ienwTGiBhL>MHYQN>&5$^?|1k~? z^0ci0z4!0-kv`y+C%P|IrKo!yI=~I4bN*yjaCQ3hv*eR>7fTnc;+*>_%N(glmL1ng zWAGa%*nL~~Zhja+Z)G@ftI`OhUlJ||pFdKp!Um%b26yvo9Nm2MF5CrId9SS6z2{$4k73EP+kD9^==bDqH~3lP%EY&X zY{X=~WRR%$YNiu~D#Pg8;au$}8=JNFZWew~(jdS7#>PnwmWb~l!k;J3ATi#nW=?vk zaF~jqb(j!$P?B;cDd{T>-b{Q#vR>!pSR6}=I?p%3rQe%BmURK2TpMG}ch28CP*Pke z`S-$kQ;8p8dT{g2<|${s?r@SjfG5wY@u~YD(M$*0}qc>j2QDG zG%}!{vocjT$Q4K}$c5PSlIL2f$x?JcX``r|>dYM+=w(Rb6;QiOr|GX@%XW)}-tE5g z7M@y_68q`VQ-}$TV}^RWva?#GUzi^C-P7*$K~ zTw(RB84;anDykreP{LUCR=M^a1~7Baadv~m{+$7770)`U&H~v!n;I2%zF@0`Q+|J| ze;|o}LLxRKqF9G!leZx~OOY=)Z&2lpd;YhtGZa295roy3Q)BjVDn1xVyW%OK^7$?!`U0ySrO(*C54&hhaI8`0OoWY0%;k>cVkmwp&C!&34__`|=vJKK#ii1(RIW53 zrm$07>iTeN5?)|z>Z3YF{)Ey@PO&LGoiBk-y(jiFnZO6x$WkAEm?pma#O(Ik)q0fopZDt3r^tG-SM9W!Mw3>TWB{4c_CH+B)}Oh} zlR*H@bfU8|acgwXdbxB?42cX1PXVLc`|N+?JXtF*X?YUiPxlS4kkZsvU9+#wH(wXJ zHqt8b$)n8RFqTos9mz2Jsc+2OeR!TjLO-|ln|TYt4D!zX{XRjjmvEM9n$JQrmtmi9 zPSTfEQ%sGF)vlM*{GOxN=iz59%T|$9s8w4feKzcdgA6A>k=O>JdRP0FTL9YdS)VQ%dS zg;$i0M16ic(F{c%nLr4AYOm^RTM8?oL#XD}0(_lXRoo^5hUegkAn(mywE_S>+I5;z zdpVXFp|DfEs8HVqb9WkM}4OXVzWu4H83}+G7lVKRFqw%&WKAf1C^y7+c zG+Y$Y`v(jVHUedC47%lRutv zt!O@}G$o~ggs!_p3}2SxX(q)qw5Dm)S9+zPah$x=cIJ8^T-eO>u1>9``Ri*{*pmUg zbvaL;*ruHB^x{!GbgtK^pZNw;h@qTmdKzL+Ts>vZhfprmW>h6R8{I;GPWONmB0!Lg z3iTsDYA&mG9nI^C=x5&$AC@^uz#lTu2%;<87Xn@tdg50Kfzpxfv_tZsPTo$zBOrO> zfHQgd^?!mGUQz_=PtFi+VQcJy`}?{eIE$O0_kBEuk%Tu1J!AI{Ee1vNQT%t|^0sK=~Dri5vf;5M|M zj2Oc)GY2NYkr#;Y625}P_mi`?B;%nekkf-_iR8Dp?D=Vh1mCETJ*3UdQKW%_#8YT| zH~xv`*IA9g{u>CCY44tFGRc2_*E1a?1q7lk&} znxd@JWzdA01~3!# z`i|RH@Af2;s8VE$@JGstD$mp= zBai?q5u5*El4J-g8t830qRkSk3ye>hUVgX`M7DkQBL1Y#t{ing#-}1q`Sh-+i`0ng zzoqD5YzRga*^oIFG-Gb{9AM% zX?3k%gXYB)UCGks8w3@e53fpJn2>bb-IB4qZlXQWOwkJCqX~38^}0&(Z#MGwQmu^v zj7}ajH=o!ClUiFO`CkeSb9~Bw(FhM7ho`(AP$-xM9HkMs|KneY`oh&-pxr4X6EVTL z0(dNgU&35WZT02{Z=r!DFVAL4T0q~yB?AgVvdj)#S&?p08sALQG%A7k zNLy4s6yChq6QsQ#MKF{}64n?v(aiLmdFFIw*4>xkcThMnfbrz8_cub;<((?bPF%n9 z>qvQ-co!rpbOpKuD=r8w5+mJWN*dc+WkOPv9MF|47|=1K;8stw_0Q(wdtnqWwj zJ^5v(4H6qHM$m=HLT{~r0CI{%z2OQ)2Q`vO4$F^nt5eZ_76m$Np^=BN2msv@cRklU zf;Xg9rHXMyLbFRnNl?ZYBxtJ0=e7RDJ>#>C?)of*ToxYX@FNm~s?t*wQ#0|1hy{zM zfTuf+fXe;aG&}&5(Ik_6o0p2F;EZ>L)hOXC@LxOX{30ibt@B#WK0or=V#G#Nk((6dhk9e{N6*!Ch%FNN&+%@^y(n|lpdwI+J?U{LNd!0i1Vtcd5bGSwl{<-_?NNf z6E1~3G#V_bnLfY~Yg-ZhJO{F-pmuOY9a2DV#;si3dMhJ_2R?wXP>2&nM9)KV4@>`} z|0m=x0-`i8j@e||Z1S-Fu;N)Aa-lp%aUn~@IsN);*;w;&dBTMi0~67((?@;embL4U z&T8u<--`3lhgq5RI?RK$^@$$q+uEiS%(OTV*d5L?*EaBTDm?F)F;| zsUKn5KV43dHo(F*sNGB*;jdrm*A@H~w?)bo&gdVo*+}@cmk{_Uy!9~`X@a`%QTpLb zm~V=I<-i{xLZvS6=k^w>4!gFnmMgW_dds(=l;TfKcO(OHGl#20%A-i>p_I~1mpbiK zSK*ecN*@cH6+>n3erG%J9BYm;KS{)0As4ViMc4Pe-r^k39k3^ z2?%r_nSxffHfBCN84tAc@n7y#+{8W=S7UF{hNk!tazFc}PYO;Nj>*Qwxu7ZUZOr~x ztXmB8>^S^Ar=Og{+WH0+t`>N6i%p#)YqRd=aR$%NuejIoG1#h-T3G$fZ?A&$sel+z ziS0Fp9mTZ0y%6#vPF4;iWbJk;EPOtTqG*&<_@O{3-b20{? z0)g3e!L|MPY}u}Og@zeF)*rWaV)5yqgYZG53NSY0(-|n}r0He?u+&#s)zC;j-=Zzp zIIbf25?zJ0n_jRbiW+|+MH*7&W+rU!C{Ls(B&w_mtrw!z0ysDeij3 zXm293GAzjpm@Ebf@HXF?5l@2aZRdQa(vuFlP zJzqwt6BCln3x_PAY@mP_vRIWfWRQ?gve$GkTcxD@-g19!!IM`ip)V#SBXpc0ng^GS zqkOi(ij&z=ZZCpI<+kaC|G`fF-){}-$}dcq zLbU+G7^5kxII>dDEt~ z3YptzZS$~XqONkDwIwQ=C_At3@#Gu7hu~bH@+C$v%mc7$l#}yQc}vrBCf;=Q{BN|rUj}UQ3*!u3 zvbe;ygfw2nl6~g2;u(bV z^6+m@1k>cmI^_XPM+!(;&q)}L7c>e?DPYzG)QV~x9^(euFI|$|9c^DEr3MEmFnIAC z6U@TO50h&jUiUo{6>T{8S+SmocS5NfC86`hE@V$Rbaw>biAs zt)=PsRG=~kCE5gbieo43iy%yu@^nBU0xQn$G`eEZoehNiMxs8A2u=}v=OfSgD0_gA zLU-)zlOqgUrS!tPj0`o=Dcev1D43Y(#*>t7s1J;eOC)+hU0ww(@C;X$AFl!&9Zwn* zj%-1#e-9}a>K)Kt4YZ(c;;PGcud2o-wB3x5v^uN$p#hhhE`9F>Of)l0bo?TBbN9Q_ zqRYZus`Z0~-krWEL~0OTPbxOp#G-d}B-QZ3f+$+P;*v-fDmodF#no45x8EY2uf*V% zOl#0C_Daw8$D*t-2a0Sq;=`$_X_&7SFyS7mDEeR&D>`T?c{`-Y1nc7G-Qv?9o(0jt z)idVYCiptydfQUSs>VkF#epSUP%G&DW7l@^tEB@xOIx?dRZuKg_-+8G&ENv23jJAm z_Ld8TIhDtbj^R3;Qo1sbVmpG%1qi@;OXPS_zfA3@JV-=QvmtD!#~QwC7c50DuHIP~!!OcQz_3bGM`})?;?g%P7ng229w#G2mq}I{vXlgC4@Uya!h4@g?t6wqr*M)OQt;({N z*{hX^RDPg_;7rzn=pqvx+oTBTwB(p7KPT17js<{mcP5xH=>!QmgW$now}1AmKKNq> zZxtzZ_h~GN&AcA7{49)iB2ZV*5JVO@w_=l5|H{LM^z&#-m$tGr!hjGL7vVWfA}k+6 zL)>Jz8iW3jCWi-csc4#1o_WwlvVrf#tb?X&x;7Ko4r}U20-SN~6~ZJ_%)Ap_QI3vV ztojq^SpP7g{YGoKLdP&K%AC?$vj}qo9J}jlhBjq}Q~+qBj#_uqg;O*3B{aE~O0B&2 zShL%3C1mObs&NUBq97s%Hu)4!Vjr0fC5C#m%sg+ww4g`9a)yV%J2hHN20uB<@di3 zS$SRA&{{UAH;fd(Hq9^D3m7lZ1Y;l9SIj|3$5fi`r~o|{l3pA^T>fk!X^I> z=4>yh!a(Tr>NfWA6HO4XT4p>m-&P(8&46KYXyO)9iE7%8GO|Ub>7k9vC6b4E1xm%n zoH*mxucXGr0lyFVsh)r3=*UVqX7D#M9l;Rd{6^awBYxda7Nt zaS4=ZH5Fgt;()v2^Oc$P#?Sc&PD;Dv;-E=uGP@@88(mGxbz-u)i4Am7mO0?-X5(zDFG@71$C0ts{1E*MO(FD>J|}Ky8|HV8H!(uXjU zYdW@}gLw*`pHa4{MFt3zNlqo2WyBEin6$~)3uXwEr5C4t(=SIJp=Tx~n!WpIWEj}V z=Y8xzOyf0U zMfOrbpAydCEty3`U5w$oj=51~(8=0`oWNy48XjZSt0D;tugVzXV$c9u4Rr?eEz zQf65%K47sfEUdKEL7@5Ep=6Rtx>|IcCze8JFcT)(4b}?pxHdlAQaNm~Ec_VDH)!&{ z#a9STgeK!w^+DzuK}!D=HLHAcC)&3Beb}IWi%S1|6_*LO4o6c77SVkyEp%76{9JDv zqLi%E%Lv>bZvwZZ4B4Z<Zg9ayW|@Fx5iACo%=m3GZ( zq+=e6Pxw$Fx)kG)c066$6_M3+250ynBryH*N8~Mzqvkzs?qkE7pfY|In`=;}wceh{ zze0zp^lwLzJGUzwrF^@Z+u+&Y%S7XzgbjUd!8|KB^WwTo+xWmbAl0 z3}$X%Q^#gpza@Z6)<-pI9mn`wrDRd+VyKB$Nqromm}F;27x|TLVcAHSd$wdIsv1yR z85Kgs@P53VuUhFS7?_$}8Lh~r;W0=j()4@LW92o%NoYnUTl|>tt^O=Ax6PvqLpS28 z;}oK%DxI>!vec8tchgV(A3a0+vSRu~C%O)e)rzBOUP!I<2va*m3i=V>*fc>W6N}nT zn#ibQ`rUzXPN*o2+c4E--o<|n6qfu%$$L5Jh} zvc#=?jqedGR$;eWGZ%%X2A3fyQDubf6n{;aUN}PxO|1Tm=4<#z46%w+?fzk%A5IM& zw0GIiGani&nUi0TrBXE%b6s5}LG4lIfh6>}%A&1nk`=;X7G+%g^dJMw)%DbUhl3Wy zTxBr^)%a!0S%iHij@28~8JNopebma2%O6LXl9ylc0<<0K@R=>?>3!i_zq{bBXQo}X zZqoIK+Vtg1;(aA@P``t5TOM-Tj-|~4TrW^rZ_#IEUulWwM=C1|O@fB3rXEJaW5k0d z3S;cc`wplXuB1Q8<~(*d*>ETW^&V>|TBQw^0Fy$s+9bApg2AyE>d3pO zeP-PHwW|S3RBy81@~?1X*Oa_6*NS#VVo_CX$exGB&9vM1qBEDzaIN5}BDf|1ZWYNI zyyU~5LYFxB@6_Z*Vm#F>WrG;9b!r0V<}gY$X-xN`IzAB-gCKcNHC4PNbFlnJk>+2z zS_`GIB&xpCrk>BZ?G1v(#2GFD-@dlHGZ6Y>eg@geUarV@x+WEys0zw)>*2Olx_M>E zin$~;sue7kTj|;Y5`3gE$tG3_lys|Mxo6%hK3vn=oe>XW7Y%$pb6Tr37{+6^%qp(r zYEdtaQ1MLa`zRZQz%uo%@F&TdQc{eDW&=FQXJXo=A*@H*jtMJFd>Uk75fnbM$e-sQOM$00`Cs6)9~^RwD!uiL}ue0DcT!tLyGhn=7-@h6%b z`uyf;nLqeNzPwqQi&M&2bJ<*Ih-s}AZYS!*?B%Z%` zE6n7mcD5$!Dv`6uVAfrlSN)tXDhQTSw75261^=DhV3D&)LWVU zK87m&Q+CKl?f@Y|3nhQa{r~~Myjov|fSM6JP%XlGR-Msf8bwUc_c{SLz*JK?Cqa;~ zNPfw z;#z1=TlF>Lo2lnD;{}$73OEe80WUj@-2O2mq;O?w2p95JR|lSpMftpt7QVyJBIf}eP6+*ay(yyAS!=a;}lPsiaT(+iZl-HZwXE)V~3X5D(@WQ z-D4OolY=BrpsXOo;rhwRyfE2^Yx6wRj3viX-k#gaTPbvy%M-3pc|68RB*+j8SL*z!L4!dH#S^{*nSTh$Ys`3`iU*AD|6L9B!7iI+Cq>C95EGh8%J+-fx?(j-L1+R6+Fns7txR1Ex0 zbm2tm#W^Gqe*vKJBSftj#w8a_GvAHR43lgJCrV!^47-tu6VZsL5oMI@LMBwKLHAl7 znr=?q&B#KhO3Nk3^H8t$9;`5%pPYq7&B+~2#~%?_Ab+iKvrO1*!=)n*2d^co0a3?} zDr3OkoYj(1ytWh5YhHLlWUdL~;1rduq5)PmeAg@2_J66nApeeiZ1c5)A`%`fe=%}Z zJ?@k>MR!BindoLY#~7;QSU%0)iIw96k6X6ECElOdk({r45bsR=(lraJDaz~j(T(b} zmq>P&XfGWd@D1CX6->_)d{!%^18b!fz+K)DkN7M#jxs*u)(-W}3xMqd5oDl8TZOe^?q5^ji~z7Df~U(vY@>?r);4nSGC z-djUn8?4Fl@HngxP~Uabv^~_FH9Q3ls5-X**OdU0CtG!+q}W2{_r_z%yGJ%$uVQe4 z;JGC?mPz#8NLBQ13{KT=PSeNp@%;La=!uNk_xss7Q%srEbMgGE1V-33jni#d{`=nw zbSx=LhcszL*5nGD&>G`)){cz23)p&5QIrEMMjqRuY1%|u__wQ0f5nVyZ(;|)D_$Lc zyt#&;sxXb+_pL&`m__SlP=iU))m40a55p;c4`Wo?_-KvE|EN;6d=fg3SAU*x22fd* zYnRD4?Kd7MA~W6)={C$eW#-bNA%KIdWeUSOUx79-5PK2FfUkNb(McpF!JviML@{&( z$Dp){)H`t4eK;3P$q(#T|2(99<&RS8^D&N`F(WY*!=GW96h|5I`%rlbebK6YUjlm< z>$`VOI$WTZL^_7UfKWi}WB{k`5m+(40*sVN%74<{Oe z#tt;=06Vp5c&Dcc#WaDtiBEL(fC{qeC z@S4|Agtr%k$5W338`QyP zShs$Va7aR+(&TIKh~@g9x1J5)N&P^h(n(GdrPrnUL4EVrC2XQK-H+~EPA4G6{M;~} zd-bNiFOJFO(~5WF9vTG!3>Fy7c`7|9BW)yKS#>Qb{~L1G{bvj!)EF;hsG5O>N@SZd zO^T)nmt4j8;^kF|UL%n*8uOWU^d9BsB{Hf>dr$M80clpzMSJw@xm0|Tcf$~u6#hGN z^lGJ7RFa|QvkMPUhYOu5N9`~D5m%OGW(5|EaoNJz$0?)8%m~FlX5i~P&gL7pi?JTm zetSfc!hKU(A)gV;eX9e)ZM->Rwo-p^?m-OAX5uAd5?g_j>U$-KsSSp6G862azP1BX zUR+Qu)bhf9C2`8zx>D@*lc)(gYlp<*M6jYL3W(=q(fGTmg7&?HIn_tdang=G1oH!4 zTPZZq!8Q^{=sK1XN7z|Vg#cBtt+Z(PGf2=V*K~Mn=92hkir8k`u64OA%Z3{ALJJw9 z3${OFs5A(%RYG@68X!xz$+U<@Sv`dmnvvMNql6*9#H~82UnhxdiL(3c1FV3CQ)=c^ z>gPYQsw2O(5=~hRt(CeQYhb*VTG-J4$W*Vb|Z-a$>__JTabF;OyqSf z*dI(D#)q-8zM`pHFMAK?z(hXsKis3y!B$N=Xjx8|#}n}e>AGqZ27}Me>CUPbi+nVQ zJ)!0PCK>vq?i%FwY>knAf&gFloS) zrjA!}Q$q#PEW$(o-WY1RMJ$Y70-W&yf~=qOg=%2|-Y@#KoU=tj)zd%!O_Z+}KWv=Q zVi93e#^Vxv^ZcZpWa+#>y}x^eb3vASBWJr5wsFt1o&tC5JLyED;q-HiGvYrF4#7JW zGKr4(qq6niuaRW~hJszXYzj>>6)}~(C|csENyGl(Iz=>Q{AhXWX7gD@EGCTeYRsNy z%PZfA;1jmA(SAe*3^&*(`+!K$+j@yKex=QP4%d#PQ51UJ$=(XIW`|#2Vq0&D{f(*_ z`KXnktZ`AXmjQRlV;DZjG#SKFr55SyT*1`Ln#kC3zR{-CK}DoST-6s%%EL?;k{XBXn~h!R5}mhf&Tc98VA8Vq$;kG z9%f)AHsuF~vZRRKM|+p7+IJt!m+Ecg!}TM+G7YW{Hy?l|D0W}UL`ioOJ)#I@x(yC9 z_~nJt3@ux;1zligh10D?aueERu$*`ADCU*<@RkWi{gW7v9 z)mOdMM}}Oj=34z2Q*4I@)>gM<{ew~!YbDaCnB`~RCF}6MJZR}m8iqFA<`$h({?E0U zLW;|YC?)hB8Yw5)Uw)E|=tSttN1YLVqz%lUO&!?Obn*x0U40##Iz+XJ4{F+QBs z;dP6YjMr^k6@C5f7t+%(S?|h^HFt)@J!zfk8Y5{u;XFvHxvPTwH2m*^( zNnnGwUJYsww+vRe0&$gSQMQMd$M}gyAEzI*!Y;EszlzUXj#^lH2Ns3}Lf2`9_6A9_ zlwg}??3=5Mh*|-h5 z1=T{HWQ9AJa*23YCEJ*SpO>pX;9>n|*qIBHM_I?lWScQ>%EZaZ}u{2Nti!C5i>?iJ2(2|Cjg zc{qcVIrWEqz>PO(ZyiH350`mE8AS&_;9g4~q244w3`{6#&h_npz;%$GK zXY8n*zaOr$d43F2fT&6-2P)pU0QWFITOksr4?8Y4qsL)}8RniU2n*0G1rsF(q>yFqK+B?9RPa?gi`_`b%o2Q(`MWfppix#MGn)Sw zrC&`Ntq2Zt9$v`@j}7{|RXSvr?O=ZLXu-bIeiC%S&IA1wP9BG0b#u2%+^ z|9?9y143@&wb|yM*irsEam~W_hHGm)&DFgLe_B5Y70pWE=Ow3h@dsAvHRT98!!Yxq zNp`k&1mTEVu@`fS8Xmx$d@D^yCxNF|d9CbnlsEA~ZqxP2_46xPo~^O3H}H1;O)h6t z6kgA>Nu6u1g@?+aIgeqEjv`2H}-960q{OE0jBCY_TqSahlQF{w^^KLS3=zb!b_cPVOD#h^F+TpbB zg&3A1KQDi5zVsR0DVtTWM4$ak+{HuD+Fw`H&7;@)yY!lO9T6qOtbb$xf^(xzP|y>4 zM_w8PdzC@1@bjS6zy3l{ZT{}-z^9Emn%qpA(tpz~zGi>;*vZf{uSqKo-D9Hxiw<4c=FAHF8^}yFWRl|kRq|UWhxRDQCblcwPimL<7p9NeghM!KO zS#u_1ad%2x!Rr1l%J+oQBuSS&yV@t?U9;uluPQM4nSXFVutTrqm)N~-1Z|Fvd1&{v z8q7`ce+)xM(GXQ2z}Bf&uW1&jvwp1e()S_rn&EU)qv_;XUVkgLu1^1c6_|iMaws-- zap~@oR~`Cti+lQjVyiKFdrzNbW!`8zkK!N)g}PaT&gmp!Xk z8XYVrgh=fwNv)9`Uw&0D@v^0}iI~F_kiTu0u#_k#R;0o3mbY&gjGg9m;^(p-`#w)s z(X`fGQZUm+ZY!$}IS;mz4S28@s{ipm>va&uY>;d3U}rl@Y+DTc@yBQ;JER2-q6T`) zRrxl^BY7;i!*}kw%Xj{AYQGGo>IH7%=XdWj*J$P$of&mJBxu9ohRA4JWcB0#9&`FW zsObK%`V5t;{r}`7G}L!86)G(n`=Qd|1}dSevp_HK=zVZpdS*I{zdIMJM5#Ov{)f;1 z@J6TlI4>EVl?ADllufF#->P=pHXTmLTEo~%MPFK9Rc=3bc4pXocofKTc_kxP5qyUs zgM#EAc>Y28A1ujKp4P^eXB3Z6p>v;DE))lKWIV` zR>-6W|1p%hPk(?_`O)A7LbLkexF|}`J;xnQr~m&96bSvnKyNsM2XCytbzZ0>xUknT zy>v%Hz%FE3x-lrQ;w(W82z4D) zQD;F_S%}ZFy43syq##U|6)C6cd|%XH3NVh!?B2`U8pC&^ckJtWj+){jRq*XE+XBv zO`_{mYe_XLI4Zs@_CA^L&V~e93}pQ;7!S7G2po!8EPePBX#IoHKUn^Q<3D)hX#N%$|LFIQziJxzy@l1h(?a)4 z(qLxY0BO)b>1DcMhy&k!(=OkwG9#))?_Agwn1g_kkT=lU)sxzoNt1`Skx8{!E2ZB< z;#0m7YvF;D6`+#A|WF0EZ^^VlRSx$b=H|tARoqH=Ku+kBIKH zH}Z690RKRXXPQSU@|Q(@4rEj4P+ZO_iR^C=K~~MVl)uA?)Dyzs4${|ZVa}25K&qx6 zb8BYMF*bm!F8Kzdm`~E2o84OK>p?^jjeie;&n`}6&m}vAenx2CuM%Ld08Q2X`QikY zF~uDOeX6aSK~m(W@V^C-TOctrP}7QQ$eio^mN zpy$RgG6yx$c*PmGpc8Q-z@990StIizQg8MPg0HouYwJ>VKDmW*LrKNM!k>Xq7xSm5 z@&^^(8Z#8#+)p2hvbX-R=fbJv2d^9t(2=2)VQ&NCf*Qn_C#{cK=C(cl#mjA`^7!EYSsu|$stt4vAa%J_P22G z&`$&$krUP5$Zjgv(IOt@1|h=j2aAF>EHXm)o}YX=*GH>AF750iE>>J)aYx`TU|B`9 zY@siKaYRk2bON*|F}{*o40+~uE`PnEgyzecq@%D znq%JDNuY2Qp!EH4?}oJ>=Z8GL^|w|qZ+bUPIS2nyi~6bESc-q(7&LK3Qi3qoxV z86)_0sn7XwZ{AGCIKe+0Epz5&P&&_(4rC{UK7FF)7@ z>bwmSq>sZ7&}NBw<`y|v=#F%qtYa0K#xPI99urC3^B)3}8HVjq4d*ItcP*p~uEoA` ztxF^7LK^iWUP6b;d%Y};lNZJbZrh@#Ir6dg^@sOnZ1F6`UX`?GOIC4UrwpwPFFV-s zyW$!wGhC^e9*6XD3QKhj5aoXE#;ds6mp^s$DK-W1RCL0#?EFGq(B`cH3JDGu6E(5f zE_v(IcG^Yz=ucID|0v5Ok#5e4#zW4|$fe)$Bl^chYhoC*`;)90tLUsp)TQ$HqLsVK zL2J3IhyH+Y&N2~ZVUZ$a-GzP2#Y*V#dJoy{bdUp!O2LsrEJT_QMV;iXkS48BGI@#qPHEna!cGdy4-3hhWpN#F@~q8;UP$ z?o!m|((Hgq{#gaP!6Ce6LSdF2=H~v+9;_Ww&&r5W_a8BRNagVtfql@{bEk=r#!qs! z=TEG&-@Pi`5^`GX*TDiFI4y@2b!&WF)IEhrYJhzc!(3g5iec_rcB`u5pKuRD1^JxX z=6;X{lnG2J=}}z|Jfr3ww9r}Nu4FH(F0pR+Un0)$y&2r8uaWYp292A<-Wiqi0%H!& zMDJ1cNxi<#-x^12pD5^zWpv#%<^Drl*-NhHHnQSN{c9F+$!CW?iDj?m=_p13PA+<$et5Ip69WzMGF z_~K&z^3l&bq3;{|@2%TXLBo%}_-8MgpB3-pn#Y6lov3%XBtlf)*(aQKxlWb-qsadO zb5eIu@{?Vk%Fh-Ux>5^yOVjeb&;7nc2{=9)RNb)epTLF{!jfG%E<%F4wBp6KbCtB% zt)gBSQs0;;1q>Pe0`T~(X~5%FqhaPUbNozK5C{oJ97rS{s^uOnVs{2QRD5flb{#$58m%Z# zmz}z0m7#W~N^>}d(J%4kI($)pjgR&4`VEMuOYO>E#i*v67C~fbhwt_-4Xr9} zGjC$u=TL~QEMUP=9DIe2AlY6}NKsfnqo>oi0oMw@s-JWId6_z+e`T7-t)$xX`!)pY z(!Hppa*!TF`yU-n_Yd3qEBi}-k9D3t$6YBm3?mA?kgP}&7ui3sI6JT~Ddtt{)YY(I z$x5U5%ggu78(~Q7+eMCTcGOKKNtlY&`|CDXvv3Y67!3fn&Bj%YtxU5HF$9j;U$fe# zNm%8 zS8=ftYd-ntyybGzkJ)fZm2Nzd%e<;ACnHW!c5xds1G#6X z_IPL^ZPS}oj(i6+kE-?gF(b+^RZ(*W_mk3#;!Cb#Q}_4OLn>Dmr1B+_4!+m4S3Xy_ z&4tPaEhWaP*yl5ZnjlZ<3RNZ z@g<;wOZ1!>1t2x4iDS5mjcW;dWe*%jZ}bG)n@UVmOUk(DNi9w={yN=@Se9>vK+0?+ z&ehRvC?srm4tGYh?BX(RxgZwWNszkmRJCnkrArGg=U3sIwpAS3F!Ha!$su2iGFOlC8-HVR3U^d*_57)8W*4SKy z3~BN>Yy7sjuA5Ez{`pN4y=228uwpp3%h<@?I;B;etf<}I$UcfJw;-RDLzgyzLl@Rg z$+l4)$C)Q#+CS|~C2^3niQXohlri3`0{dExYcDjB;b!0n)mE=$>@Tei9g(eisq}M-EFA*e zgV24#|NaetwauDmkCCC6M1G62TNiUxEoLEC2-b2cJuL|3_;%k|>d@7xqnVWLwVm~; zxRV(fSxLcjLy(b6m<8*r&L7y_EH96nqCoo%X5AkJqu2aDe$37}q70)&kjZpGdISsR zw*Y-!rFB-c1p8vLogRMCBvpsS8We`31fR!fnA*c7F&M;Nw9Z z)^_Pli(Dn8e)BaDague}&Z7}gX$eXt>7kj_?IUFusG>ci`wx50XyZ?bjVB#xdId2| z6^vh$5qqi4MCFouMUOwJ5*WumpQ0>YWaX<-AHyWE&I`(gTA*z_PlxjEdcsy28P*-Q zH;R06c|+N7C`EyrJUmW46Go+t97cfa`%5KO8+I46b?DvFGzH<%Ea;Bw0ex!Mi1oa& h0{4TZd+d6PN!qXI_z(~30|SHW(J#JnfBxrR`+t62MZOlBqj8<-5v z{@+-N`oA&k)OsUx1E7_59DV-sZL0vW2d{p#inOc7%=Hh>AzJ|-&TTGS{qZdK!Ru!~ zo&!E`YHt z0{j`ON-4DsZfqTOgcOXVIk;|Hq&d9b719&D?@+RT=0MJSGFnI}%j=9~7ye7O(~i@| zZbNvs0I81)?zHQaux)4AHZGXp7`_uFQ$5qTL$K}5Y4}jCM!dcem^o7|*@u7GP`hrI zwz#N92*@U4Htvtz!x8Bn-1UTQngcaNnJ_RaGrIr=TuT@7g0Fod`D9yS@xQcW#BjFu zFX@Xl$5o9Z^{H@Z{IN$c8MjeQa%Z{7!_wB1rEqRY|Qf?eH zdB5rF=<|A-9bD{VTs5QzyqhT`xG#1Eal>~*5eJo6kJsOwZKmCTiTItXQdiMvUJvAT zs>fW(4xaCz*akr5h#Q-zQ<_&Y=!dVpl>-h#_jUgmkN0&!MU>cqk$=*mU4c^5YOXls z{p5P??Dz?VnnTzIH;jOhoLD3>j~gt_drOuDOxCJp5NVF86hgh6QU@-h9P@>dw;uOr zjF9nu2Idy`K1J)%DG-FhjE*5Nl9uw-eUuXH#M<7Hj zMovUCuN-e2*g0+x93zoV6D9KGZRN9?;tnr9d#5i1eZ_JthWS>lHF@3d>@wXD)#P4! z^S4?J%UT_`n~A88av;?;6ILXJwXnu#RTB*E%x}Z*gf>Y0R&0KHBc@c@3x<`>>-K|#8^=x;E-GwKYIqdI9Lt@-I?SZW{A^A* zD0Crv=qc{oFh1uLj2vOsKBsYQx;?!fN$Qm!-N?yHEUnHFvFM87wJn&E*X@ca1%<8$ zR$MzW_;(zs-aHP1=L+cA9DkdKJy&z7id7d_>|n z6W7DaertOjxg1KfW}22w$hbpNfAwCJkgIB|m44qazO!}JE>K3~4oCsptHknK;SM&o z1pG(dy7P}}h;M3+OIOBE3z&gThstjYSh5fM3hD=Aq2#L7xcJ5&)!F!tXO$_OV=vE4 z&sEeeOrOqEOG+xPeF2_E{l1yo6+w_}W3xM6q z)9re)rgkjY4)eW*oaio5@G1az zc~~pNt@aMJyQ^lO_ZOO+hmW@Y@{J@QnY2#rkyw8`3{6Ec`I%lVPa2D5_dE5qlIub5 zF{r4_d*!R{v)?W`R|yOVijk4js7HYo0JTmxTv!f-jB2%*DYS+LS_1Y03lC$|Hs-g_-vb_`JU{{{jny^=c zv00+Z=cjsq`z2|c5A(>k(|q<^+JzySW`K-ex;$gFNDVAfyIXAWZ^ubQmsM)|L!rw= zCU8{s590Ojr2$(VC6V@s+B_F+zlqNywMqjWPsmdPG4(H5?_gh9s^(gMS=Idhs&B!j zQmQpg^#~9m;F2+$xTh5HXn{Dp_c%|bvM)K>mseBS5$WZvDN}Z2zfWqqB^?2t)ARha z|CAl5={%GoWAB6egjS|*-mQN#F!VC=&x!}LZ&PrsUR;QO*Bky1z1X4ZCvoUZNm+|~ zGgkci8-ui1f#jdG^-IsJ)b)-6y%#I-h&KTZ)bOWtjyQi#>=KC@p$=4t!q(tn(A^mU&OnaKjCd6DY9&ck1(2>5=7K z=!foqr0~HG0;X>*NAT@b&D*JL9_5xTmdA-pcC2-6pIPTQD;C)zo5lUS{_UHr4~$^% zj4K%4gT4W?dDhG?fZ$`GV43Gyxt^-(lZ^LVsFL$LF-p?CPGNOi@yC)ZNut`3ms`tB zZFoPlydDaCB0d5w9^(ryEHpspJvkL|aZTX+nZfo2u^x?z@_WV>maXCnts*n;g^hnb z?aW;J(b!x5QeSP&usD}iBbX!)bLMRD)gBx)$8_^H@#QU!?ztx_!pjhlNg{nk4}S(a#+W7rH$X>3ib9M7M5Byjf&Ro8=QX0917dfcd-ZG@r~Wv zzVlS!AdL`Mw*x?EY=Iia8U0IDqf7&|(&CpW1Fi4WG_PJHK_8p)7gsBcYrBgHOBhdiuPdV#(PSEAjn22l^Kz6xz6DYX*oJT6DXm+>spdDP7P^sy{5I8n;Pksw zCC_>bgvpDMOww=D$0ZRe$|ZAo7^sf2HnOU3fHp%4jy>j@GQ{O6kxyon#$e z#Gd?n5fn4m(xxj*W&i6Wd(qrr_396$@1An$`LvPU6HDeb&0uBmj9e%sx~?%PXXDyN zO=1}SYvbObvAbVg&&R68wsA|KM^^RZFOll0bKjl?KkYuuiyW{_gmrxkIXg2l;+_-N z>@}NX(#CbOt<>fV^H5gW05nJ-4`EqB$oah&(0!!obD$!j2}AN@!N+h*sDQmPYyvwF zd_3`nUw0*M?PkuCWS!hlsm2jsn5j-ZV1Z@c)%<&TJMv8MoSk6k7jZSyXvD`*(gZIi zHgsp$Pb%<*es6!KHbrTCOfm{gkxz&eYGB(>cfa%*13v(*~{evOy{;-;3vGAD9Uk zXldF`XZY(?jgXR_WLDpvle1evI;=ra+tbWRZJ6Cgyx3*- zCRY)E)l1@$EYs8DxTRN*f$1i zGa00svm;~BXjoBCJ&xPhd9jxLr*U}Vm;GLJ^R&8>|B={&!nb9>x%Fv8&bQ!$8pw|w zt;{iNhz2Y`iLV-+5_t)%>>sev2>@jx&Fjj2yq))Qml$>sVAYl*5IQO?kepzj8ZcCU zff9WMvBtT^quxj&n*jz5pCs_}<08>kvtK#FASE3vPB#Ne4tG8beRE^xL)EEd8@o3w zEiBzOO7ZX_4G#m&j|(_mzydP~s-hj-ps6u}yShebK=x3(T=ok*U6DkN zk^NE-8?T0+tTSCD%Mniv)2NbT$?$m_!8SxRoCBW6SxxJ-%n)`YaKT7J3X8IJvZurR z`P3?*gagH|h&PE&pS@jkx9LIa{SLw-9yi&ma8cDIlv$TP1^L4}(qpxj{O{ULD7kv! z&NAkoB#F3l#d?+3xS8G{pji0XOnIw#dBv!MiuE9;jN+|i1K|~8(>UF5^BAt$_>>~u?1`Y(=@vxc zr$OO#;hO~fg(X(Uf{c%P_C_OPH-hR%)lcR*a#BVR?Db6QqLZRWLJH&a*Vx>n zIkjqqopJ13q z4KPhQsC)4PN0|0zPNpsJx&tuzSG=H6U^zYYIzWW%Q;r?w=X_mR!=oZwI&8)ortj>o z|1#j873S&Phu@2uoZfMv%iG-o{7g?NPOqia6LM}yhWs91bo29*$fN45<}h{2rT~HH zppfp^x0)v?-KMtuDyV;+rz7=gN0mxeq#cmHeI1$IxbefSaLdDIXvf6(8Xp2E;K!^m z_+?M5z%L05{0Q>tSVdGe#B3^_d}4CU_xvzT`1;`?H{?ME{mg98dApZSSKXeWcV@DC z`&=emYo^3;EZYUEc_YRJaIUg@nnjwa0tu0yRbG^T9M;Us)<;5o0zPM8Iwcscx!E%8 zOLAfquydN4Xt4T~LNPmgu)z zGv7Xi(1?#hOo@#f!?X5v+vS3DQ9MYmtZ9uF>)OITo0RN-G-H*O6UU&Vw{{=Iai-Jr z1wt=_gOT$yQ}qv;zNMl%-Sn}_zviwwnPSe=dcCe~-PZFxGOG1AKC5}FTn||pDtrjJ zfd&fMH$b_oxpcZu`35Q1u*f&_JE{Spae`@n`c%Pqt#&H%EBnV*Ap)e2R& zxX{df%Peq{Wg!BN!(4Kr~;3;d)W-Bnh}bk274cO{52s81qejceF0 zZ3?eju?Vz|#gvEK6&t{g1H-BjZ-A(|&o+9Epk>Fj5HgyBNZ)z;>|c*QqyAH1A0hzT znOaK{PS>501SbcAb>kv1Us7zrE?^8RSRKc*l{(%XUDr*)|L}j=`N%Yy>$srX92-?@ ztwBp3<#MmG zTPX#&T=WYzMnnpQGY5xXrm)*V8z4f!^#(Kgil_qKOIve9QLp>as78x&dGpH5YXK1k zSi_;UV5>tZxp@huD&Sjs-pHwJ%ow@@DQoVssNbpiYBwUBJakxCJtX@slG#ou&J43i z)48gg+`KY>HaU`ax`CZ1<>0DHYF-IKZaQI(UiCWi)bydx+)FU8<@fawD`Zz#5xni0 zA1WxnC&-%AY@2ndPd5~_EkwwI9mr`+$#j4+(i&zetI1l?b??^3_AE09wn?X>Umcn6 zTSwyF?|CJ^HBqmwN&bMgp4D3g4xq^HT9`|3phc>T+>8;wo*!_*KV{$%RF)t8Qs+&^ ztI?}Q7(ga;s=5HT{}7{?1wHfCN%NJrwPYmd5hn8E&)VV<ex4RU-&o(9YA|F}vC&Ib_sk|{oerxb z`i;k)SZb1GqeJNG=Ur71IHZwg8h)}c+KYu@nYbMKe!vg7H$=YJY^tpp<+$<`fnz*R z3#D&t?%4`4hG9V^FE^yuAeO!nx9psPya6jNIXLD*>fDc#Wg-p9g6Q*VOwzDK%BPR# zLV4eP=yTK!7udbgSUJ1J*t{H64*4u1<497}iND8YH#T^xHxX(hx}lFrcmt*DAg}~) z&-6>3pkP%c)KE!`-6q>brf!#vrBZw|%4JkQOc`fr7rjtCt*HuhXob1_!GC%WnBwwD zS?hluhoXFTV<6UadScUF4RvxY1(}sD2fH>K#JfBH2ubz)VWz}zWm@kG# zRu8F2f7FcPBIKJEi z-d+w3eyrIQdKY5*7m@zrK72%0NY-ihWdc7dyc7TSePigg;JXE=WN7)13{>A>&rZR3 zFH3bJerm8%IZVyMk$T%A1zys)VD>G?m03((%6G8e?`fQ0v7`P>jH~^ZknoEk8_QIq zTgVnyMw@E;xcHlLu=2~h@ax}jA!dRI=Wl!v*5Y6ANnCoY-)FVJ>|Tc!k4s%sFUYpn zYh&K6qFb{*2u`Iab7G^s)r5QO{X=7A4f>)}=;A8Z9hLcd9Xcw&IGqLh60`%!hh=aV!a<%D+g^2K~y~w{S%A&t;E6?}+A^)s3yxk2j^A9nC z$op+M$K_B}03l6hQ_Bb=bc>#KPG`)r3KCmRw;#`k8Z$H00Ps~xYkP-E3f*M6`XceD z&V=#4Qj2h)yRaVs!JCW~L%T0c?l?*^pnu_MeqwvJthGmV!02l-C!k=I;wAQWs&54m zmm`hq@mZxj-NJFejMp6Eu4U@k?*^`dSveEo--YoAYk3C)TE9H;%VvbBlmbSOLFAaTfeLEl!M0Xr4=d#JB(${OcU z6tze=$iuH09`8h%i0Uy4f8YX*FvhABIzam**(J@qG2j*nMiM6nN!U4z9L!jr=iX8M zRmQ0HrnC{}>oJT;>xCs9OmlmcEP;YB) z3E+Z^Fdmb`q_`hA{Y8<0F?9Q>oj^$2*m`vMGAEXnKG zr$nw-TqdG$w}%`;F>jzYyPq8E`Ef@~u78F|{9~AkdKrJSem@^9h<>}A() zVnGf=tR2DDCstlLq@eLB#}T{?(TAGkJ`Ny5RM>H4t@vnzc}W4Q?9-IGq72O-qh$Rj z?V3@_E8o~9DN}+AC5nQf;80zjgrVTSS6hV93q*y`?Pf?4K6ZWwU%MG+qcJ@#UYh`{ z-BpQHntiky)v{^?5cD!O+R?gyB)8p3 zz*3ZR=2*CoJMR`ZEoEO<{+8wyF>@YmN;1H?fc@g!fs5Hhe=pVAGN(v?A~16@?rYh{ zVR($OeEkrquc;3MhA?J=V^`T+#sXe9ukaQQrWMcnvGr2$gvlC(r>kN=#`ES5>#RFc z=c}|Z*d|&;umr<7-f-2x?VWOd5dA{A-h6W|R5oPU#xP^UF~+uIE40+beGsB+KL2qPVDCY15-??!BjiZ8^tp;Bwb64P@A?vPqqW3d&#B&jMC zBrdeY>Z{xfx-%)dYev_!8{dPtiJK+rF(6d>+xo%naLjK$Z>CL&xE%PUIu{5n5M2r_ z`I0q^!+v~y1I-b9!0$wJQA;FccW=(TN-lGAehK3E93+`Z zzSrkcy;xq;H($?tRGD>*3?fLPGyb-Y((cXdWu#_w{_7J<^(4F*zy!EcgH7hw$t3Fa zgdPAiyXJmCnxC9YjO-{>OrHE;trVC-dFe(v(gs{M+|PbX?--{FKj!{SpE9Rr%-OIM zOFQOC%3uc^?kmd0Wh?86=h#X7D4MR(y!F(cpH-e_8E*X(Mwf!lo(-^xb4K7BI zriVeCq>JnRe(W>KZk2zHW)tXTiW=&MghCRLe<3~ULz%&9!t=OEm2gS&gKxT55RHcr zpOF5oF|N-Zgw9!)Pite?;6@L)?)Owk*DJGcRJt3D{ufaRDtw`>`C=Wi-1`G}=1L}E z2=XM*jrK>f_Hga5(T_{fSz>Z&e)1PJ?)^g?Omb6P=631vejUG%qQOWP&r3&#D$+7t z>3z2pl!9Ea(_1T(C?Gog?+g8*h826N9;QC}4+$xwFhKlB1YDR$qO<{pP} z3H{lGpQpXg=}`Kmah$^@UDfjMKL4EBuUEUV^fE~n0MEU2$N65L<=GmmXitJsT;nRq z3IC&24&>zpadNWgey9RKW3PuPz--_FX ztR637lACO5TP!BA_FL+#@4y~|q^e&W&NFF{t)S&^eqCa1=I{ZDl_flj1`_NbALtXDNj@m&FfentyAs?)gm z6DVZ3TJ#f+e8^yY5N3~yP$bLd0N|5v{tGs-W&#z4WLaIkO|#7Ib4!NU>IWvE7^Bs| z6L5hEt|{|zR%xs31#J%vu~*-f*OwxBoQB8NR15TK@>JY`j1QIo`k2wx09h1yF|`xMQ7ikiYZrzx%B*%ew;m?@rYo;duzz$?g5%JH6YiKy4!O%Mu8 zOgcUXbO@ps$Zm(+6%B^W`4cMhT10|Z9c)7&EH;oMbV9Y0=fKT-qZ-9fp_>%d^@xcT zMCsUqIV%6*Cgx_1xhcIlRCKc9Em6r7oh$&a#-F)xZdWX>KI!QB4{?r7eCX=8n>do^ z^mfSMhni#nj*mILMTBgV5pu}NXhD=>VM4R8^f!Qr(Y}Qf_)r`522fGYYSd@)Wqe&N zTz?VD*Ay?#Hf%=CWm^iGAoa#T7Y@3&!-4NufJPxTrpkIotKDX5B*^u@edx2dHSOc<16e?l?9#Xl>ovtoIH>gT?YUF|0zC$qR`O9!j z-{wY*)ObK~iX;%~*uE4Mu$bLjD}<|%Sy&r++(IJ;whKW%Pc#V>mh4#ZGFo(xZ9URw z*=HGM?gAVB7l``pr*WzmLa5st?e77cS1BiWc6uVfI3uJu0lL7Cr#1i(%s^O>3N5Vb zghavJ8lT51mnl~!Z+|*?i{D+-P_FdAzB_*zmkjHh3V8en?;n=}sOJN+Yi)kZJlK|2 zf+ogI8)0?BEmucId?rh8(c_a7KFX|d;gn6>FM`2cYN*BB-re5?04QXa0@B7lGR1}& zA^%52Xrc^(Vc1)Q-99J!&d0`>HsLEkeIe1{*>wGlExfJqPO6d{9?P+OA6@>I!X^>Y z?^ZF=3+y+BaH?qdVP7`Em~VwRqlf%V$3hi#nu%ED5p_Q-rNwZ0F)dgD4=gkU!n8EE z$w81=80{@!S9kpm?uy|m22bD79*I-}MvMFO?A6E4RJV`Zo8-nu8055wlKtLJ({6$( zqS5aRS2@oe)3)CqTrechdF>c3EDAdK$A2Puym$ra&jQ%MuifI@ zb&V1cjgw?%IwP>F8Zu|A^e??I?F3+B=Z5O}ly&{nP>yr9NdD=2Tic{r{_5bjwhCM1 zgt=m<>_2R!Q#D=s8a0jdjx_Q&)ak<%h7Jpw|CUM@V$EVl(K2z43LnPwScPoyi^a>PiM>M;y@l^^9#7PSHlg+7@qD<2?jfDjwm31)OT zz)}1jo}Zr)5Yva9f^rWq|7I#FiVl%?btYG6kz=Emu=66%%9 zq>W~4VpKK=#JIVkNrq!)V=~x*{&8EmtDhQ&$E%Kga14tp+znlHnKbzX)ab)GT2ntB zT)xicf;Wsy`6?FQbrQD#Qs-b~Br6U*eq201CEeBkk)x!stVzSIMLoZFb}I=sSKLU8 zxVfaTz0z1?rwJKTb&K&kvsVt`dCf+#lYrE@?IzAo-5B3CeT*ceK+k+U&{3{o{=`o%Zo?h$f zS=ANF?e7nc!Fm+8e-4*9!$9IQ={8O3@xEz(RZ?1<@ES|Skl&cPw3=W6_~?LDx#uES z?iM*PbR#ozeINvSM!pzYhdSlL!tiTle<1~nytfi)Ac#0Rp||etfP8f&HD=D(^iW+2 zxxrH-#^hi2jLD{s=+!_l5X|^3nYzD39-_9XU#=Vx%_MiV%;~(c?n!0Yt@!}3Grpq$ z2@k7<2#G`;c?M&N0rx8Bc8?-CG^Q zx#8Xso^JNh9ceh`v`bXZyY3?WYtGH%gO(aPJy6 z*4t&;a|A^@a=H1Q07Svt^u12Z-2|HZ7s?iDqS3!$wrER2eGEPYT1r1zK0SHo!%pMe zV%0+Z7q2@fvJ40B6cU)arwSRq={$B&M&aC~Kc`0k$#tM7-7_q>PaZovgvWT*b zEC*Y_abhTBUNID_hPEVsTdQQnA-1FwFCGhgS^bzgRd}?b%9`M%Xe7J5SXGDs{U`MN z1$L}ZFpM!t@Uk#QQNNKR$0i2!F+6sSh~%eJpGGsi)t{*|fB}<58b#TwYDWp@d!c?| zxBL_O`x@I^Y5UhTZO`2J_Hmemc=;RS$fIeMX_|b~C~`h%CQy>-B!H7y-d5hbqOU?< z`yv|><-0b*{Ufe9kI3CPJ@LV-;Bj)4N4M|#y1(Q=Td=XUsYtpT%Jc1C_oVh6X)KM> zg1GBnficHm9v@QTKh?|eE^2a;*wb?n9H=1Ix4V8dfEO)mg!e>NtgnX0{!RQJ8t;yw;|4nfzoPg*F3 z`*GAXEi#zwNBYQr(PivRbBAXyj#Q3Z&k;g2e<_q7bWkCKKJ%B~YIasx1$)NPA$}~^ znBjD{q=E?7zJ(aoCaN{$A9c-FPg2Vgy*FSoU66lY^zkzD9D4x0Lv}yBrd1dr>qnPC z_=oag)_+3gMiGf6faz#scx!La(^a0iIg>^H`9(0U67S(eVl{sg+uzscIGR73?vg%? zdPkMs@l5*H$R=AW)|b_(XC8}(v6NR+egUd1T%gqpZWUB zA6Fb2Hal$X#FR2GD&@URCK5dFzwNJSp8ujDORG$`YfITXj`ttTJANh$aJ%m-tt=@0 zVRv{I`C-f6bKPRz_q{JjZ5o|<>(<#-wte=qJiCst&wxPiFS2lI7P;%^BEhEW(~ENA zX!;!Faf@sq|FoC$<*{$xX~r%Jc}-CXfck#~Lbh%=#HsVG(wBY8DyKAjuZ%Di7fAn_ zzs5Tv{FsZZy?d2RwgZ9o>bZq%(MjSeNNawZsR}R;V){0aZ5ot=uUC|Wv-X#6$I2lx&SGS*yPnroaPXb1-)$S;G@SKT|Eei2MMmNzAU6#@ z)3Yk7S>lHRTexx1ThcmWrn%~b8{4H}3LX(oGbCuBqrH}Ba6^7uZmL*-7 zO6CX$R-`$TZ`FNT$;YkN>3SJ90ya5!9DL})QDPSKDD4J9B)rOG*0yWOYqjW*j4f#? zaar$gu#ZZmyLaiTdlvin@4k@wxpK5RglzPA^qTWK+KGBiX*@#0dSNI6nVO+54*jf# zefb8TF_-Z8R^q*RRx-&|gY|Lac$l&FnAU zsoHggBz3u*Pg4zT>e_}N-Sk}F#&>g$o1Em~j0f~t zVvZ3Kn{>MRntU8^IuK?|5m6*T8u+KdO|t)NBH_1i4J{q4v{+T24UYwl(3%VJk-fub zqT>8K77oeW6dryyKJ>ZRpF91HCkAVXVNNGO;-rlxZ8g2J z9!A`S|DM|nJ({{nbqN#$*`<+q7jL3g>bkq^Q{OAj)y;H_G%m6_Zm|(Sr6By*Y`<%$ zPA8eeVmX2l7E$|+5Gk@n+qZPcaD&`q*65iiKCXdV%trza4mg#&=gnQcuE;8_gxY@u zcFyk|i^)HI2Ru`2n_?VzU6P_FMoIfi5#8n%v)xb|v)%GJJ*Ei|YPBwPTKcOzxt&DZ zJGj5);p;}w&_bt<%iD%I3TPzx9UW!RMN>^+w%0VmzN59&E`k!+y+F)8 zomX(I0;f)_hBAd*=94V5wu?6bEA_5lbR9YmpT%9%S4qOeRP1&t>;4Tf#dw4h4`uDz@A|6bcMi!iJxWVVBEZ& z*PyBq;pf_ipUms)F|I)VYq+GszffT+?Be`P7(jl1B zfuWBvtv%$uqV_zb(q9nuHs=gmq%}w%Rj(NeSw)E|;U(76S13Iv5DdjF?_yHr@b95h zWZc0$4Z+8m&;FIRWsWAabhtF#Urw8+;*_$AvC2`DOTiK~Z1K-By~~d{yUv^W<}hWk z=~yL1o|9Ou|eRD86Vv`Pa&cq zrdw;oi)b}dK*lPO;WA3Ct@If^!-IC(qL(BEh*jo!q=*;|4CNNvNLSo&3ekSGyRpo+ z@V{NF83!#yWLhp30zO{rgdLnxr?PW>mA(n)QL4$4dkjedqRV;>{P!6=G^#Q?;yCps zQPu2M%;+F8p*<-zju<&iOZL43s75AjmAD)tLLR%7}0TNkzzU~D^JO&xxI!1w|B-g*wW$UIAFHil8`n$ zwUKy3M$<^h(9WN=KDXB<9C5g?c5m$(*RlFLJ6h~hF2-JTo;0p_La%vh`$k=fS$i)! z;Z|b$8e``anD-6P_vHz9{Z;dt+K!z%aUtbmf?4KdP`>3T3{A9K`^1e1DiZPv6?c4! zF~zP&STGXcai1ESxF4A!?1P{zGD@22uc#NljzroM+x*RIbzm8BXD0S-mAvBGY?i>x z@WU~ELu^(3`O0+|@KZ|K)5^+aji`DF$agav zw3dDNa@36YAACsME?tht&j7h@0S^h}KZ*2C_`=G!USmcj!H;aW4WgCdhwOg|&y^C| z)&F*j5i}nS9aIL+zf}-9DNBFBJc@I+Jr)nIekug(3?7xtgw|9Fd!G~$u6|sZOyB(g zsGIFJS%^$YDR26X+Xp*JsC2FAypTrjWmy>jUyjXqahDkYgOYq{4FJx+5}1~~`t~gX zbe|q&Hs0R|ZE`FCe1~7i~X$lQ*)a^ zD&pmW0h3*Qv|!fVst?EaagVlAer|il7>~(_10a2YKej(_=l`od6JV)Hr|qfjsbYzQ z-m^k3W6weJIpM(%Oxjz;Rw9vdk-FSbCZ;52m`uJC6pM{|)AsQx`}VFxponz0Q32OwvIizg%sg zQ^sP^{XM(a-bwQ(bbCT+f8S1U9{e!1Fb-M*xTfNS@E7odyhsn^ou2mO}Z*ncrTre=&q_7TaEoD6f07LScB98Wu= zEWbG^V8f8n1=tF=V+pXtP(Bu|$>KB=dLLbRWI9IX7WPDq&JCF#{(`>mU(_tAK7ncX zO=)d(Mabyo_`}TVoRRk@b@-VPvknVfSzU7hNA(Glq97Vd`?pk<9f6tVIA%MiEDOds zu@lEKG+Z?Hd`(0r0uz-#f}Flo;+b{?UoL5_8Y{}U%XkH_aLb>X<0oJ9HMh(#N%Wi~1h{%{Gu3%fXedeVh!%Ri+jp?Od00#rW8BBxV@J>j+2Ng_zMe2NBKjtruD3$O z84C)`r#K!)VrOS9>8(_oi7qSL1L>0*eH>1&--Q>%K@aG?eyvlc0QK;-rq*d6RY9#K(_4Ojm0qb{Gc|I}en`$}>696`m?@9j-B({Uzq=Gm6WNt%nP!liMbX#M8)T2-Cj_ZNE^ z!yI`pPx*KX-6xLxQCWKEp}B%p?g5PGx{c>*oIBQY^RdL_xa$`2R5|0h$7T)t-YR_1 z&`Q*cI*+NT3*gHj>=LS2p|dO+nhvfJ1dLwPDRxD-XMi$DG88^_L+ZFMfj-Ee=m=8n zUqMi^6_CjFPM<3v4%Y{mds``^z9rL5NKI|jr2qQXTA!_nU|tUd%Z4q2X|j&)Y)21D z5V{z7dyUsaxM~yBfcd`!?^-H1l6F`Y+WAi(lTtb#xkva~F;$W;ejWZ+pGRu_a{@Op zUe4DUJ5W}z1=_}}GNk*}@e|hZT=Z4uQ^r z_P5*Q^t%tptHMN{OP=`@THHAM<-5J-w-51Lj{NI6TCWAg{NYaU&|g z-&iGcNyyGjDK~3x=+~FdF-ONjAD6EeL!rO>`cyUYJhQW3q|mtq`l}Vyqt!IPV^XWn zO>x(bh3iUrGb$-8{=DhXaG|&(ZF)g;bxrhTw}3?JmB1gK2HaxZH<^_RP@T2(W1zq$ zpqIz3OQoFX(4@2puEECJj?Y^P`~Gh3Nixos_U>3>k$hX@gzB>Pkg2fn*q3%FC?SZT`&)1&0IPtEV7irN%}h5(Fx(Y zog3nJqq3l(Jo5Jh7>0F5%S4vQkA5JfpDl<3m9ljE*4ZSsnJ1@0<@ROHFKHv-rX|M} z8jsy0jBElf zT{nF)2<~C#&*8TJx;-1krUu&E?VH*A*XN0GE}XmGca7&!h>&HVz)gvodi(Ha+$(h- zu(9$IRGaxXGR(038F5^=#z=uK+k~}xe{jyGs?{;`uQz@?nW499g0ld0XjnX<(cCD= zxCh@^Cl$1BhupNLk%`Rrvd*}ejzx@@T*<6XuS8tKA{U57s@TP6h!naU0b7{ix7_%M~ z3iv@6z@OCemRPFqLpaoG?>*kLWuMk!AH!|yVYvRzko?Pm8Y|T|YogVrIj^8o|IQHo zcU92A?q4EbzpXMAv<)<}JKsH~!uM!;_qmVycz;J0uU)awWN}YKoq?QKn){OBS$4jt zOej%R1Iaab@N`#YdIPCh!=pj2=d&#VeCIGF^7UUXix4Vzvh{bteHtEnW9;*jC4(@< z%`lR5-i@w%9+lc4hzO70`()lhuo0a!MsBWa=RX<>^k_&Pdtx>SUso*~XS_-pXkDm5 zn56iwON1nPn8}d1B>c`>M^EZ+27VLxGr>syIvqRpB~m*BtQS7@V zBbe!k!Sziv)m*p7;7+`$PO@0e zJ(44A%P!K%3$4>C_k@?nBeqi#g-%+`ECuOHuZXs7C(gZ&%Yhj~KS7U<{#9Iw47Mkc z`1{{Uko6h9MdbbNbBwBeI8spxnF{4Ilk)ZByBHOOKf6a`f=9P=9|+ERD}2>!8V?7! zUdnhY)TPY9-f%V3Q5S=_ULNBL!GqSG43Fv8=h`dBv_CR+gOI-XKxbZ_Csf(~*E07> zu#&Iui9%y2`0MVj{+8hd-|O2|n#)*KFPS8}&3)=?jfYhhdsBIT&v%eDFnoQCh*IRt z*XznLS2v#>LZnoNi?=+EK0O+Q=R$=JM*d@#DjYllhWzD)YSIC|#HU0i!__ZZYN*J+ z^D-Z{JhGPqPOyIPcGr}(T`SCQS|#TMS8eTQFVZ8C&B3HxkE5N`lzIW@pRxt*Gq{eC z8j)|GeYz+Y#X63fggNOH~n_7fyTQ4PS15R&# zm5}KSy;GA@RC7mY%>UA8G%L_%CG>jDt&<11`8n9{g?|TAE8SKy(gOXq@I-cCj=khW7I0?s#WKKz zVZ;>r;6xGd%CyjbQ=a=DfKC*q{PlniWHs)kCPn?XsQ6qE2NH$r)oAS3 z%=XIc4?6H|!VcrYw4jCEtm=RGpdj{cnM%{`Dsdpv(XMKaQ?Dtf{5xU#|rV zMMXtAh*AX<1f)hp0YyMWM5IJtM5G3!Lr4@9kdAaIxkv{gROyLI2O;zhp@q-`38^GG zU;Oe%a-OrhQ+_);v*$dslMU3DYNi+tDnfJaR(4N!g%{s&For_HvI;rSv>o?c<8Y^{H)fVKzW6@3a74pExRLtP z6nkPf)T>$iZJ(km=jdV)XNW)ar01r*l54loVv*}n>ZwM#^2QPvA}s4$bj&7Iu(9_q z@c2dPat?Ly`zq_b03TXPmo@AEsYc&-_J1#|y-nEj?Y^eFF}u zQB__?4txj{ANlX(jOrTbhRoZuMuGDa)Q3DueH!N)A!QtK`NI#JIaD{`j7^rQlty1M zMl8ZS?IA6Y<1h+yewD;df##t)i|WtpwAN&jy)8s-L2>p zv`aC^l(;I6E`Eb5};lvY{#3s#lINkSPNo8ggl@c9<$l4`kY6%)}2FA*QD6_ z6Ni9?)a{B;VGaQxsEqS-E76U|8m2?qAH$ydMRYx8ouDUJSj`U-#*k{-yd} z6c~rR@-pXGrk?If8J;U{j-y9F4?o9+Paxze# zc@Gok&&_H6g+a#4`7aDPzjFRstpD+VHyk$O3M_fJNbZC<*`H~Rmrzh~SD zI(X)9Zh`s!hw>m|qqn;A0D7%`y~%)qzO^3sCqpF{Z`1U#a$MT^&^ZwGxF$l_CM4m{ zjkz<(d8(+X zguf_0uY3i~Y#zf?%~N2t`{iwEyvUkPlR08+#x2n<`A)BDGY{WqhcOx>ha|lF)bCY0~Jt*^uX5OBCr3 zV!xc-N`La1;Kl?RtF1*&|Au$AE6}Scr=u}`TV-ZNi|-+wjyHEiY@6^@IX~OCzAlrO ze4HMQc#NH~X@!QoL8!2|HTr42cSWByO$EcoTCV|@+u>BIjY9%>YTf78te_zd1v$fR z;sFYeAZuo^V@Jv{IuY=R_ts4bF26vtVTEjb#1CbVh%iG030y2PpZz`6ay00|>wb0p z2{RFyoXofp8_Z#~$?T+?&$?{6O#M$O<~JAjh-pL7tNPjS)0F7$nGpZC+BN%YKb4st z{CftEnInpQ%XLFCQ6y>?D2SCrLubNPHh)SDx^?3t|63V6tBh`YwHyShTjz36`5}I8 z`-wKo(;s%SEHd)wNHz0kt*uLo@7`$x>tXL;S&#bSO1@0B)B42KfA6GmG>R%^4 zFKLjEfr=zucOzJkQYgIK%$k6jON>~^9$%}&OgRu__@izPF>yp6H{L(ihAw}3Y6Nd; zsbm@3M?8(LTUw%jzeRfo{xep{bvGo%-aH~Gs%&`lOsfBmpzmYR%YtiHf1}^d)Ktu- zXL=`-CvTMudO;+4Mu5acanZ>~2u)dXg<*%Zz zgljCNzi1qTFUANuu!j#npUwr8i{eeiX@wYyT(cn7Wi4Cble#P$Z_pINt`LwTXR58| zYr)OVz~h~naOjW`Genqq?+xrQWb_T+$-sS#=S)hcGGt~O62>mG4iN^PGs%VsnMdO9 z5;>`w5EZ6|5Rg4{7a+%h(s={v2z*wwULO(RxowX*sGftzFNw|+F$$GMT4+7GHVvM9f6-CXtpCnor>mB2H!X{$;zeF}N4SIe#6YX82jas+fN zb=dM%Y%*JhV&&ddTT*Im8KGzT&I6Pjb`+-U=!m|tTM}P!7_?@<u#HuvCoYAjccCqu&v=Cx3a>@788E)X}@a?yK+hkg;}(I=vb?VxMHe_ zLkvqgw9~oPzAitozkdGpBu!e+;_9#dW^7L|mIhYdKBLUC@p%-!>fqXyWV+U~Y~}A3 z!Jbad}pO`iO0`izxJc!hN{jO&vY0=&H**xVWH!C zXNT`uDW&6N82wT zj^%uUODsC|Uob=nn1ZR+mVDwj9kGj-9JdeyWa2a?g~6@n6!5eJGLr0}DrP0rBLockl57FhZx#QHf`q8=-3 zM~`6t#@*iObtV<}pjIVy&L*5MbYZEBaxGVmwB{!9McZ3801;5CCx~{3AK+97*x13N zw(B~{y@&C=ElnH9K{v?&r{sW=UTyrnOudmz=k1Y2KlTJI=*kKn`&Fg6^}e9utF?dH z!Pj?kI(tN?@9t8h33O=!B;<`&Il5Wr>02ZTUj&uWz-ix3K@!)t-1P`Z0mc6H-foi| z1wqj+;Zq*|A1FoG3x*s-$r)uq=-KYpSK7 ziGrQlLx|C=;Q^7o`v;4ZJIxAJNdpgz=a(VuZN^_fh{>g>P>*`yh zFGQ8yH`|r+5>gfCw9P^by?<3g)@M&I*X;jRzRZvn7jG};-2)a!BxYs!V3q*d{v-G@ z%5D0pbs$?DV%d5iXv>V(uY7HK_O=>t&84p=I?Z3d-k3Ewy|cU}sFUM-rEDnZ`Rz1C z{s{emEAbE>r@X-3?!rKN9QD$O1c>zKj!;1#s|(a#yY8#Ra*ZOm#=pW}_1CL?R8>9S zYJ1FPgnn)XV-v@VJduNII37DF@QL2E+`#k0q%tS+dT&$M@=oi0>Mh581^F)$t$`O; zH5M8a_tatZ3rR~&-{o#_9l!Q5RC@04tTW8~-hRG8D-EHlPUQ6!`Y0~AeK8jwH6p>R zDMHz_=&7AZ-&?7o`g62*faBfb;xrfpQ_?lre0$w8<0AGDlhf|0_mub z>wN4C^X=fp3m}s!dzxlgTQr`YbxRiZ;U^Nda&e^`{xR?s5oQ}iIHhOJ+&09d#_~dI zOT$;cPAELP<#-nJs!%^j{1(|q$I`#SIdO~vy`DA)%X{hjN87QqO^a>1n1trzV{q8G zjQmG);(@8~19vZ*f0~wDN3K9(^&%r3>G(iP=Ri;7(30`Ug%s{a9*Baq-6SnW{Q|{{p^~{Ou+?=YU z!MbGf4e0XhYwE}Mbp(8q#VOq6$K4QNVT~KCUaO+Y-1EC!pM7>0&FkwOektwmwSrxd zulIGlgf4)jSFM0m=W>-iSOV)~S3puzNT-?d)D zh2#g}?oHY+x2rdIju(AzIHM)YKF*Jw>?r=oJekY|Z#6JZygm?c(Kq{;=ts!Kx zB~mz?ovSMD_Vg0<#JtYhlTncSC8qSBqea&l$8Gco#^D=|H=KpdP!*{Ww;+2DUO02> zG2x423(Aj}&PNavON(5%fk_!00``VCk$PW#OaD!7ki(EZj8n0uec4XUYqw*6*!}c* zxbshwXTW=F-PW-VgwrNof*&0mC4g6yX5Z!qO?BXXu2%G$Dt4Y4AxVt_W8t|YuLP2* zF8S@gMf3IozG=MmXO+P@c|Kv=3<;W1w>ekS44E%GnjjE(OIq)2-+i5OuFwK}OI~cj zEGX7<>v9Om@9ryh9Y>rJJy+`3@4?>xtPC;Mo^k{$KHdCZ+@L zBpLd=#W;LBM_&6;sGnO&ax~`9flk+6L9`!5F9!+8j_c!YcN|Ez`eb&Mqh~tCZnDKa zggU^gI`p;^ouq9?A>USy5|bL-E64u3U0wWfb02=m^tD9p+rrwU#bU&TW{}GyH7;CR z*Q$Mi%(-K9k4xe3`6-o(Ul7Nxq43|0a_gPHWVB#!_zha)chpkius5d4 zYlJ-xdLedldI+gr2r5TChTx*wccYiJ|OEWdoJFM|6^;-{=$2UvGTm! ze#6|nhvB}c4w{z~Ck??HHy%K`xQc=1O6N|8$g7uQtiLi`W+&@@`Qt)oX&xUQ$4A5G zJH+4k-l?x^Ex@x3G0wIx*VHn`d-El91>8Tte=jzuWKbV57hksc_8`x7H05tVD(t09 zZD!x$Syi`s7RvA1#P}Q^g<&;V{-{dXKW~b*rFlZbmMv=cFd9WW%(w1M(q5;{Ns4{q7;JTr zgPps7wg3Y1nePLv9_&1M2LoPomuE7d#&0LTgcw8#teskEZx2)aLe|LlfwdL2`fuya zOsV|b!(7~azo*+yyOIN;Um3MzW^vip&Gyjzlf16~SvEAc^$$4F^i^R^zgs2~rGQqa zUXE$#cFy!~aC=*;N63kQzx?@j5J3gRAaq+%h!YcTQ8iCmB^{%?W^7RXxp9Rh8m3Sf z`nd&Gu!UHEeE<4+X!$!q58unL`f{k9F_ap^x7zaQN$#iRu}m$C8p=tnDENbR(C-es zhiL|sS1z}f$56acM!o_c1X6DG2v3uN=h|G}DsKe|kf*yUA$ME&+1D(o5ufxl$K!4t z7sYQyYvtY8 z_t4-A*R_NLV(qnsnXY;By|GdQIyzvPbiE0Rt!d^AEyPsx`M|-_CDqLR)HwL>6!Max zMhyM;Si@{w+$EpfrRsfpAl_qR_AbIL46BpdeGu*a3a#Ad9asP9p3O(}5X~z%94;qP z?s=fGPba55t)5&oKR!PO8X6EF7ZeXq$EPY}pbiKTK=+VC!K{7}%;D{fg?R|KnSL

Tf=JU-za2 z9~d}Tr+$3u_@YflQ2E5rg0!g)zpB7U_H&Y_ZaP~rg!IsbiSR`C&guv7c~Y8#c+E4f+xXC*V!c1EQFi#&f{CB;600$IU#0{zy<sEY>$yhJJKVSHO4_Wqu8!^zI2Kl^Un{_%;N4wAK{Fl!x zPh_78DmFlQy+}wERrrQ|SD<)TY{O5U=Krj?Yy+XqU%M{D zqs!@%_IRmYh5vTbWAI231qU}{EyO2Ne5=o}rpCELA0oF~-cikGtXUy@!)o1+pm^!s zV}VW@SgA)lsLO3u;(F5dlwJMSJ_U17luzLndt-GKoa;kZMJgYDVs2R|KSf-UnV%@G zAKno=Xc7T-jfFSQ(MU|hqRc+%k;6vA$qmj4QT5cA+@Qyd#Q(7OJ4)N;-?#ECt+hxq zz{l0t;&(mdq0{=)rEPP(lMU{(NvMB<*6s`*ZU>xbQ1%wDwho#%)-2as_#iUgoii1G z)qxP)!`CEckVq9+Cfg-%_iv3_((coXr4YY0U)F)rZlgAAW_i9Zc!x@lQMW$xqj%dk zg0~IbvyKCgJ`)fxvmzT69w1I=|BztkaSj=~fQgSxnLevF;_!7;^6qG<&V+L^I{t(d zd>{%%#3Q59L8JqWra9{~+G?TJOG%q_aCMcygYNTrM{|PYqOLw4CpbQ^W{H1zG>alX zN*Xa*v@V2%&%1&qpP+FB%0SaWUbGU9i&YVg7F;&)A%zNsEh$z>btZLJmbA~lkv(fAEz&VCIPUe`MWAPa0^x+FR6hV}$v z`Ap0J{HVV?uu*5R0h|pi2W+zy+KwJ}Am7z6izUF!U^9JIhuGdMbM%+;Vfr1)nmaWa zJJTI7Ysq%I+lIP;kOMyc0=B%bvz?5s+ZGTtkJ&n`_(YgG(Fw7jkQ5r!eA=1;(T8oo zB{xPu=94}N55@1icmZ>O?GaLXp0P=G?3^&@d_o!vI$Qh=y?Z7GE*q2z2&DtsBRE98 zaWdRfa(*VjcL;urStf4Xc);^7;IcaZ!FRsjE?XzGB>C`{c0?c@$32tZswmQd$K&wR7L+qq=T7+C;-AfYNrnNQd+WLy^N`i2fOTc^ zc20j@x9taO$AmB_{k#M&o%IF8qvMLEv*vJB;GF=2WtfR5hKxQXxJf-s1pDJysLeda z(MJytgT~d!q|mTXsl;|b(s-wLN22uhq>q|vt(-Bz^Z`^`+jPqAB5a;2Kt54j*jfaNP!69*>&=y7V;aN&(l;s{SGdY&ea3h&35-Q|dkMlk2 zpB1x8GEkqc&v9q6zm{w*|&B%Lu_~CT!ub_Azsw zNb?WOPLytcygKiy^ZsKpJhOFxSV@3wyS3;8IJiol` z9;rLJw0pWSBS`Rqw@(g6J(F2DZ*Dm^KPI0XOGjLG;CrZCP?&+qA z`n1bruPOb;F?e_xJe(Ti7xm~kx`YN^8{xANpy6l5@Hn?UpC0H&5I>4-|3G;EGazH- z@T7JjR?K|_X+C6*sVu=ZNv{$jS8&MLp$G5Yh5GZb28$O9F$If1{vnxf8p9nCyD<+5 ztm%)lpNM16gRkt9-uKfFva1eyk%$PN%Dr1bxAaCreJN|u%dD=5?MW#Jm;yDaBOM>P z^czduQI*Ugs1)I$?Ke9i1N7>}$@kVC`|>{|-L3PHYpML_lu?jsD=7Mx-hejQA%29M z{f&mbYERVoXue_n8+i~H2Gvb3KpCQj?1<;RRI4|#33kQ!&4w3+28G>}5xsj#jVBOq zvqujBdybRYQ?Zw)Cd8d;-x7=dBcU_(iG3JkLF)k`oN=HkMy%m{GR0 zH29#kB}JOmxdF*KImNi2O{*>_+0Y3lNS3R-o0F&@NJPCF)p>-yqjkP*u*)+C(@{NW zbPh@KnDT{L7-R4r8sEw{eZb2J5;?Ajc&zSS6g|pX@7w6!iz)bBz~_V$f?(AR980Kd z2!4;wWu5ETi50&E84F9IwyL6 z1b2`ix*c$|L2)0F=M14(*D4k1?VSDLVhqk~4DEqSg^=m+?69L@xr!Ifd0Kv~HS+0f za_ufZZ*D#FjB#W90eL#dccc8K{fCVg6&fy)mPi7gX1qx!W}E-vAyTKs_l^Kt*|f+g z#HY3{-Ra({l$i==T|d|ryv&uYXX>W1;;%=A;!v;D)Hd*Whjk+T#mdzi!B6+0{Ee1m zH=B66xLdv4J|?{*uE^d*dEjWg1neL08gp1`Xm^qk1xNT~`dPPzL|7b)_lB4T_wU9` zF?@8svi_kY*Q8^L@U*9aKb+tySwwjJ0@(Yz|M#s#kEK+Qx?{4K^1I65LdbugA;E)A zK-{{*A>?H;i+Yahsy%2dcfC$5?(}}~s6+80O^4aQ_nG0J&Y;Y;)8BgyD)G=AcJ94` zdm*a%#w9{|!B7Eyxa_{d1BqAu2b=(OJO^}vCO7yrmvUNYtE1TO`2Jj%blb$TU9f&~ zM4lw9{w&};m58`Hqo*w(8dhDSRc=91LBw}(YACc(`kmNrL9qS0xrtjbx2i8`km)npipXd+g*~q&4)keftuwNyUSi4$JB#7RA&bI$oY}|== zl=>C1lu;=k8Ue=r=U)nQl2~*91AXU}G=rsW-%Uu_<0q3Ued-P9);o`B?FNh_ zN&9!HgD!a90_3SmzQe7k$=gAEII0l-wMqD9{N~pEvGT*u!&+=&wz%M6!b!a7lFsXL zQFG??Y>|7d43giSl9FiQvAP+~x{zVrBc6!B!j39QDk4 zKN|ExEE~-;NHPBb8u|L8t6fnxT~b6rr>ALGz+_jx1FfGu=*){x4kPV6gk5rg2Ui~vR!l6HJqhxidb1B z#0#bu+}c5>&|WzVUIVl2D$N(z@5jv?Tf$9gN8I86Yq^LL{cPFxXlj;t7Lfks$!p0{ z=91BUs>}%h>v^#Eu8BWh%_lrP%!=57E@yZa?mP&c(-7gicdirM-ZYarSlFE;lK)T$ zwrKY}L@(cDQg!?xI=h0uQt_9Yy{m5`S`Gh&WW9XV2>&I2>(O+1bGfg7slBq7JFeF- zgzc6Ni_u1$)=8ht;ZxDqih5v&n$%&3jC>UDP}lB0?eh&y(LMKVUw_MOQ-d7_dL6cbN;@35!g1`S%CSmzWY1 zM3Oq+?ED9+^m0cCPuA{DyuRZ%hVgWn`lLMf71PH2>Fc`*k^mvG_LPW_G8{s)-LEhU zTR@CK|7`kjbXGkbR1>1T*-%+ArZl!NZZ3Lfp}RUHU{KAh-ytnhOIgx1B+i8YTliXa z8UOOIPMgNQPz!j4+3>-dmBx5EP@D>$Q}j41BcBT@jc{Tg

  • jM!bjp=*7rmccK2Qo9j4X=UHo9$(P=NLS zEWOYP64;~Dg$ry^Hlo19KOW6}miRLkzv7+um9YfV$U?ms2&I_8%lpnx{60=CrEL?r zrYaOUzV_6d>%Za|@~fGsNuPgy<5-!MF&A>LnH}yAgLe!_QmHG!+4%hp2hhg>**oMc zI$L~QK9t1jy=|~ThZzZ_jgVO72Q48ipiI~;9N*!`6Ia+! zv~v%FkZ_9AlHQZXgo31ku7R+Sd$2&Y~N;CzyE+4d7bI6T23vCilNQ205~G7ih!Kj4c*)(u+ls6UA% z1c-+aj(9$>U=pzQXZfQfWRAXc z|K0iC(^np*G#-2KZ*-M>*iU*J1W{Jo=7Z7gkB(*|038h8H)nHmvn+Qvm-7)L)|xs8 zKC^9AZa4ak;>HS6oM{g`oNx05!4u5X+9UToRJDq^i{nU$B{y%js!r^|L;`nr(gLy9 zt3L|kr3w92_$)$oIKkpxsNfpAUoc+U6E^cMqOy1h#Z7Advb0S5U(g$x!fRcGpyvh3 z>vhJdodGX_kuCFx+570ES0IY*s-Tv}Ccq6kOV(}|9df3>S#R|7T`HbvL6Y)+nkI*Zw(^0VlZGt0EEBRNpsoEk}a8N&cUrrxYD|pR=RHt6>n)mrJ>`OCpur!7Kf(o@*V>t? zA{SXk5ex0XuW5Pf<|?f!gVqrf-Z)h|InJQ3J)risxSxbW{2{^=3U56lNPX2yXC+W3|Ug5;xSR!WcDYUbI_~+*kUE>hR-O!=e4{A5z zXmu}C4#_(00RQtc+ylf<6H zw)ZB`h}{ZLXhg_;1~*^)fuPuheL=BsP{~`TKwVZIE-erHj>#SW|k7Bmx>q;eNK+j)oy z4vOHF+as@_xviraX-laVSQX!S6j`o)T(CP>CnlHPH2q+PocJIFlj|ELK;MyqjP9P_ zxfA@#-I%W33)+|~znghhIe53k{dvX*gT6@>HQllr{BYl(x5YD4mhe;m|Ct$~sgO*8 zZC*Gjnj$9S zXFYR5`P?_ROdVe@6MZX+* zwmm(!s0=__;pd$dGYJ+K;SJGp}v_;5?U0uHd-$SfU6-g5ji z8PNCy_CvnH=X)<-(h~^C-X595^)T~zbQ)!9@!(+srrGihU4G~KIN5VRen-#>b#Sf4d`ZCjF zQ>SDE{VtV4l&^3cNI`#FCJhwxVgv3zDH1cNe2VL=@GxTMMZ-g!X2Y$TaC(-x`G@js zrd7}c{(rzj_<%SVr&cLsE9MZl&xXTV6q)dPkxj;p%dF(G_~GkXWct8R5a4WIsGVI_ zR}b@qYrAZr8g{q3p6%?emFZ4+pgoJrpA!9A9O{>Wnr5X&hhGL@Lo27>9!{F8lWexM z&<|!|2I5JHoR9uBRc9wsu>w4y^0j~E0kduyPi2n5A9RB2zkn*2ISPR-_N~ikGFHqA z1vW;WJrxbiN26^z(Rs_tw)^nM`x<35bP3I`iPgA_1or}E%gWF8F%>jNu8l})dF62b zGd!zk({5GFKW#_wBAoP)-Et9K8EI|J^pL0X%fswJ$`v$l*=j;1&FvYJq`=0@v!^e@ z6F8IKiLG50f4c7mM}zmft%)lN>`NDcv1s_?zYP%E{o?Y$el@EcBr>(qW50^Ib@L92 zOB(C^UCy#U*&`AR#zIQFy8ImAWvl6x>srWb(P|zco7H`PE~3Q@nlE)2Xp6sG;$v;Y zKK)9#wY~4~E{@;(0QeA9n~!+#MpTRjo5=X+=_iYr+jgv8LlU+Adrf+!NO~U%ztuJxf~@yDt*&5#@-860Y(-Dy!&ssemvJ&UhD7N zI$-bA4yk1^v3JM1^I1wSGqwVB;jmgt#)NbDRu*7D3w23) zpvTDO$18!spd~;~^wP>$k9h@*L?{9##Wy_>lsPl{$%F$9>b#qe44~U+!h$;g;Wty7 zW>Zal&m@ciM`gyjGz}B3gavsfq@d&U4YE}CsN{3WsDwnKhS!(Wt7T|2edyK;;zA6a zt@E8vrW3(6+aIb@_OWu{_76$;y}E|pV|0UQs_y+FG^7q&WXA&2B`shsK)!kX4!9|X zr7`*}_Y7-um~Hgo)<6xmN^kHUdohB!d@^`304`aE;F3E8-&%DJcx91p00+97bW4X} z*uzIu%C~4wakdegbs+>-j}ocmlf)fNVM(-H$Kj;(0M0P2-txtO}jJ_#@Ali z*AYeJ&^PXJ6bK+R*`Qt8myGE*=cXDoLs{q2-qR*heXa;FoLteE2DhdHMNEC;;zH$Qo^=)+RtwBP|2~Bi3*&3rbrH4*- z^MwCc3A(fys#wYAf~nV?IcyTgho)TSE?@=+_#q+T)1!6yY-|N=V&9Yj<~$Q9Az%s< z5=IR~cvP6^y;eecHj+me?`FqGzuAKwz72NfD-vOgCt_Rj;6JpBcbS!%q(yRt?IFW4 zHvR1ctKOw?{6*T-m#F zi5H9p(7+HIyAzOU@!dX_t%Kui$~ajwF`i3FMC2oVVAH!co5uL-GNnOYuwBn!P!qneF=-22QMQTp=-NI!~|*;aCAz^mA+(I1)uC9 zhmPtq;C^DA^`?OZx>&&iJy}%^N`mJ)x~iMr7FlyntQ2@GeVYjnSYenz-S~GKic2d& z6Xw+9C#Y$MRr=0XtIs_K^lm}b;5qD&G9;7{VF%iR(0RM8_H!b9QQTQeuYY8ka`a*o}mkl zxpjcCj%ygR5RW&1-}}^i<};C;QseJ*MZjBWFkTzZ3N|?8ivwNQ z`iDM0ObCGm$-*sZhxtlG6YnRUo;`>Gp`=IP$TZAKtm#LtvVMA-)F!Fbp4k>vquDkL zuROQYRN*v&Wb|{b2$S*4C7@g3z07OCMCTYHU5J6^M$YE3>2F-yX>|Zqmk~*QB2ID3 z#`*;xfU^Drk1;TaFt)BR1GzN}nlr>oxUw9B4}cl8wE^%|cN_c9?EMPhx7-w3-vCh1 zWdJVK_G1k31kHAije-einqiP85jrrG56_MIJI8QQ&P?&{^y|OxYP>Tr#$*VEWM-a^q6h zBv)ingg+fL+K!#R-SAs#r%8el^LOEbpSYkeQVN(hx-*2zF%1qV;c@s>3wUL!F?}o0 z2HWV_{c1{{WA~3}qibgDa<+@CR6>gQLOuYEcFQlpi4%t5Wk9_ly>-L@@Eh~9*n;CP z9GK5m!NCqU+}tkHTCRj9>>0!Pq5zNGRrCxn5Wxx{uLI^qyBx=gUX&o43X;Xghd`oy z+_78!bMX}%r-Ih{#MnR$)iZIreQ;2p!x#~f*+;}y8Ug4VB+YLV@Vg0HF*u)hb=U)H zv=|u<@4Gw3zzVMbe>IXa+&6R1XERH>>Gml1hYvjis=wy8?fvP>1~SVk!?J zSITYkft-$|XR{_WN0semqu$~xa89i;U?AOu1*2;@1bbFYk&zFnIC50tf9Fg#g zVpQeHJx*zx{Z=Jh!>$ExM0rL<$I1uH@nPA;P>xO+w?O4tl zv&*@+=U{$KBh63`v7_J^+OKwW?hU!WJVDtqAT6FD1*S7&%GfWS1&bsh9vxvT5Kt7c zxvp4A=wN2RbvT= z7T~^EpY^CBs~0oW#(h0sCk1%L+<2yE``Z^p)kz5eodg0D5LSt3Wrl`R3Zh4?c=nZQ zJqp+0c}|gPsY(f4SY^&`#2w}*udt)~4dFR_j2GtKs6n?WwD**e`q4qc^OIRahNXGLN|OCINw}Iz zEI}RH$X(O4W-mw%G4?q~$8L82u*wBanA5X@dk(+X(_7UhWxstxKe0Eap6F%izb*lm z&wg{Da!sF7`YDDMPmCm5=r#{Vg2TQ$eT^gvbdbN(OLSVvY_C^{m-uPD=)78 zb@KE-V&v&Ih5L%Yr$%tm3vz?w40_!y=LBgpf`t}(-CCwQzox*B%CBGe>VR--+A}^R zEN653U%!J@)_KAn>_h#vhsnlCx>-kY>tUYhmyGOp+oK}Dfe>5^PHd~vAj50AnF}6M zQk?GM-ffOkBj78`k+cbASs+wbeKY>oi#zXKu9trjLUs2d6S zpwHY5j(Q~WgZ)C7r_jpI8Z*nq^Ud~nYp$L!j^rf};tn3z>Q9-mMp?dv&AXQ`l`xy=pxBs!H}H`WraxXb`tFp?RTn0|POHxiUx)33PBi zioA*8yU+IFq4)n!*R_^O?yVj^9Y?ggmF+=^tO+n+XGbuMWmsi&(78(lJtrzGDZ$ca2CgMADsWyU#XZY^*lb0X%xmT@dj5$-=NX9`L8LmDj z*z6PYzol@H?K~{oCo+O96*317$ij;08}5j3u_=x*d&VJp7UY1Pj0b4#VGWKq4**lu zofio|)-Q?45!mG(gFmr~>oJ_I1$xea4ZKYlAPXBgMNU0aohFRhakdsh`Zj=8H5!h@n>rMhmTLJ$puz;Os zS%scPneF5O|LaoX1mZP`t)P@)_8KX@Gk6{RF9(Jh;CTXjnh0;R0MgxL2#gjnA}59* zCJoRO4SZz!<||-?vlU~}cmD2F!8_Or@6bP#8%?hdv87|7OG%VN(NPZUkOl@ADehYI zobNBP0iYbtJtPG^EDLP1|92;>sf6RY$skT(O2!liZa?o*#cmISMD9RNCkQ_8j-J_bTXL;t<<`)h^YeG?gfx{JCXa z+zi_kXpnpTMN$F$$%wj|3=!elgFJtCej9s&<7Gz5q5gMK(+fd54%>M`JS8CED`@)_ zsA;nu_jPl0=5RK`t|^k`ECE4eMB=bpL32$#8h9!+a{6F?8^lXrgF2?#!`HHwKKE$M zu0)@ku3*YYU=JFs4?{UV?6B?1f$H@gb8Qu--cCc4SiLn%M>J^mj#wIAY`@r+4o##? zxhuDAV!T$fCXI?9CiG;#zr?tE%uQL@7wKmgSWk-hXDEptf@JudCl25Vug&Jm)Qg0YmWvN2-V{3 z>tN&nYy{h3$L-uIpKFgYzv%xO_L=do8OHh0;Bkz1&usB(9-8$NNO6M`Ra?2I6@(}U zHNgK?S@EV~n}8d0!@o`MObErZhgyb6mw?|ffHjFx%sXZ|9J+5dY6pUGS@>Cu>M ze-zu}NdbM<9blJD26i3Rqt#|i;`y5A;R9VPv+`kw;Bg?Sr$zcYUq8@Q0_^>b?)*C9 zHu;j+Ev8-sGnj{dv-EomJL#z*dNM1L64(K-SDkPFOV8t>cgpabJkiqv^s8jo>WpE_ z*^p@F2oGH&I0e%sTaG^*2lZxuz%`vB^4;2;{g!;5y9%(K@-b+~I*@@2t4NO?^h7(G zzp9w7_rhu6pAa)c_NRw;;64eaeSzho$S2|Y(qF9m;01&I7ys@AQ_8bJWvzmVd z8t84!=GbqGe`2p4X4rmGF(ds0=ex@uk3b_%AIkV9p~Y->IYM2g=uX{VpmG5tiCD?) zOmqyoeIr}UwGLUWyNO>rh#X*KlM1C;)&sWbI}y54zjMuflL9KbO?l|h-1(hgJd0>i z(A!Q5@lC-$vp;~Jq=yRO0|pYE(d|d@{f9Vs7_GToezgG%<%Z8!&uW}Y#k z_MByDeE{UpchLF+V1c3MCLh_JCw^cr`98pHZ7AL>AL(>?^TPu>mTXUaKQCRWAwoUE z#F}xF&f;SA@=_Gff~MD}Ga3*W(wuw+L*8-_D$EOq7lwh1QBa^82)d)Mg_^re{cyn; z752sl2r>--jvYzdqiuxxSEio`wkK4Wn01D&~(Ct53-?dH+^2 zAvLwD*O6YH&&ht+Pie|BBQuEO%0U<8tJxfVsG4d~R~e-HKV$tl%FV{SJ7Elp>)P0Q zx=e3RZuxZuYF^h%sduyGN9Jhh}wUG&tj^dPIm$6kfj*?U>P#w2_w?A z=a)eJyrQ?DP2BG*ruCP<&Y7H>9STRbULYq&<9E4nx zi?ZbwsJY|v552~QE$177_4k!`V@}};zQ7Y?n@Q|6X;phQ?yAAeHXqZz5b*KQ)7QYU z5p{6*9Ih44qunV2$;a$1q${t66ha6cQ6s=u#O+PF7N^HlJq%6N) z!(!Y|Y%{|0L9@X&itTt0vEC}&YCf!Mn)V>-oc1&U%hr4NwK4pK0G)BbdSJH7jPHL` zkEec$`C&&hsui^3!LwETMvd-GOeN~6K@0)ffjvU7$yr~Z)?-;kn7jCm*4Rx_6+NCZ0w`0!nUYwohBY&Q`+vWEf>tbutpm=UVM!#H0}am&EhJs znPef@8HWn&>{b8}WHE@thQ12HkazLal%sj@Gbej(0>qZJws8V{6c!8b{q1PW<7Nd< z^x;#cnXt?jVqbpzIBPwm6d?}oqw{u?b<)3`1NmTm$+f`x#2E0nRGK&+JuqfmqW}0J z`MS^TKCL}P#>vLf$HKHBT7OO)*Zg(AG(eOZaq4&6IZx3gW6IH|io?Q@xtFrJffbX( zEsdQEN3+`Zee0Bw;|Cp(l}4oe;TM}{a{&{I#}01?SpPv6;#mZGb&55U*x5xrobIuT1BGAK7O(@I|HaSpl9!1OFk`r9 z9;mh9Mo%4$uhZtoOkl+SB2!`X!~!Z~8R~7gt04V1qX~i$u13 z5B!fHq`A*-R>|dW9{!&2Dq9LtP4tII7zRqRFL#xJVGEaHKwwNZ$*F+bo=-8bsbC&aJf@`ESAv@=siSIXqfUa4&)3e4JJ3D2OYF%8CQ}Y=gJz zoGQ4V(F`AFS6SozS)~fy+eiW<(r5;iR!4DFb#kuh&lsUy{?oAT!e<3d&BnbOO$U10$MC+Ez`UjAyH%k# zyI0I3yMkobPI#B4YrA3bpa0$dK^n2&dGPr~#^*n!bq?ugHAEyRh@Z@KCyYxVlx4g+ zENYt8VZ(yNwz8b-51a?%4gNfDj$$R%baQP~eR*+rO(%MJ#pxN(7J&HmO|}FxmZz7^ zaP%PMJ=Skla&?eP#~fd2Ku`#1$92w)NL{mp`nE0Bz#`fhc-JQ}zJ*~jYPQec`o$b~$^rp^5CqfKIeK=Hlb=ABVq|>X* zjz>y@^`r{#rd#XEC8lb4xRGC%pJ*QM<;C7tY56uyw)J9WgxoH5u^s2oE>X)3e_FTx z-o-fFX63QzH^1!dc*vuyqvHHc*{qk(9qW#E^Ec%MKvw9lg?~YEc97iR@0vPa>m1Wt zA%S3nHtAg(jTW1g*|mQ;GUMS4oQF-;s7AZ@*j!F}Oznm^neEzz_w--EXzDMc#lq6He?vkDJ#l^OR^TiMI8(Kzh11MDi z#AeDv7{m9I<{m!OMSi_#*5JNw^cJW_@r_3M*FQ#Mfnd}Nzb4oH4h{?LRJs^y^a;hXc*-h`QUy3zu-Z}Y)HQM^^F<)w@cwltrzFwp7-rpUN0od zuRY~w?R}BV1O$mwi{UwJn2*K}W=Pk~Nd8<>c)0&d+7K;JV)t zT-i@I#7m(%o{DqlaoGB3;*0zYwO}tLf$CdKO-?CDu0M@S-8n_A2d#~64Bkp~&v3Ww z;J?47q@_KA$I{l^vz@Nl{0w?~tuXqIN~Hfexn1gu|ZmDPhRx7$8WV{A#6N zDQ>CBdr-A;{6qMR613%WX+zjYtos0aSDNvY05={>l?hPyNC088KKI+?snIaG^O-pA zR`FsqR_#(>G}&c^xzJ7{7CW0T%&ZqAwm?zf%>8z+Y3t~Fh)60|lHlNFQEVfEub&;}=^9Pp)ev=zQ&jd)Y2-#AxrV{Lo$F|<8lsr>= z0*&5N%RV$44(mwU$e$~NzfCRHaNH0FOjRssJG>izFZ2Y-$akc2wx{iWCaw`XHzfb{ff93@8W&gj@U z-j2iAyn{IX=Sx7fbfRvFMV;>E@#V388Wp(WLb=QL}!sg&F_gJF2O=fOjoi9r2F3I1xv_@UL8U1_&uRO+x&xn)dG zZjL#pY&xjaf3tg=`)kz!+}ri#U_}YJcgkODFqG^l5qpdN(V4-W?TBSQEvu^+H)DTi z9AuBF=kF~Izdqu{D$#p2EEl(rBW_W)j zP}!jYdBMh`*oI~zDA%P90UxR+k30|K@nY8D=Z(qHCosxU1n9ue^4vBBV-8-bSE^9mHl1)}8^;uw8kdJ{N)hXIbcI~kwpRw_pR54llf0hp@# zI;QsEd;50EjD{$idc^sSay!JLZQI4_J?Y5|1PjB1_J`blVA|V4RoIG8o5|w5urO2V zZ4(^xNc$yel_K*$9$r%z*~l6Cm^yf1LN%X7TrsL%JY$B>dhV!^=EN;glB=4MZ`kj~ zab5;lalNeSM5NH1)#S&%kvHmpPDIN(Pr?bSuA|39b$$}O!yo#%Z;0Nfozw`oMoar$it<4-=%l`B%pTM+?8rL#E{yeY=4a(#KGaW1DnGwYI=`iT*zy zHIYCi8(DT*UJy$DGVE<4xNQ9Tl7INVK$h|3ZyIh@1 zX=dEF^&8(G*6iqKuc>qXhRSU{>DbSX+{69)+>N;8iJy=k6{J0fkmVj= zy{DTelt(&YA#VGQLdz#ri>3*0us)#r zN+LyT)60pzyw1;tXKW*mC2BVZKjbajHTgv`W|iwFb>V6!3Vu|B4+@=1OvarkITvj% z<$Qi6WRm55PF7RJJJ42^Io!Txqh_}=d)W4^ zyOKrK1wR+we_nW_96g$lGN!HnB#L4H9GI&MX1nl&^>d3Z=T0kkD)gQm#YA~+&VggS zqV^UDS7ppKyf+Dg1cHro6Ym-U;qa7(BF^G%m>(NEJYEzFtyGy-RB8IM@4?OAD>a)C z`>D<2Z}Yy#5Ya=dJ6AJ8&@HCli83I#^03YO^%GjvCLGBF*V{4E-eET`xD}&W-xIRq z!O0VP*0k@DNA!?tvXWL&;mj63XA)fDX}5gy1f<%310(qLItzD+%Bg<9;nZXYa?!-i z+fK!rxBD*>ODmUPC}ew|fd#6yl0^|>sY(7Ku<{3B@qU4eRs1@v>26k&DQzC8Ag$u# zd9PUDxZTsOmU=H+_aqaG&91BQE?YN^ntN5|mXXom0i|YB<_G`&%?T=>pQK2pz7Kl+ zgjv-`z%Jmd2Q>fSn+D#JB=-XkB!6!*69-GP za4mhw6k&X>U9ta0{DXuX&~OL;`=%ZG43K}Ypb3xwBU5wQ~$O@b?b zv|0R_U8r43Q~X%oJ;1X_Q`?EMuJL3(2!^ZerSP2i7U?T$*>GPth4dbwmA+36Bxh&-Pw>+QQ?|i_Iv0M!D7$6G5?Uy;ODY_~`7T zJ5*PX)B!3eREbPK+KYloTCYEO@vH9o3aF^~{RIrI7u^cut=XulcB|PUy>Fb021oEa z!Lj0J^zCmoLtUKh?x%!ZB5T4ZAaXMB6ds_?lzlJXM&v`2)xCy?#zDr1vnIzfWSw}! zY1(%zu^AI!zNBupXLW;fIq{b#NNsonv-yQ1A%!<{jtIxEJc&*_W(uvDEYqrSjqMP} z-%=gt)s2G?YH-O=;l^4Kn-^TQCX_SReN(>=T7L07OLmqQNJjy6QCPpXtRof>nI!dg z)Im7ws2z+$Q=w~lYWKmeE>9r4Kr(ip#$RnIl*STIk?r6%bpV|?N0BquSVvDDS7Xn4 zsFp}N{;pu(lkbjNAS>&N&RX`d?2`<7n#MhDS;+EA@BY74ehh&M#1Yhh?q3itnU!g*)%5npQN93O@)zX zJxihqQHbhchRPPKWN8J=9NZVeq^JvXj0Wx(A*12?LT`)gUbE`tQrj+n04TxJ4TN|P zMyP~In%=d-2e1h2xr&Nu1=o|Fp6)Ofr=J-@6Kimdso}Z*F3F}aolWGst|@EXnHmne z8ttMvbVALKsfW31sw**!$F$fMe!&?-WaY^R9bpDc0s_lS;VY>H#~CsAIv!?m{C8zi zRJ;BcUQ~ORZcbF&!rS_;k2cZfu8;38=`4_T!ko%pY+I%LTd_PLU+ZBVUmzRM` z@s?2yT!yIjc0Lz)sPi5Mm|T5QKe(h~R6>ow?*PX?sHPuP8jiJnTMJAMX@b_*!POY2vg+_@m9>o&8}Yv{w;n3u6V53AbCR_cLEUX#E%edYLjwa7gMS)X0 zXY%3JSe*nNDYGGa-y8gOpA&KrOC)QG0ml{?4EZaSf~%y$l$IEg!mMkWu+6l|5KEUh z&=EHqvh3bOL0`rbnkyxh{04VfFmb7K^__`uAl(|A1yTwwkyR>S5f4_XuS|>VyC$NP za@3xZVmqK!KbrcvDPtj+MnK2S^P$pp%N_@t1w|3g(#a?jl${1b>1-|ZlugGLKotJe zUjIiO;bwe>ukD}mOp99-;4zHCGl@a$2dUzXGdW@w%TLAh>d!L=E-J8~JzLa_5&e{# z01T}#0bVbr{!9K&#&tF#hOC4El!hvOY&Q~at+v1ZH&L=uZtN}=-&rO@;uBdetJFL$VTCS>;Gju3a!68KDczP1lE1O_$nV=wVuu*OnDe^7rzdV0XfZg)ko5wj3O9=8PlP0vR$rHyiC9th34?^| zL^wu=*csN3dNfc-V>%$n9e|zP+yR2n0LX4=)^U$@{55 zkPdbFMT;QC)HPx~p00{C*x?fABB<>=h~r*`1htylfh*pdZtt@%5AiFvp9>Blf0 z9q2{@@L1z-CB;GDZhH{G+bDC9OTNZ3E$EZQ5g@m}L$^@Fs42)u`y z{vuvxY=G`uFy!DyJ?zR6Bbj>?)*c<%dhrwznHxTst+`S|WVv#zOhhw3>KTkG`|MD8 zN5{E%j*cB$PQWLO@=b_&3w4!N%d-Koe>YB26~xW_gawij3|v}mwK-O}NMDqsnam&T zYMlsI(N5`iV_Gn0zy9N$%e5W({0sv67f1A#B(r5kBt=-oN@G#FX&UJpRf_1S%k5f6 zZ)oQJxg5b@BWx-hFx-4|dacPY5)}8CuEK+v@(h$ zmi@3#Xoryeg*l37CAA9-j)cn|g({#B0iX}yb*D0rX`6Kpa;egw2|J4ofVp;2_q77% zsPVq010iG6D?4r~?u^npMD=GVTmL@Q(G?yNyE*s-Lr-*DBof)16sRaS{`pQDaae5k z;jpymgt|kE;o8(u&{lPcLDYVQ7XiDooYjP}MNPVf|2O#Hsupk0QGi(Md$R_|>mGBl z&KO{G_5FW;RE!Fqu;vbN4LJS4PDG6S7BEv?zKsjE7Hjyqh%071PsQi(lD!INq#T&< zbjMnJ5TI?g#q(csWlY;%%v{Hg9J_*}J3Q4_M5H7A3U{)G7h`iPptD;l)*oK{xZl*w zv`YG9R1~~K3HRJU4u7muH2-b&qZk@5>lbp&?r0?`HeObgWW4!dNm;E~%$a&_VOz@L zPh$_kbkC6E#e9K0X2w9IrShYw*`OQj?$3|%7k>^#4qnvbRSrM(FcXhTuwTl;F+6ck z>@im7?3P2lX!|b`QFIfUD1p$#Estrz&|Hqvg?rbUi8(8QzA#7W52qJrLCZGQQia}d zvGwVB)Nulp+NT{6FHDAUL>r$*!gj-Q++h?6B4+n%OOjN4tU2kl!K`Et8ofhX3#RT9 z*~X9?oLNV2KQ70rfpW34nW=1}a@a=fIZOq%Ij@Zx`j1Ls&`<*~{!7ThnQA=_HNtk} zS2ggFFbZz{og&o)Aq^EKvvpaulio?R`rd!KyBbjitp^p&CFlY{++J*>$y7aJ&cl!+ z0z?YAuld8!sw%K%D7EzuruClb33AaPQ!Za8m{QoHjSsW(&zw`St&;1VpN7W?8Q9hf zXFqdBHqaU74T1Y^m}N%m&}bLDG}F$9Fp)z$HA>LypR%LSm1$$>D#fH}mKHz9oa_B*)D~vk!;VYJM7~w5vDU{V zbWvV32oc0Ev_KE7 zzAZGzb6B&Ig_~|O7~Y~F6&4oINK0`HV4JqT&fIEc~ z0(If4P#i+^aRwd<%Ve(_JuTiqNym8SWFKIX3d_}fRsnVhbl}K-i!%7S9Z>sw2 z)~`lx2S?TiZ0R&{^?utMs{_vOIw0wawEoGHre0BB9-c#dMRflgO9tB)`F5tHa<#hp zb{ZIjx>l!y=+3A9;!Q`CgOI6w%mK*MK5)74;71D~cPjzU!<4xnlA@6jlu;#8PK}g5|$up-ZwIQ(8c+yOs+xo9-s$7HRQ!w)_rVf|@eSR))$>)*O7QU;C|8{X9L% zSA^TaQa2=#_;oBLg+n7Wsx}?;-*H~4u%a|kY1f*}XzMiiN}|YDWZeIuuGcRIfuoT0 zNUD&dQ$JmGTGbmZ`LUK`Kh3+-=;)5K?rQ1S|XnaD1Ivyt}pzp zInKFl=q6gDruQnZ~xmiadwmpHGErA&LxDv?6& z>v|=KZ=h_I|LOXH-2Z>?l3XDhFNKT#>3(<>B2bewbWR(z?Hre6arXHQDanGufBKuS ze72Q9%mtkXVHBS<^EYraot<=WI}!%pAgprxG4aW(K5?YaJzNew4(t5-owlB8P9kk{ z9A=<~GH#!Ne9Nz~utEX(Rk<^%{Bhdl9e%O&290U?dXv?`b>Lkm%us zzBi_-^;Z4M+<)baH@dJS^8MKo$u)nWzGq!kV-mSJ$XhF``;2M*WS>rrnN#iIR1}D? z`!?<&BEItNwE#L`4&0_LOjaPq{giNKUs;NJH(vGe@Oi%MUzsb1tiouE53XeK8 zaqFe18c%bomYa;I*?jjH5M;n!ak0=&*K}6&DC9(u^NFFU3O{!-%k9?7KX3UxNL#b# zpxS+-NSwxGIiZ#JRCV5!BV;D@#-Xle7;XC7_~cYmHBaP~^Q=nrQCH>NlFijql}9ud zlG=oqMh<60c_@_o{%nVlh5s3kf4Ey~ngqH0{oDQ%#INcFQ3ri^*8ckSY=VkGZ+YUt?*;Hddu${6mSxv3Y0`lyC++H2)X{Bsc%D11Q48E#`A; zOhH*6$vjl=93)qEd*frVk-1RRIleRL`4$$+f*f}oxP*k>m@E?H&)#MTdy8%5$wdr2 zmzK@T7-fB`Ccy?weyOTg+gH&y#`{-hPrHAxT}d;zlU^_IUaJQq@n2wVYVw1pVwZ6F zOx7qn9XeoAP(FB~teqr)T6(kqlVvU9c~v%k&sCESIPXKegUEH^u}1^*%&8cBtW}cz4jwX!HM&*#o7+|M+0kGe(0zw&0_BTZ73;c!JT52BG^r zf!y&JpJrh1fQSj(}Y`{od2u8gfPYc#|((s}W!=xlY7}g02LWOnVaHY6vJ)R?RAq+W3gmqN; zJLH|#-g05Zh;%ZS*s%&&3vP;Ln*a|0ZPrRs&5B?xr*Cq~Ks!pE%HTUuvv2%GBe1iq zxmY#M+%?48S0Hd;Zg7;+=9S7_%4r_L5NfcfYuF)^2Bl!5F z>LA}S8!Zr|Ud9F$+$UYQVSZ-gpj~`RtqqFHXI#M4qNA|qG*n!{paMe+u4BlaRNQ_> z)Pkq|pek-zT-4XT zrzQ~sPiv5*=+m`p?cE*9HW67GKWq7v7JX{4V}|mtph=WE&Jm-iy^E3Y(g>T^w&GFD zSO2J-(9^(sU-=@(2Qtm;wVMjt??~q*ZdJCs(Q$OOqsP@&b$`Ir5&v6zSHE9`%g#g6E+t;9fUK(lc+>-^`f*d~!@9~$9Gdzt&rbCDS4r->z zco`4oU~RK50}XBw-c-Isxjc!y+}&^LmgEz5m-Kj*Q`QCtkUssZ=m%CaSE^TU2N>4qoV)b_n3c_ zcb8Wl7uv4Cq_hl*Dy7stE|eLC6|8BE%?VkifksNWo?*lfw4<=O%rMxQFEFB43kqZu zW)X_OhSCGTF^GDF%mc=x>?!pNP)}GpI4W5aDQ(Ph6;CC0=jeHk@@fAW%blo{#`TCb~&J~f6Q3*ALI1RR5fMG}4dmOAbiot44KozmrwSJ{ng`}6Mw*X}tr*~fd0Rk0`(H$sB zB?i)y?Cban4cbLk_Uk59DsDSmy??pcW z+Br?sYiA3pYd@+mlD#p>Ly2Y>><;r3B`dv_G$hMpXruBj8B@sxM4`J7hd|fce6x># zw9SDoJ|Pi9@&)~9vM3SbqmIK4ejPJCm730rJB+j<*^71Tv2 z#h=}+H`%E{a9(fWDr(mX#%iFPVv)_<)YOstfa!kEm-t3PtI5tij;L>RlSl{%a`xsj zl>(E6j6%gYMitjGX1Q~*U*$Q5m3mbcdmP02hr0|Gt~wWEy{?u>XF^Ow%;foS*jyB% zaKp%3N0vi?#r^#s3@`JOLH*Y_s3rGx{OomwTy-jCs3 z0n%LZheYIXk#khJT5;S2J@oxDq-~b4M0#tw$radta}Q01tPTVHar50E&^k1&3K3@; z=_wk9VKl$3LCw4|5M8*xc48``FEnUz^!;QVbXD<<$!ljm09SnvC8;muR6N~bjtIC4 z0JH|I3`bV1@m_5EcN&bjJLg_n=X3&X^`6!!3U%c>hg1VWwt%V5^Mf{?E+{VW=yx{k zbi1RjCF&YR+%6hcXm_UuJ9g9b*waq+Bec>w8EZ0J*_Kd%=Bqua_a`)N|9o6$Lfri& z;>kzWzWLN%dEJUtqCx_-b!68n{H)-$N~ z?BG!xb~f6CLv3dpoZZ!@;WZl+@P!K(D3kyi>oiqo&O59>;BQ#e3tD&v5-fQyFGj^ewL%KO zFSg1L#_Ifor(FxoCDYo)m zQ28^nxN=*Ll09)UO1r;aw-IjBpytLd{@=Cx!#9Q0iVZs-bCG-kS4azfS~xA`?_$Bs zlhJCc(PJvE-aMZY%lFy;`mKh?4a1f{;Mk!dEw|2Iz^h`N{r|P)I6^G5HM+8mvS0dI zWlk=-8z|$QzRlP71wYLOJ%L28=MjDyAb1{Cr7@?@IoX;1*Z+khTOMMGhe)`55d>xx zu=q1Y-iL3Vac0x?U9~+=V-b#e&HjjG)94(F_AiQ&|GH@;5^0vLl=UxFFFp0+#_n0~ z`|>4n!~EaIUxU(S#$Ud&?$ww?Rc4H9y2O}ihFOh|CS(;JQ;XnVIJ8E6v(BqHJ5$owe^RT=?4*a*W_BOxlV~+=(5Uxf zSncZ{{eCm;*B3EOKPat4H6{7_{M`ycwT92H@HK&?H=OHf!d1EatMv1igktKdWlk1< z|BXL;o+s`<{O5)ea1+E4qu!&#|L>|%;S27^Em%FlrLJjoz+xb;+Y}a`a+8biFLb|1 z(buV*Wu=T)H=3Kb<8rkg{@|1Df}4h7;+XyO(~=u|3Vm7?Xf@sva>u19x&FlQX#HRPW&Zm!$s?aH MYX@4h@ zEbOeTJfx~Jq!K_|ThjjxB+-HYw1R+8=7#*gGaJnRogi#^|9kBLdDae>gxf!RDF7{t zH7w1Jfo*yaM*D*;08)u9;H$A17Irv7L~dIE1`Omd55+IqAHtC6;>rmTx#Tiv@Ciwo zy=%{Na+9w=pEe&_4t+1YH(e&jcvkuNIMwyv&QCs%>^uI+Iu@zFGzC0Xfy)9O8M!(Ws8U6-nFtqD|=U1^;LISd60 zeu8BNhQB`64#898K`4GU8m7k=8u$lEog{5!*C ziT`1#%urq{@iqHU=~&^zGUG)o9VdPoLt?hYBG;S7%l)qG&EJvoxjOo82k&QZ!yaj0 znx_rP;l(|nbqnPui=@RGt`@}`hC9Qe=jTTUYMYF;62Ei5*hkv8$xGk8Hv(Uu>PMFP z#lddLc}d1tXJQS3#8df&asL|`-&y|)*{kc@7P*>jO<#WBDxZzD5pN|M=|;Ja4bbuE z1NzPR0_bdXIJvOoqd2zZa|Y=vFJB899xop`pPilQ=NHfGJ9i7VReg!>k6pSXNIu#} zlA{_OD&8?kZbV1+n|ex~8y+7Y1uhm7)(moVhIm=(j$5`Q|IISgwX}>~R)TGl#)f$I zxh!+AH*ndt7nGmu-VfXjo~FBv5y|GCA4W1TG{3hpIN`>&&=1RQu&v6}AzQ__mlodP z29t$hZSP`rlinuW~oiH!c9y)87CzZhh7botakH-K{n5|_`t95wa|*pwIz{Skr|&BAxS&t z=@E;snQoJQ7JC^V+zsM#5&ZSVIhgy+f8U>;Li_j})-d4bN^s*v0_Uf41F+oo%U?1R zCcinpV+0AeCyn)!Edk=r%Gpo6v!TtXId zWxso@3Est5;dm0QxfJs})$ux*rV?uDFnFFIW&)N?rO!kzsF$W)&v`Xn9AB>8_v9}f z9%R8leIw!N=_r}wyI}ToM%#BtBynhXHDmJkN_sJU>AWC%5=|DOraW~ry?i|?<@4J& z;0mG9yYZxl-B}K;Cq~y>Z#ib>+JKXdZChkny2P11RB0#Gu(#V|5k<&gd@j_PoM9Hl zu(|qzQzHF^?paRv^x~*?&5jfUMYLV>@jT(k*}a@_|XUAd8$8u z)7Fpw@IE~V59Ja_o>GQ5)<-|ROX#`i`eVOyGLDSFzVuqb2|ERe`Mof1wB1169z~K5=KV+FrJP*~_rv@yyzp!VIg)09dEy`;btYysX3fY=UFiq5 z{0hCE!8B@(v~9H}@Ak;7Y8<_EWIFn(#({Tu3>I;X&tSEsWCm%#;19d>>{lDcPXvbS81F#UDWf zKVBt#eO!1s|2W#v#T8N%DJ`a%2IklLTd|x}Z6h2eb;aM|V-sLp9o-=eT}aT0mfJ}Q z4M)49`s1q%0jYYM4cNg*aORRWXizy@<2EdFjx{Ih!@h=6yvpM#gqNwWS|l5Xl(l}2 zCObbomIpcq(GkouXOuqbg!Fy$JO`w3LxfI%O$j=ofCBP}JD z$j}rsG3Gq#ZV2t7e(imh-59xux{RnGIMBX&c^_G9(R5(Rvkn$GpQYCz*iCu=nN*%9 z>>IJ^5oP@E@864R#ldFRZ0GHqs*LT5I^$;NZPW&R%~8=8)g$&V+^g9<$HC^a=pBbM z7Z!w>N*L!e*UF&_#mbrsv9&az1{qv`mG)@cb+=@KDjt6i+oxpiu7`@WiaiN((9j=O zD(~{ZM08p$WX;pG)F=`kvQJmEwM#E`Xs9fa*gejy`>m>IT0hvC5Da{mH@L;{SHwUX zY&k3%RqyRb>cB5$+e@jy;51-NOl8rFXiwEhzvIUK{#MfTV!nI9d{D0W&AO6l#EWM$ zV~d%HcK`?#!TBZjjYzacswLk3&5L3kS*0cqwyOjc@A#e9y|6-JxDHSpU{Q9}Sund^ zAUjwAjug@@#(z|2kLwnqqygT6obh>+9`{-9T%n>0;VY*zC0n?|u-@ z5f6qEE9Xoh*DN9kN4a*rnYaEe$3j+$y7xC_a|Z>G${NzPS1h&{9n?5>0jqRP|4DNW3opxirUAtdBuo$G{!u@)xGFsu9V{x;j~ zAHGzV8`C|c7L4=e%y2qd4>PvPb7CHNL%VbuzNP7A=*JX_25Mdsoil$vQ%3f9ojA~L zZ+$c91PxPvc>Dio4z@jg;O%N8c)vFaO7i^qOW;a!$gbmu&O@(4BvpO~t1s~KR;7@m z>&}B4YnEE6{Z>nDf0{$XrhhS?Z;GQpfg@ix0ZcpCVE6w;81vrtGnF}RNgz9&p4sfz zXi^nyN!%-PsJg7Yd)GQ^|GMSeQ8ta8L^-cBw~~6zVVN!H+RMbavXu zu(Y=AkGCcN?Y&c3iffFzxUj~9d_q9@^H&1Uyqu+8m*4hFsHbF;CeixXg$`#p_N^Uj zLw3m!+vW!WPfh!xOW3ZwX-ia=p37qXVLZ7#EE)4C@-713m;OJTq!$Y@;j ze2nlXZdH-&Ap#spOq<<0ak>AM3m5HiT&UT&3flDbR$Wi-DROIuGSyVceXQbZJ?@dj zZiDBm{LwA8d9u!49g6<@Bm`EJ_WMR+^O8e*46GgP^Q7IOf`3Jau0Gxzgj)EwdhcCm zhqg3(naI#5Qq%Fhkg=M_LZW;l1k{O<=(}<(gOe^zs*^pY#7FIHdT>|%p~%tTx3UX2 z0u=)bfmBBA$3mSQ7S*anhn8u9#Ueex7h3(uwiS?KrQeMg=XdO8SW>ZQ0ADW$^x81r zbF=1XcbmXL{&`(c(+b`CwH~H&_7(jNOUU{&ID2JVt32(86F3Yie8g9l z@<2+TSEZ3mRx)7B&{}yUklul!g1P?>|NQ#(B1jdv0Tf1lDi5ojozeiT-uo+6TM7Dk z6v%AH`v~=sLSN57^A*(bZ6QqJ+%e^R<$MlP1pz3)q-TJw726I}H@0i6z`)RcB6x>r zKc!0%ax2L&nFe0aZL4sW<Y#i}T4g!ZO=`0p(khs^M5UclEc7R!wz9jQ*T!j1~#f z4Cp!uB@cgz(U5wjxU|-66bTvzgF1G?NY;H1JKctHE%k@$LTd-i5_I?+N?cT=l=Wr& zfp%0)-XRI`7TyRQBm<)uju>mTTB-mrhuNVdvIJFNZvAEs_jAF(*NE1VONFHBH!-Nl znFl zY2h=2(V~fL2;155wQ}mi#9jV6oLQD*+@T#wq9e@(XY)DlMXHzWf<#2cLlJsTnEthF zUh83?&!Y)#rUfS#^7o3Fv%8BB-$SUb?zf}8w&;b0!Y^FzObN6ze{FZ*Jr(WzF!3%x z)T>L|*M8oa=D*`e5C<*ag65nS+qbjr9zruzv&#wk(X`@!eM!;gqg|xl;)@O4IRv5& z&s~tsJ^!ZNlPsh*9J%AQR1?i@X&oM)yBa4E&(%Mamh1_dxe%l<#-2xe@Cheq(iM|SRCbZGdfB%zjw_TyF`a9;;s-`uJ)u-Zun^pGq+BwnQgq-;m=$7 z;Bl$kmsvPmLYu&1h*ZGN5Yn=dlwnnWs+a_tPBC9^d$e|c4(MT4I<+Y(r6%d`VliaO z^D}Dt6(?d*c&W8}(SUKvVIk{7<$pr3;4kOtVVJ&JZlTE~whJ>~Ss0Mi;HLQ?ieB2u z#Wz2fE4A*a6@-B05RVnd%m+-F`QQIU{HIIZxX&|zNqR+?nwj!tl&a4cMtm3`iNHyPdfF| z)iQro+I8rnSovGEz5g;I5eFBY(?g2>KyYb@BWw`GAFx_*LI~~o$6h0Ox)1Wi$1Qc) zulh)ePLC2_enKBYYNK`W)U-o`O>r>5+3MHDJiZf#S@es5wiGM*6BQ5q@dy0Hb=bd9 zr|C^k==zo^8e_-*^)FJQKil&xJB5F0t$CiM_QFv?sg?D?6}5e z%j>cQp%#gepnplH_se!|MM^no?T$o51e8$~-*_W*1`Vz?A;JFcz{XdL>5WR`*PO!elhR<5D{0S z@Vf!N3t+G24-)KM0(^bN$Mi)@y1>(xA9Tho=&1q6EK0oB5<0Xm``XNLDmNaIJ$nVj zReAQ?Fwg5VYvYfX=M~y@SCiGHE z6;u>wng3n@hL6?N_V7_gI0FNmG4-eeJjI*m#G>&=b>8*UWgk=3ztIr@#Z#Du8v&A2o_HoMZ#-@m~lOo*OmB6=Ot==M_0qZ-gIOAXJxyi{C_AlwY$%? zT2m2~K$gG7&4#sm&gqkFATXWMAYgkt3+?5wswE_zepf6{CSw-W>f_S+b6%+zNp-4i zX>7fa;XlQIW5_p<j;tXw*`$^rY1dsF1J>bn7+?XTyI}j&5aG{@}kCgGr~Q$~*_s%hsRy$ATV3RG(3W-%(*q~yc%m0lo6z?7V7kH`q+=p8;f5Xo8VGJQe%`mB| z?uQKwXOo3u{LOWNnNVF@(Hj@Z)n+Qw{N)DZMuKxp$3Jz-kmvmtp)P~;#uT4Q6RXy% zi?$S&zk+GSY|y56)!9H4h#L^B9c%WJ1l@xugrnz*xnQQfGU7&39>K|L8av_kZMATG zJMroO_aa3)-e5~v?#i*2m|U_TX}**!?GdmlM&}x_@)~*MM)8 zH-0TSYoC}{>@B3b6PLLbE8*HHmXMRu@*%?rGfZ&iPbuG6oK~_H17t)WF~f5sSolP&5#6P`~Ke>XqIwX&_(tKoK z^@QpaYqC6qV4pz0ARC#ah*}2E-2eAK@=-nMlav|i3YSzS#W%r!X%8Odl?<}PLUGmd z`YbX=Bm~;@*U#HFT`n3R*9=GAmbnrh0uhywBQG8+0Bh1C~v=x;SR^)3oN+ z)H7YaN#NWwtMUyS0uzvPKDC<856q;sH*R>^s)D9 zS!_Hs$>$`&@ycixJV2fpCr2;GMNILC`@w;fJHZ^2ip_R?U_PZt`Z zT=2EOM7&z|tkkCWFNLCCSBosCYV0P!j%XtXf)2uppJ=OkURvbDrzzOSb4LTZg3XqC!Kuzx|NK~?rI zw?kh_M^m@llTafj=S}NA7jwcZsH~WwGPJKc?|zZFx!kye$jlhI!jpkaSA6>!^3?1} zWZ|!5DH&2b1w+hOI2Z0-?3g(KNoGaIuHN2^y`e0$K%A!yo1oTLEFU{^=9EWyf-od> zkC2oJiy8Rm0DIJ!FN?T)SwtrMn~S?i2!PZ62W6G+^H!FXEP*^T$ z#7d}|2PeM!i{l0KK<=+L^aPc0I9f&Nb8_u*m!W+go?Z(Ms4R~1nU7(k%2iCo`pd`V z?r|#~yN4I42RY57!G$A{{aQGXwfbj1jbe+)7HgNmNxxD9qk_f3pvAKgl<*ojpu!M! zu)_^ax5nZcr{H9&M{!$GXw@4h)_!ZA`Gym4t+$Q-G-37|z2CP>`tz6^DT|BitCpz@S@O&?j+ucid#zU9?m$Cs0ia>z z^^cE$S7i5;*>`I?#=$8$3Dt6%iX645@$u;^srvVb!uK4~H@Xo?f>*lT$qm=4XGLV% z=$k^Z$o-p;m=rZCQtYJimKnt^yTn34F_f5rgZIX2AbVt$UV*6j9jxQ#3s~f3KzI`B z=}CY{B1=VRHK7Y4of<{uDOOc7QI*B|$Gp))d43rAVF3RN1D1>=FDdGq<5ZjoW^!eR zMTJV2g3w;$=CcFfwjR-XX3w>-;cJpFB?D#1)rkjg@o$D(h;=-a8s$RFr5qGLP){5n zHy0Me^gW_Ku4lZB528Z=DyM#;*#?{yku+I7OX(uRt75QaVs%hNVO6A~mtyLW+v8ej zxL@Yrb}R+>*Y%zu#l>a;C}M@;OC{)A+M{g(Fxavu)~f);KhSW@ni~mckcx*n!5TwF z3%RyfHmR)0noFZ(JZdII=u0S?bl4IEp-@yd4xTX7WVn1aUdVa4oAMVj!-y}7yrvxy zY6YR@A*AM#_4Ml04?N3lJm>f9)=82Y4qgLsBAlJ3!rA|pX5s;_a3Nr>P$#C^i1V$L5llM~D0e1EzrDz*A5Hdj+qOHxKNJN3Y zIJ4aHHH=3cX>^1n(+&{yiWW@FugKn>FV5?reF_?`WEiQ|2&&nS!rlC)s;TNudCvj$6ryk`Adu}Sc_vMT*%7B z!L685S#^J_6dUzI0xM^)g>Fb%{QwsMeWh(`3fB)rJl91|kb61*`L`R$%M-9M|=HHib5d{@6{R|Tn?kXf6`YlsGW&$0$$vJ&O7 zhF_yapRw*0HdplNheL7+WqOF=G5;{>=3{9){pK$|DaAlQhnEQBZUwe?wSt}qY)=&p zhP9RxM^5_@^o97^Cvx+iuQaa%iP3;MfL@46cjrRy31iPo9r>w}e7;rNbd`khe2-Vv zP&rpEy1kU_c=_K1w7gohzw+)ctZva!YbJLP<*%i9=C^Kj=13d)VtGx|cx(3aywGth zZMt@ak{UUmE3fRYw9rZ);00`;SewIay<;MqzM$N8)bhWLB$jjy7F`%jb;DVQW){~)l{ zdVkF|+}Gk=6jW2pnN=U|OSFNB&24-~{)&BflYTgc?tH&;CY`5z6-Ca-A7CrIs6(WQ zh;8QWVdeAM>?PF|YL9F?Pt&9@A&lO8;||-Cwed#^eIEmp;k(sGtOgEqgpkw_GDo%v0)!?vX&23Xpz` zMkIvG?2gcZPk!fHb8igiry8I%)IU$p6P?>mbu{xySWiVG?Vq?VQ)|9ESZkI-y-y|D zl>(}g$Zf#_`KT={qtvod#DWZ_`$XfBwb;9!1ziN^3Y{jE2Sl&Akk!v3jc@`7Et8rP zRUMUea(Df%d{aJu`9t1Aog|XI3=Nc8)Vtcqum?H){eOJ&*2q1{Up zT>1Vd^Xr^@C6%t^(F0v#6pXQ7%{O2?28B9y%t*MDVxYm(L3_C9!(MFrxOF)X1*P|L zXa7Z(5L1MC$G}i)JMn}_uTTPKAzAr0V>%<;y>F9TE%rXJ5HP^A7X7TKShcTVqp@s9 zs>iFcm*zvt;k#_Qy#D6M4 zX*z!;0q_w6)UO<5AN<| zmPFx89g~)tEC_v#VfJu6u?{7;`?o~o+I^tvp&BDmG*U)K_b7avozEqaeXiVmQS#_` zi-CLT78L&`sHZN5&LgWQ&L!OSaF_Uj0}4>5CqTKU) zCCs_Yx~*|S(e>TMz&NtKSWO~AGu%xcMZeJy?Pd zJXapc$TOE>&Q!URIPhB-h*F^}(S*OS&dxC1`92n$$#l!&S|`=0Z8tI@v*&0|E!D#i zeM6|hLRz+9t3fV%lg9hALBA|N@MGp5yG3TPL#Fct0ka_^RR%}N1c}p#SnSw(swfTc z@_@K^9_2A*mZRVq$;F-Pc$ad#Q((f=f$H z>%?T{8G+N{agt;7TLtvUwT|#P=VH#?QB%ugbJa=w^%=SRiLmR^BWhpXDY!PgznU7x`eVfZ`pOJcLjd@O_~y1J<?#x(&xWKSLnVj53P1cQ$cg!03v|K#EZE39U4^H~VO^ShbDc6?%uS(vs&D3$ z2s_I* zc6QkPsRpX>5On(|ieA9XtdSo{K`rE$O;SH~wyt*voM38wBB0H+rr^E`vVT!E3oXh- z`PK-?kJq0rEOYzTwrp}1`l;ar%kf%=mu!P!-h+L$?$I*U z0Yr2Q!Afc2|2OjGzETiFcu#IcLUD zkq@HMv~1w=QIJlqkyNeq-MEB; z_DAk>sbc&cr}(hj(|TFIbGa~(E`c5S9VpBjIF7D$t`caybQ6Ea^W~cw7(#(tMeS#& zsZn02BB>I2;;1EF$7bO_$0uuB(?TOLna)}2k6_rk#4v*;(=h9Ag(BJ#d}ZF6rJ>V( z*O7nT!xYLWD{+A%RDK3CsTb`PH>KibzZI2C@IzoSP=xsJ!+5OM6uMjH?AM)J^yL{0 z%+Z*HJr(LU?oN}Lv4}BP;JcW#nV*!HFNJx0Z`}Z6JpXKm{Xy}u(0jc`oiyo;9gMz5 zx`8yJv$6C~j=zaXHkuATzYJHmviq?R!b{4HBGx>ZwqzER=4k^ru<7VEKSbVM#o}Ft zzjxccZMr=9s}Hs8u@^craO5XyB=zb~`_IZKB4qV4>zu~9ur zOhhG5tmfyHv%^)}d@=BTy_?m0W$}h2cpk3((fE6BLHB(Lp&=R^$ZrEtyJ4IcF?=F@*_ zhg{7OiWu0;;tKjFZ~tCItNSx6<71Js8mTlcCtvag_^i0J$C)GRP+xjoStCKqig>=m z(zdikvNoOLUjh8$LoWNy`LkT}@!!vfDFIOmfN2TzE`s@3ik!ar{UPxk>M3X`v@DXp@xID7y->$<%26!`$|%WL4bksoQ^8o-XLu!V zE&qr8$P!sA?ZS^jrKEq*SFtRQ{wOP#WGc^#^>FsU@CdAxxI%wBL8pw->u0Q+X2>iR zNW%R}is8068?vlgdCC>k!|GkYQnXN?~JV zGG$Gv8A2wByY}^tj@O3i(zPJenIE_6X{&mjUOTA7V$Q`Pzuex}+SqG!cLwy(37(X1 z6p|Dr(;=ndlzA~)ooH9+z4 zEi9X&b#^7y)%Q51z6>`-o2KjMLw#z)hF*Fksr}2Y!nlmX5PoIa+Hbful+C}5d+Bs@ zth?{e);ctsRmDYjnFiS2WTsF)X_I*l;23t;PiD z`F#VG@Md3zF_W}=q!f~4A@eAm=k#fX38&rd?FP``*zBS7!5^T)Q`P`-tmC<*e|xPN14{G60{*@N51IVJ!P??xb;bq=X`S9oLxE5UX!>VkE!+68~ zMF}6twf8qf*58$9hFOEV)VC79`fzEJX85!!6$Pd+I#318&OhYyD^)wqac2K2bynRx zn`V!5e;wu4;wi#%Qxe{xVEL|FMD;#TarUzleE3^VbX$exnL77WH>|=i?I^y+v3}p8 z*-V@4BcXbH0RH(+)3RG|*{6L#t}sGx_|aOvP1*z;Th^_#3QW4@jB<;2B@dJGKvOSp zABJKfW7DkF?cSAL@Tz3E4x@Z!nVvFL-JV%VnI*BCMvJN7s)-q+?v`x@MTb_RDX%%; z`U(;4=h$`#yU?{)oSy_#YIdhSMfx|7zZMao1n05fDS8ZlIP1Ym&9GsZYB>kcTyNE> z7sT4!mf^fsz&)QeJL=C=t4_XN_|2IouF`txUKmIs2W|Hgbtplfntca7t!{=!Hfw`| zV8cFG4(cD1SZuOLvht@_52`nq&h_8bGsm9&H1B&M44@M%z^;xQRaQ078i6OlkhhQt zqc)}NAR*u6mxq50$+F@Kpm!BFM8(;^OETwJAx-!j5-nOs?$x}M<)cS5qkeypTN7zO z^`oVMcLvV*7(_ot#|Y_yblW^78-Xsv(CU>8RUOf898bpTMgk7AD=bz`=to@rLo=J9 zSoWIYYK#$bFJ@Aq43!K!?1iIq;D?agjZx)$=*|(;ju*5NHq68K&F&3~z$&F+o z%w}jvE8dD~iqc3d9^$tjWBZBU6}xVmkit!XUe|_G=bO`0aZAeTGdEEr9UO(DVqP15 zG~FLL`%C34Y>ddqm2vMKsmk&kj2l8vz@^Ul*yy!2b;7cK&Kd`VEB)%Ner(y#SprYzlT~8%^Z1V@hib z_-u!9w$T5vaN0v#5#}cnq1~gFppc+&L&-z#>m>^&cS9Lg>a+Z86J*P<|FPH^BC8Md z;0{(Al8=&zk~kw0A^aUC`c?dlC?W3?%|39j@jQ@}<@%$G#Vu^=R^b_Sj zFs6k6XF>5lDl)GBvi}eH(}_^>|H2G^NBfV30H0bu+PQ1I90Rp7!$v97U-pP%wm1Pj!pHd?W@o1TPhRdNw zh4G}xEDVcZtq8fV1e65T>!GJCt@M1>1l9apFmL@Y3$ohcxU+^$lgK9yq~<095^aar z8@gtn>JK%#lhqcpH?lV)5CmoTNp#4T^cu z@r(KhOYgi#1gKopA&QA$?w>GwRa@^iP2KA?DYToQEzjTR&%#dbUc`HsY+|#b@dHTF z$q_|FBqi_fV7+RS{HC{W5POe3ZtbG@Svem_NH6f)V1t0$#mg>k28}Er0@CNQV50t; zsxy4Nhc3}=3Y>tZ>*PNr?fM89^~iV?*hj4n`Oi!ITAaHr zuu=9@xI6K=kPTMOm}3Wn^c0zdu#>?1LKqyNM7rsDLi#y;RXTTah5t4dKcUtf zJxoNH)E&~IK`-`DvSFyray`UG1b2FYn&nn9ik!NKAxv-Ec85980j>=+qKa)`m0}?; zhV1Y_qW;)@%vGp5(gTcs^`HqwcBbFtU-E3RNvZd&UxED{zoW|dHB`4Ts zhR*YuUL`iE^kl4Ddm8>{fH)T+b(W%Rf z;o`KFM6@m#&e}B|-M#@!%#OM=eHoVLG6w17nTRu8N`GT@^Wy^GyPM=Po;F*i7`TnJ zQsh#G*T8q4{id+az+NH`6YZ{S5=!fE{`QP`SZ%lo6l5E@#96O=lj>+WwY1otVw+Hu zcv`0AO5d5tvF43r2Mt2B2mRdqdPQq21>=DGf~Y+1@Q2_mOFz>Io< zE7%?_DP(T1T&Gg)p<6s6fZGNan+XIPaU~K?#-VV*$K}Y{tg4t=Hm)vf^B1%k;c$*} zihBKqt^_e60M^ z9+U7C#jx{5-bCB)AYxw+E`%jk@mYOji@bePVg26dH1k*rqX+EfJ{%sq_zeDL0drtR zJ}8xc&ugsMnFN(gxVtKpUB-_P*H_SbK`rxZO? zI=!=-TMcJrz%kWn-cO@2C{n{MIgz8_3&F>aT-#+ba%a!8r`K^E?DEG&W`zikLHJqk z3_#>1?hf0ij2qP#srAjo=4KmuqQRUSspk(aImL`EJsBHX=Y;TqFHsN4blq$Pou=6`q~5D-Vhj? z!+p-T)b2V$fv5W)aYM_ojQT*KlH7BaXl8nPMO*+jUIr_0{*vc9Xpg*>$P z13TOPOR1e5HTUHAqqDL2);Xq0n9?w|fx7bvzE6?H#7I=y8{`Y8xhfH}?fasLm4dDl z9q*U9V(Ih0&8mm7+;R?tyk=L^T2hJMOm;pq3$~(n&RHN5Z~8cXfOS~V;PKa7z4mRp zfXL2Pb0E$`?)5Ent`NEP5+TXZp336cFaCs6lpnobN}RUu0c{+HF78gJKhJa3%hl#A zS9T37Ubq4Y^zkSilug^bBX0f^wZL8H~ql_Lzi#yja5kUCkxj_$_g1tPXj-XPw09!-p527TrQy z9kH1Aba3qu>yhm!$=%UfpB7dzbziT;vw@=@Vje$m1uCB`fB%5mRZY7i_yWJWEYyay z_8BT^yZlW*ciV{3oD{=?vE~w|{2@;Fyir&*0A2DWJ?!Vaza^E6IM=1qrwVjMc(^o+U(%)R?Q0Y&tF+nAy0@Ve2uhdONe!4JyWl* z4Lm4Ly0cMNCv!Gg;c#?HupKLfT=(?nCB772eYMBwJYI5~8$@z(;H=jfa zewI)OFfnfobQIxwWLN9`0?7|jJp}+xp$ROcIxdG2?(wgZbGd`noRB7};VO7UyS^p~ zxoQ9y#ZpIFU&;5)kZqp)D2T&Lr?XkytcxhZDYeH6BzRRsw`*g<)%b|Zf=i7KlgK*= zn3adlX>($11kkO$*ED%&ZhC$SP+pZB(gzX1oRckXj^XMNL;xrnrD<46@cbx8!t{(- zEO7biY2GaWIPe5{|GYxaxs+Fpfgpn|Z&w+iB$<){o1ULtQj>TXF)m8*R{)gV+ZV|585(A%~r+~MM^KJ&S|?);{8DmR7{yk;r!G?wc6$^P=6g` z3{9bo;9qr==OcNHJ`;Ny<4Nx)=N`S%nL5}dm%#;|jB$Lh&){FX3VT6>!hWUn7S<`5 znTMp4&(^sd5xy&(ZfmRv>?{sy5dx2|`u3WvN*A z+u+((wZFqg%pGRc1I@v7VN}IC{ka#;a>hujLsS4b@1~_uK=5#29(En(NAnqL9nVxZX)7fNTMK=tK(9O@P~QZBeZxwN{GEvkdTp z2koXFgmiI|t-Z#t4!!3e59=l1dA%r-lF{lOqIx0nZ-DS##LN6X>}F2=-8c>;W{t*^ zs?D-_CMO8Cc47R+NfH81ll%9CQJWsFRWniGZ~2?5#&hK;{uT_e(|g$UyiE3Xz5kok zp$?@)6QsZ)Ow8(;k=|pk_~W;!3a9s!x$9K*86cluyd|`Y`%^INghDKoVmJ z0cSP4w^+$Uy;ff-5MZFaajn%WgVC!^X+0+1-{X3|kY&A`1AF%^VyG=J|QpeSM4uAoRrA`KOQ-ArBIt|4F?JBdHX5$;Q%47B=DS1qAjh(Fc z16&ijhSHr>0Bf>|(x|qAd;s=FV#_PWN~U+Pv?k&HWwB%gyBXoWY&EJ^3$mzeElqZV zpRrZ6%0LHx+yX@F!2oqTU{+hmDdFZ(719cWW1I&8sxBx|;IPORQd+FY%hbbe=39S^ zz54|R4izfXsifY>AO4*3%XKTpa=^%DKo;}rUFP$~dW)(LDnyfj7Q(04Cr&%V!T4yt zue&zNoPe9+>cm>@bjBDQQ_0-fzR4B9Bem5vyTyt-#Zn(;o{rVN;TFG+ z82|i!+%%$Y=vp1`cI+7E<51p!NjhA_@6+Ek!LrQ$g6OE;$+lnzlfv1lDP6b49#k5^ z)_B6L<@7uqqe#M`qM@wGkVK=X-Jtg65E7fRU)$(UO)_C@AvYm_tc+8U>x$fFiKe`y zS#s==07hw*?5}4SICcS7c3a0qL#JYyw3yQmAvFZm!9(^)ChjLbH#p+wwhN-dIqDpM zz>o33dAOf9Bt%hgcbS|QQ^4fOh3)&@jdx+td0Rl=SDz`o08z$PJkF)P=by(;aEH0o z&SCs_&T+Ec@tUvB8Hu`E>Vauaii3_t=8Rn?ThVi@H=2mha|Xaat`TWnwgs=grPs|@ zCjtF9s!_RBv|+ecLl5i&BAo2MMpTX@qT8a!FU`0avH0p$A2yC}4Yd}nEYe@;nLC4) z^1@uG&gdNGju00WNm;(By@f7yE#Gn=#LxVQMjQ}x2|6RjDrV*t7YLA3NoXAu{<9(P zz~oAgJ-mmS&r`UBvMY|PNvA5x(7yMDy!b!aMoQraVg$>QztKooeYT_bOm5j61C26z z5f1+!0CPZ$zjtP?%x#QqGQL&z8q+M32zsYxu-A41HFnXT2E)1r!>wQ>*}F7?TiqKi zxiuK(o9K`h@}ntkA~0LBsCw-I!}sM6`|b4~>vmPfHNkM04)TACP;cAwYt*wnTHI%{ zI>|_}#>gM`_V>$5%yy^S_C|TawG-u9id2uynOpZf;H;FmPp=07UBYw>dx~y=t~AbE ztJK1T2iral!nt}h`7Xjw5({MKa49+=mKP5DE@`(_`R2TucE)L`B0WIkRbjH*cFy76 zxptQtfDVT(yzw0Gk?;qN+{U7|V@xGz0Ty+`yIUNo*B?X;(7R~z3@hgB?=CHbYZtb` z&Jt58YG{+A!jK9Wr>CU4^q1+%Ys?I*q!Bb(f(mEZ!JN*$n}h!9Dzmk<d#MWC(r`C4L-5n=Rq%zhe2{=sn&^MxfQ7KX5q6A z6HLJhu-rR;TI4LdsK1V<2)qxCdPemX3~D~?`hG4iDu%Rhq=ui1p&*vD#P z8!AvGn6cb8e_$IK5V)0jz-SnT-xm;ALP8af;Dtc2q8XKuhB#Qr7tgOPfwyn{D_uEc zphGWEU-+Jackjx)uACKdPRbOZ(bq`9*=~!6IC9li-BK@P>Uv&!$NK?YD!i9Lo4mj* zE81cx+yO)s=vP zE&L1z1CM=y*n>L zRq$*h{ZW%u0CNi4I`^6xwhi3m0X*!TP3yd7h6Qw+S_Jea{GwBsjb?~#C{|O%OZrx4Y@=$&z!5#pT&S!ZNvK+MLlqVX8c1Ko2ro^s z{IL}ddzK=@52Sw)FKKJ@jdRE*By|pi8=h`nv5`UgsI+kog|VpCxJskOdkcKEBHgXK ze!Wr>LAjk5Or%#87k`+Eg?tnfRi73C*AIjT3(krzoD;!?{a!jCJ-}GjsFT$wNsM`B z;;4nOy$UOB!a00toI|9ILH-%z93-d+fHE4sWHfvaACy9cM9OSuvKY~rO_R3gFt)pM z<S^ zg6eJ7nFR6#X@=JyHo^n)4h@apSjJLX(2@@@tW3?f0L%g!g%OIfReB;%{HVt@}7poLqwF-S=0?f5ed3|Z*xB_Xo~Xdhj-CXq^op_ za@_=%fMtd2%Gwo!CSS+z=dif`OA5((n}W`|4uQ?f#OPV8t$_@ z`%#8YbjsuSX2K9=*sju*IvlpZr7xMfZj!Fl*`W+vN+Kmjh(K3rfN)MmxX(paiOJ(L zd07SOxfJCx6NmJjui-19K+dMJ%lWQ!rE+~n2&G(Re61F9(W@R2>f7Wbj0Y%DGU(+3 zAU{a#Q1@XuTE}wHuUuZS_e;%s(B;-W>zt+|e0f#_5$x5bzs$aQhBtz`#?rxG6UNeH zCXdFZzoufnGE&5|1?j%FYl5LyR^n#6w~ordEl>J1(69!|YrV@34$xCNRRmrQU}R{e zSu-iX@TLw&oNR|O`2o!B!eMWrDWpu9Ve6P)E)RxXq_CI}8L)4guGDH4(w_z>$BarP zHGq|c4_inqcl2t_y!!}fuxcac@LZo@d^G{tck#`pQx4AwfYRXNE$qQ8_U9}Nn*2TR zjikVfawy~$<8A(>wKb@V(2>};RPUg!yfkSgT&Aw~CIxlfK`-~GU}Kk`pUsi`Y-q4D zMG8*9VNv@F2G;utvSv~ISoWYpuq4CK?+R_V7OaOLZGJpBVkDuloZchzWa{d*G6;#E z#-e1m-9I4r@vF8w>AOHfe5?1ScpIBs3JdV1?*v@L+V<`Ed3u?ZZ>`+{P=$%~QfYS! zIg8C1-|)yvq#@Ha2PpHJ$u}Z#Y?UP8ik?BHA|e^(am(r)a?!4TunjVEZ2AM5UWf5E z`LGRQ0ET(j@xiDp1J_|(is_W8p9M|MBgoqYXbI~)Ifm{`A0Ck8gjT9|hUklP<{e9q zb&d)?L2c&O@<$G{Pvq9i8$4MMx)gRf2?D?G5@2`_KVJ7N<)J=AY!;Vfss`!Np37Ra@xHcT0d`AEe#Xg`Y>3+7x^AR!JC5T`$R4*1EnI z3-p%BTVVh*u@!?yuHS@Wm697zR#H`V-TP;iaKNtQl}t>&?6tA0TG|ZQDKt4JEikt6 zvOg`WXtq*Mk7iN53r(sh#^a2%XpU$?9esHP3UEqQ2 z%2dNz&^8O7Z;Sybv&^sr(u15?)fzi3jR6F5YqfwwL7Fob(sAVwI+-ioc~B@xSzyys2LkNP1RoY}R@R zyiY3D*hU^&mY%OS)2M*v&&$u@K)Z=-k0AQ`Ii<%1mvB8g0=&Ypiz~PHz_mU_8Jv z6IFIV?pi5qEEfwNv+A5*(@8pYv;26>%VdeyIkZx)qXZSJNsY}LrntY$~tHbfL+~)09nKLYa zA`gIf`|kU60y*P=?;XRT6E4|Tkll8qGLv(Xu*tY!EccZz@b)5=lb@{5!%>-nVNU@q z6Zf_^7IlsoAT34OZO)7Ky6BYY7BP_UlxZi!3*fAF~1V)wB=)t=mz8hx*KKVI{-4%k0Tc5W@Qlw`ijEN#9G^m!=_*A~OIUBI-iM|s0lVOfyy zFNucVW8DTQ_h2AtI8APa?a+-ZjNdgTx?XIDR&ijmS832<&w)=b ziW-}r&cyM#n5ajxnxtd_`N~htrWww>3|3(3YHw^_tLcM486{O@K~kl&ITNU)*MNWR z=lyjhb@hc{eEk5jQ>YLmIC3%W`V4+u-vZQF41}CshGzyi&&ZlvWxb8fe}#2#>idp< zD0edfG}qsxHuMg^OLF{*K0&1SHE8U?M==wzTzYB`g5;_V8ig@z4wBK+vrMEn9Rqj^ zF3#$6^rwP}^zx(#>rwbQF-|D5eR}YfUq^w@?u%`hQ7LcvNhgMvH-p)M5Q|Tn#>%Nh z4a~|*h-J?r&NA>$S{AfxK7RZ|1e(xsmHC9~@vxV1C&U1)OjoAih+d~I4IL%+Ei7NC zX;)4?D{34U4-f{@v&BR;&$fj)X(B$V(}4AwBqVXCitxdvMcUnCt|Y2lA%8TJht@gJ zI1WAeQm%STcz08nYn(K>3EhL=HXS$|yj2 z985AHu?l|!E=d&022DU}Z8kDY<&nqkXslP#&S}=$Nag!f300lb<|D8CgxA^(;H}fL zr}K=!t8RItz~_G{NgjY*>7Scs@ZGYsOM)S4Gy?CM9vIsUQQKNa!2%pJEoz2CJNj!p zq`V{lbr3qMZLsmAp%!^=o9rAJpNXdf!~TQTe!$enDW}b6^Sj##g)hEX>X7^*aKt zh)e{_-sl?#0(i zFd$9DL_dV}=FZ=$MHMy^eZ*`Iglu>}7)0xUws|lm#jz8 zBfBgEZAqA<@ke-RH79TmJ=ClM!gync&0y$2VTRsc#txV9?lwBbY;t{OMoJcWYJUj* zzzwUkT_NtW^(UL()Wz2yU#6}SCJ3w{j>bNQ8rUG`dmFqGAoREV_TGe# zPwNPMCZ7#DY{puAX9oJC%r1;>RH#x+8bs#{jc5BFKmG_BvOUZ0V|nA*0`=q< zhg?WvIOl_e|ImCO?IHD&|qbzlijKmQ=EsiKsqX9z?KM#geW?r5NVRpC0A!ahSy2XK42)!<@)m&u=^xaCMfaz5&T;7Tx6>Yz(_I7|uj0 z*-dsw${52QL@!pMk4Vn2!uiuo*_~z9^S-0PbjJ1;$UjZNxi7xv4vnofbseTizEsCy zFyx{z*FyxL`k=JvM(VkemVEWj6TMgC0tT9K23#EgjJN_ zFR{bu3Q5}CnujyQkn|vCQJ%tFA?XNpZW5oFCY4PXum;?#ZX z%flv1-1m+}>U*`CqG<38%cZP!esuU`tD_0AR!|MB;SNGL!wy5BBp^KYMytp!U6ohN zgvjJ=>31Hu6ns=ZajU(5!qhIPNZUN~-m?6dq2G zsOZrXLHPZQgc`PdS1SK?N`m%UbqcsQ^$dp5Yhs-qAN$H@)T zHmeN|)nqV^NKe5rlJv7dKJ>0=9MMN&xLyZznLQ`7%QX6&BgzU ztv>T2fw>u)B<{$5lc0#K_I^@6GbHc4Hz+712Yq46Pxx;l7BnK zO!T$PL^@J*YRiH0B&u1SZ5-Y_$#^3FOq1T>K$CjT*VusakDScZCiOEu}q2k%>#+!5N=OjnW2b+>E*UzXeZ+`QF zE=SOxXd4JDL!z}TE{8q(a*bv`uUWEbNMM1icX;cCX-v9>S z56{7MaQX&4+oQ1w^>oByQsAOf$Zz0?G-f*q!}C~y@z^8G>LwcuBe4RrGt$xgPmD-; zd4!Kf#rl7D5%S&<=To$@QlB3!;UouvP$ zq?id0J{9Hd{s?4hBl|tqd^T7lMHm|4U5tji%?bZzG^rvL(v4*G`-mN0V!ixFQuYGQ z=M1cOHLYC&hOFlKhl)SR&WLj5MuV5YP(QJk6t{Gs-{e)IhVRyKdhG6Bmmv^1Prfp; zs}V>W4QrXCE+=uVw-LyWDtl7*O3qwo*zYialC;2Z^)e1tUJov^FBc_(E-(_VrPCOZ zknSE7OxYP22}dQS3(r(s(>BO{tF#>gRCsdcoa_c_lN-<}wWY9edN^3Yz;E(6*mBB` z9>yan$>|u)&!44T=EMfC>7|BKf+iKGFjo=TUHT94{PlXI*Sx5|&DowF294qPjR#Ou zz6sF(eUy;+Suvb@R&-@@xM(=2BU%I+^RW$+)V)g7P?sY8k@i2>I}bRaYNUbZ;d<&} z7b}*vcXsW)prV2Zis;!95fvLKsApyEp1q#Ex3f3wUGD7X*?T#AuV*_sH{bk|H}B;o zySO6oS>F5o_U&%+l1wHulgVT<$u_4#WruUrL~tIfn>B$$j$OF(=BJ3imwR4;i3U+2 zyoIX(G1E$mnJC&V8I~oKX~@ROfURg|X29g+2Wr;(Jvw;^SUqG z$Pw|6GYDNOg2-j*Vcy;sM%erXB5`$x%izo4;{2vN9Fd#NcU8SOODhjwPg3IyK z=>C(JEWle#e;VX;748;A);};D+$G;|O5M3Lt^S%8GpLr}(5cMb8 zQbX(P?T_*dIw4Y(e{^-z@9-Rldt#WoN2<@5G?+Y!W#9pVs7xXlNMtWD+KE$0^KemI z-19G)9jvVGe6UU2r>@ks-c5XRFNBZrn7Q&}UF(U*g4mmQ;Tl8skgIdUofA*XH%NmD z;a_ytbBn|K5I%4UI79<+5_@id+0a20yj;)3p>d=+h*$8$5<5X}`K@ZObF`1%g_McP zQqYDdOHD@z@TC-W`PgkZZF)=haGStoX{nuL!Q&FP#YE6q*d^0_qPN7+%01JMk#C-2 zdVm1%c0N?F=AO~~;XcE{$+Er2(Rqarv!b5|k<)3=)XieNYd&Y!dc=Ph2!dX36@f(&a$^TJex#ymzVyo9ko7d!Ux3q9_3=pGT=d<}wkf-A+g zEXDFCN3H}>BjJru3>R4vM_P=!p>Qa&Bs86@9eC+eWNkvJwL?0TcD=oKX9~#as;b`W zX9w!(-XJfv_dJp%olOGV=UwY>jvyA|5YcxHru<^ek zBlbr-!`ROfcT|?Ej^b1;z|$5dk>5Lhs^)3u$Vi%AP6NUVpbfQ(jL@lVK_HO!aMqLKzYCUu-G1hyx8j>yRyeOZ@xDSSiDxm=#U&=X#baZt_>>m$-(YRt zN3HsjmOn&hAdbmRsUTuAh-ikrR1mo$(=o#mRvooxS`|bt%Z;2`r2AZ)FA%+YdpMK65LZF9>>P;-%4wA=%wO1* zS!7LD;boUe3=`b=PrWES$t6czS~3OxePJReDqVruz!pT!sTPSG?e}gD0`nj63Lgi^ zNRU1=ui)_#U~39o1X+cccdFxs6xa$TVk4ft?0E$9(K1jmf0Byj!>LgGxm(11G>fx+ z-K$5#@V7QgxhoNs) z%WV!W)xEUK4@w*Ec?J%5e_?aLX!4p2r9qQTRAC&mN`?Cf6FD#z2eXSZ zFC%Tx=LIt!pEMR&Eq&F(2~Mp`2bT&ouy3G#_!j)j2AB3JG(IXjwZK+ZG=G<);EqX7EQxA|3 z?w|q3Wv|pJduFCCiD@ktO2}#yACCRhMl?0^@?$K2(M8$*DEz)0-0tPJg2icgIraJ| zvp6G#(f_jr6S4t+_%7e)+%U9=M4cjT&ymiNthXLEbh)>~m3RbU``Y5VNn$e#2Po** z6L=#O&wMB_5BIo60;FHnbcC#+UCtG#*IdFRw?bU+PD)-UbmOv)0})^IACnZS$P{1a zSr0Lk+cH;DAxAuJ7V|;$kc=UIKV{f5pEwmT?5u4wEDO394$0-=ZP3|XP#gk}Wgy3> z9XqTeZ!V(yWfpIWk+U?SP>YnDozr+1D!l6e9e%Hh;H`wvqzU;f}6&6A6b z(v_M55?zbA2ah{WFP`wW%Mcpmw|n9BxviMsuGg(GQnQ&vgDoPikNzZI`96Y`Y6^hY zeht!7EqT523+)xEIzxhJmZ|QqEx&rMiTHgRtJQ8Z`sK3NT;QgLvs4F|fqtDNUG}FJ zWq(xumq)(-f_^yz7u=5qw!84W8JDVhVv_%JU%qh7&s zfOe!6p(y8kWrq%ly`Jg-CsSZ4rU=#NFIyoGwa1yq>`hF;O1%Sb%E6cwI{b(V@w&(4 zx4y>HTbK$jfDZA5$34bvMg!vW6c~W)WzloSkux?gF-t-KP%fvdu9%*2sywXosBm5v z6hyKtV)_(&OnBm&(F--W!DGyqRVJR{q^3Z(`7cd?*gH!B0>@I z@3}o7ETzThdYHzBUxWMps+q z1(JyQ`IerCEpyp7M=jnB=fdj4!UrqyaK%QMeaU(|J0)<{sq(W+{{Zg6pjUyv^QC;e zRs!y`(0vek>^n@MCrySz^KbCtd@8n^YbVcx0Bigx1}23EJ{K z@C0r+Xhl;D%CqieDSfku9OuzW7~Hdjpxv{-yt7`IJ_x8N%ZlbgR31*h&ZQL23`|lt zg`(0+QRS~^r^(T~ihyAUQlSEW`RRFEw}AP*m>nQ&j)!flJC9o-p5A0<5_d5CMTJED zrpa)`mniMVHk>^}Gddz!&R8X`Y!WFHY~c^cnUOBKg!usyZ5$(CDx04(Jl|eqk1)Hq zLEdVS-blgN0kvmwFppKA*Zy)y-YWSAr*hF?V6*1t9X8;LI}-hF_f5DwAx*Os*9z#)btis0pH#k!cbTo%hEd^p5YJHUp^f~axQ z_-M5IH+-qv>TN3L%S>cEZmV5W$)<9Ce+|*O2E?=uI93R)9#Fa)V!90+6C?^9`%Kk0 z%C^u@*r%72z|+a)>%>vuuq=h+AKjK7$6aPxa~M&3lq+>3WTogmR}XButo0!)1^#@M z8?Q57>(V)QdPaoaV#2U)tv5I0bt|1C@E`~C4ituU>lD$GTCzXGm0IC{biI6^46r>C zu4EgN73o9Duw}n=y?nZ^m)C){j;2CgFJGzZ_ieD&o>Zvo<$09#$_jP8eEuro4V$L` zredR~D$=ghE`Z66EBvf_VJLj}K3@@~DEOZNX&4VeGU}%NThn(c0aQG|G zAzO>s91fHl4P61bokaZEpu;ooo2{Ao@+kG4D=Wl+%wg1J=J5Ph<`|4XXnKdtlw@I6 zL&Zu()>2>!ZvVNFXvEeE_2VTeB z9RpV%@%wTschS7X^%sRxhw=ppB;X!dl#DcMBGI{)kytuMR~C=%Blb6#w!66CYLfIf zXnsM467oc(Jg=j?DK!5ggMxDxgL2+A`9k$&z}Ox1Yg_B=nj=cg6~NkfAz~m*VgwDdpH)D6Spfdgq~ zeO_AO<3A)TDSSo5<-K{z=R(>5c1NPvH_laq$@?M?J+Leup{i-rdT_5$j4NdBTc}I( zv(qouJiA&CHZ2K2)IPXB(?O9GKPY9E4hsGkQO{84 z&=2pIL2*-CSxTkN$*QHetova-J;<|?ZjHIDRbf5URAhZ;T_BVdGHI|t=*{7Fp040f ztal>O<#aWRgy-h)Ip!SwJstLIc|99=JCbd2`h_Zo1?C+!eqM`Edw`;Zq@!=F9UKH3Lf9)(lw~`o z31(htvUugIuy)lyu7jp;;1xgF2JmVhULqVMP|1*H4M$_eHL(SU{G1qrqS+uISOl6x{x`3oi$24k{AH~bnio8#Tk~$Z3YbUu$I5P?EMbK0 zh~m9u+o}kh^4Y8Tm4c(_((P^bt2bR*o0md(RZgD)gltG60rr!N?Rx&t!Kg202Xv`K zYRDC><0tD9(7QjhkyN+^=sgtL(5y|@nylp(B;R?IdW9}?+c1|?-1Uzf_<@v~k1pSN zKtnTe(^xFH4t+03&t^f2YSw@i^9r5K@<>*Ya3z6J{xdqSa8(9)8)_w%4!c?Nubh{1 zwSEL`$bB5$@U49O&Jc$)QWU=)$g~X{b|9+&UWY`&GvpQCQi#DKPqRAG)+lhe3lbtJ z)tlaKO0AkDhv0%|WrT-jb)>B;XltuB+uDS-F8ZkzmcaZJFveG@X4cZxN5K#h^29bL zy3JY4rJ6On65F$a%xo~)89^eslDr_ccMEJ{AA;Bl0{EK0214_i^=QX~0O zvx;gCf!_%NP-LNQ@u|B<#5?|JDar9!H=%_*z)Q1s!IHxxb4!a6V?v9ZMD`FCVtGG| zG;_<3yJ!aBi?;5iuIs`I3zH1`kJ%=y@a3L4e@z0SHl}`wR_g)*J*sTJA=q%+1lXdO zAqp@a2aL(FwrW<yHK>5;g1noN`AxG5&JzbzxkQ za^HqmlEwG_`6*e~1N1Z0Ir5P?MZsW^A-0~ib4J3zMY_idg^&dIn64-y%b z9gCpC!4MPoM}&Lkk;RC=9y9|CHpnwoZnp!%Jwy>G!kesdPHy6U9&Oo`*Y%fR#xKAZ zE+iW!78Y4~OA_CZX>tj0tXVLDt7cimvUtj~DE6grH# z2h=V)tqia^3ox-PVGf>0?V{pxY0V+@8;=FH{Qjup^>%}Rcp_lRb?(l|@ZuA4w}gFZ zbZhH@$|2{ZmJA$7z=uY*)B*xWNYab)^ z@QeVxSJEKP4Dj=x)mQ%*(7Q1sgTWtP{T0<$e`Ccy{@i*uZMFE#HB%fm`0%p4!lMc>bU)<)-A~yIM7ff>pVC$7 zP;^lV<-kiJ+(hXRhhXD2NVx+6ud0<-&n@kX(xB)@EKfzg5%z-AD1lggNCeJuz(h`bEiQ@K(n8pLQasdR1~MoohlBFn@d*Ww!#y30WX`%WYo@xaLEybfbJd1zZ+`!ZiAU zaHX5t{rU24f#zCm&i~$idqy%@T3U@PoWv0tJ>Xx`f5%^oc{%8FS*$h?VMW#t z0)a1uoabi&70ZM^v^`LkaGxe(c;{nsvHT^(b_bd{E@8}kBUCJJPnWLd#ZqbdbJi#| z^E2rZjb(u0Jdi75xu4`)70V~8Ml^%;%d7&Jcm$z7?xqYoj6uQiR=j85sj804(##fZ z%HDNo2C4VLx-d6*!*Ac*Va%R?hhwl+#);f`2t}H_-hY}>L}mcn9JTd!G;8x_qQ5hhrI{u zKhK*C%Kg0Xti}~`8+8kJ`R>KuvJ6x^_4~C*PH+u`@3&JfdHh>g2m15In~V4VFra z$(QfVN=R&+G>8Z($G)r!!|1a9!6M83C9h(*HKF`nWWCBLmg!+BH_^A9bv{eZo(zgU zGF&ZpFl1YzE#JbRgaqIwUy*mB*3cl6FCy$CW%DnnXJh#(=x~0CdE}mzK*WEkkli_u z)uM}H{{e4KF0k=G;OJbr9SCrL1M{C?9#EGY07>I!iUM$r{z`yO@} zBy8>wb$2m}oK9m$b&QB*Cq+*!#!ETn6cx)t2okTHervdl8-!tUO}o?F4uXY>2Ib!>Ik6f!j<$4>Ydh2u0Lz`n(>9?0wVYF0|AGs!Vsk1PY8uWEV zby|A>2!Pk(o62@39>@-d-GG^_3^z#R?`U}VE%nYqP?9`P3h?F{U}0Wnt@ql$!=<$Qhy&4BmY(RG52Z_WF9wALxC^pc zCi54LN%%?c^JqSlWj0=LtODkkwM@(Z!tswq!aWkG!zJxaZ`XoEajhrb#{;|M75)XJ z-wFCzZP4YFl{Q?_7eK$##yz^q{*VeE5Nx^2uyCy#s7l35RJbiK+`*Vv7LXph1>G3x zdW53k;{cfy^esy%eJ&DSb_DQ-sC?O$3|hi^pG(co^Tv73uwGtOS+9w@E~H(jd%1&9Vm(+#tq0QphRcGFp{U1HP5lD6T^2eU)`M=U zz=)?tOSS{R>lGwZsse-8y?sc2iYrLIJS#uVeVT~p?1&N=|Cek1ZQAM;(ncX1PNtdN z05cgcxj#<~{#2lmT|ZXg@NX%VjSk1_0u>I8Qp%n}g=#-UI5=tZG;MJkh70uo)84mT z?ge+Pw33ZxJhjw||H>u6%44Lr$4P}QeiWlzTvWXVgR%#uU_I7fg|(-|13DWKLQZ(j zk3^Z{Y|BWTgLJ&gJfscd^`?%Ogj;D5bB???l#l1kvN~SNqNNzH1p$yfwT@R=AqpoG z{VBSvSeCjr<%M#K{2idv=n+WCa|KV1L_hK=}!l$uJ z(!ca{)uF0Y&dQSV9v~z%GRLU;saE+OOUmxx5FLOh5JM`$+|{XmdPjbrTYI!6Q$W~R zWz<#-@VwX$adegK#irNQdf;|vZ-HxZ$mepqPLt-GavHsL&e_CF!={H0;HH2+f_d{% zn>v65Ig|s@6xF9-b4{o&1KprJkG`=WqpYDhKG9XcZ4~_atnZ+M!}f%$z0m32WyE_ ztlYdNn?am8KT3}b$PJ=0CDS?f^*D*NtxnkvjP>CO_Iz0e7E`uFcW=o?wmDv-=-1LA z!(MWDK4q8vQp{g=YJ*R_6T28#e zW1RCO3;r#2P*xWR?fS?|_8o90AEb6RSMQEAsQub~R8AY>nADQt(O?Pgjg4riV*Y4S z*$Z$tHlk;5zI{HYTt=5(;KkuHV1v86yF;*-_nz}7?ppWTuIgId)!lpT?s}?rJ)!gZdS{YwGqoab4TqH6 zE6p>rZAl!E&8i>mJ|Su~V_}UozAQdk157-XR6e#tr0~bgVj#s&1K~n#zgy<0jqo=2P;rBEF^f2kgW%~r8fvP8@S09g?@#oBl#prvqonYS_1osvkb2Op;+qFDl9lK$()M=Nw9XVZ(vcBg%mZv!+CsoMn9(s?77*#Ny;%|`_!K0PZ_ht)r#_-tp$pu5M zV!pAm)^v2n1n@l|NoaG(QIO+s_zmM`WsO(^hYoqry#wQkp6|zAfj^7hu2uj`+Oi2d z=hZ%C=2bGYi5q1T5v<>C16Xr~Grg`lR@(?XKRxa9XBwg2&dQm+R|*Dwu$!5iu0t;& zroF;a&|H!Z;9=Ydg^cKOH(Gm&5&Fr<)$hH4Rs1sH7j3o#t~^bx;Z-y5QAMy*)2X z@E*#>9mwc6lKD-XA{@h70ldY$(&?~p^LZPVdLa{n*hFh$#rTDfg;?HN$Wi=Do=ShM zsND<74{{aN?I~(Nf6|AOgJTY@)xX4Txyb1WB;XY+aU5f7<_W)NH85mEGfCJka}P5r z<12#~*%!}l0;%CyIBb~WSozb)kwclwF92>hbWSoq9HJ{u5?J_={3Wwr>u*HXYp^LE zN9XbE*A50(!X`f=_9xc$lLe#KupV|i68{ZpQXJB;S~NEv7Ewm}=5D+b$SgS;q++r>ri>K`8ehb-U+*e8|+~v zeJn<)mPGhq6^e@eBLRFd(XHzHAP~>LiLU;%mF@@HkQTM~L!0v<_7ji46Q#Ad*2(E@ zCP(L)mhzv-JM8-OQuGkDHsYD#te)vg?;n5WK!e%?I4QS?*flNXMsfU%$1fI|Hk4vA zfXX6LEzw(tDQrGvuGv{m={(xauULkR8ve)YepHQ%_0nA=!A7Q}a4qpZtsEckXe)5a z$+Boam$C}KPq~8`Az=m9m{{ruftQYAkrPS7MDY#*2P5A4@E@}FXYAB#(2bR*_cxAg z`9{f(vcYdNpOw#@j7HZYeO?j?dbZ%{%yDSEb7^u(9M8-39+)IRQk;|G3h!m&aHxJB z6k2?J;&bBDd+eJ=g+2U%fL5ri={&2JFS}RkGE0+L?SU4hT@;2%UD3Ka1My|D9?Gk3 z;{1e7q0J}k2KrU!Ly;D$Jy$iTM2)U3{h_0S<;U(WXRAofgFp|~^uYzF4Bc87w(#s@ z@@>K(t^Ml~p+##kxfG5!v&og?II``9!3=aR! z1v+xq-ATGb4sHpK4_<@Sr$OS1pMplZ*^x00wp&uJJdK{FyKnQO<-4`FDYZKL5Q9Dx z1b^jc3y!D)N7fJ~JR?7HUtATZEi$hK$oTgkt&>kmS}HTH?4PuaFxG~7N9*#FceC_} zS%n;^sLQ1Ivek3@qG^As@R71t42WW3{&Y<5eec6hn&g!oWzn_yCzOS8a#hQAIH9vn zVEerWZjV&0=aqAt+Mm&RN8R-U9-cUoUNjKN_?^!30=ev#;NR}Ff=*5YH&y#UC^H{+ z0bYnPabW_u2;Hb1$HV^O$;b>h2h6tW5kOA>T>ORhMGS+lje&N@ksZG`Fdz6Wh zO`G&DjjMd&ItmwT9`|vWJmn7vg*J$3c?XK>(I#}28~t&xw)!mOhv^-YX$?G^@PZq( zFU=cO`l-zXFoKgGr@nNDK((p} zvi*JZjSRSl(uo{WbzA!9bSk3#{Lw&@9yMaUot*P4c-ThPenmm=ySJj2~O;Yq*h8hs&5C6YanKm})3x9pkcY5-K+}FR?WMBz%#Cre*u`Y3<@I)HZc}3+Z zTM{Jedp;(DL~$g{IE`^s>I-+F`uXy#KNiqb{#+pT(BFs}?Q>Y)nGEj{z({DbAGb5* z+3x?EN232pM$!GfitBv;AqHJgo_9Jcu2fj?Z^V^ek#M1CmRuS>oXVnpcCd`H4^-Gk zZQaEMvGK$8TOPvKvnoF`g8LuVWFPwPE-MR5GYMQm3H5i6c#IZ~h+M^HDt^4@O{!Sw^)%{}@l&!Y0)coW`}dqaPt@1{ifuaBMyKja-e9=|pbOqEB0V$TA)M5IhZ&W4;U2 z*VNMV?Bfc!=<*P^UkMVB_GH1+P($oeP(9l_KE%hqLAe`iTy@|Om3<*pX(F=A8Q~Pv zceIS|t*M6*WZibu?vEXrFUydGV$E$R{3AC@JzpUh#l0(qu`ssM0I{n^{Q>mLQEJ1mQNgyUw||`S28XaG zr=$FB0?g})arY^8|7)s^++Dsd)Yi^Ke2i<@-Y5)(zX0z?FHE6bxN^iTT@Y%`>J%Ai zi=VI-nOC#_C=49tWC3`PMe326jE|ql>>tjdZP|wfnF1p-y=$5B3h(mz=Y8(tSta&3 zts5IFvIF>It4_-ig_{_d?onl4KQZ<^W)DEfuh0-U|d4*4uph0n1VkIvEMh7OZLm6@lrlt}OhT(mDhv4a(xm;ho9!jU-J5vA_c)iBW+N+_+hzR`1cGjJI^Z!oqA! zeh#i(#fWI1&QAy-CYz?w*mwUF`GsddC@|!#t&!$djRzr-13Aq`?}fUjDs9O;KBumd zlhHYx<&3@GloKJ3kGF&}w1Q89JnJ7H8>M9_9;>TuZaf|sGRXUSBV2ON&KqNIjbY0- zx+&r9gUs3w+5hNLLmyjWdM%2d5T?Jl|+7z+dA)-lWIpm`I+hQl8gd?#2J$B(}q0}U;`Q<0+Hx(wFZ%xs^Bi!IwvJYiJrE6{W@$qYQx_$0Jc>QlnzN&HHh%Vxy_MVfqLYN`Sei z95LIp>1=QR4$3}F7Dg7ZCXeW%`Z42!TfBpg@dK#$l|~ih#hmaVjW|UvkTIWy6RnGJ z^t_m(q)aM5O0bQmdANuJ`D}k*GEv10m*wvuU9LU4;c)B?JF;N=@Jp1v*-7k-#1x!OIsaqhZ zs%{pnO5um+nP$A7t$Sz^l6KWR7UC!pFWP#&mdB&Nv&*+}RA?vW(g)?hYxYOxWsc#~ zMd_Saw7vGiri$TR8|ouB`zz~pyFEG^##c;n3L{20`Xp=X)Jzek!0qTP;#mo{NH+j2 zqC~f)CRR66nHm|&PFrh#M<;=WVy@9@_Xk4ji(00^=k2@nbnML{rp9<78uq^#Q3rsT zRB-~>rDWYks+QbkCe+}StKsq0w7o0k6GGC! zz}iI0lTB~N>jX5ZuM_SIuhw4RFze}6a3bG{JZVvL!> z{3cAG|Gu8z#&(3cT90^mo#;9gxf!AYDl0a#c4=t6wUqnfLB03qZ~w>5VR0*M_Ri|M z-GY_W- zv>giPsiAE2yN6#$wV@{C2i}ixQj^_5HWaldST%-c{WX4E?W=Kk7?Fr68jTfmom6{OL$!lkoWGm#&X8u7%Z3IDcvNc^fq7q%=bU-dLOOe`NqWgmMLgb{_9`o8I zw%|?HRezpU2ev->u~>sWAeRN41&6_^My^sX?Jr<6Z6wNSGyK-`E6^^e+4NGo#03uk z;*{5@>W%NwjOJ;Xf(GROfbspM2vaCy-N0$LxszJ~TM<>Mf=vUorc5C{v-6OzqdrK} z`Daw-8%n~Wfg1yN?+$|HtL#tfN5>Sl^_%z!5C5Pl>`1zK#6oB9tsm&&ysa*EY3iMw zjQ6E0Z&Dh1sxpC5xVtdTj^9qB}sVTGI(3TKCO%?VaNDMQ$N86ZSw!Sa*@Dq`s z8hbc1VepITz<2NBQB?HRkT4=t&+6D`Qk?+1kH!H~{be)dL_>Cant4Ire5Ss!8;NXzdWjmA>P2jk_ z-3nNu;?c!10>@atx=YgQCTHMj#clzbLE1hmeyTC0A=mzh^A=h@0i;x zqQE6ljkpc9`vc}&!zyjE*Yam9>SkGO|M2(g>__Kz9lGjB0)FYD-Q{*gU)6XDwvtLZ zAZ;e(@z|I&wo6V3qW7?n_Th~r!?t&3l@-Ia?|)yQ42JW2!)foo3)N09$9cVl(Iz`v zbgNjwf_6%K>1(2U(`rHeNXZx$ak>k)3@=NZw~o1`CO5U0bv1Bmy}n9m1;{nX#^l zMlBE0?fmwvuSkn8=A5q-C z3y=7`>tav;_w(*S#PzxGL6b7N0&)X(s4z}w7VPGLtD<{S3x<=My#@o5cztV;BYt<_ zIA6Zc^cRk_R=-e1%c?C_va_$b$|vthFlxaYSlG}ts*(O?rzx5tXZZ9wE5Q-jG%6eC znO~3JWaHpDo;fpX{J+V0!AMwzNPqUusC)c$pq0Q6R{k-kdZQTf`yYKviFK6$cKhcn zedBpIN?JQ}+#9JWa{v;{e01GoxrGxrB%X&lSN#-2EL>P#*++XqnBhjh!v0KL*{Yad zUBL~f;&)vv5YOJ=F{h-Mm3M&7l&oo@^Cj6vkHcc=hzAf_Y+Qf8vAILcN?MCFbM6Xs(J zKG~+(GuS0AU4dNj8{x*tzQi}AH=LC2mUmTV>+6^o8yoimLRhlMc;G;S;+kOzsw-d<;MK#K1-NL~u(~JADrOd#cf_^V(FHPMlW6he|k4#&9kctBIM|=@)p@ zieZh)>3wyEq~nzE;tgV9{5k;ErT(M7BKv2k@uY@DJ!TeX;PP7&f5x^6d^BNo>&{Y7 z#wyc$)kA(+i(KTOf-cePv|SoUnO7kyXqK&2=(qm!|>>JBx#drMK};gF^^bf3Pu*x$+?JwAX&JUzc)E#}E ztBsSmw7(V$L3*Vx?TuRE88K7YCYc%1TAQlC;01{#?Gf4d;VazVTw~N~wWx{6BI$5^ zND`;R94bEp>Y{wmotLi+js$Lb0R0xWLB>>64`hvA0&M1m6^F?L`3xGi@Ds zuivMvm_zzQ*=zwA<%$f~6uZ&6_{`KCLvprG@68ZS)WcS~zBF#mSofQxy3QBc(8q>$ z%-|;IR)7C6r8>=$a1O7J^i@z!aWZi{3+*0OGuNmE?;_mN`?N^7bzN7TEU{U-J|W~x zW)Hz6Vnep7_UMBy#K3BEY&DE|bX>wL>8`X(Dy5&K9T|ziC1RRrm}$3?o3W*6L@OX| zbf&eEDddeDbr@L#cY`I17_Q;3c44z!#^Kl9Q~ZPrr-z3xH4Mq$6yfNjNgSuhn{P;W!oEFyV)@T^ z%0%Wq{nuymEa2Q<)jNAvILp735ovew|0MgU6raYk5fZL?uH?|@?0mg2M-l{U94lUc zB}dyS9ov56T*5RyVT$L%uo3_CWUfhzSNLMwIyj{_gh$2;ISdu;+u&ASviWw+9>f_@J4+f-E!HuUMam@LP&DiYNpy;DrzGXfsltaVMnfnI!<@?RE0z%Cl!#I9vl|Dl zr&J|7SM1cM17&W5u+-wt=h-G2nQ^S?ai1plh(D7}7XD<53)PJ%E5hb8wJ^nHcH?=` z)g~*m9Em1w$TJ%M1*90*K(tmq_)t}i#C5eQi`9Q(tK5&TlkR`zQ`B{I*{Y@yi= zhs>$Qt^w&q^UsZ6E^})}87M*p5*ntk!*BXI%$>i!C(V`K05g#7uOP{5M2%sJq%DuH z{Zdm6*13*v4Vs>WRG5W3pD*@8klK&@!x@}?yP{g&g)K|5>0LB#;n@MVTH7J!uC(8V zPFmAt^u5-;4fc7Mzo{2KXwj^*EQFb2TZC&DteSTsrh-r0ecE#%BLgK{O zL+sm~;z()!JpaqY{|Ak#05(3RZD5Nok_KGiH~;3F*}1Ab^y635`Z^maD>nXb%yKc+ zN%0q0A~ZspFaCgFx!R;dh(*d>&DT9!hZlecq6`J77JA!lKNdDq&fVl1~hJtcJ za##agC_kKswAIaMy6hdTbkf}_8UA}#30cF$){X#~yw-kP>VF@2vk0=bniNFetU;@Ku_@_Pn z;L2?Dqz@jX8<3Eb`FWz0AZ9{|HJL<=Wk;sE;NDYGsg%PU16KFkSS`toK{q(WhQgVIR;2fz7cbJN>Q=-J=fHPMlzR^E6ZiNPERu#Hl1b_BEH=>#;|+>c%SdAuf;_ zwSE5GlW)D2_h((ci2pZY5&UTD%hj;JQl7hKQnj5jru zP8Uh(Kk`eTs;ISdSQc4s|1i;cWL|-%B>-8!|QdB!Ziz>!g}m#JeA`i8}h_1VPSXrRhT<7*4(FT==)aHlx12^WJ(nZvgDwC?-{Ft&7{xuH@v}15_1xq^EWty{bi(*udgDQ+Qe% z?`@q&t9wo$#S56#foIr>At2=Du~wwF%r*~&#i@5hR8axuL8O?8#0ZM2--mNVp9zW< zbH0T<|5yJXQS#kDeP-d^1UdBYCu`gHA$MrdCu?^)W%7Xk>i;9Y8=&L7U&3~#hdlr1 z|6FY}C;MkA@n`ix(*NlHE4~{@NJd2w5Wah1l?{=KiqdtV`;T8&(*M!_SNuOW|F=I) zdDl(0l#OQ}8#^CSPPx|r>Hx8qVDYus&h;91)7w_XD~DflshVp#+_f2R`Qn-#wnMdV zbe)gvb9?l0&EEATPF6IP(3g_AIeSjuJ2f9Hp6R{y?M~kBoMrict#56Mwd_u_+o@9w*=bA}I^V^2yjr<6zSIx65Z*T$e z_uyx)$=07&N1fXnkkin!nvse2(31x7?Z2B*9|@&TIBf^Bf3|Yj^Lm1QKFRE5w%X0} zxi&&DuE4%Lo-q*PkN00E`CNTK9G0M*U+(rq)dL&djNE5Do)_-F8sjm2n#xW?BfqkH zqJ{o?<_>w*r0YbdJDA+Rx=wkv6zHS{oePD-fdjxH-*+`-|0a-w zLE!tTI<2ZmvK_t)B|xq-^jUsnIR6y<}m27EwI z@l4V#SmqcBwe4D`GW|1X^FrQ>fU;%=`q_#?(z1U6!4P*hBZ?obuDDOm5nm zvrHpl+x*|}zgoHNxjY@WfBOtW>H2x%kX#+N-Ng}3n~yhErM?h-XHCZOAZ`hzLL*^! z9AxQjh3TP%;Vb=s8ZPvCKSp()>&oezWa-=>bU6Q%VbCaH9-lVz=L(bDW2WCSvl92} zF0G!rp@#bXarHxqs`J9%)!#lh^`SQEy$$)e8KdU;YiBm7+5y{ZFUujYn19^hP7_~$ zM@;l{&QM#Qv^qWEa9mn{_y1J@dw+s!=w$0@~YEIbtL=>#Qa*@}2s@mGF``Py{K@+$-^*^JT$2jA0Es^aNx z)U>`q{QC=DH#rL067XQluCeGPBQ)qr&5H}ma%ptM-8O|g+U2B6veSfUoOKo5Tc0;) zo0L*UdereJBBIjZFL$D_GII*+lg=BHs25NysYof(BITn8Ez{R0F~@M+YH4XWyb%9X zCMjIm)6=j``zT=#!n%>nWfYRNrsK@k$gdo>cyBnJSi9w&IIkzuR1W~%Xc9>Uu_o_dBC%WRWE_TUn(aLo#S^n|h!`>a{ zqNwhXxuV5=x!A|bc>}U7?dktDoW2b3NgVR59p7fEUbuQ zsIThomEwY&$!uPvCg615*2?&x{F(XHt^zd zA(pk{t=(VX;Qgv+9#d^rA=m)zurmXs;u%I=g|=Kpqnu-J;HNdZk`8&Sy%(ZZPB!^> zo*kEpTlNH;J~CDs75}te0=C>)9}v$~O)gk>edkYrQB#|GT(+&5lZtBJ<<4F&IBg&W zL52hwRy$v&uAByVONg^ngQRIwK0OkG7*Am^zie;@Pkd_k;k`SkwZ9j!xzo1!@KzTp zX+23$6s2pPhg*`nv9!v2Bsxd1BPg12jSvHih!&aFyYMD0OXi`A6mVh5`_Q@?Nljh5 zaa+}gd1}TqYY3S-HLF{G=o>5&r0Z3s{_PEil@KWnNdF2qUoo|2FNLDPvBzB@5FJOL z#a&S*{h-}5BPV_llcNNF^depD%e7Q9PCX-MOU^{M%ctH$(faCT`{g{{&T!1JMU zy`G6l;4;g6Lz;^CtdqOFhvo8U^4A5s#>=cscJ?ki5a#megW277q4=yl(uAQL)XG1i?b|w^?N$`Oznu}6$1zx$=MJw z%Nrh#>f^HTrL?q+^%Vxv%nV-xw0U_rp>E0oz_yZOiGlq&wEy(+1!|lkca(5PJ6!BB z!HppeHt1^{p*uBy=6GD1gv~y@TTmTA)y}gBz>_N6|J2TVydmPCrmTaDDlnd;9l_PerksShyu~04ghUoyLQ>U3Kn&Nm zx+Ly9m~`@q@Sb#{ahxv)f8~Pe;b6INAh<_b{dT-JG)*;`I3j8W^;8MZFO!#Pi5){~ zF*%c{w`U*zD{z^7P6wNx%bqZxYlG$ukS4;X-0@52Q)Hk%L#wMsKFk##MO5xKvegXt zW~}C6%km9LV?}H3cJXn=`$WEl55``oVs$?a(7nft{PQM{y|JhXQ%KA;Xh9FJ^+X{8 zCtAp=+6MHql4B6OtGtsuI{snAAV(!oGVZxT;PHWl35WI-_4LDH1C*@KShZKFS0Lvp zjsZe^t%%4nqnTlcFmBaqq^#N>3M})Bi}^F4knSi6_8fRpQ%`u?{1ibA0Cz;A#`S)3 z)uvzlF5gsuLz0pE@b<6W64WN*P)8EAU#D=x1;^3IUi^DiTQaF?du`I;*X!w zp;pV!4xpk+qc1Ee197WHE&BJ}v8%Smf#3Cdea z|4D!OmXw3l1%ZVN&>|UKkx*S8eLkeN+I}nVJ>{v$cqzX@(A?Sgd(4>@x!^a>@f#K; z-F~#LziDObU!o6+Ei^SZjPrPif^!+L6AJJ>waDzgmQ;V*25!Y=>})91SDZPo0=Rcs ziknlfw!s{=F-5G#^fn%el(5DT?6u)KC8-?tcEot!El(AZqBUbWhQ8S1ywmiSRBtxd z7DWBU^ha4Z5J8=y;q3HnX21Mr1~rZq;wrG?XU%FJ80Tt~j^p7Rm$8=-Jsr|u*c22k zT@_W+w-!b!Aef6!;ok*Bu=OY3?r>DSJKH(3IKxKGIW4vR)x{OA_E`{EpTK?%pf(nU z=s$My?Y0m3(tC@smKg}73Ugkg$)X%zPJ1PrK>re9<$IT5VzE9;y}n4kI~pyjPR_NL zgxCYs$2VSEl8nO-DAxZ-@8tPc{|@)Pu#R@C2fL#@TFcx$LN$^c*FoD_--*voQKjxs zy4eMGvnjHs{uq&6oxDw~%qHJb52By?R!E=n=%dcSnURa#@eXaURi-TYu^u%DRdh>5 z^`@cE$Nc>m1LHYSW8n%kvw3Ub9kERxFz+aK)PU|8 z0&|k{v3~s*X-?C5Wd?#%EM?`~p>fCp1^hF4`XQHS{&rZG@#N%8#20Y}u|9+}-7%GFFoj#Ea!`K@BQ!r!HVFEW%q#f#4f z*@oT*PYE!ot38}7GUb8xi}X8CK#4Hm+MQxxZ*(9%&VQxz8Cb7wUXWC8pVm?ezA)!&R(%FQf)=*HwrzG zaC1mqf^vb->>O~UyuMJ<&a6OdCW*Z^z~~fIzb|B7b~VH@Ie8`h5BL2YO^|O}njDsmI*+y>wL%@SC1Kv#kBQE@J1QX;T=# zyj8uH6g}hw4{U1wk52Mm!o+Q4b9>T~u))2&=VfL-iEL395SnaxM%-y<|ikVzb+z$yp)8Z?DJPYTd@EcQieBR`p8^aqd&4B(^VJ#z08DTh; z-0NvjKUUEJug}3tA-(Dkp7X(HC3%pu4UXMUV9)FGEBZX1Fv&2Kj7Qlj zW{w-_>SkjUK35EZVjdoC$x%B_Xd;b&o)qX$rjNg!kM^Pm{KJ{pjWtkv4$n;tV&|3Q z*@L!G-Z7Ju4ZI@-g8D>Sr0T3kpe{(7^I_gg)}#?qw45JPmM?VA93h9xDB}M97RJV`AYuY>Y@IM2d#Z2;0fbJUXmQ8Z$(M zp4$M<*t|sow?OmB64iK!K1LD@Nn|7)wQIQWeB+ogBREe_7c`b3I|i!b$&%I16CW5`UJRaME^5v?vDTb}r?=RUcIZAUqUF-HJKyGB&p# zx6@6XvUX$rrWX15cCVw_^wLy``v@Ss&W2{rI%vxHHcIbvGOeq`q_0GsT&Fy80NBlA zEDOe<{*&@{rz^22bIG*SfCv$iJ)NtRSoQUmrdeFH_vOA)MpWSn+#&am+iR+F7ZZse zU|p)(v#JtNuODXcvR7nz#lI($ra$1T=SThYeN1gceP<@wMvF2Dfwgu zE$#l{iMYKA!;i<&P4)Ip1a9>0aC4Dr%YM={&S1Xcysz#uawAp1vuGfBbjJXk6`$ww zY0LPkfff7^I~jZR{>gvCUwouKlA1}XCzQ0)X_dhKNzZw`cS{#MmzgrLP_F_o*L9JSo1&1v#dp<;QCM|L;bgK;$|7E3#n4SJ z6sEp3t#f)j2e!_=u6EK975z1of$V$dZv_0erdpB!R@-wr0QSjcyIMP*%Qy_9) zD~tR}xHyR)3Fa_6K7fn7k>ePecPO)yRW#6#zgO*K-wQRhcpIhb?^P@JN(-j;izix>*`^clg(CG_Rt>HBHu<0(3l5yA8jAzZL_tD|#7n0t?EimY(yqZBFG zO`&QJb~p)_B3l;W=He0|Wu%$;oG;wZscmUJ7PUKor$qxIkcWT7B(9dJV-1~M64cbk za8sUbRc`xYB^pk=6h~n1MXPkfh&QL&>{P9mIo%(kLYd_+|Nf>wEY62ID$0_sm&)b) z17eSyx{Zv5G4YuI7~%M`?EHsB;DnyA7GFJHsdYqyJreV}L%nmN(X$Nsb4#9jcW{_P zlq`3605zxnd|%pWW|7f1jQf{KDzg+Ky`@TMUtya6>I*D_f7@JG8ye@e#irer*R!Vq z(c@42Ysq{cH(OWKup0(`N+Oj%4)uVP&i%>7AbW$oeAf1+;fS^ta{x<1H1(>fNvTrlq4&eG2blF7gzf2_ z)-3&fzT?P|rof7pGYw(`^eH$|{ej*@^UW`*s6atbC@#2eX*AAIKK|w=t;ifmf_WhB z&$|~8TQ?LbpNNxOu3#2q8>iL5zSnM)EYGK$8-MWEYiWU|8xovG+!DT*9pfOJLA-@X z+?lwzc^T$HJyxZRyg&!;gJQgBr$N@&g#SUXt5b@Q%XF7xM0Y1s1yfWEV_k}0)Aq4M zW-)b#{Z0&1DJH{m{j8ho74nlr{N*2GFm(Yga-LK{Ei+jDz*8D-|AG6eKrKl_d(c5S4&At2Ri+P`+C0~dP8t-0X$=l4s5@Tc)z5K7eAgg+M{M_qh4dbQo{~tVjetqhR zS;C2xsX@C!UUu=izFnB=@O5smfl%4^KP8+jk}kgF><7tjdQHob!N5 zUF+BKtFb8u_aRwE4f(5#v2}R!aXbrtX^ySS#IbdqtQq+&h5TE14NvPDLCnEzw$Ot< zAG@B@S5X4Vt2m!rNr(5SM4rg!va#mrO*1$Cd9D85ai!c&u)y>oiv4teF|a@f{wtnG z+#8*2M7FT!Ghh=$>P8-&X?04)|1yEU{s)QhSUh&GdWlv?AyU@xnc7n^j0jzQ8q zGvcBB#%SV_WAF3jm*R#sqi3tz%L^uqFQrO4V^JM%!-X3L`pj;DH@N^6VB7(Z6A`Hm zs~jIlxAgW|;YURQQJOEOOB{z#vDdog+sIcZ$3IJP9|98_I5_2Qq6(iGgbF3Vk&Dy} ziF1@5VbbrBg|ljmFXnfd2oZlKcX{s?Gj%21TGB0RR_$I*n+^jC=A-T9RH~NlmEi^#sjw>b&*)6y4TuR+0(Oj*?;%8)8umw8i*yPm=LD(1>7r)x61=sBumooVm=q| z|Hw6~swg}5nHwqUaC_4#+P9&6l|naBUf(u9&Th)GZK($`-Arm59(DE}Y%PhzLgk-k zld`8{2>VCJge7MNz^y!!EI_>GVbsrkACg4Y=DqY*s9}&B| z_Th6@xlv<)xqU342ipeNK9)RBy`~ zkd{o|@*ytJZuO&h+2XsJt3bb1-BY54>^+#rR_MtxkZ|rRJg~$4rd^CK3d6pCJBT!q?mgoD z2^sCmGUBZ=)meOo)y8539F=5UT3$nQ+K&`&JLv$?9Iuk8vBG~_-lmneVcoqf!t40L zY;$}HwmdzZEqi<>S>^>g95>ed!ONl6Nt~S=IiNnn3 zOpTLrS3I_QVzQ{u9>U zGQP%K`p#-vpWo(MP9JwvSI$gQ0^BZ3c+d1?NB2vQ?@IGid5r1Q@Rg(x@f}WoR`%T} zW{T_zDT86J1XFt&JIb5X%?0)iCLAOPhjSR!9^~Gkj@y5g&v*17KrwnIw2jg09S^G( zvaC1AbKto4N-#OylO3(usH~$-+Ovd5cV!5DkI-p&PHg&A=@!o}+Yhj?Z|K))1@@R? zh1m8EeCxkUCSayH)oG4Fb-O9X=G%O7314}2LRe|IHJxOL?3c(By@k3qdCTwQWxuFB zyuNdzbL+MKuG21mJS*D!@H1}Prv)zl$Lm7^!8J(?31K!(-_b+wGi@w{Y9*tR!zzBR z+|Mm&@(97?=S0V(=2E35;egP_69bPxT-==gbjen=F!XIIzb{pc+`ml2{+ZTDE$)cl zj3GP)rqD8?{-<%jUp)REwx4hD>;K&L$M^?7}tt!KgT5~sB3bCQQb%oES zie8MQf(kkHjPHABo}@y~V=YT)l9zcaW;^5J(d1sl{a2T;%x%fL1CiQP*eG}*+3~uc zJiNW4p(4RWt;#oP^Ma$qa2u4MqsJh`lE4G8bJM^6G2{es?IUAiV9KSDkY{lOR2c6(ouG4rFRm3fB9lTNnPw+FL}dBO#}V*+`ktT2UFQS3gFo?}qMpt~v(pko4> zTG?q58p=EHFyxCpYkPWx0g3eDJUnE_fI`afGcd;Gy;&SbOyBApcEF6vl6mndtrV>9 zya~bLz=Gj%W~)vPmlGdw{so^9|J9GU%y&E|J=Ie{G^-JQtEg~xEcu(Kcd+F@4-0PIa3`(U$XmOL`%XQg(E*}6t{{$^<1 z#q3Isrw|#1*2Lnel}5EAnn7Zyz7_Hakpg2RZP229Uj$M<#`D8}==IlmI>6JAH8~}D zjD$2Ak_=O+#qvPXsp)sFFBHsi<2rj%qr8|Is15heeBOw`0x24~s&f^@w+8k>QEW8u zc`kC06Z}!Ut#RDZ5r}*m^g;TM&==#Rx}X~sR6f!zo7DV?#cIJ!H1V@st}*? zzxYD_Oqthja2x<7!D2YJJ<`dRp{2$+x9OVjnI%1IRAU}r%V#^FTYIo(W1``FU5PG$83yoZ3vC+G z$R~{%PJ*r^j~FT(ChM15&2F=Uj$X4qlx|Cva%{p-k0X3@mRUgQmemU^IJq2G%qgjbJnoS!B8gXoxVZ@NwI;wOlVXe!OoVhJ#eHB~- zYP3|(mq3^`YxQXN$o}oEce92o9GQ2W)&{vd*gyz~h5i4r^wnW)tWUV7r$Q+$w765C zxE6Pp0)^l%#T|+UPic|j#ob+k28VEpyAxUp1Wk}afZ&qc{O-N^Bbn#PlihDOyEE^+ zGy4wi7c)VdbyvadY>O=gS4>r*OGOd$^%%2DgIxZW^=s4gd(-7012yl&03Jg4r1T;V@_VFMUtJ^DFsh;@U`gzMl${z;{EE3{mC7!We71_su(T ziTRab(x*?COuoa{SI}nPxm=Hs-d*9U&ccH2$|78+A>S`m1P4Oxp?M=wtu2RrXMeUG zF+GN06D{3$(B`xk9IIK|scG4%=%;6D`Ys9Yc0IxyP0=iB>gIrrV=j~Pe$(l~GrA;H zCiYKX=!>iV{cXKxEaeW*Ij`WpYPt}@usctg5BzOOI|g^@Tl_MW&PSMk0mhu^Jj1j( zi!WbVb8N(#fkf0ydLEZMsH+dX_J2|^`MtXOYl0z->Xs;CeJ@wbA?~??>-ODM*$4gc zEVk6N>s-=WaGSWx1ZmU9pWKwkb{VB5_l!d;t~+dlJsNdoW$t4)dezL__LJ~d)0-oX z17C6LG9229EET)i*2Cl#@-xj<-m8@T+fu1(Ih?CiQ*=*7 zy@Ta^{r{{4KVe+T$&6pYv1CU!I@ObI#QCYsm$7^LX=>19J@lMtcBfQzbk-ze1PNA% zc6)3f>&0-2IPZEcKcd8GRhb+?tag~3dtO%GXtjN%-|@KP%MGdcJMxO>Q>tliMX6aT zhglan!dw}?yRBu1_bRyA9h;kF*|-N0sl^X)cQP-oJS}M&oy>oe(7Lil98~c6M5^$^ zm&lTZGzFUZrh|a|^i|R;)3C>6@IdDU0~PVXtoNmMX|){7f&TvKce*u6r*t^(j z_2(~NHMa~z1fgF1TE^;c+&tlcUqILPI4z7nEzQ!LeEVjxKVU{cOvmhpLKd?V$ zC-;<@B;G`#=64niQ}&PSAtly5MLs)NT*tf^>adXlM)>`m*@zbwPyz z>T)Gi!Et{XDl2~`)M~2UH)oFRzMcBz0`p$->@R3rdFZQ~4{?C1pC~R5=sK^mxdy+3 z&jz(Fg7FRcVs8twL97CTZ!#^N*Opx_aqm)(O`)A1};K0bT#qJn$I;Pk2gWa1{ zYWtE z;2;slexsCD3>Xy1hFhxEARBlJV{U3y-`xyp@Na(QD9KW`ksduF9=8JfhY#v{xeihc z-?LTUOhxn|;-G4tn;x!69ai)UBI*`%J1)v)V}pI3v}GmN11*Poc0z+7VEKEL5^TaN z((!(9S^y!E&9C4>WfTyWd)*{5ob*{+rjlJWfd^(XM&YS+SvVQ5W=I=Cml)aFBrWCo zEsWJ)3VsJ$2s`Dj3?iaq7G&+`aZ(Z$JDW5S-U!3G_oGYWq zhinbh-U!q*P5b>)rCAU9)HmKfJc%9<4aVI4Wh?dkABh;*cE7N(_lKG2&;7n6Jc#?& zpQC`L-8>(*SD2adO$FL9E|V*xzn|Osg&(O&qjGV1`2QG2?VGlq)^v$h%g#r=31$ux zo&)rb2)9QpALsfWJU8xl%U552*I>D*Cr<_jgk-uD0&cfYuUQ+UV0?(TaL#pdot z+vdk!MmB=dQcXI%6-(s8c=&;43-8>^;gEb{o28ZD-O~S+pjm%itJ;kSIh4Pv*o?Rx zUg-c&I+>jlO(q@D+#*!j>Q%S4-#wTrK`RXDty~BI3i9gG6$Jy*VY;*!v4Rm1%h;Qy zP_!%c!dj|@nyNYuIDO81J64&;6?*B%YylJ~F-$;ZQxtyBW zUHDUedB9#+jzxfJTHI3v)GWp~2hqw2uK;g_MZyyDyuJF@NVM*199{U_U8^niEUGrK z9WvNIODwFcEcXn-s7DPZIy(=AcqE_t^YDq4UuMaFj(YSS?_*u;zxDNpVV6r5K#OfC z1{fN;wEVn;((vhfJW>+*Pv4*E%Z)L`7f~(?Ga|&Xw=xD7i`5I#1HGB}z$j?pOea#j zum1nO2rIh*G;@5sSV3CQSo^CoqwM5bRUge2efylVIFso3_1}ZQw(kwltCP9hbhUOk zb^NYo&EqVOWyQf9gqy%+sa!Wg z{^3SBfgur=I5Ccy`)j@vcP_+(4Ksc#i__h};3d?RWnQHzy8Eu7U)bHNlpSJx%ytz% z?)sn$qn_m4?hlR2!c#w*JKbXHba=$zHCekXHExO; zwgvxfT4zLRN{lIO^)xeZr{`6aU%n*5=qseX-zoL`tj=G06IhHyC`Yq&T=(upPj0ar z@c`GMySg5h`iDudafI@c#G+{q#Vhy8wK#`92}>^)VK1SsIcjk?I-6Io_FYZx4TA_V zcmn$vuffX0b?qKd--nDZ_JQLvI|3%y7mj;EU_ligKX^mOw`{eIHu|hRq z*j)Q>`^Cq}C=#sAB$9jcxJRhcb88MGbm4QabNqJg{7;ohfpk1knYz!XH?EcccADG# z9I?HShPzzIrXx2o?7-c7lQ^m)pM?J2JJ1r(ZHGA41~mY}$U3L0AH z;~=~w4=00#6=4%4p6J68qQDLn%E4Rtxk{d8pT3W`n;1vuo6=P>4kQ$@5baBonqJCG zlS-$aGOUdb1x3!iji{JzV4PwVl+kKw?qilcN-|E>T9leEW_R0p^o)0W{#K_L35%w^ z%@~45hk$gB-QU&w1A1rWcN;}oldoqzeJu_ZYh)JVnwew|9eJ5g!Lk0x&8LNbYB{vB zYYRc2<0m(;k5EPK}?HyB14T z5^lRPi^CTqh$KFj7hE*GU#s2u8ID1*X~DpWTi&&kH-)wNF{|l-T2u}3k_y^S(OpPZ zc5!&ibNd;ebe%ueSdjmr&ujTA?QD z(B`Z>M~*XefFcoB1wdZ)&lYXh;7ZXtO?Q3C#o-*8T1E4Y&rQ$XJ1RICo#Sb z`-$^O@bYOQ6uKXy|qpr4j4%6tic{SJW%V~C>uq}OIKHOKVk*5W1yt~lL+7cC*6fC!qTRkG|EQxhhVS`(C(5$2&9bTG{&Y&+#c0otFv|d0n|+#qX?X*-8KF z?w`bz=Vs2AtROogMdE0XLCX@RrmV0LZC%HAFGnX0*dEVk(UljG>U9>T8Ud183WJ$Jf12v-sa9L;F)#}#H(lyKQ#(*aK zPGGtq2+km&?mEBLx1kr-{@<1E(?SOF5OYKkRmflGB8L8CGvTN(x$DPssDgu*!yDAD zSJr~s)ze}crcmt08_ng=P$gz7EB4njnG=Gd(wR-XG=Zb_chOAXObY06LUUF?R$P7e zB`V&3t+=#-lv@bgdD6Lw8X?YTn&#`-3r4(lz1LhY2yGzM_&y)l)YC)dQip0tSuEZJ z{E^3wS2Mpk4iVWu2#Lz;-g2#86M_j9o0tNz8lRUJrC0SR`mA@4zYkMZut>_O-l+@` z4~Bi;6aaLIo;ra{^~mpJ;Pt_ubO@@_?U>G5`yADea~g&Mo+T1gm){FiqcD}DA&B$u z)#4WKxAY{#D_6bve~Gw=pqe8LcR%M>d!9lJFEf+IZ3OOK)o&hg<7jdlx-*BPy;#qwY1+SIhS72n#?37wj{0Hl+-=9vW>hujC85={^5F1 z%Ow2$A1}HZDaAO`-zaXKD4ZzSMpM@@eaVt_9Tc>`=c;0H6*1T0oO%rR%)mtxK*p;C zMSM~6hrc#3nvDO9oTE*_`^X`G|H2W|-yjP}SJrvUx96!759YPW9yBgIirL%2wDQ|X zZDp;(Bb^*$T$X3it8%^-i|)@UE}klFfjml{|Jep zniL0gF_y_ZQ_F|!sdAI!^ zS6jfaS2j8zjc#+=ge@n)TM25ecT!BmKWQ{JqIgj+?uXlG1^lHyX$};w`jpZ*&bLt2 zxp%R2)IvNHPF4?M49IFwyLuLQ^j_&UOiCfom(;o9bdZ^1cXgRa31MBQ2HbkSe^*IU zx-sxE(Z|R=joItg6jK?SU2hN9jEl#+z(Y^9x_YpWME3H;o3`3wVVeW2T_19Ca zpE7kk13g+dreQ=^d0Jh|@eY$acY~$B`S&e8o z7*1OitlnKGxC0z7HL#+mT^<-GRF#b=RC&m#4>prWC+g(R`_1s!$<%%~IObo0r522# zfBqZjyqQ2moMW9mp2;{h(S?Iy9i9t*S2RNh!47|8<(*D#>=2s_~6W&m?s^qWyM5Gr=Rn9$IJMu zw{F$!7daeI!M2+#=BNQ1bn4Wu6iZKyxq@|kVq4XWrb|5WJ0G02vNfofnk#H@pr`Hi z*J3G)_M<8|Qwqh!zx4wlOIlD1y*qi4z7|CIxsVk|Hm7~R%hrB<2CF{lr=BHKh2~M30Tlv+lB`;=HBfZ{^|erJzX> z)}$jWsz6DF%qORN zOVXXd7Q%q1DU2(Awb;bair&2{(25T_ZaUZdhvF1CA#mQy40?%dUvcO7UK)zMU6$GI zApxCC>A18@-@Y;G*^0vBo(jQUE@l3`IqSPw`R=cde#ne>l8tz-8rr53JRYYC(Hpy@ zK?aPs7p-lMiAo&IuJy@m-4y^Q;LC*)TawD~-rqtapBMbk(-$T~o$w_-L+asbMx{r= zsxe17t9B{`h2B0ZI-$uw+i-f8t$fQQ1-5}Nk3TSRh4b`<-;Y#L2*qzVSz4US zB8hANy7x&KRE{G53$4UkXN_3xC3LafYD zCBe@2P!*Q#vVhknw89v4@s$ZS6?>B*+Qsj^Oz%fk`_c7Y`l|j;>1UvIr*U6ip`lY< z0r0Po8yVPP=iuv%+*AeffgmBh+Fih3zuSw1S>M|IN7cL=W7FEv}~& zGUqgSmM1ZPRaS8G37<;7R?$wkDZ?sDrFcQ+$e3PS1BM{Ut8no1*+iGjNtrFv2}JjR zTpIBW{Q`EJUgbhv)a_5_V5#Ar}n9oEiR3bkZl)O>1aVL_)QmU$)O8=UZ2s3G?M_rx*vRlE(Pg~skB2_NEhf11 z$~T!@f(aZxks|j43Oe{lKTyVG@3of6%t-vsewP_(`8^Fsznd(8^x@^r$1dmz5AVf+ zT>&Mshzgy2Po4wBXC^1UEp*uy2zGo?v+~R6`nr(m+Xofq7rC(fjh>GsC;z)*JOMJ! zn>g4}{y~o}&$k5k6iEfIN~W(EK>Q(b6J5FH z_lY-I50C7oNQw~m99>Rj!pc#XE?sd`xL&$kYX-vE08o>Fa8{(TFbXm?6g)%ne6QPU zNv>c%Ba4^UD>JX3ulWKr?B}}8Fyoc!v#Y3 zQj}Sth?MUCOk0l@yd+KsqG_sxTYD*k_}uF-LoL^3n*vZzt*k2^Nn89o z%%BeUg@~fe?sD@upY<3GF2$ur_Qtc?t#{8Jv}PJ*!^SZ&rHjZji$=Ap9i9F2hmFXd zi{#bRh)Gmarj|0^RMLPqy<~KZ0neaY66ZXR7 z4HgV^>N*~Hteu=h-n4G*&WSqi2kaW|0b=WM=6Q5ZU88;sNH+Hfa z7^8Z(VE%U}$s2FKE8o5~3(Ik~Z)2a+(Nv~*ld?@Gm52_M^O9JYi6v2r5lp-33Ne~q zFv^Bo{S`A_zA@E1vwH}Tq_jVPqnM@7pv`Qk0prxf)R_ms1^U#+fS%<8_g>Nd9nbDx z*kp7gBMwpiXdtqS@Z7W??2E*!sYdTgV)g7c;f*wB*}ls!Ga5LMR}@@VQqO?pn}-33 zoXr*2V#^;6HDDU}cFx5KQw>xTKHhI!EgC8>@~XlJ)0!toyVhGW^gyLXFT)M`8_0>r zb()#8QF|X9nvBW*7M-249mh)9|HI*J`o@(v<+8%MneZk!p`R;cFOfZac9YvjRoN4f_ z^;$Q!TBM%i9DTx6+0Jq9lgD_dDr;|5>%lXS=f4)N9CsPlYWTH40C-_*pIyKeG<`Yg z7$?A_c#v!lE&nCxEk8#gZ4X{rZOv>rii6!-rvw53ejR<%QA>ehK&SIN*F+a?kate~ zU50%2433>bZ4=;7&Ilpp&%h!(d=mrHZyz4#Sewcx?>+)5O{@GM&G$AC?;XY8sXtq_ zC9ORyuzG8sdq-A!x;T+{0Q@B_u3206ZR=fyfTN6*`25vhyiI(|oCegNROZxUk9&x$ zX6^YuMBe{)a!vi=p##(&T7DA3;hzB>9@Va6P||f8oZ_$oUf|PgFxH}=G$~X_vs-(J z8N%%@`4!60E@^>m`T$s9x?n_h z9vz1?5=dOGDmF7q$(s-Cc%D4C1<`U z9AgYhSg-upl{7{P`mk*%!JSV-Ciu9L!c~f%>D9rHnX+K)|01Nm8J8|o#ch}M?c1X= z?&a?;wrHFBGp(V?|Nd!glZ&-kA&x9yur&piq43Nud0^GVai8DKE{@n{t07ByDyu`6 zGWoIJlCZFDCLr~f*z3Klf0Pm4x*4eQvk=X$p|;1aKXfLR6?@J2C-xa)q41+P@nHiL z);r-&|zkDp+_@Uk{%PHSoHiG9xKB-P~YeMI28yGdnn9z zg8_vGt0DOBOWrcNwE9uOcy8AxM#2VJh{XGBFW$Ze32wsbyrM3Y`Yez=LVb7Stq)73 zrYn9Sp|e;a*!WijVo35+(oYLpcb!r5`Fodtoa-Ka3X#z+Bh;L(1|{)BPGf9*4}=C; z!BEincZd02--yu{8~rpH_K#Gt3+9U&c+{Dn=M!$uAFlsIkEc@J>t-_u%-@#<7uRVT zsW0F6+pVupmz_Ymj(<xDiWpf#9+`!7H@uB->>4<*;yth0bK}TPZY!JtyNyrs~h*yJp4CsG7o=d zG$2%WIbG60njENkI8|!!H1*gn&@*2gG)Mlib8;Z(Z-_{8xyt8VfIaWk8l8l3K)Fyi zDQ=|Nt1PZ5urf{AUmQL5^-ZB#G~xkZdt@-F&BG^_DK!19`^<#0f4T48(;(#D)od25 zj&OYeXbybOB|t%)$uhrX#JekzP|C>&e~IqvL>^W|O3PMNt!0u4O)ldoAU{L-?afsq zmKp1ByTs}XA#n$qt#ct7XdV~*srHwLkr+#9-P;UN(xak2i>TCtz2Mv1{>3WE!IaaObq!GD+eQX(4uat&K}*d}4;jU>Znh=G?vVF$+BC-r9j}HRxw%|;;dH06;E4uq2M?_ABt&)`#}L{+IELqSy`A>tL<}IAW<=jzNG)p~+~oHM z*w3x@Rc@IqFuuIWT=1WeN3)D;& zq7--4hk;oH4+;Q8Jd0gUOP<`Z3w|DV;YCX$%16rfJnZxQk+~D}US@=hmC=SVsqT47 z92-X8wvK1A^Q56bOcOrv8!JQ(C&gj%k#|bd9Nj;nJ}jqOg_y*5j^3QH9h+7JC;0yI z%Ow1s7KNI20Cy3}w-D0pcLC$aOHjSOQWwyx`BURm9G#dYAzb>SGE4ZZ`SY}QeQ$a< zbLxJ(-H)L+=~NN2XG>T1JlAp0W}J4?M4k1bi2c{<5jXiQ6~bkmyq%P1`x4W?XP(=? zAns(p_KjRFlAhS~nj|o?u4f!o5Ie(QVAau{c0L|G3#kv_lI_nws(xO)KZ4+GE zqYo@>(_Le*UUC&e(G;V>b+|H(@0tyoXE!tYz>5E@E_tERQG2R;nN;;Q%>3B<)ZOIan2h;u_5?5s zqcsh=kWIMAvk@{4dwMY1kNZagIK?xqxVid3EsdU+0fZf{yyTbQe2PTah94bLN&>js zqtO(EwH*RR9dGD3oc$I5^{XL-m(S2N!&w@_oi2IoHb=&Vu}C7?649LYpqbesgm* zJ<6&xP5z$GNuap`c8?O`l)stx(a9ZeyW9EdzUrBnd(`6^jI~&*L3$H4MEe*~hwbV< zJMAkP8_ij{>vNo2=Il$>o5o3@8pmmjgc=CU*cVRZn8sn|`-3L@P`I|dZjU${jALO* zMOp|fZPL}{;XZ&&eK}pAp*nQ-P zn>4af-=toa7O1)V5Uk84g)wzsPH!13kMNOC8gb;y30SB(P;71D2Y0D-aut3fkS5B@n2Vob zqw@BWsk8Am7YB97C4T;2Zh$Lo4y9{@K}|wc44@iUlmeUmOwGbu%X*97mxDbvu5Czhq%BmopnoAzX+3W zUw|5P4sJvXq^ZTNQf>764-P-JV2mgn0}t*91QKMumWjESMHpn>>QWmD+!Zp_J)8OP zo21~7dVkW<0ZCXzWpLm+l?NYirVPe&bdLszN z?=u%CdVF*z^{)n&q#-+rwse zQ)6r(??cAGi-e_Mjvrpq{y~-O0dps3`+Cd0YsaV`m~~b?35AA~%Jj23NK&fgsXwXj ze7Hb%qe4MZZ=^Z(^g{6N*0eXR?!(!+7HxqCFSY}&(35%0kJuMJOIj3;M|a8F$NtvM zt#CTG5fcb|mv>lC3~9^)Wd3@C7993GDvP-{uWMC0uqux_Ru)phKw#}FI+Pj(!hk7u*>b@4?q0D#sinL8OnJ176ztb0FqNe^?` zY-ejRboHZ$vjiA!`Be3^vqZFsT!#qH-6xd$?VlgpPaRs=U`(~$BLjjWpZI_ZerXqV z6FliMDUqJKKIUXp*Ra}fiBaR*5EV3?m5x_{Z+5&~Eq+*vC*KiK(THUnxH+SFq7{Bd zb8aR`D7DrXyRQ26`I?xw*Ff5K!#GLcg#Br*i;2|7y;&cwxI-Hq1hKxuE60lS46Y=B!l;Z>qG0T#_8pLU@+?FAlFCy@w3 zQwwLAN0Lr5k9dR%zYx{~WWp^6L=2D5Nm>j4>0-PfIkg5yN3PZ16xg-AzIg5g3=2Ie zMo_A$tgZYQ9yl!ZC_XeB-+TH>z>EP+=IS_|@7sal%&Zts#RR&}PTb@7KKVUs&l2Mh z0C*HxKr+O~izy2Id7fDst?@DJ5UC|SB|DmAnxieV?t8Ld_RZ{r_9S=jCu*4AM5HB8 zd=`*qFy2twMge{DNYhDdL2As&_vO9-N-Fgq8KYm5^Gj;uchgR@3)%g6D?F7#_gh<@ z_0kP%4)@Ns1C&(AIbp3$eGp-lgu6cfEo+b_L0iaY

    GK zgV9h4-u)O?WJZ0Y+v&=kuIBgElj!_y=|(Utwm*C&LUaD{i}SV1JG9^mBYeOCQiNp} zqNk=!FcTOs-bMzI5 zMoW1Lv??m}mJcPpu5si;$7zTB9(O(tc+rj1tr|EWEI1o+k-O2FStKvdh6NpLZr4QCeJMM%!i~f@`7l1M&=325JxY%V0s!BXxR*g zViM`HC-f1vk*iJ3?7`|3zBSN&P?)=mgtK$a^sM%RdrPsQQCIy<1cGFcZhB<}R&S17 z0eDOG?#X<-Ba#BI$xuEJ*TWbTmR;N6z)%jr3{o$3t$yuv{I=0-#?g}HMkIG){o`LY zhfiW}X@V@O_ult$d|3QTsrDn6%_QHEp7q+zF@%>`-<1;rg?jt-KHK|nRetvE4z9MZ zbmv_mSM+{heBlkN;v~tpv&3(A3626MzFl*?sqmk`&f-ZEuJO@5yc7{;U&P(xHH+7? z4e!p2zrK}h$&>GnD{h{0EW#_yjqm)&ro`uZIFF58wa`cP^4hPMkqEHI(f_FHjwKCG z8{zluv5$XI(^fLuI$;_lnEJMJ(3*%bqRlxp)ahZS+H!Ste2cX@qP`pKDStD5q1V}8 zlc~lz1LGtH;I1 zyRJR!wW-l9c?xXE*sMsFC?UhD!(jtTCJR$kxb|)ez2UAKn!g#)lQ@oAvKo7H&v&@mW8E3KpOR#K)Iz<+LwZ$HHaVbI+3AyyY#Cfc>yv*VLz z(uD3meg#TKJyZZJD4e&BuuRU3ygMF}8f&Cp*OekM2_`vGox`^2(&8&R>Udn{A)eNr zJf_OWuE7+ly!EE%ogHmg9XmFWyI&3bzQ|ixTsCa^a%XC#6a4+zI8-?O z&B~2aCA1;liz;OMm5ewKP%PYog#vg^E8ymI=FLjpS(VA^O2Oor_HuwK9#Csv4G=lS7e0x=v;xx6-C&Wxjt}+)73uk<$S+uO_nh*lA%qVgAAC0$t$rff( z*PfKkchlmAjFEqFp_9}!H7ayE2-w#YdGdpuTo=&(O@z@$6q z(4iN^NL0HdpguNt_;323B9+d_V;;tz;rfkTtMjve!WAvqP68AapXrtsKEb=Rb>^P6 zq7KT8fKIVPf4uX7CfkZN7FaKZlsYB~HKfJe-I`-r#zd*KzutpU&7T|ysWKQR>!P?R z;{HuANRY~R+1o0g>b6EU$0me$J&?D{P6+}uc6IYAv4Wu`74LmM$ILagWX=xki2M6C zd-%ng?n&;L{3)|uRje(J*ZY3wO%Qq~#QWTfDs$LlmifutIey%YLBWZYX@*qtdplX} z;{!?gJ~oQIwy)2QcB78dwBZI_YovbCv&<2xC{AguFhGTryvhCuos0M9X7l3ca`IT@ zJ74kemX2ss_Pbhq>B#>$=l~7rFRSSTy6Ctath@Rt^ISE)I*5dJ4L-I%uMOH5JCa36 z$YmdH&_8$;WQ@ulCy^44XAWYAu3f0Ud=7*jd0f6vNm*-^FpN9Bc;t%Q1gaXC~)x;Mr|X+meO zEM2EX!bQDS)u}iz3PI)czVT^2j9z%ANIC{VmE-7CP<+u_N)DNO!ed8sI(Aj6J!pC? z7?5PApc*RC_VhO;!r+9%+#OoH#0FLd%UEP)BN7^9I#Ib=x|1RBVNoFTG(8WwhZJDc z;24(VRtR(Dp+YH@Se1KvcO@D?!*A7u`clQ_U||OACBk(Hur+_1u&lH~Ck~%yu~6ZE z*K~OI`b0Gc>>ElYsoX8E23(B9CLJNyxh5`y=RO_g?HDr#_dxQU~^y zOL#hjqh*$my;esK#F^>+&zgpqwrS76t8;plWWdI$u(8*Q2(9tWIgdK3tf0fwjxjp#Wor zYsN20{?r9`(y-KGT1@;dLo4xL(ZV38JIkf3rYK^f)EO$~BgCDrvY>r(sQ%j3+%vgc zX^KODd@jnBhtI!pv%Tls?U-HWMZ=rJEIIj(whWC2Ja*`l^T}+~WBWDgJ>02N4eUql zT!5iWvWBk)!Y$uItkh{OJ@+Om*r}1X$@h9VoZUo<#J8*sx>elrJ~}P>0%x$ZQ#kg0 zm09}M+-zd$eBt~X*{5*pNDq#wu!D={eMh(VQ(+3s#;Q_F_dzX%6@|qKkjvg<$F*9a z2cd^g1Yqo69#tBv4%U_H3E{cn%K8|QFrX&n=GC%NF4hZYFyIV$)fLwq(F3@9B_F8q z?V*xb$tk$Ktfg|f@ao|3c#XJ)6_{q?S(u*?c!225xpHYy)s=Sexg^M@b6%I0Qa2MW zZ(Ho?zKnulSH~Our+3dUWwS-Au13$g3fd}kT*J&3s#>Au3%_nHYqAY`J4>-cE}<^Y zb#*6}R8`#FlywCL)Z*g8#knu&AU99woNOaUhZ`TqN_q2Cw1l(&2DSQqZ^BUd`gBrS zOPSU0F4t*e72KNL>%9;*@``NUs7;D^>1V}+GBhy3cyyVPHW0W$ct9iX-| zBbrYiC4@ek&Deb!^kpvUS<@e@G37lAy_G()iX8gY%(3$QlQK46bex7e386VCMg38b zUCNo9yj)UF#U^A%;Cui-w4%7!*2eNJwxeZ2S8pq3A-+=(kACm4(iylmL9D(qd%!6Z z=H=tR3b)U9UbxP zXvh2R$J?SgnlG3BDYSbtLx^vW8Bg*7iNM#l8()kf9%HmYrx9&xJXf?QWko(5nmx3T zCW6u3odRL}ezNj0630*8eFAE2>BT=E(S52eg9!y%2nW_X5rb!jN}QaFmdKN>(jtAn zH_&~tbYt;NST#_YT>`Ov;uToz)mf{lu3nz18RMXJniHm|=Q{Q|#Z>?$oCd-I&$zT9 z-@??u`)l?tsC=;c^1FMbj+-O-Sne+}6w^G_+G7N`TS zRi%syd1?~H84yY4N^=7)8{4!TjC(E{Jud!ZPcx_aY=hvI06c$4yulX{#Jmei&=RTdp2p?5mxUd{CC5`LHZ;+Q_U$P z`pIu0$A~pf>EdtCqfYzp8E+T)qGW!xp+`M=Nj>%P57m>qC&bT1j`{FgR0nRFRoPJ zPyfo`Bvl|I5_*PN8;BqfI$yOV<0{{GT2#DBn`4L=))9Mhu`V#>D2^O5QkRUu-*dvhsW(>g+rr^x#pZ>bEzAj3>=DMSmPC*5i$e}l zH>uajnp%T^^)3C6 zKSWZC{61;U)Xzab-~6gi&}ry(*cA!%1JJm@p-b{$vO|Zc;DOq29t^$Qw6Uub~;=*v#8Gf1z}I*WBUqG zZQVyxsHUC=mZipfy$G$q*WVVI+%#nZWdan{)lch_UmN0Xe-LNxf`{!MkYv1oz===2 zs-d*&ZE-i{kv;y}y7qcyG%SFK!0M8oeSCpSnerIKh8_>Ur3fs@)W$N+j7NB|%x(Tx z6TY#LVv(giGjtp?a6D|Sc}%QOB0E0x(7@43W9(u>{y2~^;*u5Lj}WL;)i(w$oK;Pn zA*UAOR%5UAl4nSs-F{^+YyVknMH@zdH(p~c_BVM}@Z}m;@x-gp`Ioyq*uWH!n^sNz zF6H^UcX*U?xUR?lsp`wap?crAD@pdUW=|!`R(3ICnWD&E_9aCIF(KP9B+Ddg)(~b$ z)*%cN29q&;7aY2jL7uqzOp{ zk2oPJycv%zd5;5hZN!>9h_6ytSY*|4!5R+^B02>f@>;xC7Zx#J&p<1X53fl1ay44% zW(s9nJ*sKFM6{OdAI^%23DdE!_@G71?nRY@=F}zKv#6L1Xtg{<8PQ43zhfi zR10j{T&UWUlx*o=(-o2!OdT^Kl*F*v33z4j_emO8JX>~`2fbidDk%;ypYQwNpj&GA zk*LV#dhCTbffX%_joi!$H=X!7{mURb+!SRWe%!{MGImgYm@>e%w&CO1RJV+-w}W|j ztB!e19ABy(nKamXu^QVG23u+WHQ^mlIkfvbl5Hzip3%z-Lj>({4rrN*Sw57VYXKg& zbrLadY{5)J@_xX(Lg#xQURFx7*7^S2O9fpqa3P^?9Kj?=+sw*jfVCjw7nFGMnF6%N zsCnm)3zfc%8%b=Bsz15WPr-iVw$*8USJQV2;ktU*rC@4e?c@4Ys^4GwKH}o{A0g*(qJV4sU4A_mU06HhCP`jX+h<$Fiu7G0W=UHsq;Yw+05O z>Y{HJAMP!q6Gdn(2q_$g0>CG)9D|0VXkkC=7rt$%wHpu#e1xgQHkAu; z)4v-9BJYk31oMtuIhnV&a45unGWOQis|?7lSwrUD*>rPeYt?xlJvkz?6j%!XcK_YQ z=6b)`9oF*uo@VQ*QT`N6mzWvSHPEVQ#gc8Q-q<2#es02_nBWeF`p8L%MlO=U_S}$svn>wRJ|M zx%UGKfAZ_+&4N}R41aPo2-RUk%dG@(ak)Fa3?=#c@|oi2JLZHav6580b>i>m68sMZ zt|m?PCu(nb@eHLhIk44wsPa4a4AkYGdyCb|=&crC_5!J$WjxMvrX|>(`;buRkNd%_ zp)rX6i{ zP$lz@STBTF1}ix$Hsg|71*&HDUu1Ip9!KwpoT`$o;Fs+8wuolZW5+x^Z^Mu0%ED;@ z=ajGtB~>y9j|!Soqb|`FqG}BAhfGeFD#dOPQS8SjY+kmUxhOl-sX^~sE?c|(5V1K_ zaACZCM9m$4D9BW*+J_U z+GAY|3T!A)YV|0}GBfUpR59iNX@vT5y-{s=*EYtM;J1%hLN}yDVq~Mv!@G!EFK#3j zMD?|y*?%lt=~S5kfH0uOU!fCGD;4o7d8BYdnpAc+8VAp6claiX)1@9qLfY%P{hD5xlcvRGniDQq~sfrEdc)yl(x(zZPZy3L7+Q^ zSDe_tKjaEly15s;H^H~iAPBn>El*PfA_cK~w+{vtu3VH2%RCZI#zA@AuKdq6(!NCf z9(xB$wW8q3O#vHcPen6mMzt-U(tq#?DEn1SY*{?JQ|oVe{?*0(zW0$n(_^mYXM@Eg zPrs9LbUCLceEm3C0gv-ubX;)azlw9FPh+iEmSm^ykheWnn`GqvUT}NK8haGL3UgB? z=Z=0q*{9$=>^Wij%9|1yauAP)TE!%!+*87ZX6AWpogg*jI!?W{U;h#{oln)TrBnA5 zB}j8)Jzn8qQSA-%WOh(r8W;}nb;kukA<*AccF`msh^c~Yg5-Rs1xI-Y!*z~5W@`e& zS1t{jtd4g6b+GjrztZ-@FZSJUev>x?4&|ze&Z~d91bsN`@P)-bivvL{l<%TY0~V1k z!1ZWg_ZMA`FZb?7Zz{6@WTSy2y3G%OD$(Y{-5=r0!hm+&A%VYP4T57J-wl!$?mZ56;CG)nUC~QA2 z!rYF*-*(#Ld@oiN9sW>iZy0f!inkNW_FHc_cu>%sFf@Ot8<}&_;vgn0*rUEskiJ2! z|J8#GFImFAj??m(>8o~3p;>jxQP0LUG(e?O^6p`7YpyvnW;r(A^}|G&(JmUewxr1( z4JEeCyEC4CRnyx1(YEgHSK6^NB1Mm+{_b=aN86^MHX}ww9V!eRwE&HT+n!l6`$KP! zqA3eDIZO3E6@=8oq!%mR4RJ&CVqBfViwjTa;fnhEDCeF2jQ+viZ|MB)^Fr01&L$oY zE?!J)xGoZ*+lS>^MV%=wlrx3?!HMHX{hoamMfdZfvKeac>-1?}L8H$^ocuO@`Qw~x zC#&sC{c}Z|@0RV~z8+n{`7)PRz5yh5URzdO{B2`|{`Kl^NiXZKx?Foi=0os9bRiNe zd2z(O>ftIuudgW{L7}i_-h2~Mi1O=JP=ohp)-87TX=FY0as$afUInl>|2K1{8c9qQ`TsQ8ZLnPibAdha4?}Nm$@C$5H@<<^3G(IY91Wback#;xp z)V}fY29p}Bv%w~Ji7;;HJEY0uRX6qo)nf9&;K$tp^rsEW+z4}d?KYftH4wkWQrz%6 z2Gw@9JO*UVvsxJwLy33}!@nGHZ!1G~lp&Xld>`rwq?I~9Li}f__SJoTs#+r}Nz^k) zE64VK-~I;PnpI*nl}GB|AO{tqGVzMssLl7Ils7*!m5;WaQott4Z?>Xp5(S8ok|SfG zBYtL>Cze;>Y{ddIP_JOyf)1@~paZGANk3FFel{eKS)9BZ~=VoRqZ!Ce5?E1PDD7Mi) zCjZDawkW19%Egd9L68-NF&=7(fRfVoViLGNw6eAQQIk56#2>SFG%~;%kwHV+)uw9g ziGF$eY2lN0afQmPeJr_$+1XHlw``)vZ47;)I3ze~D?AGF^m)RG>M#Qw+~$NP&$&-n z->+K$OhKI*riWo`k5g5Xo!l_h-Dv&c&TAfKz=ATWGm(A>T9cBV`3O*8rI~rT_c$S7 zD)GUmnTuOJGEBP)8EkJ#k-;+awNB~~ijSpGT#4hvdgdB(Anb3p5lzl(-!L$9A&|J9 zNk~#TDuQ;);^sde7gY66&}ood|3lkCol|hhn=dG3#wA%{G&Y>oRv(j*tR4JkQL8` zs+gYqN0cDK2%uq`VS#--eIbhn$C23d==pn@XYo>v*a@<@CbRI~!kdKl9~bhSLLRf0f1>ztUCR zkO^=Rs&Z#6J$XTZ};ieW4a zU+=F{mQJc)&KLN;Pq2VF37M6O65kt8zP0E-IlvM;E#z0EH|dp33)sQU^#o5LB8XJy z?qxHfp=?)WM8UQMc+@-Q)Sq2-u54DZ9nR_PQ{MCn^qiRbuyHWXS`Fky=N2RC7K3sa zO1Bg|S8kPL$eAc!nfP!#>r4!0*&D-k2IQS<`7(g&hj>Si%7=wnR$!Qx3SLf`%E8Xf zF)LYezuUzzk>zU}nS0$e5!EbWX-^Lj)zhLQff8ebgEWizrcxh-Bv(pxfN0CQDBY-p zr{iVg_c@uTCh-Y}>xXchZ+s;VExeV5A7=MjftNo}o-hN*JhJpHv*~eUcJN-U%N0wk zI??x08#&%Sj|gJNx8e@o%?E0?alf5z$CvCOg06#02WhNi>Mu^EtsM1sDaSN(jS)OQ z&h+`F1b^MKA2SS#q+SPS%b`C%ys%w#|LBU2wpJ?snCLMzOuUOGCE= zeKA-9PwqVrAANs8pCsCygs6~sEl%$nD>I4@Sf_khhT@@wQ@ zXCo5z`9e+pGdCz@RAtlP_*&&U^U`lJ zHMzl24gQ$aaG;&C{%pa{1mz9Wzrn#Iz=Zq${`VWB7I|d2ol%0BY;Z_;0n9jE{*C?E z4dMX%08D+0x+Gdkb&US0?YLD;I7;m^(VKNbRe2XO7MJ#3PXpt&QKVFUl5u&$uRX)3gHUzLG+W}hA9sD zeid59aQF7|<5{`Zq(we)v$XIF&4hM#F+`u^Sh>kjfjKv&Q#q@>!2q~91hu=e!Kjp! z78ml_v45B;o&lCPYd9E*XW(9g9vB-83_45#hibkuaO@3@*T=TGc8aeYn1fadYx^@r zxl=~{`5XlVx5n>FpIbv+th@V0FX38RSatzlr0KRRrF z^XJ*4Yjxj5%-hOz+6X#hQ?bx5dU3B|V+;fzKeKaG<-^T0$9ZxnB^vu6;|KWJj+$Qv z-|pcZxS%b_krhNyB`EHcndj)7E9D8oO-Rs@LqJU;WVF;d=jYEuy<9j0%8a@D1vJG6GZx2=TKpRePQ1kmy#?l} z5|ffW2L?bIUXB`uQT$ig6_2mACCM(S`G}IO%F~^92o+yvvWxvWTedTP0)oi%)m+dW zzq%(YrjQ=rxINV9t^<~k={ILvg~@3Ed}T_wSfrTb)?$)v%b(i+=^CQe z2RYmJQ$GqCvWxlOQ+E z+-FjIWxcHAwir3a&tK21(X|?M_AVg6gB=N6+jy;dJF7X?1@2Wg3U+W;$GVjz>p!ry$rd+VrHf%ZmF%y9xAiQ^5>iC%hrxN+R0Voe;Y z;HoV>0MJx3NmHZh_ww2nW3}@$O!KQM$B?{Sl4_F<&wtJR-`U2jf61uzsEvaLskye z<-@2bA4F)vW1Uu2t*TOW83LeJ1^VDN=eTuULG)s)U*phbI({i;-Y1f8=KKsaJSDgk zaU4HX6LS-vBq;bI{NOI1T^+Vbl7pOvK&?D?FQ5zs!8D!35^6o97LTKf2;_2Gr)=~v zxm*-64hj0TET(e)R0smNB|j~Tqvv;backZRW~crAQtz(|t1Jj6DlfU`D~!tT9aa22 zS~FNWvNi({wO-k>h&J~3M)A%@wK(MYOA7f=tMR!Pe6EuPF0Xp9!fceufqb;z9;?cQ z_|KbSGGwQ6pVL=J`Fgx?pel2Rik3UlDI+B9B&3}PM@kms+w_seU-4~vNGBtDgacp5 z_+X~17$2CVTl*xhvTMLRxKT8_=y@Q6`YwLhq7fnz9VQVC{PE_2m;SO0ufG^h6l(sV z=?xDZ%1!z4K=oKC3cffeLnJ5qJ20jv+r>%9Ja~1Nhl(u3D_X2Z%A`@l7O7D@A5jJGgqqa936~9ojWIWl=u%)j+2>r_e@*F|rTuZS)kPfq zbOz(jDu-XmRt{X1Qd-&aD#Q;<)RjDi2t=2q2cH1J$RODPG4V8>#)I<7rz;5W%cd9B zpx2d5pL6Nvo%7#Hp4m50{`7l3@Ex5Mza(jTfU;Hi!e$$*wiJh9O?%4w`n~emcHg*T z!-8hykgk~U0sFfo_7N)h^e$5ME)gm>%Ojl3&{CntO6H3>0V1(JPX2Ou@at{g9L^d0 z-Aann%@&M;z#8td!t%RGwz+p||%K1^@Qa+fWha*hS&_r5y65d8A;^ZhfZx8B*N z`E@u&lMyL!)(d8i{?xbLAMs8C(21y%U--lBACJwx#0=(?v-RuJ5!{p4&u}bgIneZj zMa5GeW2S|s89}(+uYh}9ENf8fgV0z~pq%=CgUap|g{zl?3;&%fdZj~BMgZYf`Nw5@ z>FpOvr+Unrl#mlbP#ay0GP#SnxfYpuc$%(PD1V4MH%-2(X*c&~4w;jl)gA>=eHg@!m zR-?jt@U(1R$`ET+{%CpzR7=w>Z@+Gwqta344}Q4UELl0ZFvFmZ09IYGrx zZ%RDPoSh?0R?6{~X(%_n#YlM8tf9aC4EswOpD52 z7J(D&NME*|Hj8}79-p3Q@mn3EbMnUu*bh|DCJ4m06 zNN22Vjr=z{MRcmg#HRHSRN{`u#)a;hPG>L7Frujt#8vw!5BGOm++@AC!x?AOEcCVa zDl^k#98(W;8DxFlG5pgerF_)G8??K7tlwoOf7Lw;KU^Pu3sl<{T3l<-1V|vlAA>a@ z4=9%MMovORoSl1y!IVFz#f(t832}!TUL*PXbi3~fE5y~m*73#4d|+i$QO)i1rvb@JyU%5m<#R~4_d$T12-A4nF}5)U;ZPT-u(QdAPjU(5qEKf z3Y=8kIj-LsAgoZlGVg~(3@KCzhGs=Xy#hkNTg`{G?BpgLPha=>9#khx7iKpWZGJLu zYBw+UEY6F2MRI&WvUFJap(k*lcptpYAZPZxjLbwlkATJ9fVzSeTyAU^}u&g>|hJS>344806rZvJq%Jzz_9 zeBdzMxZ%=s|6A!wSyGfACsCiiTt!2CcC%Zm>+6lhnr-YrM*)_%G}EU!9k+pBljvJo zKJHm(##-59TI{_Ycb!QiGkbJ}laRSot-9a7N2CJoB9B+30)aWr=aQ77K!mv?Le*py z!rozWUKV(s7|BgL3o2#S35h_?q=X=_Mlo%HTy)tEosz@F_;Paa3(P=n*al?(z~l+e zd|~Ej>1>i~JB$tBU$D!3CCSX*!k^>fA3SIfY9kVfoq4>+uHSsOKf&Cbg!B&% zOv5Ml8!GB~Z2RS~Z85K%sOotjfal^rN|aDvdEackURVCWShsd#Hds~qU8&yLPH59{BZOI z4l{;XL-sQX3Zex_e^aOu-dk$-WO8vz*M$Qx>)!KVM0|G{d>~@_j|2V3?zOfwf@-iD z95WAi$7gbJLzass1ZvCwD5%V0+j~##84?k+tDP&q#9uP3M>_Ac+&ZVNbq&l}HzS{h z=YoNB0bN4yo9;!|y5wtSYW zzdDUN?VqpgVK-`J#XO978-m6QAMqk@=ul1FE)0#KIDt~BiM?k6CVxb4o;|vS& z+ZypY+Y;4~3tETDpcnkibV#*%t2Hu`I+$@IP5H_;?7nW+1XBX<_O|Pi`06p&#(0cE zV%*4;1)ZbWsIV#gA9j_+9KW6PQQ!9#-(rNPGQR$ciOqC&2|4#8B< zSQ&oSc4$?vv-GAVjv0n6NMY>dt*4?k7PC8ooe<+?&EC5$GOt!{6uR<>n|5Zs?yl<~ zh1Ng8tgVaLlg6bL&&5D0W#cEbSAJzy-$FMyPTF2FwN*NPpazrMoMR5sRoMKj#f@HN zdy^lvo54x`&sm!;qv+`ggLqMT5J{3D!FQp@s%Rq@e2Z1LFe>QRb>HwO1+HHi({=*8 zx79&Z!&Nsn*O+_YtnsKeowpVnJMEK-)NKjPIm7SzBJ<2zJpe4gBp$oHFhbB-jkRl?VUH2xbd$l$(ytgOkiW$;7`~}Gx$|!czlVj|GIpmkf^6up*5o!AGu78X^X(^hnZ8%Ba=^V$#7>#a$wy1^SoP-mpht&clHGva zBgb2X&a1Jv$Ww)Q3-eVCJ!Eka9+RXy_!tQhiVg!rxAf>H@uj6`-ioGUrAE#F+Oogi z*OV6b209N7cbm4R_`+Y@o6jQ8s%32oN#f{0u9$^SkY3OR3T2t1OaMC~co-O~Jyl zeV|^A1EAhP5e}ZTFfYkO!1FS6o6iH3GC!`2fm$=>q0HCh$98VLaq6prdnC?AIRJj? zVlrnM31`W-L0i{a+j_0V^h*ZM<=tv+)17hg(NvlkIu(j86A@<|(=trZ1`^iFc@V1f z)QOwEw?s--7Cew_7_IS8Q3-{@uVEqSHbjVSCuz!QG&Q3_XC=7U-hRL;OwiD>o)eVcLj;zOY^ z)u;jUkP^&qRBIuz6jMDAki9W$h~=N5^?Go6eJ+Aphc3u;THEglh>Y09Xnp-iBy>`b zn5R1NecX*GB>&Af|0DTC*c?9Mn}q1_C~N#Ggfb%c5WVgUugA@W#0F>-HY*_Q1bYAZ z##_UHWqEPZTpNV)rPq%NriQ>Inyc#b?$?k))QZ=G0!odVDzc@r6{nh+~t` zIFD}j7+a%6)gmN)cY+IZO}t9S;%Ff~P(bC_+o@ES6P52RZm)oryCwAB&<0RJI^6+` z%or{cHyk5*W08+SmG&>ma+qvN7J8kXa+KQ)YMT84)iez?Ks@C9Fd1R~0g>i}I595r zPNk>nOt?%54Rje~n>-EqV`6a2U{%rFG0kpjODUfidYdo@OIWtZG3gM+b~J1Pl`)X@ z)adYLSNfx+WH`sZW|kkLl@jk^!aN8dQn3fDoH}o(r zo#+QpUu~6)1nlPg+@E$ry`U7otq&_B8k6YX%r;MO!X%}%w@+sF#cI|;Xz2Ogi9m~6*r{M@L$ zW|Nfu)^d&fku{nt!2MyTtp!(Ecx2{NHXXx30bATV<}`H{{GbGRCx+lvd?G?Jxxrzg z$UzYvGnAU(kbe0wKD|&}zT7}(<<}UAapf-)yjTd{7~m_g1wsEWYS1e8JaGjxYSgI$fu97AUK&EALoU*CVrfA5j7MN|;8UuGzlj|NjxzVKvi9&7 zqeLuHeMq|R|v zgjGrJ{dt_Dn7h+Xqf7fF)0z_yGsdehHh?^msz81hMKi|4aX~o?mnxU^ZsT7|8pq-! z>vFl^aS2<7+y>(*wn46Wp~m92?xy+ju^%~0l4Ky_t)S_dD>GP65_62g5nZa#-xezZ zjZpAoYqZe~hkyZfSk+PLl)?!|%Q^_Y6>1O$7hp2(*04x?`x7&*ftos!7qSQCE{&F&9R76P$J|< zJnRJASoq5Z%It>7isS3`A5(gB&Xa%UB;z?2f=pk|pK}#uNprMBh$*H`n0=^2}si!Hd%<m)~(7l6$@_gwmDu5xQ36da~c!T+Q0JrofUQ+UDm!X0}vFJs3U(Q;1F(oWm& zMIkEbm{eFr`_l>}wF!16M__Jzp`oL8RTz|Bss%sS%LwUT-)Zj`rMmhuI_+}y3T0>m z>M~SEjipsb+i2)V`4N5lA=%^dkjYT}rlk5hd=?kRo^

  • ?^U&&e)@JH7Y)#d~a&)PD zMyZt;UdgX`c!I8pF)}1x*W?khDM{Ca&V36+(^X4&-Qd1e;`@L1+&Bk(a%=;+gA$ zL4J(4ND`7G|Hn-Bu0cR;96UaFOF?p;63*wI}s26_j!l=1nL(#_k2FIeH^QSd@?kXdhu|xh_;!;&E-U! ze%&2%Q9gO+F4*y?rFF=00_u5VZ;H9%_Xqnsq_Z=mmO!~Gd$;NHE&jQqfwdx8H#G2S z!s&Q7q252@zCXXe8`;gKxyE!h?jc2inQEHTV4HEiP#f5}nN;qzQ2sWKx>p)U+1OyW zj4h77T^jBWJ$u+&BiN*LZ$N_*nrS~}a78(WAYfKW3|Ny$+ZgjSBt&lMf0Yy|y=yK} z*C@u2Qm z(YuDrO<^l9%>0iAG-g62A;zdm_~_Q%yrf*Zkp|t4+p-l(mS~#9V;4wQZ-K6jQFrh3 ziP2n}<ya@Z~l*Co2jb3 zfV;h)0u6Xvrf_Seqk}=;H}ep3ue00ulqCN?$KwlgZG`EbQcQ!M(*Lao8!fPDYC;?w?>`*pPO z3zU!d9b&*mLy1Xt%WYOGfhXZ-&zw1PdKz@rC3V11NasqYQ(k~JLzBp7*eR&>49lw5)q#ZqoW@6T3~r~M%BBspREWOwE&s8l6d)yLO=i_j5O9+sZ z$e$2c$?O`*hw3YY;vkWg)hlLwcbK2dkv+wJmcfh_a=BFjj<+381+NCZ z4eq2DFw0oe2LGu(Id%`m-}pp2>+Vh0 zAu#sbOk1a{>tn{C9MPq#uWAtSP;O&&97n`_zyHmgFCCiW5A_wuV)_)s}$d@If=h|!TUcM$L@_ade*YdD({ZC + + + + + + + + + diff --git a/bsp2/Designflow/ppr/sim/db/vga.db_info b/bsp2/Designflow/ppr/sim/db/vga.db_info new file mode 100644 index 0000000..ac67e84 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 132 02/25/2009 SJ Full Version +Version_Index = 167805952 +Creation_Time = Wed Oct 28 14:18:28 2009 diff --git a/bsp2/Designflow/ppr/sim/db/vga.eco.cdb b/bsp2/Designflow/ppr/sim/db/vga.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8c94386ba2d0f63249cb2651a7af5ca398532096 GIT binary patch literal 161 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u clk_pin_in.PADIO +reset_pin => reset_pin_in.PADIO +r0_pin <= r0_pin_out.PADIO +r1_pin <= r1_pin_out.PADIO +r2_pin <= r2_pin_out.PADIO +g0_pin <= g0_pin_out.PADIO +g1_pin <= g1_pin_out.PADIO +g2_pin <= g2_pin_out.PADIO +b0_pin <= b0_pin_out.PADIO +b1_pin <= b1_pin_out.PADIO +hsync_pin <= hsync_pin_out.PADIO +vsync_pin <= vsync_pin_out.PADIO +seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO +seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO +seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO +seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO +seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO +seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO +seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO +seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO +seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO +seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO +seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO +seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO +seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO +seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO +d_hsync <= d_hsync_out.PADIO +d_vsync <= d_vsync_out.PADIO +d_column_counter[0] <= d_column_counter_out_0_.PADIO +d_column_counter[1] <= d_column_counter_out_1_.PADIO +d_column_counter[2] <= d_column_counter_out_2_.PADIO +d_column_counter[3] <= d_column_counter_out_3_.PADIO +d_column_counter[4] <= d_column_counter_out_4_.PADIO +d_column_counter[5] <= d_column_counter_out_5_.PADIO +d_column_counter[6] <= d_column_counter_out_6_.PADIO +d_column_counter[7] <= d_column_counter_out_7_.PADIO +d_column_counter[8] <= d_column_counter_out_8_.PADIO +d_column_counter[9] <= d_column_counter_out_9_.PADIO +d_line_counter[0] <= d_line_counter_out_0_.PADIO +d_line_counter[1] <= d_line_counter_out_1_.PADIO +d_line_counter[2] <= d_line_counter_out_2_.PADIO +d_line_counter[3] <= d_line_counter_out_3_.PADIO +d_line_counter[4] <= d_line_counter_out_4_.PADIO +d_line_counter[5] <= d_line_counter_out_5_.PADIO +d_line_counter[6] <= d_line_counter_out_6_.PADIO +d_line_counter[7] <= d_line_counter_out_7_.PADIO +d_line_counter[8] <= d_line_counter_out_8_.PADIO +d_set_column_counter <= d_set_column_counter_out.PADIO +d_set_line_counter <= d_set_line_counter_out.PADIO +d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO +d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO +d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO +d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO +d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO +d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO +d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO +d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO +d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO +d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO +d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO +d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO +d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO +d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO +d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO +d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO +d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO +d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO +d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO +d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO +d_set_hsync_counter <= d_set_hsync_counter_out.PADIO +d_set_vsync_counter <= d_set_vsync_counter_out.PADIO +d_h_enable <= d_h_enable_out.PADIO +d_v_enable <= d_v_enable_out.PADIO +d_r <= d_r_out.PADIO +d_g <= d_g_out.PADIO +d_b <= d_b_out.PADIO +d_hsync_state[6] <= d_hsync_state_out_6_.PADIO +d_hsync_state[5] <= d_hsync_state_out_5_.PADIO +d_hsync_state[4] <= d_hsync_state_out_4_.PADIO +d_hsync_state[3] <= d_hsync_state_out_3_.PADIO +d_hsync_state[2] <= d_hsync_state_out_2_.PADIO +d_hsync_state[1] <= d_hsync_state_out_1_.PADIO +d_hsync_state[0] <= d_hsync_state_out_0_.PADIO +d_vsync_state[6] <= d_vsync_state_out_6_.PADIO +d_vsync_state[5] <= d_vsync_state_out_5_.PADIO +d_vsync_state[4] <= d_vsync_state_out_4_.PADIO +d_vsync_state[3] <= d_vsync_state_out_3_.PADIO +d_vsync_state[2] <= d_vsync_state_out_2_.PADIO +d_vsync_state[1] <= d_vsync_state_out_1_.PADIO +d_vsync_state[0] <= d_vsync_state_out_0_.PADIO +d_state_clk <= d_state_clk_out.PADIO +d_toggle <= d_toggle_out.PADIO +d_toggle_counter[0] <= d_toggle_counter_out_0_.PADIO +d_toggle_counter[1] <= d_toggle_counter_out_1_.PADIO +d_toggle_counter[2] <= d_toggle_counter_out_2_.PADIO +d_toggle_counter[3] <= d_toggle_counter_out_3_.PADIO +d_toggle_counter[4] <= d_toggle_counter_out_4_.PADIO +d_toggle_counter[5] <= d_toggle_counter_out_5_.PADIO +d_toggle_counter[6] <= d_toggle_counter_out_6_.PADIO +d_toggle_counter[7] <= d_toggle_counter_out_7_.PADIO +d_toggle_counter[8] <= d_toggle_counter_out_8_.PADIO +d_toggle_counter[9] <= d_toggle_counter_out_9_.PADIO +d_toggle_counter[10] <= d_toggle_counter_out_10_.PADIO +d_toggle_counter[11] <= d_toggle_counter_out_11_.PADIO +d_toggle_counter[12] <= d_toggle_counter_out_12_.PADIO +d_toggle_counter[13] <= d_toggle_counter_out_13_.PADIO +d_toggle_counter[14] <= d_toggle_counter_out_14_.PADIO +d_toggle_counter[15] <= d_toggle_counter_out_15_.PADIO +d_toggle_counter[16] <= d_toggle_counter_out_16_.PADIO +d_toggle_counter[17] <= d_toggle_counter_out_17_.PADIO +d_toggle_counter[18] <= d_toggle_counter_out_18_.PADIO +d_toggle_counter[19] <= d_toggle_counter_out_19_.PADIO +d_toggle_counter[20] <= d_toggle_counter_out_20_.PADIO +d_toggle_counter[21] <= d_toggle_counter_out_21_.PADIO +d_toggle_counter[22] <= d_toggle_counter_out_22_.PADIO +d_toggle_counter[23] <= d_toggle_counter_out_23_.PADIO +d_toggle_counter[24] <= d_toggle_counter_out_24_.PADIO + + +|vga|vga_driver:vga_driver_unit +line_counter_sig_0 <= line_counter_sig_0_.REGOUT +line_counter_sig_1 <= line_counter_sig_1_.REGOUT +line_counter_sig_2 <= line_counter_sig_2_.REGOUT +line_counter_sig_3 <= line_counter_sig_3_.REGOUT +line_counter_sig_4 <= line_counter_sig_4_.REGOUT +line_counter_sig_5 <= line_counter_sig_5_.REGOUT +line_counter_sig_6 <= line_counter_sig_6_.REGOUT +line_counter_sig_7 <= line_counter_sig_7_.REGOUT +line_counter_sig_8 <= line_counter_sig_8_.REGOUT +dly_counter_1 => vsync_state_6_.DATAC +dly_counter_1 => h_sync_Z.DATAC +dly_counter_1 => v_sync_Z.DATAC +dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_0 => vsync_state_6_.DATAB +dly_counter_0 => h_sync_Z.DATAB +dly_counter_0 => v_sync_Z.DATAB +dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB +vsync_state_2 <= vsync_state_2_.REGOUT +vsync_state_5 <= vsync_state_5_.REGOUT +vsync_state_3 <= vsync_state_3_.REGOUT +vsync_state_6 <= vsync_state_6_.REGOUT +vsync_state_4 <= vsync_state_4_.REGOUT +vsync_state_1 <= vsync_state_1_.REGOUT +vsync_state_0 <= vsync_state_0_.REGOUT +hsync_state_2 <= hsync_state_2_.REGOUT +hsync_state_4 <= hsync_state_4_.REGOUT +hsync_state_0 <= hsync_state_0_.REGOUT +hsync_state_5 <= hsync_state_5_.REGOUT +hsync_state_1 <= hsync_state_1_.REGOUT +hsync_state_3 <= hsync_state_3_.REGOUT +hsync_state_6 <= hsync_state_6_.REGOUT +column_counter_sig_0 <= column_counter_sig_0_.REGOUT +column_counter_sig_1 <= column_counter_sig_1_.REGOUT +column_counter_sig_2 <= column_counter_sig_2_.REGOUT +column_counter_sig_3 <= column_counter_sig_3_.REGOUT +column_counter_sig_4 <= column_counter_sig_4_.REGOUT +column_counter_sig_5 <= column_counter_sig_5_.REGOUT +column_counter_sig_6 <= column_counter_sig_6_.REGOUT +column_counter_sig_7 <= column_counter_sig_7_.REGOUT +column_counter_sig_8 <= column_counter_sig_8_.REGOUT +column_counter_sig_9 <= column_counter_sig_9_.REGOUT +vsync_counter_9 <= vsync_counter_9_.REGOUT +vsync_counter_8 <= vsync_counter_8_.REGOUT +vsync_counter_7 <= vsync_counter_7_.REGOUT +vsync_counter_6 <= vsync_counter_6_.REGOUT +vsync_counter_5 <= vsync_counter_5_.REGOUT +vsync_counter_4 <= vsync_counter_4_.REGOUT +vsync_counter_3 <= vsync_counter_3_.REGOUT +vsync_counter_2 <= vsync_counter_2_.REGOUT +vsync_counter_1 <= vsync_counter_1_.REGOUT +vsync_counter_0 <= vsync_counter_0_.REGOUT +hsync_counter_9 <= hsync_counter_9_.REGOUT +hsync_counter_8 <= hsync_counter_8_.REGOUT +hsync_counter_7 <= hsync_counter_7_.REGOUT +hsync_counter_6 <= hsync_counter_6_.REGOUT +hsync_counter_5 <= hsync_counter_5_.REGOUT +hsync_counter_4 <= hsync_counter_4_.REGOUT +hsync_counter_3 <= hsync_counter_3_.REGOUT +hsync_counter_2 <= hsync_counter_2_.REGOUT +hsync_counter_1 <= hsync_counter_1_.REGOUT +hsync_counter_0 <= hsync_counter_0_.REGOUT +d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT +v_sync <= v_sync_Z.REGOUT +h_sync <= h_sync_Z.REGOUT +h_enable_sig <= h_enable_sig_Z.REGOUT +v_enable_sig <= v_enable_sig_Z.REGOUT +reset_pin_c => vsync_state_6_.DATAA +reset_pin_c => h_sync_Z.DATAA +reset_pin_c => v_sync_Z.DATAA +reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA +un6_dly_counter_0_x <= vsync_state_6_.COMBOUT +d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT +clk_pin_c => hsync_counter_0_.CLK +clk_pin_c => hsync_counter_1_.CLK +clk_pin_c => hsync_counter_2_.CLK +clk_pin_c => hsync_counter_3_.CLK +clk_pin_c => hsync_counter_4_.CLK +clk_pin_c => hsync_counter_5_.CLK +clk_pin_c => hsync_counter_6_.CLK +clk_pin_c => hsync_counter_7_.CLK +clk_pin_c => hsync_counter_8_.CLK +clk_pin_c => hsync_counter_9_.CLK +clk_pin_c => vsync_counter_0_.CLK +clk_pin_c => vsync_counter_1_.CLK +clk_pin_c => vsync_counter_2_.CLK +clk_pin_c => vsync_counter_3_.CLK +clk_pin_c => vsync_counter_4_.CLK +clk_pin_c => vsync_counter_5_.CLK +clk_pin_c => vsync_counter_6_.CLK +clk_pin_c => vsync_counter_7_.CLK +clk_pin_c => vsync_counter_8_.CLK +clk_pin_c => vsync_counter_9_.CLK +clk_pin_c => column_counter_sig_9_.CLK +clk_pin_c => column_counter_sig_8_.CLK +clk_pin_c => column_counter_sig_7_.CLK +clk_pin_c => column_counter_sig_6_.CLK +clk_pin_c => column_counter_sig_5_.CLK +clk_pin_c => column_counter_sig_4_.CLK +clk_pin_c => column_counter_sig_3_.CLK +clk_pin_c => column_counter_sig_2_.CLK +clk_pin_c => column_counter_sig_1_.CLK +clk_pin_c => column_counter_sig_0_.CLK +clk_pin_c => hsync_state_6_.CLK +clk_pin_c => vsync_state_0_.CLK +clk_pin_c => vsync_state_1_.CLK +clk_pin_c => vsync_state_6_.CLK +clk_pin_c => line_counter_sig_8_.CLK +clk_pin_c => line_counter_sig_7_.CLK +clk_pin_c => line_counter_sig_6_.CLK +clk_pin_c => line_counter_sig_5_.CLK +clk_pin_c => line_counter_sig_4_.CLK +clk_pin_c => line_counter_sig_3_.CLK +clk_pin_c => line_counter_sig_2_.CLK +clk_pin_c => line_counter_sig_1_.CLK +clk_pin_c => line_counter_sig_0_.CLK +clk_pin_c => v_enable_sig_Z.CLK +clk_pin_c => h_enable_sig_Z.CLK +clk_pin_c => h_sync_Z.CLK +clk_pin_c => v_sync_Z.CLK +clk_pin_c => vsync_state_5_.CLK +clk_pin_c => vsync_state_4_.CLK +clk_pin_c => vsync_state_3_.CLK +clk_pin_c => vsync_state_2_.CLK +clk_pin_c => hsync_state_5_.CLK +clk_pin_c => hsync_state_4_.CLK +clk_pin_c => hsync_state_3_.CLK +clk_pin_c => hsync_state_2_.CLK +clk_pin_c => hsync_state_1_.CLK +clk_pin_c => hsync_state_0_.CLK + + +|vga|vga_control:vga_control_unit +line_counter_sig_0 => DRAW_SQUARE_next_un17_v_enablelto3.DATAC +line_counter_sig_2 => DRAW_SQUARE_next_un17_v_enablelto3.DATAB +line_counter_sig_2 => DRAW_SQUARE_next_un13_v_enablelto4_0.DATAB +line_counter_sig_1 => DRAW_SQUARE_next_un17_v_enablelto3.DATAA +line_counter_sig_3 => DRAW_SQUARE_next_un13_v_enablelto6.DATAC +line_counter_sig_3 => DRAW_SQUARE_next_un17_v_enablelto3.DATAD +line_counter_sig_6 => b_next_0_sqmuxa_7_4_a_cZ.DATAC +line_counter_sig_6 => DRAW_SQUARE_next_un13_v_enablelto6.DATAB +line_counter_sig_5 => b_next_0_sqmuxa_7_4_a_cZ.DATAB +line_counter_sig_5 => DRAW_SQUARE_next_un13_v_enablelto6.DATAA +line_counter_sig_4 => b_next_0_sqmuxa_7_4_a_cZ.DATAA +line_counter_sig_4 => DRAW_SQUARE_next_un13_v_enablelto4_0.DATAA +line_counter_sig_7 => b_next_0_sqmuxa_7_4_cZ.DATAB +line_counter_sig_8 => b_next_0_sqmuxa_7_4_cZ.DATAA +line_counter_sig_8 => b_next_0_sqmuxa_7_2_cZ.DATAD +column_counter_sig_0 => DRAW_SQUARE_next_un5_v_enablelt2.DATAC +column_counter_sig_1 => DRAW_SQUARE_next_un5_v_enablelt2.DATAA +column_counter_sig_2 => DRAW_SQUARE_next_un9_v_enablelto4.DATAC +column_counter_sig_2 => DRAW_SQUARE_next_un5_v_enablelt2.DATAB +column_counter_sig_8 => b_next_0_sqmuxa_7_2_cZ.DATAA +column_counter_sig_3 => DRAW_SQUARE_next_un5_v_enablelto5.DATAC +column_counter_sig_3 => DRAW_SQUARE_next_un9_v_enablelto4.DATAA +column_counter_sig_5 => DRAW_SQUARE_next_un5_v_enablelto5.DATAB +column_counter_sig_5 => DRAW_SQUARE_next_un9_v_enablelto6.DATAA +column_counter_sig_4 => DRAW_SQUARE_next_un5_v_enablelto5.DATAA +column_counter_sig_4 => DRAW_SQUARE_next_un9_v_enablelto4.DATAB +column_counter_sig_9 => b_next_0_sqmuxa_7_3_cZ.DATAB +column_counter_sig_9 => b_next_0_sqmuxa_7_2_cZ.DATAC +column_counter_sig_7 => b_next_0_sqmuxa_7_5_cZ.DATAB +column_counter_sig_7 => b_next_0_sqmuxa_7_3_cZ.DATAA +column_counter_sig_6 => b_next_0_sqmuxa_7_5_cZ.DATAA +column_counter_sig_6 => DRAW_SQUARE_next_un9_v_enablelto6.DATAB +toggle_counter_sig_0 <= toggle_counter_sig_0_.REGOUT +toggle_counter_sig_1 <= toggle_counter_sig_1_.REGOUT +toggle_counter_sig_2 <= toggle_counter_sig_2_.REGOUT +toggle_counter_sig_3 <= toggle_counter_sig_3_.REGOUT +toggle_counter_sig_4 <= toggle_counter_sig_4_.REGOUT +toggle_counter_sig_5 <= toggle_counter_sig_5_.REGOUT +toggle_counter_sig_6 <= toggle_counter_sig_6_.REGOUT +toggle_counter_sig_7 <= toggle_counter_sig_7_.REGOUT +toggle_counter_sig_8 <= toggle_counter_sig_8_.REGOUT +toggle_counter_sig_9 <= toggle_counter_sig_9_.REGOUT +toggle_counter_sig_10 <= toggle_counter_sig_10_.REGOUT +toggle_counter_sig_11 <= toggle_counter_sig_11_.REGOUT +toggle_counter_sig_12 <= toggle_counter_sig_12_.REGOUT +toggle_counter_sig_13 <= toggle_counter_sig_13_.REGOUT +toggle_counter_sig_14 <= toggle_counter_sig_14_.REGOUT +toggle_counter_sig_15 <= toggle_counter_sig_15_.REGOUT +toggle_counter_sig_16 <= toggle_counter_sig_16_.REGOUT +toggle_counter_sig_17 <= toggle_counter_sig_17_.REGOUT +toggle_counter_sig_18 <= toggle_counter_sig_18_.REGOUT +toggle_counter_sig_19 <= toggle_counter_sig_19_.REGOUT +toggle_counter_sig_20 <= toggle_counter_sig_20_.REGOUT +toggle_counter_sig_21 <= toggle_counter_sig_21_.REGOUT +toggle_counter_sig_22 <= toggle_counter_sig_22_.REGOUT +toggle_counter_sig_23 <= toggle_counter_sig_23_.REGOUT +toggle_counter_sig_24 <= toggle_counter_sig_24_.REGOUT +h_enable_sig => b_next_0_sqmuxa_7_2_cZ.DATAB +g <= g_Z.REGOUT +b <= b_Z.REGOUT +v_enable_sig => r_Z.DATAB +v_enable_sig => b_Z.DATAB +r <= r_Z.REGOUT +toggle_sig <= toggle_sig_Z.REGOUT +un6_dly_counter_0_x => toggle_counter_sig_24_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_23_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_22_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_21_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_20_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_19_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_18_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_17_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_16_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_15_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_14_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_13_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_12_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_11_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_10_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_9_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_8_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_7_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_6_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_5_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_4_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_3_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_2_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_1_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_0_.ACLR +un6_dly_counter_0_x => toggle_sig_Z.ACLR +un6_dly_counter_0_x => r_Z.ACLR +un6_dly_counter_0_x => b_Z.ACLR +un6_dly_counter_0_x => g_Z.ACLR +clk_pin_c => toggle_counter_sig_24_.CLK +clk_pin_c => toggle_counter_sig_23_.CLK +clk_pin_c => toggle_counter_sig_22_.CLK +clk_pin_c => toggle_counter_sig_21_.CLK +clk_pin_c => toggle_counter_sig_20_.CLK +clk_pin_c => toggle_counter_sig_19_.CLK +clk_pin_c => toggle_counter_sig_18_.CLK +clk_pin_c => toggle_counter_sig_17_.CLK +clk_pin_c => toggle_counter_sig_16_.CLK +clk_pin_c => toggle_counter_sig_15_.CLK +clk_pin_c => toggle_counter_sig_14_.CLK +clk_pin_c => toggle_counter_sig_13_.CLK +clk_pin_c => toggle_counter_sig_12_.CLK +clk_pin_c => toggle_counter_sig_11_.CLK +clk_pin_c => toggle_counter_sig_10_.CLK +clk_pin_c => toggle_counter_sig_9_.CLK +clk_pin_c => toggle_counter_sig_8_.CLK +clk_pin_c => toggle_counter_sig_7_.CLK +clk_pin_c => toggle_counter_sig_6_.CLK +clk_pin_c => toggle_counter_sig_5_.CLK +clk_pin_c => toggle_counter_sig_4_.CLK +clk_pin_c => toggle_counter_sig_3_.CLK +clk_pin_c => toggle_counter_sig_2_.CLK +clk_pin_c => toggle_counter_sig_1_.CLK +clk_pin_c => toggle_counter_sig_0_.CLK +clk_pin_c => toggle_sig_Z.CLK +clk_pin_c => r_Z.CLK +clk_pin_c => b_Z.CLK +clk_pin_c => g_Z.CLK + + diff --git a/bsp2/Designflow/ppr/sim/db/vga.hif b/bsp2/Designflow/ppr/sim/db/vga.hif new file mode 100644 index 0000000..673d9b8 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.hif @@ -0,0 +1,79 @@ +Version 9.0 Build 132 02/25/2009 SJ Full Version +45 +3235 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +synplcty.lmf +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +vga +# storage +db|vga.(0).cnf +db|vga.(0).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +a69bdf2838bc2ddfa265318d6caf919c +28 +# hierarchies { +| +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_driver +# storage +db|vga.(1).cnf +db|vga.(1).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +a69bdf2838bc2ddfa265318d6caf919c +28 +# hierarchies { +vga_driver:vga_driver_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_control +# storage +db|vga.(2).cnf +db|vga.(2).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +a69bdf2838bc2ddfa265318d6caf919c +28 +# hierarchies { +vga_control:vga_control_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/bsp2/Designflow/ppr/sim/db/vga.lpc.html b/bsp2/Designflow/ppr/sim/db/vga.lpc.html new file mode 100644 index 0000000..6ee0acf --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.lpc.html @@ -0,0 +1,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    vga_control_unit230002900000000
    vga_driver_unit40006000000000
    diff --git a/bsp2/Designflow/ppr/sim/db/vga.lpc.rdb b/bsp2/Designflow/ppr/sim/db/vga.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..e682c1ef65cf413d996ae84ac1609378539e15a2 GIT binary patch literal 463 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#xBECZHxDh-QS)Dd z?w7G9tN*BpS6|-l5J*LbWzebxSFZy5UpG8-A-!Fc5EoNW&%bORx17=M< zWp?0NYea-l-2VyFcIB(*=}omL{?5$6@c(}Wqfk;Z1CyG97}FyK@#Q}R+|}i;SA@%l z$A|BqaK8TEoD{Z|2@e=1^YC1Am?hoe==FE*o|w7LCg<1XB{QBr*RYCFlKs#mhaLkX u3zZX>&&#H5%IvAM5N_rRVC5I!UbLcRhV=gf2f8OV3TkX->i~uK|Nj7=W4KQM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.lpc.txt b/bsp2/Designflow/ppr/sim/db/vga.lpc.txt new file mode 100644 index 0000000..ce10f86 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.lpc.txt @@ -0,0 +1,8 @@ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; vga_control_unit ; 23 ; 0 ; 0 ; 0 ; 29 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; vga_driver_unit ; 4 ; 0 ; 0 ; 0 ; 60 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/bsp2/Designflow/ppr/sim/db/vga.map.bpm b/bsp2/Designflow/ppr/sim/db/vga.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..451141824396875b77755c29fc007bd1178f2380 GIT binary patch literal 1251 zcmV<91RVPh000233jqLN0AK(y00011WpZV>mHvj+t0001J1ONa40001^000000002v1ONa40001Z z0qj`GYZGx4jtcIGUbJo~sGy*o%p^0(pdiJ1C@L=K$wNrmv_`U#q9r*?RNWBB9Zt-S36Au z>w*8X2WHTW{-haQ&o^@DtzBb5Ob63}6|g?AKCnKpIk0_TdhP==sq6)GdPz68udjb# zZ!Xyq$jt-_(Z^J~N$`AThKimRBm{4VAnB}f?vW*1Wni^NIDSSsuZ80xh5L#ml|w*# z9$5nTER?LLlmqG*>AWNk7w0C^N=gdJMTQr}kq3nHjdJ$Y%26bx2!H!C$3k+FI5G~Bd@-Qb}L%7}~W*(5M>Ke#4 zm?6hiY6htZLtawY$sW9GoZ`Vu?OuJi(?-m>SpUA_%m_rK@OEZ z{f8XfR3ZFq7S91vexYR{;nk+=#7b`~5Ylov-?1Y<{R1whxrN332k zN`v!FGW1XdyWzTggjy?B8R)|!ALk5NmkY^->kH}#2yb_hnTu#!)i)eH7PA0y@ZS{C z_yW=WH-H~*PA(oP-c%z{R!FE2vi1=Y=Y!}xt1*NnBS%sA5WYVM;=}BLAOU=Hn{h(O z`36zwIWddQgSGlmx;RH_m15H=j5Z4Ssxwp?Dwap1OU@0PLbL8U{l#W!q&i%#9e3*W zhSMxnoKkhLQLGfJt$aB*RB#4sm3paM9Lm-6jaI2us#TlLv5|alqgHE;VHCT~yRshq zC99`md>y5exqQES_ShFcKg+>pdQ9YkelyBA5k#*}T?RT%SI_wwDvTg43<+S8fq@A~ z^8qnPZ-8S^h-ZkWzn@FIQ5MKh28I?e2~j2qWP_A>c)GZ_`k~tN@mp~P$S4K|9Wcqj NU;(j*0RR91|NpRlB~$
    Qi-7bJ zS_nNrfDr1&-+%Yhe%YPb*~v_jbMLwDdCT)W@0oiOiaU4il#$%MV~Kl-;J)kId3ZXw zxw1(K39~7BIXK(0iHM1^35x)e;;?g z|8IDw^4b5!zIXSsh#U8mGHx=vL_hVf$q9MR;Va=syijJorHY`!_j(7qbD#EpHyKrv zz?M|>^T!-{zduDA&;sZ>?kiC)GQ4odqXHcEuSAjn-q9Hy++K5^dKvKQy*b?nT`a%c z@ta81TyC)lbZax#dDEQs*r?hz!o0#KX$Zw*-(_aJt>Mr!G1wYBFSqb_Ok(oUkb~2S z1X)FCX~oaSOfJ3r8oD|I-~L+8wl<&1TMd=voc}7TUw%L20-hi{MUb;M+Xa09K}QjR zF6`bYmmFkgZ|i>7ai{!;;lGmGi<@N&*Zw7E4R9@|hNk`NglB?boFsqBLTt*kAD7Ci zKPfP}iFzrRxq0qYH%vfZJ4Zgg!`7kP84BBA?7M1|NTH{VnV^1J-;?qOGhDBnQn~c9 z$sH?Dx%_G;MfjmK_j7LbyF<|QtrX$=k5Sfnx}CI@EpaiL#JcUrLOlOZPNqw9UuB@( z?LQ`zWR9D)A?x>Ls3S1@IE!oq&C>F}6?RhiKH|(XKgX>_@L<+>M)RX;`n_*HBIEp4 z4-A)klnTT7E&TvAS=0re5N7KCKb+K5|2Vdl_o`3{K=XfFQd51FQO%hVlf}9oI%BcI zBH@K1_#T=?{r|!{5g*l$o(mtAMzjpOy;T3dj%O&2TJZGX;Fe_1Sm3EokzyS7SZ8*rrmV4nM&LVg$r*H zxA0e{97^OfDle5xpKNvY5I~~;812mjF1$M61r_VOl;nNC{7PL5uqDGmy+E`s(Kpnz z->^99)k+zx2?({93W6EGK#^Dx%;{@7Cr6kPEg(s%Kvq=`*%P1Zu&PGZv@9CVpH<&E zTRJnz<_Z6P(}swQZodn2G*n9O$fsJ%i;Mk9Wev4w@A@IxyFk2}vK77+Ua6|RVW#wv zN}H8xTH4Tc>)2;O&b~F$|10UwD)XPNOd?`kU$E)61H&Je9ZsaHthIcjN7|~_5h(Ag zmWQ28Pyh2#Mk6}dpBWSA&*c7NyP)Mo^zJVsi637zF}?lA^G5w}ms#*=xNun2-PG^xTVN%F zXT854@qneM_n`X?GEX>oWPTWbk8Zaz0ZrpqF5*|>e83|uZ?RISD`30%r26O#$>G3j zt!IO6tTM^y2VbvDQ7zzIX1u0%FtkvZu-#i>7j}5knzM7ks2gJ>)q$X&QY` z=egKDZY8K&9)~k<`cQp&<`VW&zpw*UJ#=Tkf})<>BCvXi>CBU+vmV=5NL&@`^O}u= z&Ix0UY<-ZUf^Xp-THG<7*Wa((kU`1hc_39u_oAsfHJ#b~5jV#-b0VKdp3uAOvx)Rv z58`QD9#mbQNqOBI4H{Tlz14LY3sj6o%EK3@n2Urs9*8tYgIBL- zgKXvxU%Xgg+LcQ?IUZ**GttF3y!#f_O9$e!0~=O!^dIXM+T^xf)`rmZ&8K(N&-t8T z2cC1jNyC zO`dRijK`^_>dM*w6_8-TAQlPLkfvpKUG2(0?-wO>F52EQIk}tw$0Tqt>&la??w!MK z>OP6I1hqfMHVR0(lk6-%%(e2>xceRp+9sE{M=FIR{I>d1@~lc$51*zTmRNb};p+WF z0<$)*K*?0Zo4FUQz*Xrqy??v^t^)IUDh?=_D`wb~4f+#AN8Qn5UhhmSvWnz*6%*ao zY+Oae?}mmg_!}#kuB}0SKH^w2eo@A~>UfBK5jKp!5mD-vbBXccgM%UhUNk2W(bJ08T zmT8;~-|E1C%yq{jJzwEjW$_n=$i<3B_7Ls8+}%a5v^V+*RN+6&P9r}2bY$||P>7ZQ zLVi(}OAp6QQc~^6?mKVV`v(!b=Z`A7>pUtU2o|64R*IhpoFGU6#JakKAvO~cbSGtX zRD!W{fV|XRkEOiETB?>0YNCXXfm-wP-kvRxg`go5K;EL0dT~{^y9vm9O|h1mO036k zh|`*fB6>br`0it1qL7>hWiaEe165UjTu)^0SB7AiW9?D@ALOF+CecwSj4Zs-iTGjF z>lX8jujbHX5>AEELd-+XXC*;m;mz`t3no zv`Av`ysY=5Jzl?#xj!$lduH)lzmtOa5pm$yqAR29+>DHU3b$+&D3Q|!{)YD;@@??-H9MMpC3} znW$XRrA~~WE)}=_-^Sr5$&JTzBQv1Qivc>3@Bu)X9hDiQHtE!q&E^ch86$4kMfKk? z5hg0nrB|fo&R5TP2&fBo=%9uDI-8te|7jaE)qH9dyq8KnCF?L`vqUSg$~J8g=XE4I z2H17IALb=9xfBD|4=?*t)I8fws_VtHIri#kNsCCk*GfOesC0R|5V?zuUuA*zMcj!< zzR_WtyNaP)ZBmu~M%}v9#z#Sv>u`PZ_>A~_Pn$A*VmonW51mGDXT8UR)%ME3u*?Tf z$3gq^$+Yb3kKV^sZ{`qCNJ$hEwaR>3Ts&IEquM+h9lbHHN-LC>p1xz~%3E}`RA2av z+^fuXdvr9*8e9oQM@GK$FQK46{a7cuvG6W667)_vzlA)@+xRHLzY3}LKM)Mn)NfWI zBA)~|?5~roroT7S6v?7#3UeVk${3^FAUc8r6G`qqP`U1TSR$h4#C@xa9Qu8)j0^gH z=|=DGbEd+thp9F4u7Z9e7!qrxS=*8~v4?~Vz(MLgf!SwHm#K{4pcv5f2M+ocGOB6g zx4+f67G{nfERY1NzYDm+6mEa+{s8Mb1*#&ti$MQh|*oLwd~FaNSg z1pw%n?_PFsB$B*G_3j|QdBLf#uxN&BkoIUQ}KN=Q?E3q%N{KUz6km3y`Pu%qVp@tN|ExW_7?gd+wvt#}K-)>;M5PTl$t zzdt676b4^^r7Y(vkO$X`YJw)!yVbL|IE<>qoVA4q#k+(?EFIp7fT=1?G#b6XELO{g zbWlUzKO85J>Kq6mS~peh^jToPFZksx2B0XVPfx=}dv;&BIUwJ69r5@oIkcxGnev)na9n1+%{1%He(94>Xeg4g_jUu*(A`vtP}GISPif zlD@(w{#24=uNY#&U1uMM>?kR~@?-E5YlOa@Hb-&H$-8mpGK%l+d3U{!`9qe;9a=|R zJF--1#PN$f^X1ZylX#wB2qnSzDy*U{?qk>H%~3}4y{`#0N+M5F_4k;;ks$A@;uh8d zC9cIpAJv|39roDy)nzKHg^-REs-GP%N2|&piju<479C_Alvcz5ZdJtkOOALBC1Wnr z6{AGbZ|_^bGBEM>HhHi30*I8kHmKEA!=0Z}kuFCPNkFyfzf?{eM?A=0#_lXCb%vR$ zTZ^(&|2y|ys)C$TNzv$cGsNA7i``}l`1mWSd>hARRx99OR>B0mozyH zFgE<*KvOP>+bRE7X#tN)UY8L7dyv8WL0uOEpo1qQl4bV^0IzRDB|kQ$!QC{3S(qu6 zFH|qCA#|9e8^XjaCc0J4*$vXTyw>znU9KJNU_Qyhk(@p zKheUwoP+fb2KeT`JX@gnooR?Eeq*^E-s+P*#;BS>zw0x%)Z|bT|BJt;Mj17mLp!^)psJ`q4ghYvxs57BDI?Oyu}qs5lvM4(WKCM5qVM4p`Y>_ z<5T76B%0|Rin}|Hdgc08B(*i2N%X!Pizfem#8lOe-$jM5eis==wDd2(jTkKb3K{j}AZ88p2~w3O5TjE)6K;vUuY2 z1*6&Z0d1Eauc8QZ{x2=^Hj|;>c7%{B_WT-rK+_rvF6aLt^WRiOw}MIyt`i=C zP(6>qWHy{PlvZ;w_)Z5j%Kt(2{Tpg!VP8g$(pU}dVmw%jmOwozZmVHcs~fizj>D(8 z5(s1NLAChXPm$wlLE)74zm>#BpMF`H?;(z{oav?I`yX}Vg5UlAMC9c5!Y=)tS0y3& zKf8h)Oj|LaMK0y@RNi1ku77Ps+^a*2%XCCNT!;N%P>*`~8CwQHp+vZ94%L{AVeC3z;~-sa^n9ZDgmR^}8&TR!15Ur24c&FF8O`E|;0dPpJ}F z_swzbDLOo2GJ+)fvp-?+!1nRYQ>wEw@_z!s89FF8opSknm$sg2l_&e=?XhZd#i$0? zEG1G|k!oO}uIo4yMF3!V5$^r_cjs>9rIEgGjrzKl~=bAE$Mu3TKPxT`J;*{!54`J<|iRoW_!)L!FwIeuD^f#^3LTd7MLg(?3 zK#a-)KPpDVaDV5$Mcn1PaLA&Mw1q>D&yDxuPnU?AxUsgptn5Jkt5ht2UFG7S?l0r0 zeCqOSoj4t@*^s3wDPmhB`23IizUh#<&yhp1_mRWDV@GrBmz__vs%me|)CypEb7Bur z%M4u7>bHC|n8Gc%&!4@49`fz|3EjtbzY2J3k~H-;jhBU)IDVhRLetcN#T?2;o8Y3) zndOF{=Byv-A6PZ#o-3MfOJ*rovl>WIP#?P|PI9^jC)%6vA%oTO$MnA>w#8qmQXkK) z$;cC9AzwbTs;z;WBqzTtaJdH=KzMoBEx0${ym`HbrqSE*nyP6k{ERB&z(Z~&aI$M@ ztSzcQw)^dIQ_UcBXgZrgJeF(qepbC*?K@cfo~xrb({SKuT}l75)~~b?1FkeWZj|<2 z0g*RV)9hnur!)FPP1@V9ry7+N9i4hvFeG-1;g@euTXsWsx38Iyj_m=m)dmQs8EcZ#e%`61>wSC$FrC2 z#((_+l(#XF^E7eIW8|Exzr1D290LuKV1^?>)Ms16!pL&HQGlKlkjOKec@u1&ioUNm zS`i^NM(ioqJczv#K(cm0awt6IS_U25LdkyDz@nsJ^qXMIRL{b@874yqI9C9hrgei& zHmRPeYb^G?EEW0J9_gMD0)^KX%b%V@gVS~KG~~ZNkz>_EECwm`KI+%qxEv(kPPoIW zt!)1DX6t#>$=R}YU0aAGg}ZE;^XL8+)-h0KwM};sp|EIMzyPx?uDaraC)I(qv*KwM zCUtG8rEYKcB~Cx68|5cQ$o$yRw%ofm<-bJ~d%1oMi1848Kl{sZ=~mEz`K|}R{oow} za!ka0TqJ-@)YCLyIc^8BJl?pkmvnCs&ar_ip2lm-;Z5=`H>O~-5K5wZC)HGe;vQ_O zD}~kzq4PBHMsx;SP!_O4P=hT4J|v)6W4Y-!JDuYn7yZ`FW(7O2V;$sdwpNT7iRs34 z3+O;q`7iCPq->xDaJSNIPT3gIYv3Bec0wu3ASO3u`$>+vi6K}sAUkzLHANTbujRH5 z#z@}QDfs5hjgh#C*83r@%siyjo`ij{MzrJ(zL6U9_V-7CY4aIW=LEa_2}Y^>gNg^6 zETfZvb5325npKZ%9fWDcpx^6sbh7|2LMC^x=|!sN^I>d>0Ixrb2?G2v6>VlUYVl5r zA1Pf7VKk6RqVP1=Mf`$9=}WQdBCbH`lPqsN2`SMj=+6R3n-T~snWy$iui9->8V7<-L#VX<+r$txAD zWi>if0!i__7C2EKH8W}AOhSZ|xj?RmqI zffgBn%%FGRk}@LT0T zK)&l;D=JuR(^R?%(!k@nET4f+v>l!LDuvSAH093Fbu*b9+#C$3dKWu3ZX*ZM%hquB zza$=QwY`2SLA{0AI;}sQu6uCYbu8QN_o5CtuK{Ux9*mUoZ&p3!WEj=Z6T3Gve+}psMAZ}y! zZ03TC)mh&4fc<)D+26r7UpB+_gWZPll{ohMD$CtnF_sCZmp8*-!tlQ;n;2fvrqe{n z?>+^e>5>%+i4G!P!w5caf1s0}OS)=y0lo6?YL_v@cYwLCyI=6MdR=Nvbrh)205jUE z&j%|?5|cHAv`3=?UTa}19CFeW{4K7hOs;^~i$A{0yKy=Cb!}|U*J^sZ<@iPe#y?y& z{U9v!LFywep+JwNs#$5(61LM7#R5G#`TOs=rU5%MQ^uyvaoSxBeD=*Kr>G%@-^|;P zFaEajN{EwBz)(^pk8b}Tr-^KMXv=^SeAWs9d2Rw=2cb>_p98}+?N%Ho%iLILN)~Ts{)9-hV~zKc>TW|>FGj%xgXuY#!PblH0tMB_|{wgePRB>D#)0ndVhh;bP_{xwH|14GdMBpVuF0v zCeNFlI6-ul~@?H}8yn89T23Fr~ zdE&T&*8n@$RBdlHZ^ZDdEDJ2&a;=a94x;gyFe`4a+gxdis9{70Ob5&cdclncn zu6p}?f!V9#;>4hgrOFD5F9+h~UK2X$ZDB(4?gdTN0s5L3;cmMt&ViquocOUpr1P-D zMzqn|7366U=rfe<3>(>3-4#iOvBlm&9xiuCbd?D~SP~q%-EEW2WR*TQG)N235qyiB zsT^vZ{Q0gv?O93^gJq)m-}Z)9K^XLcQGBZ{14h#A_bSY+vUy!*#E`Jd6teGl`Jg8O%;3~ApO1`)fZ*!Nm;1Zh*i7kjy$%)L_N1Z zn6FbUcyjougmJ<1$7;Hr7GmP@c!oRrE=YIs~x`FlK#jA ze*VLC>G$|;GhBy(VEndLnseW2{!_4Xc6;G%yXxj;v2_WT$*tf;l~VQqL+2^%#NeYX ze}~>Y?UldVN>G9K2aP(0U8v}Xi_zOAAwd=K{A*NNZ@ks)Z*O)B(v{;qx}!j?I9aoV z2EC*ybIE{BT~g%!SS3{#Gk506IHC0N!bvn~1-*NGny8zsMV8J_A$TOUxX(lZBJ7lz$_oNUkVkS-# z8T2Y?duAszNKVskq%LGZt*N3&64eMH8Pyq7g`<%t6KnZ^ctEd|%t%9yQ`F8!DWiFp zy-hvqqfjB4z*EVnl1YTXYWDJ{urZ8OFVe;kiS2R!h|PUEXLK_m)NW8na!KLS_}onV zONG48IwYNSK0AM7Ok>w*J3z~yVEq#>G5Rxq)5IchPmL6=MMO%!LG)yXUIaDf|3#qZ>UmyFBCOCksRD4$flrOhkcKQ|oVy zSo#k*H-UjGU`d#k)=8Rou}d(k&_c6Rc0-}(l==1sPnN~S5pD1`xJ7n=+G$#G2wZ5aFS|5=A3cqp62H1mcJ{bs=}d=>sh=3!%A}yx6Sh>A ze8YH2_xZcT*Q6>~%fYzd&>ySL9?0KLVdH~}+>MbyjOHpw!Y<0-o%rA^?bxu74%`<_ z4E4IHhEFu!IKGyACqI8UQ{h&HcDng4Boe$tBfNPqi!>Oc(cFc_m^jVgo;)&mX559tFOUbrT8pWBI**amnu9)|*;$aJ3dS zm~%AD}^b!V=J=`$}12S*+UU zKae-M)HH!tFjwCmlys>B~&dNu!{ z!+NLb12P88kyqV3+nP&B6SJjxtmx8|F)aRey%Z&5 zc-PC2-#O-FsuL(=B1(en!X4$2<@W<_LUX#nr46%q-e&Q1%ct?2MI2}Nu{JD$`V=~S zWH$FBhd^rX-nRX95aWK1(iOxw4l16Uf2VC)JUzp+~z$Y)L1(lHpPe?@75?n8HwIOloN>u-wWY4R6QiD;H zpZEc1?7^(z(ls7~OPr{(JoMHJ_XNU?5}u7#fMK|H`g{S`;vVO-=Ml)ztsy|OjQB0a z{|JjBY7hycQhC1~-PC;JTY;Y3>+J=4%l9vjO$Kb8Ijwv1`SG+?cRe&{$sCOQ<8^=i zD9$$RO3A82WWCJ6Es#9lS;eaTNYG&~<|t&&!W90oF*x?k*f0Ha-%-45LB>%ahCL`3 zA!^X}*=*bvgyr{!eG6LsVm#{A2SPphc)Rb(Jvw{cpxaYdKz9&gDPO=kR#XiulC@k8 z&>zZpf-MP%#6rc+0`7|hkG(m;{9IdKN||}qJ(L!H*-Qs5pYr6A{r0Z~^aZhoUIq;x znR73IcER{?!8NIfmxw-!#lTU+OGVjGXRUp?;AGS%%SwgrTC@C%%(QmDHJt-zj4nJ9D&JYlZf;7YyZVjB zkXz|Heu!$9(e=h?#9z8ebj#Wu>kh-S?9$+6uaA5Jk%BE=H=-(SZecJa@ypV-dUnn2 zF?&6^d567%>7jJk?2;)&A8v!hsC5bx)E>DH!)bcbCdx&|2C;Q;jhLRA&D!ey2x$K{ zH9F0|SK0>l!S|+TCu$_A@BGiSR+aa@)bTpdxU{u+a?Gt_=LGFub++O$_bVsJ`DM$w zTqTRs484U2i{nC)U{4G#s_wfO@oA^SFiEz9eYl=AGT|7VqviBeM&<0$>N2oRyU)qX z{qqD&J4q7uL9sY43`N{v6BhI+4`~Xc1%j*mPaGRqN%;#{&uF`_VrVbPE6dx8tZRB! zdikCcv`DEIzillk_gsIZ_*Pu64R+0qP(@lPSZSfRt>=-}&iu!iq)C4sMuvsO3Ne+_ zfYh#|gU9FTFzNoyyT+xrjW1CPCBTx|h%PNJ)WRfS-q%#U!@a82q$TKqgT!>#Afv#ZJi8pcr}+~SkiJ$fOYSl_;zQj@OkeP!nO|&z+XUu zN`4zvhT9L7F1uz^0uA0I_u-geZ;R1c9X>GK_E60estqh^c9v0WF&(D_Zi&YDTA3F| zr>u$50c-R5n`iyZ8n-%P6+BwXXWl&!@>!f6P#7 zIW+ZEZTT}=xYa4iD0h<@-BP}EU9+~*LDmdfF3(hGp)5>+>y)w_J@zUVavGSC;O$jg z0z(H=a)-Fq5V|qVhi#s*LKN;t)&7bt=ct$cn3jrq^!jmp&}uJnH_3jh#W%wiP+3m# zQ0&CUk8a-#*WW7t+?C?g25c(peq3et`qJBN4Cnoav09Ye!? zS7BVIg~oNwEn40)5QP>nzjhit{>M-SV%Iz7==f)(?~AlI-9y-zW?^6kHE>u~7q}?j zJY5guhh_GmpI%{*rVT&<*G}i<-J)jGBHuZ25%Y60qmS`*z%9j=;nNmc%sziwzBK2) z5Gw0OT!Os~8kppM9G*miVaI~92VY}P zAa4%+xHOQOsI66NBxbVw3iQQV(wdF1F$wp`3S%WUcO5DSUs6*Ho--ZRme*=bk~EFh zDyRnX%-&BkmV*;cpT-Y=J@ym@G4sm*_LK=G67puX7TdqgzXILvn=X)dc(ztcJEs3@9g$^r42>#K7&{^t~6<%4cIhSj%lr5 z1T^i*8VwPCB)eBJpYZU5H157#tS}05qsy+}w!hI=Q)>!e0iuBC;)>u8ico0P33TqL zXNPL+E?6)ZEFGO}Pg(B32k-1Y-sgk5jaNr>^$kV=|Dw^1d!Ye|ptIXHcC%k5-q|(w zk0L>!@e1?&2sp(ZMnQPWnZ@d=BKWyX5>~4O43#+V2n>T2Y1Q3I6CY{IZE^}t_$>^a zPF5X<$c}aOoRj+03+C)p94w)wQat3%x#UR!YhCcTx^tCf0UlY@)9$Dd7et?#v8(&J zVKBb;lhr*RS!-`>;0i4iMLm;0fk2PKpF^*r!dH9i0>aUlE&O1=Z}T7#sGHUa04nV; zC)y!2vn6gJR=(8L(}S%;>ldO!I*)?nkihpoJmVI72R|b)IV*8Jf6W(m0|h-^ zN#AxE&)dtn7FC#qHv6O(g9cy4PEl-9-29Mit!CE@=3}}wsSIDez z4EM1?uvDgY|8uC;H&|rcT%bYTQp59L%$visfWit;W4f7>f%;bZ=qy62T9Eq6F3}(u zAF?Z2z9b)_jQb(VnrqEx5}2n9_Y*^HI5w`3)QH2Tv!` zJmX1S-CmWW3n@;w*4_pHcx>z>bP=1d9YwL?PqxqB$XyMs>00_pl~_-f;Q32=`5+qz zksV{LQG=fG&6Ra+&tCa05D}v8!Ri+sg&l9tb3@e{QyD>rAtt#5D%e5vJ+J*= zJr8hubC+M}AY)oXBjy9Bt3169E(!a<^3!}c8p2w2yov&Bpb~JhsdeNoXKwg2E;hLX zBXyLqWQhH+OQ92dA~(a@GpHg{n1TxH%#F%VU-GoYa6HlSJa2BiNQ@#m8qi<9Em&#e zFRyTv8l59Maxf|I&st);9!^RZ@`>Fo)0D1cmm9c`55^lyUsF3qv*+p=Q=@VnaSHf%fTyT2KEy7F;C_e z6;FRAQm|~s_`%yjRmbk~pKjrzI2-m-fRN$|it0DN(MI;DS z+L0@E&Fx8a#X`{By%%aDa{U#To>$+1QRd~x=0frfR>q@vV1_xC{TatuSG>XjskK_Q)i!w7ac@T~FWeum^> z)$z6DxDe#f@WI?vo9*=q=UD{tjEQ%npS@F_gUa*i&N(t|AbmmVZi%-w&P+0n|E zNf@(DNLNM%SW9MDx@RI982e4Fj-`e~$g5@O99v}Ec5uFPb^ROUwa&D+*5?-S)A?6A ztNzWshrJzjO^qLT1RWR39n^;?_-qz?9qE%Hj7TrL*cu*^!@fKOg_4>=?P>Bdf!7kCe!&}}NX z&x5_juetU3Pp{lyn&qr=AfozF9r2LX&utt?mUW z$0r?4=y#fJo?G8^Vr*A$W^mde;C;gwIlb9?bq5pJhZjk^*0s4@J&@j_&~quVnF=dG z*e6Q#_T65J_`Jv6GqB)=T=(jhg6FeuH%VQl?~=_d#qXZ!EN0?3vkWYkrJlcR?e4oi ze=au|6?w);Z28ig%pTT6+11h0bnUE&jlL8MkBXzMx%9VMUiuM7KDJ9`(*t>oWAZXT zDU8q(1F${~y9fxm@a*atw3iGJDkE=r>z(919}Y@M3eaDap4Hnu*D;VQPsSBxaus`? z;dxBm2k2VuV+;?lAWJ;X6+t=Awz!yKAb7Gw**F z)|Wez$f8K)hvs~NHd6e zw0hI!-gC~!YFg`L`MT=Z?^Fa2Ajk@Fg(0NAxtI@*WnyIe31XElj zAJr7GN%q|raK#{50JCqgmC%qzZ|xhtsHE+C zXGB(+7i#n0;M^$`a-(Khtd?84fx){UbAwCPC)-+XCNMLrl|x_*JBx)npttF)lmYTw z+#(*1V1O7r?S4pqkzup+jI*zIZ-U_HAa5>aF5fJyfmkKL4I|JVdA{P;Oc&fH<}Ft_ z6yT!a-7zQaAp)JxX3pzrw3fs*3e@J*kRi5RNcB$={nAhk^JIjik7nk&-^P{2Fq%dmYdEvrZpnFx*#5UgFm4O^$|N#{HshP=Z@H4+%+5tc~3t?1v#s=(q65jaXrIObJ=~-op wPhTrf?j|yUKAD5RcZ~H=;*lybfAj;r*7qpB^c0o3!$VB=Lk9PUs@vQD1A(~#fB*mh literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.map.ecobp b/bsp2/Designflow/ppr/sim/db/vga.map.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.map.hdb b/bsp2/Designflow/ppr/sim/db/vga.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..06050d605d635fd3c18f80c968e805d78a2cd80f GIT binary patch literal 17848 zcmagF1z21`vo?skh5#YBI|Ls*f#B}WBtU@R?rwv-ySux)%is{)-5Fe$@7}w+|G)d} zvop_I)u&FMo}Rb5d(N5a!i0i?sz8N?`t|W<`zWjbv9tecZAHe*@{LTy@vnsu85;*X z**A7pb}m--Z{K*yRHVto9W5-#{-@$;G0ewRP*4IQ|D~WX5&v5^i~YBDfj;#_{iP?? za3=)~4WCeyZ2*gO%_Q}t9uQafcceg0I@T}P%_6P-=S+U#UudP!ZMDkK3;c&Ww+@ER zD*YWDE>hEM1`47 z^U8U4jp=to7d`dNcp@$_I3x~|ax;?t`h{?r4eT!8jZQ`pYvwqcE#Kkw{B*%l zqur6aL8{~Q-oinvm$B@)gY@KFZP4-FvUlN*vD>S$n|si)#?;wWqE{uXrOLmeYK1M# zWf+F_jMrY4kn4$&+!jwy_LHZ6S~%aGx7I<2=xl`Js ztJ^(KvJ1a;%np>})M%tIWr`oqTjV*oVXur+5BNpL7%%pf@@bohb={Ah=ntJpbMzdt zEj{k0J$Nv-oDub+ygN0M4z@m@G^Qdu8tXX--n3L^5#VrS%y2Z6=eV`pWgRuA=y#>8 zXL8-HJnz!H@)BN~J(ynl$yc$SW|u4e%c=Hn^a*u7c=(m{^Ksv3dbP%eedhM~m!2*G z>BPWkXnonN^TyW9YU8)rISnEeYuGyUP{<2jG6T?2X7`T&+LKeIa&zT=pwJTK8TYNO z?ltc+rx$en(4Fbu!(%w1FkQQe#J{3|^0>{HFEH}nbQ#qv{F0ed?B42KjaS3B+anto zsYwFgby!xa@$`OP?)}h!H}`)M`5$I~E$VDWBX96^S57t!=lriU|G#-RLnXSM!mq*T zCY2`EbYGs@cf`lA(yep8CM?t?Zf5j6F=a5=S0V~TM)+TJZ_c}! z5>7~G5fN!>&;m>Uw=eWnXEVM~8TmbK674l78Bum8F*Q9G*HVDjDIHn+V@y<&#OdKz z*lP^lkbg`up4qQ`fS){U?xVaXz26e{)Oh7BGuukN3eRp_J8sS4HcUMo2nZ8ccjo{a z|H!k5>#d)+OB!?TJc$*=-r{1C7L=?7aBo+Z1XlT!vI@`2t+E6h@pG!X9U8hH-Cxl$ zu?h(hDb2YtxD)O~v|KILeDKj_3c@6YSeDt?YR;5GRke8d*oCT@pzJ>ti-H1Uq|w@z*2mIk4>e{Bc%-p^XR`W0>5t`a_g z7;TG=Gl2NXJKqgw;X>4X^iPsDG`&BcI+GP?ncCMPVET^tDf_||{1TO}&IZL-&{+)BlC#3 zC5@Y~JfoRIbGKHbk;cc|KxJ#5I?74s%aGE*E|NG39tJBfY5kZ)OVXm|FPjvSmX{$- zEnPSgxLyBAh2&GHw z)-w(cZ;YBIjZS9w(o3CEk6#K)^~Hql9P_Iseq(C?vU~Bl$9FCgMcgTzS>t!A@RTW- zRYmw)t`et`rSUS^-|zX?GjcF`UA2|9Lcy4=%?n=8GO|=6@D$?w{6-dvd9*m*MMRr+tLT)t7ZGu2%${l~hgb7hX7pp(B4&Q7FK4rmXpE;jrbDoDaCP>?-)|w?rOZayF z$*-j>juVPia!kUDM>1h^hPsUlEom_d7^5whIzl^gUMP1zQYX zT}*9Sjn^)O#Z=e9YbO`-6+dI8*;HpIw<02}F*sM@J7b`(fo)%G3hN`0$f$}FwUqK5 zm6Wp62kt08^at)JK6I|Jiy!BVE*byKZ!Zb6Y1leDxYtYcZY1awb>=+9JJV0mf6c!e zTfwqJzDB2P_>B7@7~ye~)fGdh48)>kA>W|URj5f^a+yg=-ULKPAEJ2WHEM>sd+!;^4F{2Msb1MijPAaK|hs$=uR8cb=vdv$=c?y zr#(?VY=pneHzC!3FZ#y8;>)EZN#qk!Rx9nH%mqz#&=N>e6OQ?@MX?FNWb+Hrs^+4~ z%n_0eEKd1zl)qaIpv~)9?DFlj@*Hz03lg~uU71ZK&AddFE3J1Kb4~~!^L00dY4@JQ zh3FS~EK0hw4_d`p$QL>6kVhi1=`v68p~;t+VMJ;*-~x<_kdQ`%u(4wGD9EVX`+X1N zm*{j{3k409J2IFB@Id7eqTIt+hTpd3JY}w}Ma}qsE>dN;2${|`Wo|=BPU}AKI81E*A%ECL6bS0+1`j4&N ztUVc*{~QadKnn;u3`x#6<+?LMy@>mqk@5`JV3tM%GLtqx{O+HigsL{`p@X3 z^hLT1CHPUF*j}b#_b;b{J8b(j z0dh{+<1Vav1+^{AeQ1vUZtV7<6B^kv1sWn1C0bb^d()uLE7og=mx&RS^E8sqF(O>8 z2%##lu8_2XmOoA6qaHag>Cz>LIO`da7F1@avbNL7!Ca$Ek)&-0Q8mK z>KbyT`xV3r?jr=$;<`}hztLPj;9!m7y_{f;-r*l(jW%+82q%RP!EOE_?t=bf%M}r6 zcr)azd@g5L2|e4z4&|wopj&Sy$#L0n3)qf0`QHt@gO=j_QvMI{O9(}iJh|Ii=bd`s zVi$G8tY#bdWp=M0G+}%c#n)vJ^*!wS&lR-iVIqp#UHlD zoboyn9q)w{3sEf-O4(N}liJR56aPa;4z8_MGD0j*ULH{XEPW#R`IzoGsn&v!mS6^M zq3Gbh?0wqCw1a6fOiWi!qYeR!?n^30cFA4(?+c5B4Bu(iO&N^@J9A)+wj=P!PVUih z$#AUep|mcni=k3n^5BODeS%P;LwK}(%2|0-ePmZPe2lUBoW+}GMin|P-}3ewD_Si! z8LKozR_E`egU1NQZ`Pr~MAidZt*0#_)P*@fiwyuPVPh5@1^5nv-dn(>w zWBZdr7ajg-y4196`SSk0-qVZr_~H%I<3LR1tz$E3DA!Rat80?Qw-rQ>d9BOB8ovfrNf|U!scsx$uW+w?7j_ zz0&D3>w9Syqxx|a@&O{&jt?;$puU0tgfG`Kt})oZuEv=d&bHx>T{x=YmH zky-$`b%w197&M zaii!bxqIA>({}c)rY+PV>Nq^}wmW;=(^qzBhb}F04xW378Iu(Sr_QVH?4Y7u-c;^a zvf7t!7ScQ9(Pa(;cZ3PqiQ`E*(yK~~P032jG!g25S({_reDPaTb2L7R+lM)tn3rARFw8r@^X9 zOoq-gwwV)E-+4i?9F{vQY1N8MZ}&zp@=L#3*h|Yf;KW6bICIaZD z(B1hmVvmH8ZuaF$dIiJGkXP^=mwWiu^q(ev&UBm#L>f@LX}`0Ac@m-yJ78a*tP2$oU~ zHS_ps_;70mJ#eOYhU^MX$6uLG$1(Q^J8qP*VruICWZ27{Gk|gDxSJ~Lxb(6Mh)Tpc zoC{@nIh^x9>LOUzm?X8h+5Iexu@FO)Sy`G`h$)%i7OHSi{bwv+=U9~RpAM|i=jQdM zS^gXZ-*LJ)3eHNmq|6R2!Q#khEU=7>&`E)Db6xE&D&?&!LNx50^(p@*k!Kb0M;W>h z_BirN6mqXMm`{#svpY3^~EovMb3mVrJHWP=OSahPD zJJ%74ex2&ME_0iz@d97nx-QNKZn@g}WAjg~K4AL+hYvV^!0iK`AMlAa+R5BJw^+_3 z>-d~_A&TiyZQiZ5!Q(E2<2~0_;y}#8);>Cn*z%wUv6L&Iq_NRP_vm)&&J=UMeo#Ia zfMRN6oxWB>%8;<5ueICUmr^+b^$=;=WvN?nVrm+O79=X*a3%;5tCpb}a(9;Bng=o~g-z<;je#cD<;Ovj`b8gN{rx{B&4~{&^&f!@1|sy#(iY6>W1T3v&cK_PzP$;CWe6mX` zdq&=YE<~->ZWhKIVRT>?s*AfYM{0N|r@r2*YXpNP+v!P#Ad4Ok76^EQWq6WS4CwBq z_(*f@5sgNQcWhU#r+>F0|7QCSYKlT84Ha;*hB9gN8;ery|J}C@X6J{Srp+sl`NEQINitSE^v<1R~ofTPwS79Ij?B3mv z4P$I3#Rzt@QnvX3#qXfI!cXD62$oP^*lP(N{(QakZ?xFGiM1j;JbnCBdh-Cs`IVX}s2Jcz~~W?88q9Q%70IL-=>Yx_CZ_@aWfG*Sfb4 zrf#lD)Gc1nEp8N`Yp0FN({+*lhRL82X>PyQ%$-V!Ubg=$CKtPyB@>%WhqJ?*BZ+)1 zNV)oF9-NA22)gx!pcMP@x)1yQU@=t=3s!tJwd#=z({FL) z5y3>?QB5KWuel$8vAszdwDKy8BkUbC=|#^u56z1L?8QScGz{q<c8x7xAQZg&p0(dTsr0;zW@^DL?>+DdWx6_iCbLLy;iHr7y5jF0Qgt5-zb)HWdNIorphtSKvj^B1%VK zn8Vssp_d}i==A6M-*Uo;p}7W4)c+Wabm~;lR2LRnyVi6*TZl4`pHri7yDOluq`K_w&K+M2WFanQ28wku1YXCo zN|VzsF^eeI!Up9VX<$l?WH3-sJ8wkC3n&KU?`{F5=9}Yo2+tkqsS<{G%pjvXgbm8B z9(n2vQPy+Pdsh+KB?6sFjQ=@TaQz@&p-Qr0qd{bbNbmkx-8nA4c9Gk_?j=0sH6MnW zqEGI-*hS)Powk?8e!!=`2ASH zPYFKw<@w;(`Gengq|gzP4}LwV=xE$Sv?Ek-5>k011V`zLzfPNF-+4q~mo`D^?G>OMH$7il2Y~ z;Qs#MkFG|wUWIy4W8!lJaL_S8Q>Z@e6ww1k`xgHc*k4%n=c3(Jnb?R-*~>suHZ*|I9b*mDv%DYDdf6RyY8AC-R6Xn{}P|E$qTOw{Sp z>+mS4V%$bwmo&orMX#px%qyJG<03XkfH0lEzHz0yB=MIoy*(^oA_UGz-A{$Nr>J|n zfw>C$AWD1XSuRCMWnXR6^qu~l5a2zI+rQyeBtil1yK?&K%IBkc-sqoB`A(T!d&`#DG*t+v|su3*n_4E#e>NB zKGAKmUdN2vv1I)SZY_np<*oQWawZ_RD5UgR$EzDPxr<-}M&9vrU6AS8Yl&AZtGK$* zR=;Y(cg$ibx!Yg?VjOqbA4PT8)^1bHyXldPGPki1!MM8BT@ToF+F44hQNhjCT#4(b z)bv}L1E3t(Tn^lvGU-0<@zk>=YKOL0<)hMJoPjBCFM@c0x~W=(%_s|Ql9dk|X<6Gn zg~za_A{a$994}rMc-bQr7aHBu87s3`cDkLxCrKAIs(~JC7GQO?B$vgJe z;{zt7QvULU7ptAb%UXhbRVpTlUDi%DnK=;CfrqJYa71ebVZy$0!&SRq&e{JE?GEO zDr~$@PPdJNc*Sv;+38!`RdVts`KwsAH2Hjp+fCJuW5W+&R#S3@r=s)U#97*5^sY9W zWx&dU-)Kzm08gpfLU8HFoG&cl9BSYYnxU^F4RtgT1<#JLQ@wF<)z`?hPQQ_v<`dB~ zgKXo0qB3TqQUq?{sdIf6)E(6-gUWJFalMFPfOiu~fLb!OXv2KTIc5%&sKTdDDejI! z=}WdEL($_1Wy|Hf8@sfnYSpTBbZ?)qCe?Tl+Ol^|sgY@WOuwvh+N1^i;O;JA$To9` zr=lt?b9T$fUdzMPv>d$;bELChQwP=%@7u4=mj_8?X7CAX{^}Jcbfi z1qv9~;M5p0J6QSEme~?}a&7x~64^qmV){BtL$kJ>WF~7YV@vBMKkn`7?@p3_*~prXzs1aY2nN*=2`PKJps9(%4gC{AHPbbYT6a(KV9Y;Nx;X_Bi%Yc zBk`xvMG)qr4*%!{8m12e%5yyN=K>PGF@oL`_g5xNG32dVFU25@ct0V*Gc27xO~f4)1xBvMWV!trG---L<3kr+`6M(Mc--A3Ir%n*3Ov6@HWc@dc$2A9q&Sp3SN*gT^v6 z;41O?D}+0MbT?)EVZE6J{jjLsbT2>T!ce>=&!WS-2Obn3M7J>4YX6nBlqf%24_YdOyfc074 zZ{B^dFJ}@woC%G>^@ddnExWO~50@4=q!|n-t+n5Og9>M;U2GVPKvMv$TnGUZKb`cC zkBIGyf!S#{&#%*Lx=B8Z@=;57}j_}9cGireR&<~Q%(CA-Zx(J6jejGeD z0E%~*h&;M}K@HK`GW|$(FnBmeaJDKr2n0OHAAQ5fbS4w$w53}wYQ{J?*nSbl5=Omh z!>SVVK;K5i?t;ET+AjMT$6mbK_+(ye+l*Mipoc%fL)hL>wzLdS^zDrH7~2XIZ=V?u zuKQ~M50wKvvo~7+;jf6otUXnNmqmo{;mK7RkTNunoXo5&b8!#C?H#O*@CT}XVR4VI z+ZU9Xpof)Ue9B6)LB{70=D(9uY^Z-=9kylXys^LYP*{n*SoSjqdxt-`^fSTQ0>3{2 z^1Xc@q=Ky_UXU-nQm-FLP((FSGtu8DeV%Cz@N$vc5qnnfuRMb>f4-RXgUC8@u400{ zBV4$*b+AZ54@1El2wLg#FBooLz@n)a374-}_rC~Sh+uiUr9pjnLzHj4rr|N^*>vw&)%-$`6B87m*(+SFJh&h7hLc}+ z=ez%Udpo=7i@y+GI=pYS*|vInd_FiO>_6`8|2SPzBJe!N4Dcq$sGHOc_wyil^b@fC z7O7(EAs{y?_t!+^&Mlo)^hK+m>7)p&O5}yDzYH5P8$1pX#?rv5V}cFd2y#KXO2dY% z(IkRA{kan(hYHTFsp2ofx>Qg?PMP6t*->Rwq_M$$;E#MNHlAA5;4usOci)-*IV4 zf{i)$zkl(4K?s!97 zVN2~D!q7rnX(ZgtENHcKj7y}~zQty{xKBsSwral}ElqT-``u_vk67cH)OHv#?@<>K zj<|ZloC8Z34N9W^Jaawaj4_qpO}+A1dwP8X_xx=mH0fl;=hChyKB)FhzO>j8gVk~U zat*NS?o%D~gIHM75y^1fdOLaphJxql?EB@{j@GKWmX4~HCi}-@+_R1ey&kq}45UkO zYFy3vmAdvO&%M#g^Sz0(R=>Q93$g*3UqEm7`Et$zx}osCJ}2z+4A#KEBMUf z{N(h^4D+s580ytDdxigLXH$5gAeA+7U;VYHRrS4vvPKRMCP!*tg^Pf$;8pQ8s8$S%!>|V z30hK5FD(qq5!nW*OePx{2E^d+;5bY2+M!ouAbWU$w(Q4qRq7j2|3~k&aBBjB2|J{}F(XjBl%IA$ z3QZ$~{BBw*)td6Ii7`Ip8`3;LyB)1CNS+lcT8S9I=-H8R#@PBa#V=czd;knNc`_rq zZUF4Q50C?+L_4uT9vm1g99<2LsNDN!zC$<6<#SC#2^sW-!Qtdj}o&ln* zo;eU`V!eqO|1;h)H1Y7!mfFzA=H}%1{NnTsV5 z==Q6wY)3P0N8^9Y(@gbQ$Z?VnaoQ(f3T$80+Q3aa6XO0J?>CLT?#o3VgFRO%Cj9xr9BL|}M$wQ$2pW$P#p@?W-H8^n9X9x`XxUSh^;M%6xYXWjolGG2+e7UXZK-JfF*V|7 z>TCb)DX^H-Pp$#m%lxv?$P0;r?89!CL45RKqgR=#;Qho8_vQ9O!HQN@uxRhp60J0; ziw(Ba)?uRS@JKSN-fs*nP65&{nWnbuX%x%*Acq(C&sRcZm%!RF#5`#)6gX0aolN6` zvbMY?`#&bm-p`@Zk<86$+wc#(WbJfS$44hsNQ}r`QDuBsVN3O%8xQ|dugHaH;^74_ zb8tNF;77-S#&VkN*9E>&;lr7aOk~ABHG|xph-wkABI*5;EWQ#GR-wVQ@e;(GE)=!0 z=niG&uhmln(9i}PZ7YJp?pCjKI&)2sxpklUo}X*#mk~>O+VNB?J?|Q-WE*icD)oKg zBOv?%`l$65yNeRo*1;6(U&PvxgM%%Fzkn{0nOeAkp^T4iMAs33-JowjH{4!W$(St7 zZPX#JblZr3xhR9l*Mn)po5@0qgDMUpKviE>7e`a9$?@GMi4)D zV9X0X|I8MpbGJSfN3q}NxaA=ipeHn_#`^($`WF z>Z;EY>@g|Y_{fnP49N>N5y!^=i7l$s56KM<%MJcbW`GZhP-4#e{*whGEmt~|RD&H4 z)XtPTIkqFMPXKCXz;LxBx^4yR-r5cmDodKXP~5Y8H|p{R3S`|6k-rmwJjgH}6^X8~ zzXK?N)2>zrp_{>1$l01_yhVWs0q>C}w*=n}Ja_9#zUCkc;e$Nb^pj7ZV+gMqZK;9q zRO)%w{qr6ipj1Mim@#)sEQ^tZ44F1{L=#QS{xHZrARm7*Y54m*J}4bAShvWeKOvnI zB*1~;ibqzH=;4GhZg2OC;c8oe2b9XyJ-nbpC{`Xuf#4Hd&$-bO_I+W9oNzn(W6~c$ zQxNXuHpgxi$4-iW+$T{8k%|c*{p_z^*@^D^9Tl8N3rnGM7SJ540=4yE?{cli9wLtl--i^_ZTrw|chhZ8EA<=Z1`mm2BZ^@o zN?^Zu^0qi)Mo?gcQD7ia%(O;@G4N7^0@y=cJle?=f*E)jLS2+Uv+;+TB2XA`cy;+i z4}ck?2DurEdOdDC9M@HJ@C6$zmF(>mB)lhM!Rz~tVnv*WO$Kbq>)m>`S$425&gu5H zbr&-+a($vFtd{y*+-B7kvuE^p!ny5#UDh57?1OmhSmy}epc(4)&%>pOCTA|m3`D?Z zhf`EMUUY#-XEr0lO66A1+@@*X0E+ zXFJNxU5h3AZ2u5`++c}#vOi@SvNn9d&y362tMREIBK|^jPPqKf1E#4%0WCr1p4U(0 z3r0i?`5Axoo*G57@1@>1rP`U?O;{b#VLv2y7$AZei21t>l>YRrtyA;l8w}mLW_Uzo zqy~2d0U_yE(yzoh7^-<`TIJ6T=J%B((UR^`RMeVZ zU)iAcR5oC|5yl?cUJ$E*9Dpr#!a-y@EBmaP0Oz;uMtI9GZ9HvE;A&_UkbwG=!s8yt zZ1^WYk2I8jQ(4U*tn+O;SiU_t^Ckf?E~`MnBZ;^iS$?by6&Ts~~YbJ$**=LXXVG6fE@ zx;U^xzsg{Ape^4bL@-_exn_zeC#v8mq8SVZDgtNix7nU^ zSU!kblc+GoK%0GL-;W_xi){srOrQlE{bMSHeA(8o^cXLmNCs@$WLBET>#|sy43#^S zNONMoA|9p#cVa&4;@|^(VQe*6+Rgl~`J6gT<;gDo8(8I+G$Ov?_3k#B@3iN(m+8%H z8|FlOq&k!tKsqs1^mZ}o4B$%nC)-{v!m&poP$$h^$85GRY&`~1IpOV98&9~@34;72 zl<1XXcc4ouxXSPN``XKsX=buv49lX$@LysJp2)@9{`glojN`b-if$<7ZP8^5OfTYu zE2n<%Az#AH5PO?yxpRr}MibdAs`EHN(vA6glutqBKcx}h9E`-Rx~Y~=Y-3MAfG`r< z2F5?1WJ9tl$1I8PVU%|A-9Ud_KtgoKRWYIKF$H>j7PdRA{v6` zr-$J;C&~%<9mI$mFUj{cN#RdN&k>vnmn^S3;v^vkFIy;ZUCm{);jV^HdZ593hny2Ypv zNj*Q&@>TZQ>6AWl4s)c?@golrKL1UUzf+0mv&IyQy{U%ZAfe*V`AdUzOMBCm?>kB1 zWn}5?NQhnf7BeiO+d$&wj%>l@oDAe;4Wh3@QqDq-!lqFUHXe>!cthMCwA<>SL1%8S zv#AI6VQb=LNX(FVx`6l(_YBcCqKKGaWJ)pwj9ps zAgDhesOKT~jEV80oaqyPLYK7WoT-v<>zC}pI8vhYM4vhJKS5tMk6Aa$?+SJR#fYMw&I}vvU%Z0L%GQAPMfahI3y~(#(DffvGlUk_u zbya3v^P}G(WXPH(`hCIy6}+giP&8Q4m2Bn zMBhi06k=>Vm|bs#2kgH_CdP z4`vH7^ig?Gud84-I^2`b`$h0zWkF5S1ESFV?T}+o< zFmEX^?`4}=~VWvS7*4s$8~9Fh}30E zWB4$k5Oir9%P$z-A|Um!H=2iYr}e1!=MeoLZ~({ zF6v8Oo(`qWNyH63XSkBDtAbSwl!7T?4{d&L+?QRnmpVP~K;I3R&X%H}d|Y|aV?@6f zqDybO%SFF;dEd#$8F%Zeh}w_s`ZZ*bnW_?-kt#g70TAS@(g?NH3HR}Ua6%Vy?{t@#@b4_X@AQ`w?!T$bdnpvHupAUOtpOy|zs?cf$$S-vy$81{p<^ri zvTW>vvv*i7F>xQf;WqSS=2{8fp+RkpM%Ndj+fYB=fjz>Cn;WQadGK$7o5JFo?<5}1 z704eW8OP*YTQ4Cbu4~g~kwWebR`Qf}_ucbS=c5DYO{gzgn-^FQ8?aq+n-H(~`@-OM zUvp(k+m zBwJ=@7Xl0xXz+0Qb~BqGtbZ!lj_RTBJc-g+SRBZwANeIo8l0cFF3@T0@hu(#+w8kl zm`eV9_|wV7)aY9xTY?=A7)!l@gmkU?H-JH&$)CK^tb zhxJsaR>iioN56IdI=oh62Pvc`7`1)1>QU>_F3K*81PSq(h?;JkKg;0OQN@{Em*&r7_$(%5{>H=ZKL-Hm0ww=_f1VLs@E}( za1rHepV%%;Ra3As^euyiWkk#5V55zj=`x-HK}mRydWqGqu9<3LE!iOL2#a53{K03x z?~n!e3pBV6>Fqr;Cew8V_?)nF&88HG^m?K~|5dO^Mf0G6rkQou@3X|WBfDr2lIc8+ zk22nS{cO?WI;{UII+;{3JBZMvuC3sIG5Me1)Edw$Fv}0hs=c0Szj-6WpJ7nnSyI(ZJ)v<@C``yWPZi4Q8f#f>7j4{ zQ!7@5D2&=$FO12xZQS~GuLeQLliZCdOGlBLr1N^(Sg1{kPYWXp?P%0VVC=^8g=QIdbryi4)*cwS|5UN+JxnMtR(F$WYs`qD@C__LT<4VWo<#s2VQk4-z z{}LRP`r{I-EmL%|uZdC)rx61gB2>s=v5DrS zJ`1YA`KVk-)x(>}tFN%qzi3OHB@Qp96zhsZI=pb;;i8*mKdF{wKdX`jv69F-5hMaH zHVc&>J%2q|6`j8k4Y#lS2ayl1ecDWI8nlbaIPmT%_s1d2+>tcqNsh4bN)BM{EiZ?K z1Fw&dA5Qd-nJ5w$g;j*vji0hvD_8@anKoBLd?8sG6=`K@_H02VUv_RGb{-4A zOipt4)}CxIM!LcYg&nR0-EONIof1~)kkY+78DO&(K z%{y+3K3Cc&4JzNbis4Tqsa25l?zoA5)1d`SmYrRYyLCJUU6Q}Hg^@5E7)?9>C&SHy zb_^U>Kx@>bD2y^qTP7f_P`j+Wzu6NVLEG@^YzN5@dJ=U~KWo6ZRF)5qTc(|h41Ifs z^1@S#e+2n9Xt+2kq+_YH)!ovP?~sb%i+TF7+u zdPi%iAH~z?U4akkR`8-)Z*6Q;hJRqONn=kXb+etlwkTuMo8MCBpQQMwnuc zq*7$rk+N$LC`ormVTDYZn?`+1tSJ_Pi{7sr6E1f|;dUx|_a#wr;v|lZ|QmpVx zyx^RS=uuF+eG0Y3G`71 z3WNokAjJG|N@RGi$vXezi>Trw;5EQTfKgk$L9HXye8}wep)j>Z{4rY4JKm#Uy#^3~ z`DkUD!5^?Odz8~y-lR{?4?4U(uEGaeciwJ`?xirf2i+)G@tWUPIdl@8Ty14qUi^#k zd@q#EJ^v>LGf0P~{11va_tO8b1?-)Yw=lYWVX0gnwGA(k)7VSjuX$Q>s$IXhw z)E7(SNTYNf08;a9bl+G>^xc_B$TJ3G5Ou=Byp*>EA4=+4ZG${REArjLstx`_&c zvJiwsA_$Zaf}n1yi+(`YNf?6k;YA6;stb-LSLim*ekhSP6la@d}>ZG>c7c+9Z6+@?7qCNrfxTBVG4* z3e>g$yNPCrcQcm5JyS6>kB$WYpT5tf`viY1z+w|f!AFaj(>&mjCG1PV-+-Sr4c%C_tjIcU97@FJm8b( z>Ph0!Q@ZAqU7YGi8HH&Dm$cp0{PLx2Udzqg-YkHU5O%^jUtl3>PC7NNwN6&T#4v88 z6D1sKB!jr-q!d+~J-gD|0dS$Xj?+y-RRYcPHVS*?#p0$)VUfH8*Z{c_bcqeE!Uo1< z4Ykp?%%!2&o|U3QgL{Y)z`=*b4w*~HM8XU=?PV=h5#{8n8O>0Z`zE@4$0K4(=qbRV zr@yYY$NelyQq&5vb4EQ-O}$CbBVE`R-qd?!a$5@N}@}IphFab50EUH znKO!54zdRUpwMc$qnqg>$N|*Ov}%KH)A($#w% zy=|<97BVzs#y6^ZPu0);esXz_h#8^$AM66$D3S*-GJ=BV+8|$lMY6X>C!5%hK;T~h H00960hK5nG literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.map.kpt b/bsp2/Designflow/ppr/sim/db/vga.map.kpt new file mode 100644 index 0000000..549bb37 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.map.kpt @@ -0,0 +1,1710 @@ + + + + vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_24_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + vga_control_unit/g_Z + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_14_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_13_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_21_ + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_16_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_23_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_15_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_12_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_19_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + dly_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_sig_Z + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/h_sync_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_11_ + stratix_lcell + le + + + dly_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/r_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/v_sync_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_20_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_18_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_17_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_22_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_4_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/b_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_10_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + + + + + vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_10 + + + vga_control:vga_control_unit|toggle_counter_sig_11 + + + vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga_control:vga_control_unit|toggle_counter_sig_0 + + + vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_14 + + + vga_control:vga_control_unit|toggle_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_15 + + + vga_control:vga_control_unit|toggle_counter_sig_2 + + + vga_control:vga_control_unit|toggle_counter_sig_12 + + + vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_13 + + + vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_6 + + + vga_driver:vga_driver_unit|vsync_state_5 + + + vga_driver:vga_driver_unit|vsync_state_4 + + + vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga_driver:vga_driver_unit|vsync_state_3 + + + vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_2 + + + vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga_driver:vga_driver_unit|vsync_state_1 + + + vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga_driver:vga_driver_unit|hsync_counter_8 + + + vga_driver:vga_driver_unit|vsync_state_0 + + + vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga_driver:vga_driver_unit|hsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_9 + + + vga_driver:vga_driver_unit|v_enable_sig + + + vga_driver:vga_driver_unit|h_sync + + + vga_control:vga_control_unit|toggle_sig + + + vga_control:vga_control_unit|b + + + vga_driver:vga_driver_unit|h_enable_sig + + + dly_counter[1] + + + vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga_control:vga_control_unit|r + + + vga_driver:vga_driver_unit|v_sync + + + vga_control:vga_control_unit|toggle_counter_sig_18 + + + vga_control:vga_control_unit|toggle_counter_sig_19 + + + dly_counter[0] + + + vga_control:vga_control_unit|toggle_counter_sig_16 + + + vga_control:vga_control_unit|toggle_counter_sig_17 + + + vga_driver:vga_driver_unit|vsync_counter_0 + + + vga_driver:vga_driver_unit|vsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_counter_4 + + + vga_driver:vga_driver_unit|vsync_counter_3 + + + vga_driver:vga_driver_unit|hsync_counter_3 + + + vga_driver:vga_driver_unit|hsync_state_2 + + + vga_driver:vga_driver_unit|vsync_counter_4 + + + vga_driver:vga_driver_unit|hsync_counter_6 + + + vga_driver:vga_driver_unit|vsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_counter_5 + + + vga_control:vga_control_unit|toggle_counter_sig_20 + + + vga_driver:vga_driver_unit|hsync_state_3 + + + vga_driver:vga_driver_unit|hsync_state_0 + + + vga_driver:vga_driver_unit|vsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_counter_0 + + + vga_driver:vga_driver_unit|hsync_state_1 + + + vga_driver:vga_driver_unit|vsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_state_6 + + + vga_driver:vga_driver_unit|vsync_counter_8 + + + vga_driver:vga_driver_unit|hsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_9 + + + vga_driver:vga_driver_unit|hsync_state_4 + + + vga_driver:vga_driver_unit|hsync_state_5 + + + vga_control:vga_control_unit|toggle_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_8 + + + vga_control:vga_control_unit|toggle_counter_sig_9 + + + vga_control:vga_control_unit|toggle_counter_sig_3 + + + vga_control:vga_control_unit|toggle_counter_sig_4 + + + vga_control:vga_control_unit|toggle_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_6 + + + vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga_driver:vga_driver_unit|line_counter_sig_6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp2/Designflow/ppr/sim/db/vga.map.logdb b/bsp2/Designflow/ppr/sim/db/vga.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp2/Designflow/ppr/sim/db/vga.map.qmsg b/bsp2/Designflow/ppr/sim/db/vga.map.qmsg new file mode 100644 index 0000000..b9a64d2 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.map.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:18:43 2009 " "Info: Processing started: Wed Oct 28 14:18:43 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga -c vga " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 25 18 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3123 19 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4396 11 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "vga " "Info: Elaborating entity \"vga\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga_driver:vga_driver_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 6149 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga_control:vga_control_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 6204 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "292 " "Info: Implemented 292 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "185 " "Info: Peak virtual memory: 185 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:18:49 2009 " "Info: Processing ended: Wed Oct 28 14:18:49 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Info: Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Info: Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/sim/db/vga.map_bb.cdb b/bsp2/Designflow/ppr/sim/db/vga.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..48153547c258c2211d2689c5a04a546e4353de4b GIT binary patch literal 1355 zcmV-R1+@AP000233jqLN0AK(y00011WpZV>iBLDyZ0000C1ONa40000f0ssI20000>1poj50001Z z0qmGNZ`42##|G&py zjuwdmBr3#9!0{ii$C=%XlS7seA-1&I*`H@$GrNCZGMmk22RzUFRn$MJCh!A>cMt|Y z3*L8r$Ed8Y!J_i~WQlBJ0nI-t)SD-#)$I0QWwRZ8==E{D_k*z0ZG}+~$wA5fW(@#B zUEC3AMPI?GjA4I4-IV_$2Au2J>?yKOnMQK+6Y#mHQBB#4>J8Cw--%a^`IjSr^u=|i zq`W>su6dm681GflCbm<#iuaJv>1&jWyZG`rm*7X|M=NjUnD;XJzk}Ze)E|oaoR}NJ zx8s_59Usy6tm6BAmo>b_d)n_6r7w>y>+|r__DbxfnClSyptN}&s6XZOC~9{I|^aXN8sjkz+!^CQI@G{=Ro-1e69CY?WaK4HeDEcJ0uApw|b zvOX9LQ`5uP?2b&D)i~I%;(mpHP{CUjyj{V=3f`&U-3s2T;8AhG-TmtBK}8sXiZBEf zVF)V15U`dx%V9xuFbkrCD+Xafbi7#*9n6C0U=~CNM~P9wO-l*$lW2~sbtrMlG|Eb7 z`?3<+l$Fq?tb{gYCA29kp-ou{ZOTe$Q&vJlZ6%b9l~6KPLdjSOC1WL&jFnKbPD0=0 zLHTtap`J;5h$`1_@i*ckm|Co)ZjNAT+=L@;*+^)a( zTg~|tQLP`(=5C5`?s=_yCO4DY^-sv1=EjMr){kd%<3u?3{EeGGn~&wTbGtc9+d|@v z8?_NZX-;~s76TtA-E=6s5%){p1>*=#&T;P=sY^PkT<{R@|h^TbYDZTKl=^Nm4q2s?LD+41?egTMp0)8M3 zQV&(?=^C69ty}<6pa50F1f*f+dU(19IR-g0mS12VvthD5P#ozPd_*RbxEI1xxg+(R>lie=I0s`@8|F08u$Jg7dO}# z12Bnd7)X_Wh-;AV;r>6ZJYWS9P-UG!8fGU*nI}jYn^0y7$OZ-m88FGfzzU>6<~zGP z#``;YxjKh{+y&Bza6U*42*Z2}_JWg-qqDb@e}vaYWj1!OH)a5p2m&$Omq2TMJcC1c zZW`=k1FOgZ$_gW^fQR!7yYC-Zz-knL^04RvTLkvs#itqkoD9W4IURI)u*WJn)%`iZ N;j96a2LJ#7|NoxphrR#+ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.map_bb.hdb b/bsp2/Designflow/ppr/sim/db/vga.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c640a44dc706703574a755442bfcd33e568b5e49 GIT binary patch literal 8346 zcmaKxRa6{6v*$w~Kmx&ndvJG8aCdjt2@>4hCFo#-1WB+E1`RO43>I`CxVsJRHaOXQ z_wL!3-MjsNsNXr&{m@n2bxv2~zIgGX8Vlux)j#q6V*`6nFGn|5T2U?@S{WZl7du*B zem+_rK5jliZay9!QCeLUS_K~$7ux?lF-#rh->MfcM5zADyx2qkZ<|N^-_{@Hs35?b zQn6q_QDYD#f^zg#E4omf=x61Q3_Jy(BGSd%Uci&fYTVwmour_`U%T7{j?oJFyIDQN5xr$2Utej6OGdGqtAV!_7L^0tY9S zAqR%~o%i}aK0cab)Bgr_FwW4n=Lex{s)!L+N{2gI<&&~ofpsrIiLv+MLa#cnea}Mc zPVc+vNdFpN$%oeE+mhfy@A&cBG2`~mXCmq+;OF)DUo1$!pby0PVJGVnC`W1A0O;NZ z2EQ+iO_%kG+s27yqAHA}rl#lr;y-B3lnxTR+pH>4=b$u& z#9cAw<@UYoO5;*Wx$IeI*n@xA8cRLNcMY~jvcBC_aI+>Y@608C7bPBb+)=$&W%})3 ztcjN{yD>)GmK8_DXB}xP4iYht@c)mxF5xfDBpX6LVK|2DYnR>>-TmsmgbUv&n1AlD z(7e-b72fK{Q%%j67G3SWrw@eFr=$|Pspc~l^s1aU^T};rkK@lU9|PdrSk6O!HT(N- zB>b`d-{Nq=G6O+z4qpU!`P7B&pY?X_e5($5J5_~>Yir*X273#`^`|+LSP$uX=!)1t z#R?kTbl&A$|39h|<~&pCp?}*Ng)2{NM75VcFYtxlHuSN9xkuKBXT2j7wvH>ZS|lO; z{z=je<$qK}o5}js^1eTe)=Y}@cu8y;FGQYXj$lalPC$|4vJ&h zZr_h-mqoM1X#9%&K^{ZHTiJ_5jk|BBPG6YrA+@P}zw^C(=FYtT85(eUSxyzjD-3b! zZnA~>jovbk(X}ogXCr5}j<9R5{&bo(spglN?{t0?`talVLN!qwpb(QQ?vy8*w{Yoc zzqR{|>ICO$4>3*%?Xf*(417qE4-JzUB{AgR3&=A^D1Bxj1MI=1ZPB6}G?O6>`XWrl zjXth25iRal}8o>nHYX1%>NJShSNu z6F#C<2$i`%G_uAlm8r8XQ-4<3@cpFw1|pfS)3UOV`TKaJoX`~@R95%kNqwx2JN{XM zcR^V6xa#Tv9cQ(5nf;76XgY~`Vne@}xl&rh{lKYM|E$Dh^;o_MwiM?S0Ku5KaQ$1k zy|Su1ycEKW`-?hZ%*w~k-*)ikkDj%aH@cX8k{3oqBlwDMxo9fp7u`6|A<(S+`2Uxhwsb01^gU5}g zErB1dAd|<9_CMhM59s~_&HsS@KX4OdsxK7=o-;}`;uS#dO!v$tD))vCjk5^;ZYk?>#>ebT$yxDO)f&WrRF_QUv z#jc$21f9RkT^Pg~1D(p#X@P?OLC=4niZ!PF*JSOqV3w2DlAwO)OqIh&xVJjd1luhz zZ$T~qLh>(fG(WdjsP%@SPZimIL7JSWNGgjZ*Dsuw7ba|?wQpHG?)ZxH%4Sq+KK^{& zWIaOYF%@ypZ!52>eXh+_tV8tqqy9vHoJfctA!n-2ID|RY7dv?~-A0$dRqIfB%L;+d z5C3y3K44FDWg^2dKp0B1Yu}lA*|0VcuyDEsJG$|(Kt70^B7=|_BB#{6&H*?6#=}dB zs?B`dfm_>-o@37cjs&NR(z>gS>`Oli3{51OVLkAqBowN7ZM=GjO`~K}Kz48?dy~xA^rV3HS(K|JV_vryVKiO`fEH2 zoJ!Fc%#P?wFT>8XXfPz7N@rk*fEApWi3^C8Cng9*fJkfiUcB zrff_0!%uZpj~+L8N)_ZpPLB+|T&0=3D8@AMxN=^HDMSJzmT}!Js;0YwSDyR;M&>Aiq3mnWIRb0{tCQN$r(DBS+2A^Xj(Fv~1$qyzbblo;UUw zU;OHgSk$a)kYy6?lm2jnOVAl=otyN%WehG-TK(V*L9Z)mZGn9w6Svs&gaGYaKxd@S zijIp_K*PMX>+biKvL|)sx`|=h8J&~hYt5}6jEX~hKfZ5H3%f+^3InW;qhtZg?YSvx`ehmy{$tjB_+U^N8^F8ZPuk&CMCV_0A&|CvT^o`so4xvBf_LHRh}8x0`v?#Ntd&!=Vq5iFKc;Wq}Xveh4AwZ z_=d9$w!_u^t&%EkeBjFkP4SahO7URsU;>giC*sUomudXVRB^dj` z4grudlC4T9iW8$O+11u^C-=^5yIA4Ox)#679&Nfy(E(JJK5mh(1@FWw(!Zf_{*Ex}@b(W7ZW_||%M2w*%b(-lmFkYWS@!0*3q4o%LvVhbi zn4yAeCh!J6SS_`DeE-@Q&TNmklxk7>?K=X=Vq4sUACq*|&k64+GN*go-zHiiy0404 z)ob=wI!moO&=lMgxrYZkhXy<0gOG@`d$5^{BlmT&-=_=)$QsZm#8BZ`R-uELehmbq z863p5NBEN60h1n$6hi6fC9{D470%`MOWcO^I6DNX-R9^n8c;-=ff_4;Xf_xA?tT3q zl}BR+@^Rys=QcG3u5_ReUxT*7Di^)*%Z)%~-OF^MS~aA1$YY1V_ybCJcuG}gzSP-8 zNk)k`)JNwU#p>3}JUqNKtx?{)-Y7mw5yOeSchY@Lgsrwj5bPi7&k!? zdW0m%T!NkL?p3^QaWp7lMhD>BIy-KhLm`zv&OzJ8&})L-GW zR9^mL?y*zgB1S)-qZ3b=BGJ!Uy1& znUl(OlkzecF|_|oO65e_Jy@X)T2@if_$}v@HCxs9=0SYV%3{d`xFKn$^Q1*QgA33} z2B|pw*rNGGh|tW{lgvv9<#_kx&vgHKe2=t-`WZkLprcTj4FuRBjA?_vl2TPwK_*vB z;kBF~p)(Ud^VTZJ)FM`4hW=?bP+W@7=kqFHC^H=7ol77m0+0tSdlo+Ru(38Pkc!kj4QP4W%0}-2Yjrwgp`nkFZ zi`a_1t{{3}WcXs^skYhkJpJIJKjqqxR8U%kiG2wjEi45-HPevpLHiLaON_nGv*Ib3 z7bRt`MLjt{v65N3I}<6GeEi~Um*o;i4$C08+QaXKQ;2v4&J2bdthY+K^isN+DI ziWOyMfu)#aB-rikvXa2rKtU-jo4DGq%-<`L#%gdSIW}of`(28R%Lw7G$H@5pO zKf7qI@gBA_a~EvrTz2@~Msy8!|25dlb}J8)a;cp_5goPRZ_Gy1JYUd&I!%YGl+=Cr zc)#qN3k5|H7{?@e08@IdUu$kB;$R*L|GZS)zuh3oya#KuoLlw5Kj}sivJ;s#TuC`$ z_oTg9;4d^hq+$dUojJHRRZWH3?lYyqxaX!O@xjX8SIxHdPZtIQk))qwGo*g@jLdty zgT(h@$Zs0pMY2k;)34!O-j#z94}2G>WUVVHd+>41i1C}P-9jY*Le^E_$?0%tH7r(6 z*G#cg@d=b0u7@G9s5n)!>!j`ZkdNo#J-jwFSit8AN?QJ`*l2xPPapsKcl)i|xp4Ki zb*~rKta0y8i-`_|yCkWjMi9X)2E&dWPd42v`TkDb@Ro^rgqPlvX*uYoWgk(F$< z%r(aVXjD_kPP_x-C1lant8fh-;5nXF@414OYfyfRk{F!LA)9SjYm9bE2T4aWW(v6J zNd^b2>=81wloLF{j{7c6+>{+mjD||^rcwl#3cRTtF&p^-?b=5IPhYJP{MKyT_zW+q zzU;jjDAKqnvXwLmI^`2D2TxfY6M%f9R)@nheRu7gk&QCWRO1m`-5;$~Nl=(1dUp^%RbNw? z#bNX|YpV#hdMHQ zDx#&@Hx`W0<-5R(W&XyJ$a8zP>5N)v>U3&i*w>JCJ#ib=gYVXJ-*oJpo$C;i*{(=E zD#1x_iGAtB?aI<@%KXz3U0#;U*ol2a7yPXk z#IzoOJtm{VNx_w1X(KnPJoY|smm6kmzcko|Xi`;Zz7VS7J&K*ybH(Aa7Lk*%-2`kz zETYBaW4%yVJb{|rGheoz0e05&Cofj}%f#0;P3 zwJfB*o%Yg04tS_O8fP9lsz6Mh3_(_enFq!_0294}0V%8fQ~&=Zj@(9(lY zHuSRAB)KeRO^#~L^0!H{#G>Nx&yrBf*UM&u>&0(t6mP-J*Aa2U+t{^fKz4gX6W@gx zZLpG^jc4#f7Xo?yO-Z%SS9rKB)*it|w(v4q^T`*Kcsry9Fm*HsQv###jTa2aCd8vj z(D1kY$A|I|6OUK6AjK(Yf)YZphU~~>O&m>})Z_*$ z7FqRM^B=z|sz}yS0RkPpl23WBlhY&byr)s((L%^kd!BZ&0{b9Tk{aDo zao$%wG%ZRci4dDf_s5BMrk|p4OqaRwfeL@ka=72)5_ZQKn}kD*YJSH_zWlZnAsPPd zMw0Tx?PX7p8)UO3{J3EL!WUbp8wr_rx-*~O)ucSn)jF7ncw!|Z9fL+;Pb`@V836Z< zp3mO6y(*g+el$82;3(R*Q|gg;Q{cG3vAzB4LmN!ds)(k!EYLPAqLY5;+flOZmT6rs z4*B*OI-%ryaWPQ|p-6h(*KX7N@b%lXly4q}Z~C7;f`yS@F|y~w;>*@7%Qyc1XERN> zk3@_uhU74|KNq^172D+F@mKiA%xakf6>i&k&)vx*RiNqc3LFnX&$4erTz-4)+~s5<^?{77t@a`?oWN>U&qk(b@Emi zZ8x)i4?o2|Fg2}RGe!(acx^2d{TL{9ZuT%e(LVba+2>45NAVkax&z48+;KsQ)Ln8VwgIsGUi@GF>pKs6S!=~X-n2Q8)6yRSvwM7e~fQDTW$+N^&C z)HJId>^vq~OZ5AP%oX@W?3&;fNEp+MQjqzdOsXw@)*;y~!2T*(K2u*SIvb%Gfcj&jGtGH&^1m=<%kI*X4;!4aq!Tc@7Tvq;fMbQ zregC0ps7bbqn~TyMK!ij#usBEdPoRbBQwhixLa+O_2&Gj4xLVf%&=F5<`>4WK^6uK z)&kFk#D!X`KX&GC{;6oTTtWyv8E*?cJ*X5mJsRJI=+~k$u7Q!uORy2w=1{wg(_>GG zwX3Bg@Ddii@%zBl=?g@#!tk#PW%28VzqK4&b?TkTkOjp<<=MGjuP-XCDT(FQls=9j z+CjG>Q1d;v#ocrvufFqKqA4%-#%q@?Vwr~t;XCC~bA~mavV1q_AHRoVO`jq+Q|N{1 zp0CHu*Z|yC$rH9(w8F8QXqWbKkm& zR4_IZGxwRN*f$fy%@hHR?0h(ps$U2OP0iq3niCs_)_AsUnu&e(F!I9e#9i*1*?)-> zB_(>PVsX=o_|wz-pZ%8~-h2|(Zj{kddMq)!oEIL7;9zNO1~hb-6oPBjN4+$%kwZTR z%Ga3Y?srYNtC_2*7(&C?HQNuiuXCO{skh*Cq#);k=4)H{Zu)0i3ie+^pYLX?zWxhp zz8S=0a@2U(nEL@RGS|N}q|3cpUSWZ3+#fUH&ZjolSjs6haAi+DQB)QevJfL-dYUR9 zV1g`kGo>DMKZN?OQa;_B&$qN8dW} zuIwQpCp0Rc>})-?g}p^4&`_3B+Zq(MupcBypj)b*&z=i);T=D9ErnM&onow_uj0ND zh&aXi5Bz>edxq7e_M<*r3k7>QSX+%^TxO-V7eADuU5_+&EFP7MS=mfdFc@9Qv`1=n zg@s0HDKf`N)PDZkApJ+y1|wBr&IuVZY@SS)bYzg|-}cqGxuxA=oTfm7=CC?Dzd3J1 zh`i`yO8Ib&4#Pt|E5?4Fb(we*P2 zNWOFV_iisL9+DfkRcELR`{sK=Y$|Ob!S}v{Htda0jmLK{D;gb3_HPN=f8ZWhhja|O zy6qza4?k$kE){MJn-th;6aU?IPMo|AytOg0?bsN0N%nB`ULx(#V<%TMRY<)l2{9kF zdieYAWApD{f0W?NHB~}w!hzcIaswxWa>wSZMW^gHua$L1M@LU^jvlRcTv^cGwddYx z-KCM;PNqWk7}+p~=SkT^Ie52V7a;Sm2PR4xj16{W67SgN* zQLv#UE97ws@WbVb_b0uNy0Ri1A9Q~C(DQH#=ZA`4f78gsYmC+u@SPmWe{56_9(o&e3-oqb1Yc1D;e%b&O9#=&zYY`5Mo*%os8FFeS)+ z8a-(@geWgUT^`*<^K0eso>_SuZ<%Ho0Qncr!P{FX3Mqu)O;;4uRG`C<$#KBpsH9ZO zw|C&Z#G%B*7+BkgTdHr@kOP=XApa9FS_@NcM_&yx`KyjK<2cpPc(9z)H+1(?Y^t{Q zWHp(_bHjKDVdfVh+_^i+fuVWU%qSF`53&`Ru$?lmvqSErzRoy3;S3qQi8fZ7o;S+@ zmjdy>h!}fWKF+D7)9~AqTinGkM?n{A(j&O_eb+FzRaaoyLB7tYQA6+3KNTBiHkFFJ zb!;5dlP$c1O=u93`%g1YWygXhy=_nac!n{r>w8d@Iiu)DX47*;w?BH;H|D99p1csE zHG5@FSi;T{g{LB0_tU~8k3yvKQ9K&W-JRrAYoA{2YVu-AdUirEJEInWt!hf1b0RwE z&KMRWqQE}%Ld0^)((uC;G)GJ0o9va2xzW-&xGBOe&;bCcQ_xzSNqfs~?e7fV61JIY z+9cHG%^OD%USIP=zsthz`~lv`LosNY|*6$Pc6*BMg7su%GQ*co0o^0i-(hk zpOc4+OPE?sj{1|Etu6Kc8M0)&hOa_EIQ#P73xXBm|6Vmz|Mv=db?o~li$bRLaU6#l z?KK)TwLD^i@2G-6Alo=zJcZ1cH>oxsKca=cp-;lWkwqZHk=8@-@U*4P_a_9=D&xLF z_T0zc4p25z?~0*V#!Gt^URU?6uqkI*_dQ+vOV+mQQIdLCUVWN%*Kt?g*4Eb9+3BxU zrQxk>yV3JYAlf3uqy|HmG$n$ykGlZU_wI>^`Tkt5DW>)eHw>BjI{)d3p%mrA6}bor z_?7<7soTzV#u62a?ufuw(|0;p+RBj}z?J)owbA-!7uGA_dcEyo9riuj#g{u=mv_oK z4;Om50uGNN5P3;W3J)y&;VV%$^1F*(>t1U^12WIc%Tq&{qV0bhOUC$>!TzY#sueL^ z|5{q99J0hph`njKoOqV%p|>8Jg8mDE+P=dI*6BRj$?4H*F5eckBXM`9s&>C)*6&Tt zT4Ocft8TyRJx(b~TdybJ)k!h_B(GYlnq3QRgly^L1*NlDGbADXWIv)#LT(@$KeS^@ z7jM&dYVJbE&gUOb5jlSq^OEPSC+-HUvN6(*&^GFL)(hbg*@)9;EeqL0 z){-!@w;~@Z^2|~U=`hoG7Ez_lZ=1T~wf*$DOj8W;Um&Cxs4HGug5rkd zaOuuS++>5rYQjkbOIs(^Ey0=*u-p+$+ox^BWM@BEkQd<`wRX9%N~&gmn>_ME*RP6a zC&jQzp>=1G6%G-O!lCgOs0j|uvM)Hp!OT?V=F;Y64t?3(g>nvh7aMir#MY*AjNvt% z`4Ch4s>6>w%^Ger>ADqd@nco$aI+L;;)yVGnH+N6bm`Ujl60%P?J*9OF7R?yZ18>| zzxkC?F1LqvDdI7|0l1GIZgl*N&0Pe zioV}e%<@hR_Q3JEraF8&O+)a(APbtOc8c@B2c!Ek9ewz}Ny7qjnF35N{)rOUzlZaq zkXD~t!rm-Hzj3a;R73u;+nvR?E`GPkFVM*gBtCZAq%G;SQb{Flw-A>ja0FD&yeeQS zqsofssTTmdBkEWkFD&%LC25>LX?*fha-a4WqL@k&!j*)W?5@og(oOB#L#0pJj&5@8 zG3-d|(MHwCe-WU{<0Wl-?3(F7edoEBoMC=#fUS?hknKX=*KLN&J3o&{?}z0GmTV?^ zkaJBAmyQNo=$FWznR_#C`WwQ@rfmyNj#LBvB5wk}<-a(?SBUN<73Zq*$?^J6{ zX=c5ZlK(_L%Wg&=chzby*8Dozh}@QVJO|6|^dwW#!QRB^G)v<59LDZgPO%mvqyF!K zGBXvDF>B{n_C`Qwa4bE>*Vl{J+-GL5q;+4trD$g1^)17vk%VCaKAtuczDh% zStT}%#1C-2$v1d-N~T1lJE4{~oJk)!I99+3$NaNoQ;OqelaPmv?}V_06WA1~EbiH4 ziDm~q`yx!O?u1Uq15LSovpPloQ_cRTx@C%A!;#!7)v;<5Iz@>GbSmUTX11r7cqW@iN{C6=6cN|@DF3bula#G(dL8u|o+~N7}LgFQg zUb2H$H)~7<5AREAESgASv6W-CWBXGem5fCPE!ygMzHjmD20pL*|L!m81W(&iak1Dw zaQ9etV3YLA@4L29hMXD*Y>T{S2(nhobB?H!uQOFC=n6PN<7<7Lg!;2zh8?HT5;FAN ztX3`*;{8^gL&u4SjE~r6YqOloRYyOQnlXj(0;Ny7EDF&HX$@rV%q@Vp#5~^DHxA9Q zi~X39yE?Q(8kL@SgZMS%-+nl*PZC8DzrGV{E=#w^t^3Cc~YRcwOX=Y6=?mKfID@D}rMWm}f4DjXA z_SJg`wS06(lg04mbyqPI2r0X*6SHLqY`en~L|6#&7hlinz9JCumN>4GbV-+#>WL>v zY9S~PcM?8pl;QP-G z%N;>0BWGwF9;2AaIT&8crF2IwdY7>mE%1LXR{vA+{8t#QX5oJ>-uxHpRu0~QgNU)H zS96_}3we8T=O1vIgC0ke8pCOj75x(J(IW5cyV4&N6gTz(`@sjE6?X~p61%w? z3fKFyUobF&iw-D!Y1xDOUE?Ke!fN>*3pyHch-PK(5-ECSF5{KtFpRjf3u~5X;G6v5 z=0&C0HiKnk<@Gt{5BEd`5%L3cFySxphH7w@U| zNWs%$-~jRP^D&xLRBHPWtnU(G3aq^AN5+&J4>QOnR(H(pMPYSm1|z;4B=WP$>1mV$ z#$GI)9^G0Q9V1EyMr_5+=Ro4=zZAa?3Y1#auH5FOsN(ribwt7wqIOE^k;u2Wi5CEXnVd3A5-{l3iPmKTx@LT1XZQcg zMv>3Q?mvH%lm{>~8PXkbC?*XN|7`Aa*eF9iy_z0CEurMTl8S+7N8Q(=LkmOic9xuC z`th2Tj*^jkV;jR%&FwMDSt+b)Mcy%Kx+xs`4H{Ij8H~kZ>JoCA14EM|36tJx07HEv zi5uv8dHSQ46O5An^aGrgQd&P8gvY6~W#(}%M@#~USQ*(*;x7U}ATa+qo-teN3WnAW(s=$)AK1ZgY-Zod>CFg z1d@s8_tKBlf3jQJ8bW)Ox@g^-vS9rve|N^lh$TAcJx&qJSZ-9ilLr>?R1l}j-Qji% z@JSiu+dgU#Qz_ZqxB8LSDS5YodtHkCVR2^V#Gy*dztoWPALnTed(4bVt|dlGkrFTK z-v)>az5YJ;m51JqV0$|^>RxXb#$upn8GB4DsxGOgWE77((B>qDj4+vAQb^@)VrYwt zV|{w))N3-~TcYA}U7)&oz34k^>%e3x?!HXupoM3jmi-vE(?4xWZc9N}g~tPRI+MW)MtD!uvWw5HgVws_)D(=s_xolAz&o;hxxC6hJ8dZW1Z zH#l2unU%dv=-BK+cXi~;z;v+7hVQMRpFl=pa9BL;`1?YUFT<`uis(hu+!y3Vn--E3 zA94ZvvZ9fZWh)U=Wj&MO=!WgTlY2aLDCo}{WH$XNKcr&cw!n-Zs_=(crOYFoz*{{T zjWgjIL;J3ZI>=Cms0|1zmE-;gHA!X*Di1*v3CFZm!5VL3=Xs`G+C39Cjmx4j8yI0W zUSb_-g6$R=hLW(==AVO@;h2b+@H!0Io<>|~{b7fG&YO=pbxin+;Lw(EvLXIXlylxZ zZhhHCA6Hfp!o?PxWLldw5Y6P{HBe@_`-0@+88QZBBT@d<|-MNMv$r`8VIp7I3cGUX!7E4wYJ-O!3Ex1}Gy8Kf_O_3Yz`44L(BVw_%kudCRV zB~o0{HGe8o(|)L}lXwEjr8n1ceB2TEWJUb%-~Rd@tO#OR>yQm(uwcstv@5Kx79#cB ze$tnXSa&3gvg*J^r6HN@GUA9pJ{@-v6DZn(Mq^rwcuu7Jr5SzH_@R^%wP@CA2yrb( zO5O4Eoc#=L7#XY|jxWmcJZ)xU^8e*5=VyBrVi=q9Az3+PBSmR|w<<$v*G&HUo6@bw zxHsg!-vp7=X*kLP;_5m2?kH)ZUCd!CTO5T!m@*J)veBJK;J{Pyfc&V_7VK5A|1$HLWgQNf_v#ly>U|Kkdk}d|q+SMGCXZ z5vg}}s3y)g?P$TJPd&;YDx}S#E8r^bMlYwg`R>spZGWV$d1tN`JtnPW^IM70=TMa- zu&aLd8Vm^paF-GnExR_y{c;+Ysa8^R-lo z_jf=7^2KK?38m$&wDwjbZ**KkMj3(&r5e6mbum=eWQ*^-Ex zHNZ_&D4e&uE4GG;3#D?M#z|Cm*f;B4q++ItII6Tul#3G3l>lT~;zX!alKdVmXvH3P z=&Z-%?lv@Tn9B{ykN^pOVvDQjR5J6d>R5SHxeg)N+?~9Eh|i)$d*y(SlD}+1;&|pN zWF;Ri%f3p`0zJ4pio4<`4G7N=V%#*7>CT-d_Xuo)eVqHeAbHn*%UI{Wl5W(zfWw0X z8*Z5+u9)>eo&(jQD^-!ItBRk6Kjh3Tz*=*i0h8O&_@mUv%~WRRpIIar43}2fAt>P} zIZ_>M42G(|fC4-RYB~cVb{j)!KkVM?1E^QIYvTDgKx#W=8)*&9mGLTWSraRyRx2tN z_XFhQs%$EPO?8t-KuR)y`gU(UDXRVf5y?$jx2l-oK}McR#GuoUwbnD=H=9TyXOT@h zn0F zoGWhqijw5!yzTe$RezdfHNgEhqsQ%y zqA@lmu9@R_EA>Vemr-NG;K5}a?*Hr3UZjLP9!Y)*G-EyOrim%0>iN7mG z<-dcAb(DZb@OL|9!G_~w3&n@eD3T1911obhx+8~E=~&tg!l^Z%87Y!WImKMv@mt+6p8!@Xz{qqix8Qholen=a9C~61`2YEk!-2iQ^ zF#jpDZzi$qTa==+l5jSBT_=g+jEU|OD56W9Vg-O~Wit^sY*>zJytXOV{2|X|{mo^l z%S=E*aHjbX*t2cDvdKrtYg7VWBI?OkKzUrIP(-5psv z-E^Bx5V8P1X#GYb*yd1!&!|zY=k0NXHI_e}D=BA$>FB}vV2@0nTOnm)DL zI2scb&u95}yI`50dp4sZy;&T3LXC#J5^aj2I-0?bt9|pTPdgjxd z)#jLIM7p~!W#aA65YovL8jBGtLi~K9 zFMQu2?elFB2j;zw6FA)5;wxGPOO1=**^dp6b0L`uyQZ+;JF~whzO?wA9?LcUtUX4M zQA5j|ogAB;8(l+9JTFzt^7?b&YFF+fU*IFc9sAMKT!3ioa27md$hH7YN0g)CdUexQpjZ%x`1e;#3ckx;qEapSr0e$G2 zQeiZ8-@2xZWZB4|6jG-F_9Ux$2gX)gxQpeXq5>GbDaBrbIm(>0Wk8VN0)l;#Lg*BKd%Ly*zN`ugnm);q8h+XyUMDNjF1MjbD&FqoF=(szQes zHb=UT8?_$K?V(hQY2?Zpu8Ik(Iq4l__fw7KBA$G*Y4Sm;B~oiNq?XWU*F&Vka3Cc+ zpyRu1>0H%;i&fS<0prZfu#19&9Mi>)+u>umD_%fe#3-ZU8PC~MXi-kxY!{o|)$w(T zYdy&?R~|!yk_BaL2fKrw5=mMYetpP&6?68}@(1k>!lH&@&7x6@NuTbE^sKv|SXbs{ zi=!?OWmmr5!=;G(on&R-0#rCU;hq#AOF(;Xgs47K}&uSce#6)tIm~+~E@6L2ITAy`2x+<})n5y}F zd5^2B9fs8>%CgpZQ}x6k=`E3kfyebE(1a`R`iNNhvGuiPaO#;VgKSi=-OsEAkBU(~ z=@pEjSNRf}Y-nk#7^gTxC+&*g5UW_q!`?B7*Oxo6Sbw%jkNylO3T|#=3()xh^HV24 zw0*7PIoJEcl)|tqI#TCDRxy1=8{2U59X0h-J{)g}P^V6_A$OMdoaHh6^^*t7n!h|+ z3hyl-n|-1YXqNKziao+HJw{6X7rmyPeRL=Sn5vm13@LSR$cbfpthHp=Y^vC8krQ74 z0k=DsyQ^VwMa6{e4Ck{M1gwySE?Co#yA+63Gc)0qWOURtHF-lQjo1Hm zqMc(kI$``LCOyh{L(__h;(N~wlfSgDox@f&=Yvv4Q&eB?@JOJpb#nWGBiEb1uhR#t zTYu*M@gqRTc}Gl{H7sH@l*s+Z*Z!D69#_m}Lsq2moH=3RMy<1?+BK=~Y7g^%9Itjh zR542E^8J)7STZy)uW%UgTr`Wd_N?J~e~Jq(VTS#+3%kCMMt&uPycv75$PMz}Wdbv? zz$nebu166kdZ3R1xiHF-F7Idxhy&r1Go~Rh2XMgfAyUaJ-qjS4Oj_5dpleLY&2__oYdlf#vpOI6;vrb@3-mFR0wNk2 z>Jgd?Q&NHchG3o6M1>OD1;;7s#vz`@oxq-|EkPTnOyE^k7{n~>dNY61H#Zm7p&4-P zCkl=udV8wm*VA5x$jOC?K<9rKKcOZT_9bnjy%lbw8*rWL4tgfc(*=N!Zr^Z9ZZlWx z9JzxGImjUDkHH?nh^HgHl>?jOaGw`;oD>a4=-`557*^1<8S~gbi6bU(DPf%xiCvh7 z^fXN3FB6!P754XAm`7Fq=Hu^N*pqyKM#o@=eh({&-uS~D76|FCa)TFp1uTJqj%kdUe`&?+yvxr>esK0 zu6X}OJiR_{x~7xqz5gI-bE=LGZeH26gS-DKx%t?Jc)IQmisK}M=)<#AMTVfBzKQ zEYs_qm>aung$|}`tHhq>U?zhs)*|3l`dZ$IXS9eK26*_2f>Yt4CE>xg(80P(n<3+9 zNCHO4k+IfIv7T6QH*ZcAkRjP8Fuw*%kpCVN*bN!7ehf2E;{$u#y{Y-m4#*QnfW_*( zoAEpG?cy+ci_VLs*?C|#TioMK09|h@gyn2WfU2)iKN+POj(MH>bbR z!CNP=zwqVPa3bdz_4_%%i8u)-BAn3x2;A<^>aL!phzFeAXD0gXdK!Et{_Cks*?Z5T-GhflfE; ztLR_o(Hx*SO{gylOu{ns`iKzR3bkW~bs&OH1$w>JksUZdlKEYtm{Snz(Ca&Nh#t)E zeSio3&&M26gE32VBn73PkP;JoNwF&c)>r5{$&`Un=yeLZL6b(&Kfpf~=sL=j-!x{8 zYf!}s>ldX1;p5^iQQRrN8O+m~$m-wsx64&&rCsfUKgH)UPaRl5E~H`E1R!jrMy8BS z-`YSyVsIKOiR7v{%GAO*?5XSpFd+c#A*}R{!z8r3Gch1B1R&y9E52i}r{6Ey0s_z^ za%0jMtmDgzcAgN#`Koah?yTxX+e--Qd}S%f-Fxr;QrU(MNHPUs9U<&^nfH};lyG*RIc-L*oiF2BBF zLBb%kC$v}hmJ2DH4+WAxVP~{AtyCO-XctA4yOLk~Dm=NW=~WJ=hDJ+WXyI%69W!-b zweKSc4k5ZBnF~JKNYbCxmzy!swKj{Xr~KB6e=lUocdeOC<%~iv3N}q;q*0k-OOaF2 zvZqEEs~9+wgq`j)6ad*@RgtID_n-eAemErh0wHK+biQ{vFD^&BfbpwO%4i|ra-3&| z&`|f7RvvgLH}3vCtgWLQwT@VqZUTJOkI#_NUqUilRq^pS#xUlf`G-JkF3f#~3JebjFkLIam=vb_2ZJus2~15Ogqd*r>dXL3S~B>2%P!=D4b1DRwY4 zdjpIa#Q*rM*Z+e{v&K=H;wt)&Ey4}hZavvgYNZ3lMNZ8^(HV~Q!A#l^!T2zWB>P9e zjAFU}=LGu{{WgPlSoSk(C)6Ige+DpkodxTjJY__#GLd!0#fsjka?d2RSI#Jw8dl0) zzZ3QFm5~SjjU5|Wzqt#EbWL3;5^b_P7}D1`@H*UMozXW*{d<{TMCXCe>%6G{{DXFV z-2GsJO-IBzRlUvW%7x&-&nng4Y8vj70>!zRd&JCUykstwxo6#+`0rYN2%Q^g$ZC;a zDD9fVNsn)v<>t^D*>YN@o}?n~UzK)8VghzR`Y7{S;T^3^%ieKMUMG_jwMWZI8u6#y zCx$kH$hd=<)(AJ(3sYq=&??8;UIrfW_&OFkaF+*NFwd`^c1?CicRmCAUr4cZKN@iN z@94nV&E4ln$3u?^{!I>c9U&eM4}nduLnfAjqIR{GT3`;p9^??Ci1nhq{QXUJtXFMx=!=b4`VS@I`dGK400>Y2uU3s<&ckU(QE*P!IO&*ci(Hzmd@3|Yp6R*n9A zPG9>D?G#jQSEeO5r75y1@lv=hJZ?2nmzl}4AfI{+)(&#@%m=w>=07~mzYpq{1%*&X zHvapbBJ^P<$WKFjsY_aoKaX39-!Jo4^9r(6dmItEB(+SfqgWI+$YbiUI7ofa1EKsq zNa3#9`$k~v5IaiQVrvBIcK>C^eY`seA$5ReLukX1TVh1%EG(5D*xBf*${Mu_tH(C7 zJGbc*GW5B&+@oP=<}ic4Z(TBCLq+um(CHq&E#a5yQ?kefMZvBhrAMQhskH^$qF$JtCzE+<+qAN)*?SqdN+qEkD!x0o-L1D{DYRI2*C0Bbey_st zxIRK-UYyypH1PcaSx|{fcKkg%$F*Zq}!TheW@GY^$Zk7+Q2M+T>w zLmMiGsAGTXE z-pbPj(g~{19P+%+#Eah}R632a22zKs2p$`8)zaE+F=;=4rPsGl{#>k!h5Aac$07vC{uz z!VyZl9<0#}Z5ylkw(^V8qliG0UeaL{VXJ-9=v8_#7yQoG>r9cOQ$2hY5~+8+v~y>x zmiA|RMYKt;eQuA{S==-ggA+RZB})$X+P)^(u(s=MybIFvS7cu-yi>NR|rTqwW!5D0dK+2EC%K+-XLelnQQoB--=^(fg)? zj#*}9@T2L7 z`cuXcjfhckb0YT$pgq=~iM(XfD@#TO$3|X?NH4V%(w3&I(e#K8acj7zR z-W_{SO&&(l!$QbpV92dsgCms|$*CZQT6a$sgHZq{MCKxc=u+`ClaSqghK@*7MR9(h z%eT_8hF`7d#xtPNe2rzVsrUOJ4`v32{Br*A4*VOq{&LZnWi4M|a?I=+Hs0x<&3n6r#>o`7`FA zw8olUoRC7V5Dj(_B6X&7+A^>v{5zrvT1P8q?8Mvw@N6@zuR~pdB-d zFW-}_Kc|z{NE?AXf)$0*idYCMLTM|KXG5uN@E4e2*Ehbx!PczRCY-l@{&Zbf82Nic znNUhi0E@GATpy(B@K8-ogcwYtz@`_Z$$=>BGD3%B>>-86;;y#+{?7dU@eIQl-LAyl z!uuKwLJUp(2x$UC8x>u?jxtWejO)UW=h;gkztw^r*i184Q>Pl%e_8 zEEChDU1>4(@p+1~PMYxe^GuOOHwJg2Z@A$^-sqVk8}k07@iW+nw^_6Uhi9LdxB$msdL+#^&rxJ_M;O*h2a7}5* z@RQqgsRL8X<#_M(={QZ2fDV)|DYaXH<9bv9T~gKMf&7hHeXBoREKwa^kP~Gr0b1QQ zK9dzAzVRbrGVE2Sh&5bMpdtFcMhxEuDGhrTN0zQTHH@+oYUAVFNZtCgF)R*|!7!qO zDYTL-f|5*Z525dTFN)Kakc}JtPmMUBM7>Y?=!$hoYEs1FW2b8!p7|ECL;uWRC7!Y3 zg>t*GO1b@E6Sg>QRctoZk1l?jx7$B6{iVhC1%E)JFbWnk>H$p9A~s?8VS5J`+e!hn3nPRbT$%#~G-sg7bi1mq|<^m247ozUP~u zZ6Og=Y$PX^HOv+IJ?zf09l5*CUr=PlYt?u1t zH?8k5CE1-shT$WYuP@l3hjyn6M_gDzqK7vt0Uh+b^8f{rH@)VYkqJq(*Q+ z{_oScd&%)k+}onl={>11Wg!LxwZnF11R=2oZ%s@D`;vt)_&v#zfVCF+9M2vVIHZ4w zPe4O4vFspDmX6VC^6>q{M8#xI3GRQ)5c*MBf~x>XQEwb5=;adh=xk18uLB2M6K;Bfx5Z z71sm{{g@JjES3|!-p2lEF7O2TO2@v1rQ?_eoMqZtsY`FNTLfwWS)7Qq+h>vo+pOw0=p6jtr*1Y8q&xJ$Y_vs)d`MK}do%B2J3Ryavg1UZ-u%b%oTkp4ovPdu zS&y!B#zFY+;d?Z*A~zX@@#w3=ePs;7a>)>H|24kDS_e-(^YxddgBNm#_`htWg#Es| zQJ{@=2xg}F^3SGTjd_CM&lBZa!l6-or_no`LkfH+Ub9Hc%y4;GgseGvkCMT4%nvSt zm;Lbj_L^%^RtvKhs-$aQ>R3NoT10_-_xj+1fXgM-n;OZ5uZ(PR9CSEte6yRsBUm6Q#J zz6xYwbYF`=O)kVDdWAyryrCzhK}AA^ih`RP#>6-pIXz{6Yfx$g$`6-q7A~_CG^EZm1YJ*ME zz_cR2jz3*4kLZ(Qpr_t|z5N$K;%}GB>VHTLlCmF|c?{>d{GTlCrhVMgYQP>PVNUu{ z$wQw2{^8TiJ*yb+)~yNf@!?yDg}GSgUe`t1%SwZtu8W%&!LL7HZy3Jf>>&$ja`r## zcc?kJm9VksJthFiKyNdhbn=1%l%xM5G{6z97eN9wCshMvK5!w8nzK>kPdeF82$aGPBy4bcK4Vyktu(0(($>5tQNDtC#joI72<% zUXUX!F$WwFB+#k2+!s4~NOhh@54ec3n6J?Clcf zl${;BKt0R4To9|vF2zIVv?WVKj`#F?{ESuBti^;wXYC{fU7)vQRku|-< zjCF(xv)w_Y(k#p*O+v8$h#&7i&0t}PQI#E9py?C&ZuA7~@xF|7Z7N_d>O}y+`}5x< z!bD+ut=4foTerm~CLH}3V1{e}xQBa;s}XwI#wG*%pb zjnWxVw}sBjYwp!KUDW)ny!4?u#$YoYYqFQZ9mYhMLldrjw|NgIU&9Oe+J#{ciZ6rl z27n~~Be5M^P(XOThtH&M zMIu?UhBoau?C7xo&T)IqO;W`4{#@mYs+e-W;+kBmjwZNQ%1OSBl~R~OoJEF%-B(cY zAn$my0E^h{R{E|kIbqJhnF8D%eex1ie7i7!4fC_%m!L8sd*SrsEjh*0gGx_s&BCJ! zuIqIihcmQnS9N}pB<6)fPixm|`B*usF`lJd3|zBrcg{eHEF>|*S1IIBZ7+3H6Ip!F zp&O1S@-vG0ycZ?6!P=X-0`vxwnAaTDbwAM?{u%x9Fq<^ys!J*j3iTo~)NOjjO+aXl z7`c%rMOKhMUlje1ZKAl`f}7w2I4oOE(1Z`K#kA6*yJj<2-R^xfg9jdn>`;4u_6gX8 zl7_w#$rV*ob*zmUg5OY*m)eQzdxPHKnpTCft}nIk5TY$Ih6QT(ANKE9voN&7q z?t@(n(7#3fgJSd~d|o}R1IG8IuDsPe5qF6mY?imH{61}5=ntWrbyJ@y?S}vHim*SU z!oPeJQgP)87nt_<(4!Sd_}kRysKLJfi8PIF1efi!xnwnsm|tpYt!ln)4k;m5?8@WB z?_8`I{w!IjYf3*1(aDKWwRUP}Qo)$BjaO342+yqkflrM59Q1G3@Ww9mk;m~enpwVI zrO&corNLa&i@+pd$||X<;<8kuVYzJ@MYlN})~?)le^Gw+`p=uni-F(8zYvC8^w;(< zqYZ1>>~&LRNxlD~ z&w4g(VcKSeMUL1{G@S2%(}4A``XwPU>{jFnis^#nu4^^KqkQ2%4=weMuGi>7`IL&h z`{-BuI&-&iB!3ER0}ZdZzF@oR0%JRL@x)gOr2*@kP|ItqqiJ$xdF@Nf3p}UG20}+^ zagoWNtaAmkDtn4f<<+KEaYMMi9XyRd-Q@5BT>#)@!${nV((GLnrJW&dti9eV;9S84 zyO%53p^xaJi1SyXPJ6uwp}UTt0&G{UxSWsLBl$pl`MzlnjV|1){nt^HzQ|stk)x}W z2?aIDh2kqs(g6JvsHINW)xPq7c}&9dsQNFD`FvpRhit+Aoq0NESC!9~mK$)DIx4V1 zq!I{7Wr?Ds_(B`Yq5qPBD4SO!)8WH(Xbad&I<`X%Ph6xC?)VSX(je?Ad`s1Ax`0lu zZ#sk(8t!$;8C30wvICNdRT8D2J~cRq{Ufr3?L8!;rw9+T+bS zohop15UM-f>e8FCGidd2snSGG`K?B~+t^z8$4y#%<)6y={l<5R@N{t9B)na5Sg;#sVuQh!;`BblM5%-4ZG0-q15@u* zZVe|x%u4X?^!r#2l$@xp-jcUsQ|5|NSrMHcuV(vGT#tE2y)^)z=`+$`W3Dpl8ihb- zy|ZtyyrUom?O+aaOK35jL4O% zTl~u*qHDu&-UGuTATBO-DLWuw4XWRZwUo7P39kr*-yFa}}8+(>qMTc&L z)+exLI!#yI>{HM+*OzcvchxdTKzS+D#6}3@CVP1dumPqmNMbgMiFB_umw43xgQTG! zo*_KZ{mzt$|9`S{$jbUujsUJshaf3&r8~^%#VuI5y<@;sLnIw7LFjwiUPYqVI~iS9 zPRIE=ja;MyC!04G*5>e~|3O1D+tm_H;!u<>ySs_I0^}qTz_nBe6*Y}DNe7RN*0WCnx zrzWq?|67Lj-|jKn4DYzEgKiolVP8&~)BYt76{=!8G|Fc?MG&t)|M>~w=W7n~>NzTt z(4)YsMiA+6OGB;1ZKvU>q4VjGDP{C3-Vu@ggWQF1PzILE=N<>EVHf97S1IwopXRjR z)wC5IXXa1((;hZ?<=jWFk{w8x$lfb;9V-T{;SozT`)l3WXZFRmOes0CP7bqAK2kaX zx0oh}Pa3I^L$G%eO7AHFye&{&QS{rE*6T5sLy3XeLgjFw& z>`i@2*9_jk4HtdiM^URcAPshCk^8zK8CoR)gb^g{e%r@5SCRTjx$l&U2KK}Bt{E&l zIBza{v=-?8*v-0;u&-+3$aYJE<*!UHe|THpt<9-HDETF-_!HEJR>?3o?$jQ3&u#7X zSB-In!PCI7{gkV475m6FuG>wdIm>&%)!TGC#l@@URn6EegOq6NPMae*H$wN2eM_T@ zojykD=L$BMH-90Lx&vL5<96k0VyK^Fa!~BiQKEffIz6zUNyFWwD)k}>x}PUF?y{|Z zyE2U1DcO)7LMQAmfdQN|(_Y9I7Mbjx77i@Xv;V}BEB+nEoFIO*ke>{BHwP^7!{aH40y!r z2#4&}9`o!wF$EQH!=#oZ_MLd%Hk<8Q_Tn8(BccLR-^BW5B^1O36=aL;S_7DkViJ$FGPTt7b{)o{%3UHP zQ4YzGUE3yFLQ&2)WRAN!i@2s)PlkSr4p=3B-RxmL*J-f**)Yh{J#sZqOv0(L_tVoC zM_1sd-0UrF6Vd$!wdc_Dn?78u*qbVi!wp4J;fy!2ZQ^^S3S0PLB0_)K(Y7s>KItwZ z04=%}+{z94NxZ%mpG6=60P7q=87T>L)$o(vq%h0uOJ#7iJfBs$$a9R%;u z_tuKsg29upBM;(76>*M4k-LqFj?*ucxg@BuLK567Q(=!)GuQKV1{^~BIv*s}l?6!v zRjebzL}mx&41Y;VuP@BRg?*p;x=Sx6(Mhkk!^3DU3c`8MN+zmge+Kf^1V~COnu~~6 z2e|aT-9zmw1MMzy^QRX7{-Zs|#Q*v*fb-$NMj)|Z?>qm!@Er-1>UGTzwY^OS$G$pJ zwdZg^Lg&uS!NJ!tmRMuHKoGVlW9$t_P(%R`01!Wd%KqMfI?mNVXn#_GQ9IXZ2EWt> zi2zNeHI_R*l*P^-YCTt(0-Pw`E;M+M0T1tJM1cNfLg;SVgEH!lW6NsTNLL8l)~2)^ zQIusi3}vK$47v*lfi&A994y$`(0v)8_oY7}um~!vZ>UnknKkHeaWvOf2tO^J-@76C zhI&|gH?n}Ji!(_FBK`*dz9$?ABsu-lO}MBOJLQ0;m6CVJyR^_)GRD(VJArpi*)6(g zJT#fWrrz>)t{`tI%$Ljr=a2;yWXqH;E>AKiQ7f$l3FwN^ z4BYdNZAo3zEQrd!Sw_F0`=9j+%)@O1-#W(LZa#b$S`>^O_+y}#dB8*nWGSRc=}Y>s z7w+X698z!+KsW2N+7)!Xks;qPMG?sd=@~6*whuixh8Wej2zpkquOh<75Y$*_eJTY z%xmU4M0cZV@fgC{_EG%J&pGs@GAxB&>jqPu_;q^y#y_{Mr&Rc4sQa{8@M9LK4z>~W zfTTb7V!A)uOU4fu+GD)d@uY*3QHu`BZE9H@s^Sei$Ki7`qa-nw3SKmk)Y=&w&yaQ{ zNunjLqoL^zjQ_LAiJo?y+5S28@#!)Ma`1lQsgG;Fe3Q(Q&2}HaG{k+zoNz}nc?+a} zG`m2yFri>Go(cV48eAZ@zM=KK@?R!)gbp%Nb$N+|$r4nU9?8z_hf?^9 zdQYsg(#3z%kY;GB@$ z?4cv*Z?j>!Xm_p?y}R`(bZ={yAq^g|0KA7Y_bm%72lchp-+#o2dYZXnYn3+JUiyAm zIYhm}LJ<;G(m3i$s0z_}!q&CM98L%Xzr^OvXx3mD(6z(zp1thlu4W z!cS@#nlCXTYZtKqe)q(l>AU{ZaDNMx%Nar+oY(YmFq~(ytY=Ig5)LP>cJy=JUE(j0 zdWx^9&S7h2a|>Pkw6aMxab(~0R^RDW-;>J*LSy2GCaNm6=?XQAw$yPa0Mm>Qqi=Y# zmDyZe!Ha5F_6&$N*vJWMp5C?oW|`icnpr%005c1e>9bwdl83z7?lQ5<&%GM{^m7Tc z^_Tsa*wAakpQ3s~bD%}Iq~C{mRK-uLSu3oZ3_rH9&Xrmh(@?Eg`9g2dd<8+B=2f1Ij2DDP&rKYa=S*e4=l$dOJR=0S|N4E zBxNMYf9WWjf2@T?-dI@K^ZL3vCL}lW96$Y%c!-KKsmYAbHa{&6)fA4+C^u<%#9^s= zLG02TwDx8)5R0%C$j|CZm~a?%78DP zc`&<^m?Aj6I_x!9)56)=Uw;HdP>NI=DwMNMUg<{gDi1t2yaZ9sJtXA~_7^8n0OiW= zUx60AI<;VaAk`+5V7OX*EbELL(e6J4p{1S^C2?+`P*PqT+^8Vbz&A1Ug{p|+JBnN% z{MQ`jC0ekm>atpv1>4*ii*tD=@Li=`o7tLmtPS@ZgrHRFxO>2zwy6y!NqrY#eoZxRF4R82_UVm`zQtyS#@~v z;WAtuClv^8nZV$;iNvk11(C`v-~yR*&f@MaAJv*k-S-p9p8r|R54WB0}LnpL{1mHoHMKlEa59^jspc!-|fo zvVFbulA$$n_Imq@Jma3nZai1opc{QV?4qX8crqAdbQ zM)m0<1(3$)_4bVpDaZY3c{e4g=f+$m6~VDO9jN!+O3p+zFYY$C5M%hraNbVJNVP!< zE(+7P{g-MA_;wLAB>pK*9AuvVN3;D^o?G~7BPNB{cgpQHFScnqLmbg9X^d2vgxX}m zJf3S7J5pNOmANliP|=aauBDi&-M<-OnL)FF$sVS7kpKDz7oi}0WR$wDP=9VBCXBtN zkO!YiHSm}EF_GNK`YIq@f;4^_8~4#Hv67^c?ud9g z8s;C3GI@(($tZs6>w(@rR)wzP7=a)hk+BxK(cvFSk&GDnidXjbu7UBD#1Djrl>@7z zJA|tBu-Ss9CxGFx$AFBB)F-lM6Lsdjo9j9Go%hpo6fHG;wSHqFLLy|)kPVC1ZH==- z1!A!^tgV{kK!K(o+YX>)_l^Dm*5WAGKaSjjwA@$NC_}@<$1~GgCA8ucH z`36>Cxdi@rbJgSP6Q6?RL*u%80TmmN4M?ivX=kH1tR+uV-x7H38<1UOQXt}o61yAV>U-2E1G#)o;Vh1 zNR3cu@bs_kHOJth=Z8BJxd;v~5gvogtCR4d#k>MeKN(ufe-V zQrHu@+%Q5|?;TNm6V--H6@v_^-Lf%5qh`rPgU15PamQ)Bs+0M|c)ND{x#*oo4lAd} z>Aa2#-L+0g^Tc4^Y265fiT4OgN`@|N$u2%0b@#*=Y~`E6<$x_Tr;51}2=XDX-})CI ziwpIp96v3(DrOJ8h~2%PV-nsTgka^Mc^Z@}RT-%rLZ|_PXR>en-)(zcq0uuM&+0v5hFJ<6)WiH!U!)9O zB7hw({hG@^`HgL1du^Sw#H4l2O@WAqv!hMbWWELX?lb%^?)A2L+C$f4jxXA}p!48vZsRN($TIXpd^x)N?9)u$MU9K8 zHy4qk0{6K^SP8y*r!bN4f54Xh&5Zr3-bpOpJyS2_(hI|c63mBJI(34C;6R^SVy>d) z?9y6@Q4f8Faf^}ZF0%+KH=*V+b8AzLDrhsxOFpP%C@PuaICOrbSr1jEGQ-)8&OoE8 zl*DD~HBbL&jw*Hd`cb+^jCEe+fia)6>t~4Q7#&YvEIdyZWzm&`agW;AzV0Nndfrjg z>e&(jB*353V1mhTGMps zTLUp3ue73tc8|dSU{1NTz%L|gfnsOw=(Qp{N)D6b2oX_b(%AX?jK_MA8aW6`4*RaF z6UxCe!Nh6>2h>8G&Xn>XqYNbW4IOXUC&6_^#bNAF%?q@{gBGtatP%moLjW80PfUA5 zoIZg0Fv%(1fvS=f!)LH8WbGNo@ye{>+Z5^cw`_T7T0}Pkbuf&qCu~+TSA#E{q%-sO z_g(B8-2Mxc;KoCKZ*C(3J8~JGm5+|mMczpT@LNjFmOIKvPqj};3~yDa|1Iyvg4VR< zc!7tif(%xd^3TF9el*cqN^}dg_x}sR>J|f`qLjs5T1^ffZ<^;+`?vRE_oWYAFzh8z zxgJ?*ZXdCVnMRZr$V5F!vXHQU(V=pI>Uo)T1<5x%s$q_H`C>YRhfF*TBHUtt0uW=Q z=ln3G3gkOri~(&nd4RX-FMc4n5Ab}yM?kMz{e2Pxh2uH*^!UsQy7+~tpEqW1_l5F+ z9y&0k(zIsf-IB(&V)gE7IN!@M@G6UE`HYW7d6ilDaT2xx-#5#IUeOA#t^fU-DAGl{ z<}sjOr&N}jon+iN#u-@6!rvRMH|3^B(&rqf%h_pvl};-69Yb+*F)v)Fkip;EXk@d( zsGH<<5uhzTpvz6N*Y?$X$al>Lr?u6r$l0PM->OwbK%ASG02AoW_W4984qiEvX&SoD z-iJziG`T6WWy|I!1)$=M+ah78y+g(uul|C9SNt4J(Vm%7S5ecLodl1Na``M>BT1d} zR6t_11XWx9g5ssANzF{vBKIv0Ia@9@NIml!52I885kN$^T|L>ZCBJ8$njALo!MkVVg`i*s zmvrG*wK4L=sMz;<+t^zZ>Opj};;j|o1Z!?hT?W1HytMvSn>?M1^^DQUp_&Yr+R}W< zi_x0*&hwXVJ($7a`(^KS0K7faNXU(V;Mtl6V1@1${aDS~4$%jD6ZajZfrs4zt!w|@ IA-TEvKMR0YzW@LL literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.pre_map.hdb b/bsp2/Designflow/ppr/sim/db/vga.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c234a8d02bac728a277a90ecce27f471f18f3cac GIT binary patch literal 33311 zcmce;WpEtZk}WK-m@JmX%*-rV%*@Qpj21I9Gn2*4Y%w!4Gh34VTF2+ieKYq?yzkc+ z9jkU_?o}&uXH_Y(+FeEf0s^Xn0S3|od>8=xD#i|u=C(HY9JKWK0?y`EM)(X&jQI47 zbc`%?jP&#z_=*zvBF0^_SXRPw+V#j|HpO%KJSF`#SwKU`$dek zw!XYxGK>xExO^wVw&PaET=yUvGNVrq?;goa<2Bu$l==& z@vsTo%DDg%WNXX@F)=?rgetp03xa0-R&_;1MO*u6MRmodSV5Y_iFCK>!+Tfx&u_X} z8`_->4Gm7oyyy=eolL_exMC%qC#FjXy&f^e2=mm_N4T?JOTO}&avAZl|9;TpiMw_# zPk5eQ%Fj+#{$+{cBWDxetMWNMrm|I;l=utsD%W~#H&+8IWm z)@pmDE5pwHjqz;2Rw9P~^&RkOiHs(MTC%>nW`ydc5PYfSo3~KpryZWdPkN z27aa$ORg7cn|^b~&y?A%{#TtE+usq7UoXEYD~b+28tu^0zu1+_l#iCF7nViJxV)rWjez92!Zj+)>RTL zel4B$=6TQE;%2?7ewWoRt?5IsJdq-Lz5Etg&Z9-)k$#{V(QWd3Y*s)OY& z_ihcAFQ;4EeL;VM@z{>5+meNPt@vUOJ)G{jWo)My!Fl1vD#r@%0{uGZ9N}mQj~V^v z`JUkLS-sGA3Z~PoACh7vl0&FyvrMOMSrErj@0E!h!jr?tixVpKG=}dTRPfGYzkqCi zH=XG)Y5iU_Ki!(Bmn@-4*jrWeeRb-{GrL+hlv&-V!0?rHt9Uw*F$ zbXVTj5t6PuSeM@hFDi2grhYO1UzJ0_<8Mz|`+i=H9^QTEWul8ygmC;g<&100sjm9C z_EFqAgi2n#To#}=szqSN?uwYLaq~mwSN=!8gKOZWjsnSLhkc5(@vdxd{14}!BaHB3 zUw~{New+a@Y8vN^x{UJ1{xe;0=Vt&L|Jw-WU!P1z7%lh5(fZDaTJ4+rI7?GmoKEM% z{dwU*+#xlbXOs>52@5?rQ{g9^GfKZA^`fUP8J+cpEN^9wC=J)PRpHG23|1Tq~kDUH% zl^_f@4lmFbGkp?wks{ZuPgFz|I zoiXO0!IDV%p)QN`yztHO_~YQ>Kq}uM2Nsf;A$|Gp+;!fp+SCgGq?7wXsoO#Oqmj4NFZ`|WG=_0oodl7pf#|$IfpS~8Ph3DY?3wRSID`r&la7l#pIQ4w>qy^tb?^Mnqf=FWk)E!t z{zv}Lh>kPtrLh^7b+ehdmRA($hwAS?y>2;h1{0=uyX>slylJ;irABEfPz=8Cg!^ zu&QXdh+Rb3cXFy?N^ZQksE?u3vYatxqLt&OS!&76+PsYxbnqAg8QY^v<5Om8Us0Dl zt1t6e$zI7G1#$6S_?ADlqMNQ`KyA2I<@r11On0tM`+TLs~ zJvo^*G4=@E^u5CJCzYzsisqW}!D0Q0Ht8@6U%O_=mY`*di@B4VDi5@39@V=VR^z?u zPK8vL#*E_AaKptmRW*IbY>vA;ni^^M?Xvn^+LZHeP0h!ihwLSC(ECf;$)70;eW*LD zv|V;MTihv6Nb&FsTcrzfrStJY9%m_B>w%|;0y?V7$zzX>EBf=lcJG#4IL*(JHFWPX z&*DtfHA!DPn;<7wDSuiWDpOTtF>_SiEls*=@IV(C&dyOSjd8`Wc~RT8I&cQ7x9bGH z5vsy?ft!sA&wId<%_&DGI0dSq5ujsBT=k#@1t;JdMD#y4|xPA6^oWo+4niRC+O zb7xVZv2US#p^_vYKl1_HwxkvgA5-Y%*;! zodbEUdM|LF{59K<+!RZ_E_QY%Irh3P-Su6M+UB+K=9<%1MB^^OWuu|W)_4OU^)6*- zIOtULh;r+QGV6TU{9DK3Ir^=nb&R9uugl+E4fjEHd#&NLG}UL`JC&z7UM~|n3a?&8 zzt+uI`l_COR}5#LPJX)Rt|-xpI?`9=i7D6C_2&M0n&na7J4$yI)HkM4LC4!Yx-$ww z;j-+Zer2Q0MDjl7i!^s@p;q9NcAfYJWXZhfb8ua3tXkqto9L}XoA}MTYGDp0D86?Eve~oybYKw;l{q_m6j5vUm3k( z!WjK-)Ev~>s^c^AsiBE|?`;yX!TZmKTK{<4efXTWes=aRW8w%s%O=a%P=@aD<^xE! zRmb+^3(HsS$B=V`6+9~#fLK-B+J71Ji}ZUEJhz?nL%keZ*9O=_NDsONP)i#3E90?s zIhDMg8Si-QKa(C2yt}5~2G&y&s;~O1+i$ND*nY(h=Q&qkjui%C(X4b)xQzd@8Y?Yg$oHT1L6U6XY19{csN4E{%mHOyA;AOBwrbd^^97DrwiI_^O`Q(g(zmv_ho2YuzPD}YMP zzw^G@Zr~Q1l>C$7MfFYLL5*RPZBz9?b!3u*Cuwa;wa!aly>}E?o%cfaK}`VXaT28D zbFnqEcJPvn2Bbk-{5M~a+MCP!lLWG>O7Rpzi=`W#tKQF?_D9#pZzrvTX+iovYAGrd zuZ6?vClK-FL1~Ii5&dhXN5S=unTM~6`!mSRP{erB@pJuyFMGqX<4P;pe4Xr>%Rdf> zV%9!~WrPmgus$9oG&i9yZK0)1{aO@TPZnE06k9JA(<Q1VE$}v8#&r~(jNh>9<+6^^wQmD!Ys40OG#9m_Jsh>uIUlt{71+0qseeW)V({+> zZf3zv%)rHkYVAhiqD9t(3`5;(*-6_OU!O( z6-329i(rx5M6pWjVp%5+u&t7Z**3@{ZJ8Aicg={1|3v`TC_}DyY)m6yAzzfot1neY zmJ>aa&E(}Uog%0C>|M2o`;}m>Dlxc2(w)CU6aYa0_yFJnfDHg90O$aqD$B08`0VF0 z1Snu1pqK#g^aF|vKqmn20Pp~c>-|ySePCha++ZQUyjUb<8V!CFcu$MN@$vQR`SBYA z4l8?o7YsE=vjFlWeZAgZ&|T{T;G5*W^8y`GqZqvDRt7I=2g7HJ`JtXoFC4%g^#0Iw zBcSjVGFYIRcMn5RJ2-h!ej9FlZl7QL%IVZT!z+D)tiUAtJal^#_f1|K!~$l-zHI*n zs!!%kJt_1KTGOg@4=U5+sM^P=zFQjYHRZ_rJ)L}%RYfz!<)oQi&1~)7Wzcl+GP;#~gq7OFy%pMc@Df}{GsQL( zTRru@_SQ#b_3i9d|NjB*?&Afl{tdqf|fzp2TMzexfZ zuXymg9NhW6;D(^{EM^uwcx^4ksC*P>^*5TBbKZ+>c*zh7GUpF}UmI?cWPRQw$chb( zyqP?f3UU=D3v?9%K#(lZbOs8sB}^wDJc^FMrmCO|Uy;314Ksszlarb@q(+0lRRn*@ zNg;c=n0d-vF})~%cmEk( zwj;l&>)R+<>t`bL%vSjIw;RwaabI@!8G^M}oQrM=&BFAkHyIqON59=;q_QtXVn}n( zTp5a|%T)&U<+6|4R-V2*5aoiKcU5BfUD)7D6|p7G19X)6kB5FJs_d>s;qQf?xtg6V zA3!+{W{|~?;eeTSI6dEMy3?pOSWsFGe`oi5J~djc;8w)3G~18LsdXEQL!XI;z`hGf zyaorbU9B;!JKNbFAYw^~(pBHsr~M9=_&QP6w7`<7W<&!-!iL&vMlO%5WI~iXpq&`z zA<~lXREC?uE-d>!+z`uIaS!QY=#rAvN&bd+FKVoSjG(%=$ zoeidG9{a>*Y+>bA_#||0mh|fHCc)t@p0lNa{kdl$%2v67l?9tGEslBZwi82;N;TTs zbL2kd_)#j-EK9}8SR(s{GeUP+3=V`Ax*=9n_^QZOxNDzcmatAS@XX60)0I~OEena1 zn6b=N1{(*YQbf|(#+bx1?0lQ!o-kbDEHGPSPt--*k(%JRXUrbdLj-C2D4W#ObE_}3 z8nUX?J=IWK>Wes@5fGYKMsYvMehN9}pYcw2ni8yvgS=-3SH_|TlWS=TcUbtTe9!#m zUx+?Lci^V~<`50oIRWfYufpt=%;{k8RX_GULp>ULbx4EZBHk1a%g*3w7K+}*{?uk4 zoc47^g&*;R3E9U97QE%{Cvb1xiS0PD6WerWC%)ptX52(xhhf|2926egr9{vfm_t6+WBHOWS8bd@J8i6&kg3MoXy#Zr3x_9Kq2hI|*Io60fbWqKdmIPT}GF92WwfDQl}0H^?< z;C|lHapUihbmRB3^gHq&Z9=vtZ72W6FhUzB933bO0;R4DlBOVe)r*>1LE*VH6L|=h z08u_Ta(Gu%7_FlAswqCtd0G!t_)W;XbTP6CmJJO2hmDfi3Pdwa^V}*stagrF37SUL zr5=HcLNr)Y7DQ9GncH{2*li!qN~-cS0nvFm6m26rqkyZr2FHq&z@j|a znqs200hroRtUFG7`32Po8W+*|DUjAk`G|56ls2+my8IYf- zy|Z~+?C6A4=lKyI%E8bULv1mHI4r?w2bOt>%&zi#L{<^J@mfss2G@pV)pkkS4OHT# zvj-?xuT_ZSYHFH|g){B?Xpw%&=}1sjZrLemW~}jilq%S-P*uWJRP~HCFpv5QKiR_{ zP|lNoEvA4(?&S^Y!X8ZY3N$OpB{?S{z?tM_?B!+b=3(vSVeRH2-%NkwcFGLOPkz=i z&}5!{jvpgvVRl#DjqM<_7u$>jTneJt@vcOGD+MuRKg%qV+t1S6W(NlC4EIURFWATe$_`hg-Fp5d27JNY~J`su$<)Z5LN;`Blo@Bp9dH9##A)o`0`DG#u$oryoXiETrY`Oe?>jl{MY$)J_d*nzn5S6e}Wl-tR^oU~2| zdj4*^*}=)YeMJOO+KdVHn4KwUnw%+VnVd;lD9xO3L<)>^ zQi_CmREmUkRO+3a=^c}vW!Y;3Y*;CD>g`*&+v#OH4ca*IZG<3 zHxnl{@(({8y(3{MFHXoCZ)7Shg5anxewfk#Gx1+$>5f%5MlATSdDl-3c+-MY%djX) z%lXvA?=TYScDm}w;K`H+{UQ0Im@GAgSkVfj>GYgR6r#y27EWRrlqHEQ`k^HX(bSgn zpJQw;B`7TW*rkiG$tzf7=42=II)-9evbVFZN#R5M{`5&1!_9F#H5dB_*eywa z5HZa#+7C3?Exz9@NX&AazBSyYj1I9jCgUZ}j zgPP1j{gjJhszJTjfa8Z-v&(PD8#~^?YjZ7!{_x@j5h@uw32RAjxr?99QrE8DqiCGj zxV|~I33Jf&87cg669k*_d%K0I++qVTwdFFrHi>67-j;HmT%zd!gdN$bk%Jv7KZw0U64;%crkZTIsNTIOMjC1|2Nvot zIH!o7pk%r+YGxrG%nYjljCvF%)@C}Fib?>4u7H<*7PM*c1t`O$ll=f*E|}SAhl2x& z=iXdXLMfNbPQOs-N1FSJAQ@I-UcvV|&XAwZ+S)<3=L`nI%Pp-bC>sioPytUvjr9>r zj_53Bh3lwx{v=DPUd-Kji!}FG{dV_L2Fr_@p=Mr0QAGt~GP7=hijPH3g@ADZDa12o zV;eZIAZ~Zj+Sol2P{Ugtfmn2jO#6IIhtqVwLZ5X&52C*ILUmPOZGa!ct-~-S!3862 z`iLIpeo#m{-I>mUNAMuBFsu3EkIOJZiHWpj9(g0U^K5eHi8CEO${vEfHZo7t#D{&L zWR}W8Nt2}@TCx;Q9@VpcHFw#@3aZZyr17-whBXW~4dPMjzTC;ct(eJ?6+e=rZwh#l z46~jHz|alsWxrdx0V6Nt@g$z%BjR$NxkKIJFZRviZ=8_K-!mV^0Yt1G5)q>O9-~@Oi-;e5*zkIV~-Wf3zEPU8815C)zQC;0% zDGZ_~14h}(b5R~$G9?|q<>_U|wzo%bso6HBN- zMPBWagJX5^Ydj>6a64~LAFe^ zbVkf%4)?55G2^c+m7x>M>X%kATRs-E%kf-GJF2}ZD_sqgM^7^dyh}zy`$F4&@A#HW9(;JFX*+!*60) z43A%rtOOCe;#Lm&(1^2d-##b5 zz5`A!eJlKD*-MO@KvW*lb`56t=G2WjtuDmCjCpNOQ?Q-l0ooP|fvhyXPPd3&g)b*^ zFRELfoeV$w(AMeadCUWpN1UZr-ISgR;aDln6+zp`tZU@OGceZxQ&AGD4fGoctZJ&W zYNC#9X_$5!2AD#sqlUar90PUR-v?fP>c_k2Ke%OnfmgQ}nr%K=y^uih~Ee6%;qd;s_5$(={%vWF18R z>{0K)a=LXMpjEL>gy`Q)^p`Yy_~IyP&njwtVU@SGTdBRaOYLlJzsR+^TM61UsE%hF zQNK`1Gr6b~T2ahzi-Ks>NQ?Xbz+_$c8rS?8xg|?-`%pL)@Tu?^0mXxdfebXlghaDM zP-WK`MZ=8w&GZTEW}W-%`FiYz6$;vMOI#U)#>A}F*Bjz;v#Yr={WwL}Nu0r?0>C#w zV5=N4ijkTn>9Y55MDar>>%(*L$MaS7L#Oq_ zGj{M7r&Z%aXJK{eeaw4i8Z@JzOKzSv-UyGCj?yf26bzncf;D!_WVSYZsM?gx-G}Rr6mON?E^AB)K9n<=g1e#YNSe37ew#C;4EwV) zwW6Du;#7v0*8-ITppr7-rmM-LaIkj3C7i+9Met5`uA{RtmbR&X0m@e3io5G`2;WpBcfI+G^8dr``|%6 z5R<@-77v%qjYc`li--nLLW_rO;YQmP9ma(j3I2f#lSH%$`9~&r1s4X;^~3}jArr*} zjk?PO21yu21`#Jv0p!zah6U=9z5)Ck_JKjf_2#l{Y62@a{=))qKSKahXIua&Dgs2b z_{uytY9#?f>H%Gvdo{5~;@n|@gTo(x#cr$&tV8plHx}1+P-=F#+2~N8pP!AlXQu^Q zn!`uo0~)4bfrqpNLm*Z2Vo8z*+vVw(N&)=)`SSy_(4Z^7|DdZLCu)u@XZm1D;}^ZH z$&}n$pagial3mGk2=?wQndO(WS%z~Mk;aK#$>4fi*fHKz^9`JdDbyJ>E_@`x?er+D zQ8qBQx5W@c9)Hj}m)7z$gJJcSx$keVbLOfmZ?opAz2~#$xFhTFfLZ6&plU~%c9aJK zx4Y;$TL0A}>g+;jhn`E99n%Dv_8*7wGVPEi@sqpahjG|7?b^>Ag>$rZUjQ5bmx6k? z_!T0Ea~t$;hsO;OR-1s0-r_NJw$bDd;P$nk96HVm!VDU~CY6daf-H^VFWC?efb5Vb zKo;D4ayO~v^1Z|8`RF|6`HL?=IV}&M><-w(s}n#Ny%%AiA($Q(M`xz?N)NoXD}<|m zmDXUy47|9&N&>q&r3h}B2n1O==T6nTxp=MWAFP&?jMx&m+0#QA1lF$zhUiDbW7{mv zn0@irwHXl)j~t$9(1|~S(WI7yjlc??&j4FCXetZjIrCd){fYEy1sTrBQ0$l|1VrN|PBxSn}oqbKkJdYeUu5c)}TR zqWso!xkmBh>>JEN)$?rIl~}Rj%?kx?IxiR4%K3NoCotr8lZ}+|6Yh`jrVfDcr1pUD zhqi$5#WuLGnqKEEM!MPLXjNpv2UUh|;D@P{9<}QUNwSB&b)CC87z&8#RNGA)wui!= zLlMmLk+PaPn}Q=M5Rta3#c=oQ;J@Hv_H37*b*z3o>*TIKS3-x~1VAs_;0_^Jlz!=U z&%tKaD`3);+ceCwtbuc32lcm3&mmPrAv~}EG;-=6FNm#Z>A1-$$|xnJf+ z6;-u!Ci8RvXS=59fQaN0QRtvULXRsu{ws(eO z&o>>p{S!LikUDfJfL>1hZU=ixr4z*gPpfT6lLzDl@@I~mCl` z9saWFPz38#v&=p_$~vsf_{_IwRE%EyD^aj(WY9xkXis^d6wFwV7Mvu+y5d3btu<-I zMb!nnL=DL0Dtt`;wzWZiAlSe*ivy!Jfy4DFODkz|u1&c=@rKfT8o0Q{slsK2So^&l z_!6;muP?EDpFq4(8!_<+3z&F(g@L#On-y{vRuep=YyYAge(uml4a-BInSHYuEe$mZ z;PD1W8*OK~)3H^U9WC@+Jf)QtO6{}`vYCP%7YO2Z-On?*@$yvdkv>lOVHTHUIzsot zdL;Oqbf~=Z%(X9<2$7fyA4XX*pF*i@dq{zY`l~jIu$&-W+=Y}hS!70fa=4krYWi-e z{aIML(X#uqhEw^v`NW!{!Y}+9vsiz5Z3Y!w-x4QH!oY3_U#{#BX+IMj%jlV*kmgND`nNm=q_k?n() zWuZ1VtLXxPEw7)Oag&p1!__S4kH7QSYAMCpds9I@Ztqq=QGTiRt)MtQaBrxr(*wd? zM9zmGGEGBE#s=J4m@Qr}7((4GJo;X4+yg_Xw6LQ5_6L$Y9tx5|aunff$6C{|X){c1 z&?-)xmB#ACmg5W(^^e^0%B%LPj;`Mfd&VNTHqT3HG3_P?t|$0sK`hZP=o3Ka6JmEF zzKQ1s9PpP17WHefJv~Te3QrtpkfjF@K0WxkI7;Df_i2$h71QN`IDy{+v#OH@a;gyy z#UgByusfnP?=afIM|dELY4ix|eQX z2ht<6b4G4&!2Q1Ig0rFLm>3H^IgjBVe9bs!SV%mBLI^@6 zT85jPLL2VSTqX(rsGw}PqS&Cc00nCCX#|=w$PCrJg<+YeKR+HDj4PNnT!bIaQ&Wb+ z-cq68z-ZnI;|mLxh)~olawUs?bW%Q~#l0c0hgM&DYhf|+khHXI0dW3l8MA{}7XF#+=o427e*MU$Iku3Vlq3R+5x$5;OT}?%; zy`#nc*}d#9t*BAHm@c2?A0;OS)FUI)pVQ>2nBok%n*nPh%KTEsI;SIRwmI~tGT`%& z@u-WKVWi?!ai>rUM9L_YEY`vkSS-ZzPJJz9=4GM9#Z?s1n15=fW--m@+WHW5sYs;ueQlm6r}svuP$pU3t@~PJFzQVTTJi?0qA_BBuwMz7_>wRF z=xYxdr7%QL-cLwS7o}m9F-ULfkh(bhSL`U$mR$K7_ow_aIR*Oy?$HR8C8b4ry1-3pv**z)&~GBU3iS zBadmE$Qu{TXYPK|*@(o#oJr=;1K~PR84QB|BGH`BOKn;9nSD~}O|!A1IG+tO3u7r;10gVk* zG!<<(UMs-o;Ro<-D*WU7-O}9Bz~?4lPtqRY8KLRF7e02yc1c5f0g)}IHDa^7xtqM* zvU~dqn3R0=AwyBE^8_40l1gLq;^fi3mz^(~)AE%yJJaFu8ECeF?s=4VUGrS^bJGe& z#Bhz@#m|1bMVn1~BwLp1jM#}j;YUWA6=R-HCJ`0MU1Y)EHA|YI-UdQYP&5z^ls{wQ zQL-8CROUcl&m`|bgqH`mI?`fNIV2;)qPNCNibR5VH5@$K6Pa?%OvjD28Qqijh@xlV z2|5U)!x6PsN{YmTcsb3y+z_ie&BVq72uc)x5i~ytbRUG&zX0>8M8yFF* zj!Di>M1Iblx@sjlxB_H94_@&P_ar4;BA?HK(FTI)_(+TO>HGb|27&f7NEI$C$#u8Tss94E1J^0B9AXvcFfva>U^ zu@}8TZBf4;2)+z272Nh7)P6Y{bG!j3AHBQpJ5NgkOF9@DXi76aV4Na@mna%vNueg) zrccVUvJNRqk5dYtl9H%hChtqjpEAZflb%v7ufQ&<%g9E1Eq_@#mln%dPRSjM%xn8K zpl2brkdi+Z={4b#%EqILiKnBXAyA-E(b^o@o#-D9im`Bls#~t>{PImuCyzP5$|t|z zEjaoXi)+;Ol)SsO;4L}&Ruf7e6Dd|h>A%trmV!PbhVw$DhDXXaw;)kMUZONfAdNT?&{z`#3Bfj)N}f}M`& zZ4T8thcgzu=2V6Cv(f`=cSABH+XukdA1JkHN0Y#|NeuYq5oH1!5q)=uL2fFY`eR2S z#_qhR)hKUM$3XVKf!kJ=<*>9GPAw`PlX+44G%!_*LLYblMGKap2~`l*+aUDjGsA$A z1w){1MyALi78zNfZPs;5pOc~SadOuUhN}KLMz#-IuqzN-@Dl*8zpxF#Pxe=5-A14Q=fRuxqIR=hAN$SOvRb_`N*M&*MghfUuGT4 zr&`)g6pwiDx~;82t_Edjn;}ISZs^GtJ3MOTL9TiLhY!H+gwY=d1 zc2tX%O+h=8$-lvU=zD(pY=>6Wshay#$O4m=k`nEp=S|YDz#Ffe&qH^eUomV)uTJs$ z-3;H^J9c>CZMo^-ZQlT+g*Nu)ygP-CyY1R&)=oL%j|7j8D*tD~0azv|*_ZTvoczWk*+eD|y5%BpS{V**RlhJn^8tshL7(v1$OY#T?s(Eo`f z4tg_7h|($Dp}_uD#;2Mk*SBj>=4JLju}8yTAyM zcf|%WbgjS^&~~w~b|MOxKcvOp|24{Z08`r+|C2-~bAK>sGN?xELgs|@i^LeBjHErp zOHGRwPa*VJSia(n>OOnx&_hU%L??QY=DR8HwXpNa#C+KpZwgD96Mej z&qPPc#O2V*NvLejKI3vsqoc`<*M0=5M*Vh|i}i12T-KlYp{oimbdG++kzr+JXiP*zm0r3pV7+m3Rsq?-os5 zxa?e}*kh=mba^+BZt2u5UC)p%vjhg)E1>_Fldb3BQ=q|A9Uv#`^uzxxCt5qe z@|x@<0n5X6_}`Xi8-4_k5^evGDm(gLq~a_i-wgt~0h|l^ z+S7-bMz0JgCqDX2#RNUD7Q>%{er_FFE=j5)mc{pij#5*Ty#I4{2%DtInPN|&~xj4l98J>I!%4Yotab`dIPvo+1xOlz6MO-3`YNKpIm>4WD!n@ZDMnu5UvIndP~9EX3}B_6b%&QP>wibB#aY z_Rvvy@c1s)DscO5L*e=gH!vgk1~VhbVLpHBSp!cT8EXT!#R8%sx(512F>?mo@GWT< z_>=545OsEuI}mfByYKAh6JX=pFx)>Js1J_KKb-Xc$T^t?aCH9RB>zXw$OlK}A5Q## zm!<|3^;P2Z#0_PWXT1n166^|KSAx8^-~m$54CW7KJCTkEcSJo3*(4l2{#T zX%N|(l~l^kIt^*$hnjW2kWzVx?AV4`#Js>6hg;VetNIQThf$4Cv{`LO0IoP^8+jE$Uw=1J$S2@pXKqKxr{3Bc8HCm6k25= z&&q7YEpY+HO2KXZ$n8@xxvmE_fbd*3RBL#Nkn9rr|*g~NiVAv*Ve`U zJE6Qh!(3xB;*SCQt=BFxlOV832(Z2-wD!6 z$>VkDQgf+%e+p720y5`IMqjnfAe91DLJx=PP)tYlJsulMJ4gwg28IDDXYjF7kOa3_ zPWwT5mT}Pn5>Xz3@!(S8I8OHxLopLJMhXyAgbxGaVDyP!(?Jh zA`{6*P(9L&Up+LzP9@UifO?q3e&hy;hJ6$MUghTm$KrvaOo|iQ%%o8GOl3$Y(8yRmB*bBr_ph@&MJ8ld@A!o9dEf{3C~~Mu@aDRT_7LG zi@5C5OlvTOX!DOB_X(IM6=HVXdj(450Yk%&P6)Pq<~7(hx~h?=Z5X^w;r*mvi%97QeL z7w%p`65oqJN$m6LDqQmVaqorl{Y8jqYsUT9!3clX(p_sf+k_t72wH#M9M~V@A)(Fq zNrC5-!GFokd-(DWH7@uS=}zKn=Z@KC=!IdI6nB(6 zxwvWe7DUf5H;;dI4|m>P+VI?!_}@J2JL6sRaY1p;yEl!B7 zF#{cn(0^%kXYU$jFFqlsk~wkTwKT*IaH9}2RHFa&T=2Y)X8wy5SI8;YhbE>tMx}5lWdi^ zKb2JLkubo8j!#vE-c6-LmNmqc_!A|q7K1? z#dPU-X?R)_>UDxxx!IHEi@yoFQ!8k@IQWt90+YNxh^=PNd-vQ9$W zRM&pE<@SwMUmiJ~#x8jy?7b0w53$z}M!A2)9fHE1U{9tK_U;U^-&Vv>b?fSUqSqm% zsW`+P$|Fj%|8}$3&t8Q)#3ZwAHdJes*2jKL5lQ8RvS&Oi9w{iwxc8P{1<+!NG|lXp zi8O3w4EjsvnS5xhFaaYZ+GyxyPy=DFK?7ro-LK%wFrzW_UQY@A_iOpc6mc>Jc$|^Q zyNCwdA@d~qYY$iR_nFZn)9Kzjq7iGHw z?W~r+tD3%mSOBdw+TGUV}=Fu{*Obeh}9cb~*^L8;U0nK>lQIzR%w!sv#0x zS%Yo!pFDhLdzO3v<_MVwP(x%7=(93MHN^DK*xZ9B51?LTZlDOo{Kc&^;OW>!aB{df zTshL5W8i5<5QJ^jlMY<|Ghqr`{_9T&JqF6@5d4Da5dVX7@xc-Oo3s0$IX531@qctw z{-e&r2S@TBo&U^v`QS+ZqoevCb>2TXvj6D(XAa;kV*WGve{|ITrw-whFU0+LvbW!b z`vTU~!jRW;gIZ6+s^%tt-}&n6BLU)<0-D6oL8?<@h$n8xN3PU}ad}3@rt=g;bTJM= za!p6N1t!7s&m$XL6>iF|(u)3>rMrztNp}|b3OaE4Fx6<~pw%piflHXV$kk|!QA?Tu z9lP0WicF>^hruN#(FnFVSy;5RY{>xxIpOm;{ zf9ADCd!Ba4<+*NWbH>28<}`dM`N@5LS8&g|M?xNL4;QVkWoY=N`Z_LB)2$2DjLGI} z!Ix^MA74a8Tx2d+zTI8-?Dvic_P3^Sp@ozju_BbnuB&hG@$q&9SkCk4^v9g@=m;80 zeAV5uAq&q(W?!|WPeqM7UcCsE(PYiGY(_@o9kq;gzB$g_kWm^L>m2H5=Zk-$mofbD z=LP_;{v;u8z|KaCf9nefBv#9!?!yKcn>pt%~O zY|QxR;L^LS5n9{k|cF2>d@f#VJ~-_OvZZjw10P)s|dD{oIL zu4w(!PHG9s=wV(5a{jB?19aeHc7UwQt^dwC0{MS5ocfsc)ZbpJCK~z%7_ZWYb4= zW$RK}GF8#rJGTuC&7UA)YL>8Y$D4y*jW&E0VX9L8kv7ymy6|ACMODB`&|s#6oNO1N z+`>sNMd>nFW@u!^X-9}@AJ!bFbNmy1y%P)(DMa}Iya~(j)W1BoHoYdXmQxJyIzN$V zr5`fWV&4r5d}Jd&4u+-PLF7gb67MV>tq24q#w7$pJkoc&nBX8tyo%pmmOHI4!yqXIQ*-L;Qp#HPjINc4&cSACIS=R@QEEi6p& z3MSNnkzZi&O^3k4(#it*#^(>X=nX-+7!?WtM#bgUUri{* z8JNHcjD~XLC9J&ne91V=5mAOU2+&s24XRS5%N~tLWDDZ*6*agE5R=!?9shw&MJJHw?RcD z9a5i%^>E&2rh5^PFCB{}35#QA491>8g9q(pkd?)g!}{Ra7aiae!H}%3N!DB~_$`2B_;efWv-2IisI2;Te(QuIlXWL-m<$#CRUTpAuqZ? zGgC}2^uf1`p^nwX$AYFN_3&1OwQY2Y!yIOl?y8H8rlu$q#iaWj@?y#pMGC;il)SiZ zvH)OCbpj?J-jhhJuI(%?_=+5_PpUOnvqcz^ntXCGs5f^pyod(h>WAJQyXk0lJW!*1 zy^m#;&1Y~HR^<)qj&s06TMPL=gKtQq3XV`KJHOKYlpi#c_N8&Hcw>=R&WfHKbT|Sk z+ryCNOrbO;npL4ZD}?Ka{?5(7!TuYAoio~hZpsr*K^gYK5>+JT3K~qA#WKuWzTz7U zK}8qh0^ZpmJHR*2L>@Tg8;-Y;iegakE`R1=!FQP#zi_J(#fc|aR3XK(dOqK;nIVjZ@=B} z9zc~#p0H2X%4M#mE>h1fQlI3;6B?_Y6vrVNs(e}`6?fOrVx<&$JHahLaCZp=l5q0<=iGDeeV(knGa1=gYu-IGd-lw`)&V-|B7IBNgNQ_WVb{Un zzWA2!R_@fqgX{Q?S*7zkbrucN0r>rT2f^L(EhF)8WyvqG^jEGwrc&MAS^9(DK~9u8 zpQSf>5Sl9pGR_D>(M5F8UrCrivYcJ2iZXu8FczW@4ki#uXBU%`4B}-$aA5|w{(NBg z?MHQyjJEGq1Kwlgvlxc+2TRKgnu7WPGWV&4g&YoJB4k(cX474TRAyZ`;DQXtJh+J-TRGe@eXDCYU+8t*ENbYL*jvXCmEa<5BuMq2^4}w2R+7Asmi!MuD8XJih zmj_NVfQy2Z5W)1B>ipUX%;SuQWx*pZrCVTlG}_E0cVrF1`eSpx>J=UH6Zk;t{XjFs zaC6!C-v?cWY6_ko9f_DX(CC@(DG@6TK^iHY)H=YsaQyu2TT8Cw* zmPdVEcKm=`U{%rp_Bj83$>+I2r44Z*o1Ep{jbD<{4$hFE zl?Pexuxb{c8oBO}ea$vws*mHrtdMc+S1Aeyk6Ga#RJ_K0WJ1P29mAN_VwoCW6u=-a zb-BVEOT+s=etsRRsbeH^S=mD1YCko&zMAqZ7-R6WC=y<-c`bTCfDWwpUl))3<+CM8 z23$WAe4D{8%MgxzK!uRjymfVd#$uQyC zeQjH^wqDG&tFWwWVKseTCglIc`g_*h>v+dv>} z7lm{>5ZV{9GxxY0x48*8{J~e48T;wfhdN#SOjn*sOG=Fl zl*($})jsjXhv>=}IW;u#~(`)R1?jT_b zI=S1Kkewg(X}xzYetcUh08mLK;@-PMP{d(cDA*10>|MMY858mDUcadScTHp&2#}+i zbqm&2YhPxR#v|qf_t`=11g%_d;U9BV(r=kW_d7g8HyYaj25@eyN5#~dp1imc z#-pI4g%T&N8+Rl9i|pPy`g-gX!$pQfdv>5{C5{wbaXcjxAYWIA{-1o|Cvv&U+Wi*c z?S_RDC+4oVd~}ap4}wRfKE(c~OFbdK5FqKG^fk-@|5p6rc41!@>k8I~_T>2*1D()y zHE~(W_{Y0D8h`)eL$}e2!E^v0-R=KK)lo1rDfiAdSWxIka&5Dkx5tBgwt{GC7udz{p8Hy^%!7^O;L^Aqm_SM@U`<&#GwAO{ z8)VCH_jWxwots71tRjz5su(Naw2~sLy93^qXm}7 z+xzgUj>`z>NzLBsHU7_mj}hLfRc}j0M`q`54y*9yOqTb~of&t?%jr*HcQIhuI>t1L z^FEP!qccDS0L2)HL+UzXohuHF(C*Cc?W*Z6_blt{vwV|`iZ?BN@oYj7G%g6B!2__Sr z|K3Xrs#&nsh5Ct1BSfMJr=2(VpNCcml&kLv-5j08lpAP6G?Wh@92 zPQ+aNxm&@xqVk>A$2(Si^;r!wgV}zIVp)Fg2mm+p!G1Er?Zp#l7Q$4~(l}j4HnJz! z7m%TVx*&^M=y#Nw@E^Y1i4$mNGhPVdjSWdTFJ(j0+O;QomXp9R@PkxYj?Xxc56}s! z&X<)IK_3?floo@yoK08=9iZOy(5# zksJT<8Gf{j>A`x3t}hKh<5J6GA0C7c_{M?&anc1ngGihsAN{9$gYZ1wAol`+Qs&R$ zSV>)diLi7oB0SNV&h(zH-Ju!9KYIl6=MOq}c61xWMdv`t9!AZL$75UoPbAkn_3#C2Gq`kis1n; zBfCHXVwkZ~qOxRqbp93s9Jgsw0&1_~gMTiYYlQd)W+NHi4ah^L z>*G*yo?BtO7g$)GN6ou%Y%*D`7|UZ}r9`i5dZgIpW$?YUK8j)TOt#Ry-HgV=pON~$ z)S>{)pMEK;2^DmemzqeQ+*`V)zjw_eUe>*q^%va7yI+6c=8AjNaF|@6FeJ_w_)$8y zRK|5|D18|cjR@yFhCiq8QnPHMv3!i{`Ai_$;itVA7gufZr@A*zVEMk4vnmd$f=~N) zdrir5Ca#(>zj{e&kyt0=h#oJCt9@y2$>Hz}czuW38k+Bdd?3i!OI(BcMY#Pr=SU2j zF9V-(NCOKPA$SVRylW`YNQY0^f@`5-AvL|{NDD4Re*C$X&K;xV<<%D1t}5{Yb!)^U zNyLGD<8#cBQNpE4Y7~IJmdZ|aM*w9X6|zVIvl?;JC9)3xvM53%p59q3zKvSR2aE6x zNP;>e&ox|K(ds$w4BNGVlX(u?2(~gY@9trS3E#R3ffK~b*1>s{UQe^9TsI}nX^<}0 zQ?>U${ho#i$MsS-7UpeE`bMp4N}f|W0g71=Zz8)YNl=dXK`t!EuJ~uC`2~0qn!ly1wYFnq9e7(d>;2f5FgWRnMmx>5WlU21m;Bs>rtZGP4t(Ii&KQe z*QC+IOvrrASdIZYi(a&rXTPf};?SGm7NgLp*QP?OXm0Uy5w0v+-l0UvLQ`4jkg8r% z!~xg(#C}6t9YVyu5rC+k3%%#v?E5M0X#xN+~SUnLY5c^?o@PE6k_YyV@MISaprGa;j-c7>`%@3-+J zFvAs!u_ZM?&0hZAsOy!@v7Tz#_u~rA!e8iC%}B1~B>2a&Ge6O-$w-Q3=d=~i1_AJ8 z12-Fm^weZCJJpFP_kfJDg1T(NfIttinwshT^d+W*UoVEPv4x5v))3cCkvG>(_%@BW zHg5@R-s0I{`JNISuTU!1L3Q*y*FXg5m|dAj#i35D#jDAy6Zz-fQ88aUhaorLkb{vITU$3y)vtXx zRdS7NjNZ+8D_(ThXOK2(Ty71F2t%}-h#P3r# zlq5@s+`FB={xc4F1@e5%{fp}%Zo^EP+TRQldchIwDPqp(sgUp3Z<$|%i4v8K`Ro*l zHD0bK3-E?%^}Kl^gJ&%PiV*D7>Y3b279c|Z&bOT`pt+wcFsG+dufA66VDj5xrt$qu zkxNXG282{2tC219t&7?lm*q73<$B(!`u`H>`ai@hwJd*#qHCt2EcuAZ8b1LN3-O#_ zII*WL*Vk%#Lm0Ot{dC}v0mIrG7*^Aw{4ZmLAH?DV2d zsDU^kLYcc39r7-GdI{Lp$8T-#e z(NshBanz8f5e&wdO2Dw;I^}=cRMLLZ#2ui=2+v_y@E8h>mYSm(-xuiiCC|%7|H4zLVT$Y9u{CSB>89++K2keRLY=;;U(6X9AG zW56g_W%*pYOCqpyiAz=BkM&bg`e_sqFl=L*<#Q$lFwDV3Kv7#nOXIZ${6)cWmDM4Y z6v)blW9X0{>J(zZ>Hb?;W(8wM&BZzp4MP|NwM|d>`aX{ z;(&@p)a&zc?9q$HvWfAPuetD9Zq3|IYe)b3TE^{TJyGr3Sb)Oe$pCK)N8-vs3u-cr z*BjQLneNSvWA0$$`5$ReY7E!*@HSOh@Bf!GC=qXD$v@=VyLc}vk5Dx0iDo4B=27&^ z`@Pibe$5*5q|Q_te(_gnE1y+1m)CE4QD1UsO`R5N0N{;|2V+~urW?Id7n<*5a6E@E z6Z{U8cQJpi{$H{n+tuf67duwb6HiWkwR=Q_8s`eNcnrj!trxuu!pvLboHKdi=gWSG zw}0&I5vM_Oz5QCv_p7MLeDvGPP1@j1FtaI+D>q1B_VA{#6_|OyKJhr96jhq}Bz*q9 z;N4ksWq(L(rj@sKn0aF*^0yM2CJ1t2O=jU##$9r;aqJ#iP&lIZNBe7Dz45F9&br|b zM5^>VHk&=-MhCrDmCY~Q9zuJUqC^aFW48{irk=Gl9I@-06c+f;{n^<3^Y=9oCfA$N zR);r<-~OHwar37sIL_6$%w7aW5_?RNRgr&6{aSX6aq-0#P431<;8Sv3L_cJ zr}7BWt2+;wHWUBccK$Lh?Kd;=a_^>z^NGu5=9*rNbxV_Y-e13KrRaqPtm zx79$VIf?Lo@J}$G?kvO6QjsB$`u8UL_ZhD@6eaxpl;hQcf*iKxV=B}NUOEHw*(rje zXo`pl73usX-es=MdUZdH9ZmL<9&&LNO0=w6^R8E0d zKLydPlC1R8BB1~*b?F`ryuy5KK#|Jby=Ps& zW*&{kH;U1t*U>e5H?GFccq+e2smJwG{`8u@WlVLrAWKqcbX|_?^&sW``Jg8ed#pc| zFWI6*t3R=xM@jB}v3+vZ?YDbJ*Dvq=(3#~@ax)JEw<+?(tWowbKSo!AnevfszDpk- z9(Yq;yB4hg+jw&Z6}V{B-b08Pm(R%Z{zWIMGvya2;DROrc-#RdM%#lu%x=W72(g$+ zzyOaj*Sx+>Y`+5#7yAhFmZz9Y*HN3bw3H&S-p=>m4yWM!+ zY!-dH9_qArv0m-874Rd>rAwUWo1mrK=;((C(MQ@I)ldnZ%d2kh(66lPVTxt@wGjTK ze+pbyP!wiR(-}1cO@R~FcIzx#53xW#a%NY_z9NyzE=g%kQd|V3{kUHsbE#H42KO)n zG?_W`4F@e!B{JTgS)g@QWtJ*rd=Gu%3VN;Oi<|o!lt_1QP7X$a^-cdu_qOar~ff z#C_c_|DB$!8N=LIxE?(B-T0hGe0~$J;l2r0``EPH0P^9#Ao!9-dmdFs!tm* zfiGUIU%EdvOu&U6Nu{uU3%}6$f}>&SBwiCLm2D&70Bv~uM|9W)JEoSb4+3v@*HE6w zc=s+=p0qhE1EjG_)=D^!@{!h}$DOFCns8Cg`;b$TKNLzf_x@4=qv;nPS*KinUS>>u zA55dRRl)-j#7gD9zpFK+Ht^Ip+CE^_@$P+t4N)s-pnq@Va%e9I2~wimuuBp^o{>}9 zI`iH?_~|(383!90ZMXF@Ltj$B*Q$qTdzhh=6!2H18v)3R>Ld_uEaGX*XZPrmo5jl2 z==!OwJquRx+53x{7Ts|%1T7vaI>$v^woQ9|#6q|0w>jqwlOaaJs)GPtldB z0}`rAz2>|yO2X9}gJL?O$A{}YlPm5Z)c|84$woOzbP?AP7TZe81`;!TG zK2Luw5ZH8@F&C>$7E59iJ8VioHg-3NIA!&s)vfIhjy!n z(yE8%tB2l_=35DeB9E(++z4Z(ICw5ss)w#VM1W7OdQ}^fai1N{-IIs-F)T#-m@!hc zB);ckK^AMO6T3-=^!*~m?o8-&H&oC40kRLH$biY}3id0}~-ibAN=$L#P>jC3pYJAEKdY{g; zd_T3cMZn5CyEWmi7}mUqPf z*}TLTF4jB(ClRCiLGrGn+vBcyzGjAODev!T2E>iCsTgj5gy&_5U7;qX1}j1S#Ju(^ z?cpU2tmCwB4fz;GLe+M0&I<)rlIlTlv|^}e#>68&cK{ka(w2$0_lnoETl^oe8qb21 zMF7+(cV$AqVmzuyoxjlvoS-qmh`uYtcrN)vNnBC^H?i949>Ec)K-E7D!9U-hDlobh zUh0O5km=GLmHc`K4t;61w^j$rZJ}knt@N=_uQjr7K8VT*YIM=QgFy$A^f;{-?`L#n zD$XxhdlpQNiO1DUsWrd+e4hZ(*b04YE!7j=VC<3=%%D8}M#FiTJlgJ_V&&!1IjQwr zRf;z|wJcmUm%-rjXZ4V9FEbcF*7PMsOWON)<}uI510Yu1*H2>54GV4ffiu3UGm)w@ zo*kBCXMtD{Q4oKHGYd&QvA5*JM6cw2>;3lEj@BPc4B*M@J#GgWoyVFRpQ~7e_kolRM8g6ATs4+GL=9a~suX`qfmF=GK8iE#ozL2vFH}CquaEC< z=tKrGt@3?Ch3b}A6%g6yTIiLY^E-Bkae8=ZZ?eh9n0zCxlaEQqVRI!=&1Q$77mIK<+TKI=sQ{zx^bc4pm(t0i8mAYHRA)?FWDl86SZzJXq`EuhyDpBAw#$>FF70DK_Tgx-tqtT7y zU^X7lu={j51s?&POJ>rI(d*6Xq^w?Me!SS=moX6;!6kCLS=d6o?!M;i+QN!L?KkmQ zZ1K<7id2~Yy;(CLc_B_gAZNC2wb#wa4Vd!IBNkN>VQiUJ{iRy^>nxe**@Ss+h4PwHmOB@Fg9_{$YQwL;GGw zRz(NHyz@QtHK-*JzLVEy_>FW3o55(QEsG(&J6yFF`G64ZT1)?v$nkiS8=XdqYU%F% zMrxQ&it>RLqmM_cAxU|M8z7vJOe}$3sHh5%T;m=T&`f+oDD^xYi;eHOs;Lrb%Bxe= z@sM8TTY@65$GkqwZ=}TNu7Z>EVm+@<;TtKsDl2hSrQUE}ROj{ehLZxBVxF`C310{3 zX>U%+#|%aYUoPe)4dSrnzDQ{n;uJ)yo7EBan(%$22tz z+WNtdZW*w;{k0~h0!&v>Mh@Ye4p=X3R%>BHkl)AmkB9qBtcF^_7IUmc`NmA98mewn zYU@EeCmiv7YXRdi$;}jpQk|;#AMWp!$|7LpQk_b6-&g9bqlp}I&v+FxV!P5Ka2|wN z->Fv<6ELz+d!rDTrGtt(O-W?X6`7phy$W%0tk{@>g-|kzB|3^F8j2+fiU3Wc?H0?# zy-3ApmWf9nuu{$-U2aL56V}Q`;}g|GtTALE=O_h*)*oFnzsRspNk%#ZvbWKo&95HP zuuP;(#-&g{KVR66^;2K-#|4I4GzD1*j{FWH-}gK?rMFHF`XQ-5vM10X%C|zNdCq2; zXo4O_kWmD1#jJ-W=*AKh1Nm~zGcKllos1UHfZwr9gnYmfg+f1MjT|p_xhO1+%`5TPr!NMO#6+SqhA+E<_!s|E&bE8Jr3?D8FkLV(Th3siCHI_l7 z6de(_PkTTgS$E2t=7M_XdDt*w?w^Fpy}B3EAhi#>J%(O91>_)^R4lD(1Z1_`EVGP_ zvdyw{K;cBMm5%NPb@sZE(FZXq@2zKAiWZ|)@J|#0S83z4gQ6}D14qzDbR2h8M`k0F&Q)jOw%~be|8L;1Hec zHl~Ov1TrdvT#yw=4cfQr&IMUa4==Ocx-C^ZK>BuLDz;1 zP+r6NBsQ~l@<7yd_8#jAe7Od>9)BVk!%qFDIVoU&S2}qzzS4OC7G9w?EAg28&}h*V zt#=mCozQrgvC>q)DfVeq#PIbx~_#jodDhpQ&=`e`q`1s|Jecb8IAGshl-Q_jfdwV}@+$^fg80F_NTiWV34YE$jXQ3uK; zmnB@>p}$ZDYE5=)J%yx`v=EMkNK?v5uP?GgZ-+wjo@KZ2ZMP;Iy{jY$e3}UfUt!pW zF*V_|NdM*kwsRBS9-8nlup+wK=^=6*_wDEnY&!7aH#Q$j>1bMDzXixF-QlfEX6Ez- zEWl=gC!xC}a;e`$Yl3IOAU*T=&q}(Zd&|MTvj?2KhlTfQHs#9mN}NadZ{GBCEl}n! zrH+0!*;euH0PA;+T8+e|VIek;8<3R${L;))-eRA+gHn(j58&4@kjALwZm;t!z)3T3 z)p51u&B)Zo?zl<%?LeXXQL=JyKwtFypv<(BM(#(4pxyh_qjVT{$ixjd@NS(TVBm$9 zu&=**UC)sr14&ubi#H-}JF@She^fluF9mYRTDafN)9*c@2bnKQbovCOfA>l}>U@)l z^S0IO!RUEtj+!&VzM;|V*VdQ^a-+3j!P6zb*Z(WL@y8(3V0??HuW7#`gRI0(J630A zY&%RT(+wRo$q}64DP4j5Wq25HQHD$SMf8}!1IB&8*H|SKwP$eC|@L77Tb*yQ!vbn#b zVQ=pJ#pN1%2$9&S*w~*R$EIPv-b0HnR7Z1^h}^Wn0id`Auq|^`O2$V|Lc?5IiZLsJ z=&hl~FUghd2FH;VOP|kkDZerW4sHhYb$1UgtfvnyOSyzyy4rV_t9hLg_8N>p4{u%w ztI6#`92ufDhA96Te57SJzcvxEEo$1UZ0Y>LH(lOxAN=zfsAxwBYT!jXZ)s^iH)}_e zR4$(TuyyJOsC0!=$g(y#1_x*`(zH1!(^zQs}fmJOHBQ69mq%Ek~tz{hr&F=<4$muSg#3XjL3X zjuWo2uhj64TT`6KirF!a$mreoeo*EKSfMSoEi0fmUh_B`p?~CcYY}xZ&e}qX+>w4a z-7d}dE15Ju~f71ZSB zcE}I|eHwY)qs^m3$BOg=&;wsD;brlWTg(WO(hYPh+a6N;cn%vzq4)ah-)RjxDbO1d zi^lRl=Uu?HXZ8E$!+pap@pCW3jU_9+s{Pi@xuBP9HrS+J@$`JiINPmCDou2{ASTM> z%7whHow+K~$GJ|@97PKi>g;xR>J&GMZwLD91iY2j>fD9(uX?JRW*I&Q3lFl-qx1Ir z35}I^`?;0(BZ0uF@PVS}{{U&B_z?C%*|Mw%4Hu+vN3_-Rc$N7zvE#+DKCHMM zga}5K)Bg*W1=ad4`+*8C1*3UKzmtFD{s^3ME`f&4qUt;{n#}M48L<{VFeU`k>0mm_ZT$=mm5;5Ep)h) z4$@^hr|;n7Ic-#z@4o&sL~z5>pT=kS3R}j3lC<5}_2R?*k}rPq;cu|;y3-Kt<=iAp z3|~T8bjrK#zxCOlQbDgM+~wFZRkmymC#y2mssp{#Ac3D-X1tJ=$wRMRfA1={C{#bF zofj_paj1=c1-DJ2R3IA*gxMyJGTpT4>*|BoQzKjPUgxfA5UTMlO7#SbEq|HCYD>L8 z`R=@F?}XLeaQN@iash93H?@Q2fI2uL>0eG|RklTQoaS7?bMFgzgO?i zDuUd@2KI#;0w9S8)-O#&ouxg!tpe{CXZv8Y{X^IJbQtCs+V454z12 zi-Z1523-%)FRXZ6_N8{zHR?Q}!>MLur|(#^4(fUsE^Mp+jyKq}_L(gL@ONlWmPsKV zA$3zOX`1^8;JI$v(QiGA!lOHo!O^dySW^s@{%Q&6mzO?S6tnj@xQdLPhBNIDGtRMO z)IP<@YB?S_crRm06#*DZB%(YWNw3OgUoF;FEuxbNs3gpF`A99`2rA-)e`#ktMf;uag5Vlv9M9EPD_#nyt6N7ZRe zzC_T+9TgAEiVh6a`g-$)N6F!(NEq=s4$k%6VKcu}rD=xw!pzRi=RaJ@w++0V?iQ^w zZSZZgjU%oZ+%p;+hSs99twHpkdpQVLK-_JIZ2SNz^Pl(|<=BxEsg3mLw=7?Fx`RSN z?fj;xWjU4nE5*vBp}r*QgU?LkNF8E3&|s*h~nvjOT0L2 ze*I>CGmB;|Ab)#)u@R2AfNU3fUUv8H7(sU#&NVZ0Kqzz$PZC@R3*FS^8DhxnqPIAp zl2XWtrAE(vhgHvBeNu&`zCL)@X3%ULnnxG@Ti{N|SnctYPFGj^0V5T&!jIb8u~hlN zx?b_c?l|bLb~g?A6=a;T&R0FvWzzoGarU8N3s}M>#vv;(PzyOCf7rS$;joaWE-WY8 zDvF-@1OWWJpERNr=x%c?~p(Pr)IEBX?wr5Fy;=M zZ%3~ZTW#R>3{+&r?vXg)uz|nbsZ}Nbgt{U>9o_re12$~oOYv5=-TQcOu{3T74BjXh z0Z!|dPlzoi`*uk!dmF}wf^x_$D9MkyeS?m+K1Kq1Sb*dufe+=|b9Bq|RmTXP5Vv(=zc=h%7@6M!j4(Z%wi{9rZ?9r7zwOHt?58 z^UZ&u#E-vPB`74U4gS4Qd5aV>ZR_+BtCVpUd+FzT=`dX;s}(jY4q6`>?EiJ$nhG3^ zom$%6H{EJ(H|6xm9-r`8iL|Qo%F&9C_R68;ljZ}N(iPA2krT%gPv&jOohZ|}Fn`SI zeMRRWGU#mDJne-!OLUGCJ!N6~oRRFSZSyp65P5W&QQS7Ie;;zmFK>*=+56Qym0_iO zAODd{wU?Y|dxXf)*9iK$3g2xHN*OPsJidLjb2I$VlbY+>YSx>Iy7q)$QqyX((f;Fd z&r7KZ#Ffa?^01NSULY!6ZT_R*rRNU;>)(my$zkfh)-S8}EuRNM7aW~Zi01}@*;?K% zu_2c-(3=Xchi(3AcgA$H(Veg?-HWSedXR6F-+0!dG3Jx+9`V&Pdc~=SDBwE9#eD*iC!Ss+4#fpzmw9L2 z43cfMZ-1_ji8siC>QSnz|B?T(94RaIGkTk`49p}#eDQcEa#I^6Zy6Q><8#_$`||Zt zu-(ulzet$g%UtWULYAfqa8yU+m(xHv;TwF_M4x_dR{X0}c`Kcz%Ixyat8b^tM9Th{ z6MWqV{yfvVwhrE3-sZfi^fu$K8+owSLw(%!lWPS4y*CLv&d3fKIpAH5HljuWe86S zr#=C^=Ztfw1`AI;!0Eh?Ery*0x&katJeJrGWq7l^KpLLSwfc<;jS7|gEvrK(a{sdc zb&aTePOzv7IRyLrkOI~$z_&PoP3)9CB)is$xBVPxs?C*BnnXp_ff>(H-z`v%w@!-w z0K$&+d|xwV3*q6tod$x6-rM@P8p940g9JpZogeEGmWlEV9+Z?!Q*fJaL97%mQw1F< z;OwQFAt<#zdbWDg-5Vl10{EaC{ktVGiHOeS#4mr3NjpZF3S4xvExDH^p#dkSr4KjH zc8HLyito$-Ojl-t4c?CUm&7~ssfuWf$ZPZ0G1}0Ulgi4ae`;`RsFb@aFrbW}>N1*F zYK+Nag`7w&@zSECs5=eRgb4OxB5iu@u;cRe#;YP_Yf0)zrk>dR&$EA+%SJ7_92;5A zM%ytT4Ep1EF+%13i*`f z5}qj^A)B;Xn>K+zla`%hgU!7pA?{}LRo?)RL=Qti+mcKpuB!5O^@o>+m$fx2I%Rg1 zzr33GzT~>(8j0Ob?yev7!E>YbTRU0QeKL{>g2t{n>73ecM(!@{Hf^bID@Pj}yPH(& z{5?}&t^;>`3OC#6&D&8VzgexLF+b5^B18fwUT-yRJ8bFs5V{Lpj^?;7ARb0idxxL) znV~B>+zjyFs@WlwoEc3++!E0eQH%80$wrGC&ap>l?PK5@WwB>>m{ockqta{U4qJ#T zTO4RR%bqgavJqGt?$()ol6+#_1hILJF1?3;O{Rt305*K{{Wkf(`H42^S5AMe!(N8k zJ}mm~JA$s}i1xfwp_TJ+&0WzerzW+|P^l)v5v|~>a#NtE^vKQkr~u4+qpgk=*KOZk zSoDhKuJ1G~T53%jl>ixD(OkY^Zc1*+sYky0KPRw4s_eF}P*ZY|dHIo94T#s)=@lC}5@XSPn2J_zw@=9R3&M*_MBt z0C{d3z2eF#Icf1fb|CMZUVhGKag^wSt^q-~g{v$WzJX+ga6kqtfq#GX3;wgv)e1Qo zQ@sK;ybU#SfPvw|Co!w8ru!!*YR;>jWKyI8X9s{+Rjt{>1@V`|ZmDU>eHP`T! zQ9Bu`3`#rwJtGI$pJQ);y161kTiFQ48seZD2sjFfQbLs|myG;C$o{@~2;OV@g)(9R zoVlbZ!wa}({sI6BEXXgYiJLRvn~}#1B^0WHiykSC>-Po$bXzP%pQ$;-fVPwQDOF=mm!7o_S4pf%aOe-g-cJPDR?2 zD$gkHbMPJ~xAaHaD8XvWp(g=OPZ=wa(bdJTzC7|y0rM*8$EETu9Mep%@%gH*wDgvBI+A66fE z&6AXukt3kai1q+MqWV1!vq!?C1%j=nC!@4sJJQ{EQz)&r&_RLFxc-$w+T8Z;6<&4CoaItp_;B%v_jHu$0ZE#{=2~EVhF_H^3%(5CtcNgM+zz}@t$q10W^_o$*h%K8rWD$iii;E{{` ztB*Kz7E$ZL@MlMM!LRp{HxgZ??l*${F@PKrp*-Cey>~gTp;>_19T1*a=ymrc--f?9 z_L1nb(Yl+ik*&dbFd<`CymtHMZkC6?%q7toVERGwI@H^=9j#Czn?)eV@uVT}UMdFG z-h5N+-YFJ#Q2ldjEhuXTWw}^BsD1+FF zyA%(@GDS?kU823Vz1*vuv+R4@KClv+(qd=2L~bVXVDT&W(-~Ogmm{Um z#;!6CR@*N0`U3V8Rr}rY0Yl*BpJ?g>{&uDcJeR9q6Obb|NBs!te|v{4?I15HlEqA0 ztOGsI%>#E_V;XsGcpLk-FJn^5|0-iL9ca7%e3o;I``oE}E~d9yV> z;giURf1iDd<9XzgC&jnB4@;mQk8!=7(<#t220bZ;BJ z(5hGNQ~+=XFbcb0?G)sh8Oy)QwdURo8h`U3C|tCmpA)o*L)~wy=={SMin}v3*KX<` z91?@|I(nU8ED|HFmV&PM)zA4Fngpu7@r9SH)PqAjExURCO~ciZ`8;{^?G208uTi`8lZfRvNk zQ;+3=aepX2E0*L6ufE%gK|OP58BAk0cP}tGm1Wmo*x;BWf0ZVwaX_N_vLDP?8@3IR##txQ;4myzwX06O9%I#>ZnCf zQlmh?edLT4vxw9r^On*^O#(|)QZ}jJ-#A@djFDJN%5ouo$$d>M&N$YN+g8Q4ZQC|0wq5mhKAd~+IltHL`}-xWuQ^9w zWA!=5SSx9LXJ;b-0RdIQ00Zd)z8L^>Wg~kBGaGCC@3i#z{7z<;hWHFjjQI47bc`%? zjP&&1@fF1Jg`F%d@&8F=`V9fN3J8eN`>z4$pAe|o@9)?Z_`DO!7e~a6>^E_Mm9^#N zyg{_@_j9-WpSE0Tn5(b({m1m^AzZ@gaa{E24Eb?21iDBo8^nQ#NOcmTb>IJdAFW-L zVr`EFHQXsc-e3I_~zu~q@<$a_29IOWyY*P(|Ph#*0rsow0%`x-(H>q z_?n(Qir21odHl8TaeVLey80+kvqf|a$`Wzk`qOd7EOvSoeieb%lY2#!*fZ*snCHH+ zq}V9w4<-0!h=0i=LJZvm9S!XS!SR;S%>CZ^YMM|WU)O+agk4I&Dqmw~^p6pv&T)?? z{0yEhLHFyQNCJr7TPhz5W@oyU+J)5uR$2?fn?@)6_PAPKtWWvL9r=g3Z-j6_0~0DC z607;y&Yd0pFur=+_c$P6d;SI<*=#pIEkV~Ir>Q^fHYZBNCfd%*;Rr(H$R_BVR`9R+ z&Z9zP#K9w?mtBZ3^^#x}>xKW;Gk6I4=ZDpD2@jgaD#^8TuMxf0xm^AtPfTTxwvESj+kCaF8y@BYzm?}THR(|oId9| z+D@q&5IELHE}g+f>&#y7`Zqy{F5frqbGtaQE)p*CKR~)-KX&_G0}lDK1w4;ky^=>- z8S{TfbqqMZ8hYw6aOX!ayZLTaWiK)okG!C7wyml+8L9BvL{_xDc=;E6dwKlJ&m4;fMO8)PNsqXU*9_&8arhUDw$`SpzGgc@Y<~(?{C(P zrKPmw&-Ee~{bc5Fnn&hd*omUvM_KTzUH;J+m?QoesZciW?1gruR2`X&o<2PBiWV#|5o_F zZSixtg0~*>Lkl&LrXF#%9q^P6Uu%47I_wFV%=A^+j<7E_L>wOW(94M0T{X{h4&&o4 zn08045JwvADtb;iucgFAM`^QaCzN8hT2wx6_n z@g3r1Yj(jf65B6xA1hFM$VOik#Qe54D7y-!=6Swj@JE{)%oZ|NR0xD9XAfp{kCCW96342aStp<4 zJU2=q&s`?EUPsG0Ro$s?fN;vozfDjDn+o_XGKN)$Q->37oNKD;&>njxP=(OS^UGPc zaLw;ve2PXUQOUA{{mCh)Tz#kQPg=$=TO&UhBS@jdBC6~&t_o?73=ik(C+IQELm?Mb zHASB|m&|?l?`y$WH13!#)BL%=7nOBHd5ZI0p;yUJsxFGA4>U5xRttmpsXzyXI!LQn0Sb8#w`8pUf~Y1 zN-Dycd-cnRu5ucxYw8qugbK2td9@q@4=+x_L}Q-UShW+Co>tX0dMCLnHaF(vv@r8x zeV|)sT31l(sj6O+x&QtaaYi!4*tEI!Y z?Y`(&?rjqs!YVHc?p*hltC_}D8#hb#OGnm){~33+Jj8Kl5kRsiYxx2h8sSCGjgEjq z?juSaE=W4cw0Jub?Y6C~PO~X{8h)t#K9q4%hyVAQB$?Hw{F%daE3R2`qfyy+nsup0QTL7-)KiMF zJt~C5`-^97nup_4Uf7>FTVm&ReEcjp)5O0WPXFE!|yKm$V6~CrfF^K&>yTx+H8WWjz z;&{!t!t!OYvcMP@?Q3k{G4Vh>YP)stCA4e0w3mrER=`o0oCs zvB^Ww9@N)}^Y9&Tqvjidye9jTYXV7y_)6p7I=;dz3jYj zWI1gqvkdZF`O(j9;$v4?NJ9*>JolP$K~ewnW~8m7DIc)>(gkvz2D#?CTy{NoJ+~Ij zPV*zeQ-|`$peCg9nYjD6b=AJc_@+qe4N;3pi(PN%#E(5nnS_vrH{JTKMWb$6FRR-` z! zgSvY5q!SC~+-$CcMKS&?C#73Lwf#$Z@ok|`6lJE|$><9UswxyO!&)Pxp^tB7{U2kW z5)Z#p3W{A}i6)zH9DxU>^<`E3_2tKS&Fwl~L!TO&*pJ>OVQakq6$}k7@}$b;Xxp`+ z9e3=U$utRB{b79RY&o}F;=F4U+tnp%lg{|)Rqd%F%YB_^-7uYgpaBSGog=IQR8~+& ze(P%N@0sIC^19eX1vqrco!O#GEcir`YFf;iFbTA=A4+`R4odj)F>wB8WvRL$^WjDR zE`du%$y@)KXXb0u$HkK1kBl9SRmaLmmKMJr3I4wZ{ex!+#!3v^Y{0xrA+-@N!R&YAo(X|iClTeH^eq0MPV5Nbx|&I_);zj?w`EWN3g zKr6IRwcoh~iqGtFbp70y_EMNOsOO`aqD&D|Fsyd+7FRZyHqRu{zix6AQh%3m_$K@7 zrZokc81F~?e1Bn30@;yqnI&C@8m6?Ru>?tyv3;e3?d<~wrb_eNo)Q5g08a^k5r8M8 zhFv8KiAw5^hG_SzYrgO_+$`;M^}OJ;wcF5V0qC3h;;Rf^ZU33@yVV zEE(Ql7aZQ;CwboBYD8!U4M>f4^sq{;$bltVVSNiUqT0rYxRtfR>!zV#+WCG1h9?z$ zG9hFX1WHZIPLv}_LH{XEe{g}G*^LZx{>yNt35_X>2efu6*Vz?u-XNq=yR+fDf| zgHPfFDBBc4vK<Wx#;NlYLq0$s=Yb(2odwv*Q}1%3)XhWK z%Ht@mRTLD8$%-?o5|PjwO9k3Kmj<{{z~NlrsFT7pDII+SFvG#jP3i(J}E zF5{K(Cr4UsNCcwl*mng2D^Vm0_8y0k` zz%n6~vzLxag35O(v079X*}iRk>qOl8 z3IJRuVwMn{;0~#K7$$R9Y)fPGtH0!KjlP-Xoa=f`3wED*(1w~{##sSZZ58u$Db?is ziTIr)|60}IjpzE@zqq)alY<-t%F9hGH<*BE_Ynu7RrzP z!g@B?H;vXgEs3~x_)E^VKYabkleL&?4v@vLL2#VO1vPq(6EiXS4K`e!<9=HG+#ydY zxV5E@_qUjMDK9o9C<__pB3k_$NEX24t*s+L`GRh;X;Gm_K60d|7`x$fMedfnDBQV} z(N+sIQzUDX7fP1q`Fke?-9a&RzpXVDbsj61O}aL3)(z?v(3=KGzlDqPJZ=Km}HZ}mGT%o-lP2^QVELKvOY&2U8 z#+(lA)Kychr=*m6n8jz zt2jrBy<>IAJ){$$3Hn6AeP*iMSL8>Qp`7i+{)@0m|7Q~T>)M3S{OZP9e_2l^&E*a+ z&&m?H2O`&3oIFsWC$l+jghpY)xp{O&s~(geyK9tVN@psw^Vcx(KhV1qkppkWzXK)( zfJyx^eq^>Zq~3c6$CrJwl^EC?)^Bct#HqNvLOzOdxRU~>F1siKpj%4p#8))f@h<4_ zqi?VwzczyfZnOFc+>Ul*JO0>?TxRX)v=A&)(5j=Vlpg0D+yuQ84hDU{Qj$35$g5V* z6LQER;vfIz7JYoS)Hse9-fZ|~P=g_Gj|QFprLwqs6o7>xvl1rv8552F zrjaIa&qDpn;wT$o^Ht#7=`XA}lOWvFY6{POY@nO0uRu4^0C)pn4S+TP(g0it0S&YZ z0u3~yy3&?da?TppMeIG{uMW11)V7Ec6O@bNE0~{fw}Cjo8C|)j&vLok$~Ll}@aTx2 zng%s?X9`y4^T6<(4kreiPnxdVN*MZ{iwq`v*{<6&RZjM=JV5!F*Dju-qUw_!sOqkJ znI7GRgk-xo9_5NzS*bTr({02>se#il_En|t$VZS7Ii?gQB~%g{;yBfT3at_-`#Qm= zE!UQ><;GjqGxb%$_as%MYbvF$umE>c4ay?5*HXEtC^&O3tnv)V{}JTvlKwc{We-ym z$$Tf1iwxyl3c5#J#qduq&sE;LS1OFDVR+SzKFFEbn$_32pzVZHiPx-NCZoSL!^`RJ z=y`H4hv za2}EeB95DdEzP4=)-Y=eS@az&2AY;aFR(#UureQd^;5Tj`|XiLLyUNQPvmivKlnJP ze*(jfn*i142UM!jeJSXFWunib%`F=*NwJ=U)y?zX5hFW=#Fb1`Kp-89fkb`)MJS4> z%+GM23tIw52!3ep=@ZI!3PcQj0Kh2-FaqEd3>X1$3IU7&IE5mXM2JW_-h_tH;xfz* z5|OsK4uG9~Rn4luqTEZrh$8l2B(;r1<}-KSH5AoiB#T%;{SM5PqtXQSRjH-;ed2v7 zx6^0y`5IpOr4uTzIgN7)O5dq}9(T5p06wU__Ps>qilgPvYk){htgq98NQ|Ewd7+po z?kl=HrsVVS*DLejDG{)<&OiJH0>*0#qmn;mXQqrPXErAk`8m@{2b>w_1<9DCh|@~> zT$mJ~E)0v1)<-2jq>WF>{!eN@bAma`un1&xQj(-0Ax)gxg46;`P7*od?1v+ZX|hEx zC>*91_>ruibG9rLTspr?U1hLyZ6)BvFaq2QTlau7<=K+7(Pp~h63Axl-{&1E&yqjSM;p=9W{$0yv9HrX6wKPGmvklf zuS!2O0x zF`V%=vrrK>m%>CAy^JFH=)^_L;*(-ydhLA?&6o!n*8~VnaQ3+`kcY=4iIwGk_O}8> zeBX_TiujC4KuK}e3NzkqDP*NrO(|m4Ms>qNoGHk76`>OqSmmJ&l^JFCa<#@r5fVTS z5~Jcl(vo1~T}@K}5(S?U1)mbce@R@E10;^g0TMf*e@QTZN-%y((ElwF@hK7hDG~m+ zMBb-FUQZ|Gfv;$_UwOSLg$`pPv}#FU9jd*}*kN)-1Y0zjwPz}fRXzZ2%_Nrc}pG9nZ|6y|>gQbFWOze0u zgw!Tf^SNFf25n<6Jr`k2eOCSIT2HONsUpek8;f z?0kVp87&`N=B!zM2x(CrO9JOq@U9k_Yp+iY%c(D555EK%_X`Pe4k+Mv@^BZ_T%47V4)Q6ccsoC1xBy+?qW;1A^Fz z4g%|IS+s{2PsmV-*ezHKdW${sbe6hqwH^hdjK=q^@hzBxW<;dZ^G?pXiCygZVGW}##;d+n%9cC};_I9Ir`>PL@kkIl&=_Q(ih##9LK-P*(PHkHOKy&J(eDL`9& z@nE($=lFQT1n&eleS|7;m!M(wHhf-LS zM4F5nOO4qA_|xjCm$(<2pK6XPSF;iy3VTWtiFlGLP)DQeV3P@rQ>|kul)q0l-fvV` zSZ9GZ=aI{PzN;bAP#qGXhzasg_f8-@<}LKXsCLYZ&g9L`^h+Vadz6cz6&|UU=|G+U z?W^z4>NxIZWwnD)j{;7QLGnFpHq342Wn23)hOP)Oc2 zmkl&xd6mz1Y6E8IjBF0f_!F%WD6KPI-FIS1l7`QitOOOm{zefdcJ+u7%=|S*h}P=4 z$MHbWDET)GMpg=`r#JmCy@aP+}<@0UBDojo^x)&|2K6qS3HbEE04HA{Q( zizg8@Tj|^5RCu3Mn3wHhpgWU~@riOkA>&-`ZFSd^yTN@BO^aTM*BnH7yhU2WP06De zmSu5)6r5>xL$9;=+QP~|1k5~-S-=BHloNCH&r;y!%Az0lg-Qt!%DP*}7w4d{2wgVyJHi!@k}Db5wRMwP#g@Kr^96y??s&j@ zEXGRJU?vm}L243hebkLr^cHq1sZPKu?B*Qnm~!)_-RRvapMl)Qna2EERf{U4Ilk!{LD{b+LaPgo(F_Y`~;YIzOfOEZ^ zYR-Xh(U2*Ngai0sLP=hyOtr6&^!9fjY?bK46k}AgBQ9wM>rDHDlRZPn0P+pEU%f)s zb6@iORd&eJaAjM%3zKm>&oj5-5F_x|X0+7mJ5=X&-}|4W0!FjiZBVstN4yXRF)P$a zz4rr+(+oXGnH|VhX$eBj0nB^^fO*sUWKIeHV*2AhbCN!pBI3W8`n1oSiBCqK^e-kq z=Q9V41;F5v|HUK}f97z0GHVonF#%PdIS!vpGSy#N5g=2XKVDwX7OOw2d=>o)+$f7 z2(b{>tOY_WcVm9PfyeR-bPx5@A&3wMb9%uS!^#e zLje|AMfQ9Pb&@x^QrtGTxlxeIZITDRB}Qp`0zciiehFY9L~kd6YSp7LOMhLO#YS|K zt016%w7)#=+1Kh|xlT<)BR<)(6>v z5*GwG1tW&J>l^fp%cM)7){Idjy9(o6BO7+EPaw98RfEVY4XrB5stRyC*l&)1^P|30 zx&+>i*fp`1q1ZLD-}f$bbCFgD`Q!DRd-&fo$aM);2gr4E?X%{4`AO;`f`}8!0s3jS zJ^Xb^zkP$ozS}{Iv}BE~Yl4wKZA_~c~N?yTUFXI#;SXI#nhy*sH84NtY7z>gQ6P?#|ECw>i-T?KBw zck3qunccLTL0-KjOEg`E>{vZD=K*O$o3rnlU#)5(2^qkuR-bb{6?}(n1lX5|*zqk= z$~RForusUa4@^?E+s6)5wA-PLQ?&0y50kND8_SnzJu~mt3QG`Xf4X!ye_i0Qxgl1H z1#N1E;r)5PCd8ToIM65DqslPG{PMlH=$j_!bdHO_!zQF{%zd zwqpb#1{B0!sed;1#)G{9srfvBRA3z-WSXu{Tg>V=Co?gDkUch8Plu2^SNz$wtKJ4m z?~coLijgyK(8a3fxwb2@BBh&sr)}vU+D}*|&VwmSUNVf8;ds?=&d|4Q+kA~wT@9BW z@n%Y%-r*rd)6zd!+a1ojboGyxyhbxA^rq((yU{K# zIcjwo*l~^FXSfLlC0PAdGOFwzU?Yz~UfLo;R+Szrhu(>Z$4E4@5{&Goj*g%4mGJ1> zRgyoCTjAcZvIe$WZURU<^uJ%O3$WNKp{H7Y{g7YM|BqjV=*_g@JEM3@<(r}=rZ*u3@-qKS_u7u zbR33cc(%fv!EMo~qhLDqENmI6Nt;I?EDJ_UCYmG4A1f=Bh@Xp_jNd^2_K2H`EkA^S zje%N;)yTddHK}m2$a;=TcznVM9LH@34<@zgRCz!63<7n#@dHaj!^4T%!xfb4Vy;KY zS0d?)kH;Uc#Mqv;)05HXh=-a3h=U5%7$X{em^!1us{E$mWzIeC0*u~=ZxEAeh}DpK zIdzBqoLQCb6u)@d9HW}u1#iDT@a9H?5nd1BO{H^vt)RLSM5)FM??&_^%acX2Kz1ck zrc`Z4I~B#(S3vrDPAbmzRaA8*{#p=4d@i&^kr+|#L^rI3Y*CU+e(Hsr)mlC#(?NcP z14Hk@4W z0nK4yNT`Sb%Oj(XgCo7=%fHf9{ntvv;~gF3Ot9k@77901;+#)*VXMT<1AxWy0)vQ0 z9mPcBEyCl8RR-gK*{qPNvsuz0{tlj$!_OJou4#4GZ+O-q&PGi}0z6I8tP!^7Tdf;} z*f4^R1>>3;VAPFjf$K;(2)>|hmp$C$>Yukgb)?MQEC%U#u==sdP{0}4U~%g~LvJST zOZ>OkpfZYClyXH|gHqh&9M*6SSpm8@D=8_e$n5ms@Tmo67N`87>S9n9Zy{=y<0_2` z{2B`?S5}S|-yT8@>3otiMr0ZoRI3m5Dv>W+(d%MF<3(zmGgv0eDM$Mjedc4wLJmYi zOhym<>s2^lNduIrX;1Z(D{UkTy-2%Pk*u6FxE>_c=aK4q?=;#1LPx08r4+)U-3T{l zdTM6*p@k3umuq)JLfk1Y3t0xQ94Poaq zTTCM>72*_gyP&a(bab@rPkLjH`#F#X+X%;_sHF-$`|)eS=z;BiganNZsZ9`E^1 zeJVt328kC%{OcEU*yN%KK$Scd<`&?HwYRiK|Qo6o_-MIzMOfiQhm9 zLH!axwvj5rFe=RaRI}5Hv#s}#oZ(wWDFay4u2J%^s8}9_Fqm+(JU2C+6^f9VdV7|mIuBGaXa z@`O*qmeSdW#pNK)?+t+6HT%+A3yQuC$w&zZnV6@i6T_*`=XrDEl-y8Z0<)ZJ1p%9@ zMn5^sAkZ+ZN!1+K44J`Qo2hqx9aVlv}!)P4e0ngjYkq7|@MFLK(=} z_YtSu9s~~3{Thc-Xj@rZ1gw_YeS=lK{go&kqp|N9t2-F_N9Qe@x0KW-CT}-xGVY&= zk!n-0g_?lSIvsmt6F_I$rXw-aPS}U;&M<&k`qJXenJyl{o>)2ri*D{7WR znZ?Yb6lD@IOY=-C%_!|{7Gh=Rq4$f6a`Tv20NN!tXD577I$tH=UXNItyRJ9kt6&0c zymxCgG>!!MG}k@M!N#35){dAsw$4ftj8M1eI^^t_coX{MJ$ubB2;FYzmB7N@Vt%-3~p60hLR+6tWLVZ=uP9(Hn; zNgk`d*4D60kd%dnzUQ}wU}ypse~{3UxYICsA|+-J^CmmAYmkK+bPSetVd2T9uX6eK zk-nIPHbZ%8O0ecliyM`^S&!y>?Vhbl{~0WS7RiR*ck`7b;*l6SghKvQ`Adqc8b2 zFjYWOvseOAGFk#*v7Fyu-%rSkm3F<3Znr`|-Q|NV;$5NvNmLB*H8;jb zm>U&Bs9KZIDVmbdB{$CXj|-1x?g?sdMq**kCcEi^aGod+hD2kCH|G&hTa==={49NL zHV2myvSE(i_CsY(+98?fofFsY#|Cv_`t~QUBB%6tQprvM+=1HdN(pwOvgQ4)+JCSR zO`Zfr<(8vd*G|H=dGGcu4l+Wb8cmeE>KBbt?_Tnb_29u19s+90Bcu#@m7&Yczj;xB zyf;5G6qUN4t~D(rEgE(&AA;Z&>KT&f#L6R6Fe9G$CnGPC(I8~9i<(rzA} zKxS)bUPgGAH1JfR>z0v&1}ioBFPsA?sAxYsU=Zy zoV|`8Z!-*}=y9Rt;GOyvPK#-7ua*+Y3jRDLbTpiyIV;pJO?ws}R}8e>di>5FPSs{g zK4_%Hn2xl=iI(Y;66FpY?4yeBQ4MFpHHU~7Vjq;!aU<-DfQb;0PIb(55h--hIu`7a z&=b5xXDLgEkc<2Q?S*((dvMUsdXL*BsZ+l1cW>3!m3Jw&?-MqU-|IIfkR*8ZTN!f@ zJWd@tMeaO!O9xVcZ^}y@Pu8>%P0+&pN~y=2sYDrzvOrtS$87hPn7e^xYq+)q5MB4? z%$qDTaHj0C19?Dr>)M(Njn5e87SWgvIox1|IMKkyHl)HAvq9zgc?TEw%C_2?Qx)h; z0xo+e_rvpvcfcb$x(j$!-)K+dEO1rXt7*p-C_j+--9$!OF8U}1740zCFO@V0&46G_-W!rCCNm7XOVj@>&<%*I9~Vfb7P7}7QiEYbFXf;pn}|(0Nrh9Z z`Y7o7;F|wA-jx7ntgpQS@Q%6#;N5gP09*j@>WpoHlv%DqR^9L!?dN_U2fm*00OGpB z1)6RHs9geIM*_laK-dHbqu{PG!y*SaQn)8x5V@Rqz@{@1dJ~QWuFnCX7a+_==tVvj zXfTPbuAq!ss~OqbP|@)E0(JDjOq{X&6=~`WFn0khu$DE3@Dm21ls)U z5I)2rqYJdjyl&}hsAqJv*mjMqruT-O?#Aoq^u_D<0H7U!Hvl;O05JgVet7e}2&5d( zy@HUusmD8z$T;u1{!an=ep}RcTjt`ocBi2m-y5i`EDz2aYiOc$e>^HvMYN=hN-0(h zsghB!1*a0T48@C?3mfcpl|oUpp8OO~Ef`w(L(EciA|`L3-U*oGk(6RYp*@N04}Q$L z)-JZbS}L6LVt3m+P+bkk({vz6G+xtEFZOxVD8gLz0Ej>0jn|h{0HPW|bjZ_q!gwmJ zj;^N6y(7ovSx{A-m)JaG3H(HQhA3ocsMSYn(5r)4b5%fVxH+aZRVXho^(gJT{!lWn z*q9BSK4fji#Hb^)zLOrK2Dw;0ut{T8T4JB(t!DwCD{fL9!iV&o96+tT9 z@e(WWcOe51`2eCAKzxV;h{`=JiYYI(NvxTQ-;2v#P)X3Bpor(N!QwxihXaT zvQSs00HPW|Y<&{t0HW4(GkUsnp{sD=NV>($&JKbzj{PaCE%VL@5gSeHK}a4MsWc%E z<%Gt;e`_$P8l+94rx7x8vOa++awnn9dj z{d@Kgv6R$g;nG4nar?hA&Jf~``f9zBonYFp^{)NZ9E1dOqj3qemTG%nx6Z!NE(g{i z+(FQPr0bhBj?nP@ag5gRTt)tFeKQ_n+F}WK5zXa-+*32yjc1f+J{R&p2Cexg@D5{j zKSLpRK-ke)`+DIkt->OHyUP_fx{qop)Ox+i(-aY>Spbl{DUQ<<|CyqU)pS-sLNdEo zC6VpVmIyvn@G$NoV6Uy+d`M@R%XPQ$Uzei7vzkd-4uM%7@xS2urOtoPwY1NeBG=r` zaPSRpNiCY0grVbFg5pWOBIm3}2*V8VGJ z!_e-&5|~1|?k%IR+c!e*+7YZNp~wp|ks1EWYQ4qCKIG@&wEiqvt_bW+B_rxopV|Hy zJxr*qQz+TEV<>U(ZTJxG+zHW%h0pBb_Rs9}%pIsalm7lJzH3Dp-*7=0S;{td z{xm;8kS^y6@~6gQ1v#8-5t-}9eTnA^@|S=0gj8h6nPLIrOwckrCTMyxv6D=FouIo4 zLr`Ec4`3yt_h5y2=%s?k4-uchEC(KS>SkZT?p3gsMEuHx)0vLgf% zckW+0%}_8N{Iy~#Pg}WkYlhS-oIw9^xn}OqG!r#{vQSnj7!QzM1#VzUB<>%j$EQ$6 z5c1r>=EA#B@3gjhGUQFR64zj}xb}K7_^xGSd_s9-j5O z((upx8Bi~}qi_hXs!D`hR0e3nue>{RCP^R_$K_t__dx!`SpN7vs*=c!pcTQ)2z~JjBaXdh?bx^Mqs!-bR-bmzDw2eT)sO{xW0q+%m}^!FJl{IKpol^TVvK)uLZKoQ1fxIl1bxH-&@_r8oTf(X9JUtNK^9ntTB)T_mB zfc(i^fl$ZixPUO{xcJPDkAaPD!*GFUh^~RWN9m7&8>Ex=fWc(0fvDpP-GG<@e|Mdm znFSsDh0G14F1!Q$F0VHOYU)Kf2>K;!2Urzb;tt4E^bV*nHy<)_l&VtlqL;ImaFC3?$<>;ZC32G74~`JinIe2xnaY};@Gp2NG$e{$|6K?Cy9zhg=8~tViy|% z;=f)Bg|iPEm_#ufG?Xh%v?G3Wa4>Vd_on}@nV4R%pdY7E9>1LV_yclCP#)6Ur&FX3JchPH;WDhbc z5j9HJF}q=sQh1+|L~f#*S5*_$#k>Y9`qlw6Z`4O2DIi2S|6W#>ZKghTHu2X|N&{d; zz%sh(z3uc`q#1pGt)cS+AR+OTnMP`7#K95_GXok=LH_zh=Q}kgAHSbMa zN4r8S64mKNDW8@+QMch}HbGZ4j|*6eVbN5sn46-xblw{v#W_*u8CJ|llV;R*j0)oiOgA6y@?y-5(4p z#T(R?$DZaH5s>cIuVguu4D6$nm3N7&52?cu5T5=n%E(DR64v6PVG=wwax9^0BoUti z8k=)v!*9>5**hr;-cHsBIUdnnE?o_@-|^ zmDrNVM6wxNk2LFF4^6OJfn+_X7AF2Ha`RoIQQw{H2HmM_wx*B-wyYh`yo5b&MbO1v z6?F9Z9$2U$xtS|*y}BReMp==&RAsubg+YnUb)nb3s&;SPRVsPP^Ve_e!B;DF4ttMi z{mta$dTjwQwQ9e$8g0lX-A(XYC-vG({Xiv+T5ZVUTJ4kY8tpA(p(}1#m&nBG`f#P! z+C0*|14y{k0fe#j)Q;;Gff5mlkFasBfJJ=!uR<>P2(@;}?kIF$P&uLiLypiTcgxp7 zE2iTv<`k^nPIoZ5`J5%`1>Z)8JkpLRWR_X0%#r-uLS#3V1*{CXPB<52rA57r5g6+W zL0p=A+q`u3sjxA-ffLT7m}TekqbG3kHwgssJ$@sV3xQ$oV>?0cC}B_CG*CNe!QTct zJB_Csh|~MSYxo^u6p0?RwO` z2;gE9RNxQ6{hePq2RzeUG4EvKr?|Qi_(I*>!MOe0_+3nJWxC1!$=gh&LzXeX6`xBx zT2>dBm|xQYxeL6U;!4IzNDc8PK1}kro=6ERWdAX)NK_fI#&%Rx1EWu zYn*|(oXUnRvip#MIa>v~jX{SrYlthcfN7eS0#iQ=mqe!Iud1^2Wy!`=DJH zylj}I9EX%j=IC-*>znjHI`#jb46|{r%Rd=EGqY=L1|zC>Uu5kMJ54F>v~95tKcLm= z@#OLKcmjoh2P|B@N$d~t%r@8y`$kXGR)=-fSi5?PdIP@~O4oG!h$+QD;F~%NGricX1?rZ6D(eIGt2(vpD zHO|m!fTNc#58lKevo#s2)lBPSzov+!@+2D?FG%=DVhtdn{;x#he~>8nlnDGsg6ls? ztO6uB{*{RT4-$!=68`^4VE-qH6@UchzY?+kO(K@5>e0xQ7DC9nP*K86J2lX$G4vp0 z*iEIK@_Cl=Pz{doGHD+27EvQgu9+XAmpnk1LAmk({4Wov)RF@^a#;%SZUEcH=c!^e z13Jcuwa+#S$<1fBbLImG|I2liX@0sc(V1IF?!S#7h1?k)z)6W({BM?t5d>jJ<-{MC z?@WjSm+u-3p+{dS9gOLs`@s#i4|H)G-^#DAj`@fzN z{eO7MIFBAL599H!k;jhHs3UU&9!qs(8yT`@qxXhhq?fdtnY6) z6GDgN7#JFlV4?~1DZtTuebW1N;^+8lvPGO3|b9)!1d^3G9LsMDbq2p2s~gLX#k zT~0>p-52GY(H8KF3D-h_DR#y>5Bu#Yjo=Vc1Q}e6sp*YcW-g{~Cw6WZ6Q@7#fPaIo zl0$HX3i56M=*vX1Wz|%HiEa&Ms+02 z9BhEMf2;Gaux}dpRY0%8_X`;sY+N!adJe>dxblnYrR-cO*JQc_OsS9u@4RDU%iq41 zRzBjiG5asqY1^gzmPU)5tYpsnX~dX)?6#(vwZ2{c?Or2h|GNR!!({sYo6s}CEa+s& zG3=tx5$a;BA=t%mt!JIlDmGKYrV`+{f9tnro3)Ltmr4^&fBI-zT9=A>qcN?s20s@) z%okF$GxcJpJ%GunTJ?yeOLk**u#{Gcgb<(iT69RS^qSjbYg8fn0|oc zmeAHpRURQO$|VdkyMK6>SNp6=xmdz7g+q(KeaQ^&YNWxh*=P-)lc`c^ByFgDZ1KTF zlPaH;pvhDlIoUQssfB}Fn$mf&)WFcv-Ifs3E^Jwh&iMo8a?AHiSbtevfYoqnTMngR z1u3;r1$;b%^6&_TgRTA$CVSVAUoAudxBbWqA&9WF(ty2*g#!(G1Hkn})|1l8@%qNF z{3DUT4Z1@hqiLmnU=s_!*69s^H5e5ZS*`IyybldYD2#{j11He=V2r6_Gtw|cdnBd0 z;FwTraF`ng*?byunK?84@ zRvX9jls~&PU>tWl*$t;tn;@nf4<&Z-i zs~bSK)}`m zaPn;2477*ynumCfD=8=Jp5sK`kUZa`8LjrA&H-Qk9p+Sg?3mtns{3?4JW6_Fnz zbJ*}27@%5CJjq8o7xlWxO>~=0P+3{=np^xVOf7GK^N!R1EoK0}wzg$tu`bD=B=#pv zzbu~T^WdGXPkF|bp>hhcYyX#OAH?i8VO8FKbS*hqs`OnnyGGS*_+OAQ-4{-46$ zIw-Ct=o<|o1c!tWB*5YxAOv>^?k+)tySpdBb&=o}feg}YHH4zInzDevuCE~*SbX$@>)l1+6nw3e9q0MhfLjdedsK%OXXGf?yPqz zp|z?dMvHX&{mAmYj`TdBRv6GIDK6er*`yDyXaCxvz2{P5L-|Q^{zxqKp`~&b?kTeW zQe=Of_~wQ4Nf_}>i1UeAi>2BUH}YSie;8GxRoTrOA7Ocx(szQrsTP%{Hzcv-vqJX; z#M=1okY(;rD^UC@H+738XCayK&;WN^%1UXaH*8G*w^zObx2|wOt7T1#C1N^p*#^`V zXW_+2xhBI+z32mf9ox6(Oc|!HIVSHt*1F0Kb_I1UEI{OpR@qrgw{PW97q68Ch>y{# zkH|i{&sZbNK3iJz#%4FF;eF1+Ol~SqM(;mq-T^HwQ5q_``M@B5f7sPKPmaML$&6c9 zR=LHCzDCy4cXmBt7Bvf*MZ`B!3H}s=Izocd+`0rCPL`(5mZr94H|RdX%q;sc!g*$e znU(lL%G_@yT#GU*MY&omLuM0~%|Tt@ME@?To6jQq^~BoVL}tIpxZ2A)Yi>Pi4MfT^3wYi}KsEhA+ z3%1&ax3Lvn4pG%-Pc1F(BKreAV`8V7>~>2UpAUL`#!&i}KwJa|^@XvNW@81VtYoq6 zzY{54Fm<$z0O5IcbB5Sm+J(%`U?UCsDCcw*oh}pR61NxPeif5FYL4rKz5i%b`)DwQ{HnZhP*cf%_`34oP>y zBKw`EnT+GCi_z@Di@n74=x^`Piy%MC^Di}_63Rqjx8(C?4* z`=?%2pNpDTi^}0ua$yA}8_n;Q*C!K?G<$6=L-HC|VA+1G@iCij3ZPDn@#r)vq3W4N#(sK5Wcn40KcGprg4tWt2#gl+~$ijm0g{h2A#3+Md{<0Sj?=Y1N`L2FM8u8%^N#rDlI*Ecz>4< z1Iv}4dZNda6>Kn<1C0NW&pc3g;Bk)MO(_3Uq5Syj7xHJ~;p2EGz`EzV87bz2L$ z@1^SvvH1M*2mS4G`YK^d@Z;x(9d^2&Yllj>JpCPvRAEwG?siQn03v()`0@yr9Gx#T zb5jwGG*wKq$Q8#V2V=ohRxj6+ABT!adJQ4lRHPfYfY^*}+%%!~VUtdM3P zmj$eo8nzSD@OT3-`}>Rf)F!l!9}XGz+kLitcR=@Xk~ynY)+#Z1?1b)P9K%i7>nv4< z8~E!i+mta^c`Kiku^r{WWV=11ORDt=v6vo9&4re%TM?Jk?@o*SD4jkdepFhNH=f_S z7HD#++{4mZCJ`t;atm(R(HBMBPMjSn%nQQc3Wffh36?&fZXd%SrJqcFk=->mjSS0JW8Z-J2GdJ^ZkYGa|cp{qeM zI^)0l=P%g^?e_@1it^9&YuRdet^+#23rz8yrhWHr| z*yDERa&YFfi!`2}?`z+`x-R&y=|JOT6dVQ$d&3&-P5_lg-R%$-y7!m&OW!Quz6G%9 zvq;dwH2f4M9dM8nwTIIU*EbgiC5yN%vqP*sSN{U-QgO`gsnGm?%rJ)*_M1T?JV$$r zRa~ERX?ueDeS@}0*^ojemfz<8;vOIiA#B6|Hq!3r1423qM*gQUIubex1d~1*A>4){ z;g3Av<5)NIy>@BA{$GT&Q7P0J#|NELTW zHOh_q_-=qnFnF&R<_I7!KH5IS4@vk?u?Bn%iOBZ~5)S?MkJ>c&tZ^Lx?BO6-&~LG1 z*hxRr7c)nnxll&AIxgbOFp}E2Z8cIu4!v&5z(Tg3NFty(HRSCsMW}+L*6I|TDK?NV z0{Q%CrsEFwDgxQW{D?t};8(vF(7rbk-I^svgymmmXxyKOZMACO`}*}yYTdU6^!G;e zn;l^z`Eksy!;!=h>RN*`>vPt!CXe0^H=^qIt#m1g;{T8@(Jt5b4jgc1J8oey#3T*y zFtKZ3;fF3xy&d-<<@8#&{>yYblZ)o}5Y7Io^jp{5E4dMF=F=x)hz#@T1zhA=T{->m{7Z@AOLz5q zGtGMe4MC?JWz37bhS__^l+a)DlVI(8OR+7a%+?am(!#e3By$pALpgfju7KkCwIAQE zr6NL0eZ}mTAj4_tlQ#~sl36_?DStAs|3Y@F01__owO?W%I}|Gd*~t8;NsI_l7d##U z`}J?pPvTu7&Dqci7S-e{>Rzyp;JrTm?2H`hB$9*Wm`ds$h2>2X-}l#W-dL4Ay&^)q z#0jM~cY8_V?;}C1L+NMsff=f&MIg1vL~FFJNG_LuCc5k|FD~cIrC*Q-uVkwm+BzDK=}&y2icIgC9#k?cp6C0HCYJO-n&41LTKZFR!d$-L6Zw> zANU>~Jy?-Dw^TbMe|LgdgY%`pg%@%UKgDE`VWeRb)0kj0HTy?brLZ3Uwg8$4O`Ylq zQ)%gy7;7;c4(-Kwf~?P_qMl_oft3`|Kg*V-FT{KygP6*2|bLGR9dvl;L627EPh=vo9! zpSSIL^DNh%a-xm{<84$6&#BK)7{~xFBDQ7A^4P3)&(3-}ueRjKa;nCBDxsMv(t~ip zF>>4ODd;!d4)2cXx5=$*)mVzltD`RZa9Mix^(M5WE+?<9ps4OZ$UIYi`-zvI#93s> z&}Ye2bLmcP30YicD`b9ATz96n)XD0U`Ub*G{8FNI_FfqWUBHK|2ZIT}%)MZHR?LDZQNEJV(62t}JrX~jBQis%OD8c81$MbJzI9JCt51=W zQ3`V`*$13YY+Xh0+$i(J4Ip0e5!Snm33hbS?T2`o<_d{5@^%ILgb0d+`c^>2i9>?U zORUS&LtX@D0XR8wMTG>k85`Yf>;>!FXUNi*8IrPI4c}sXEDi5_y!HKiciW0<)q-u+ zf@9T!W%a`69QSmMbg7-I&J(-@+oA=3upx*gW5<+@PY$CS8j^Z#x&1S`-c92#nIMbB zDFre2K7K6O$*+7pKA=NxO)?p?3ZXieDu-r7@q>eGp->(mzeJyznhQfiJ3%S5>tCA0 z2JslRtJ4lpVE+z85&3u(Y4tYLs5r=_%Sc>cgQI}rB7HK!cl2PWbI)@}6~@VRFJhxz z`CW}ajO6U+uV(6es);dmsv@5i5A3&|Im~kHG!JNdA36x@|H#SgEz@6r7~T7QSZM$J z6HzG!mSW~h8U}L}(v%NFgoY_^!le-!-AN^SqY6wx|4KCvkG zare()n?k}n8u$Oj0%|IoK#N6p9KCZr$jrYDVYAbYTsopol zs51P55%&B4#Q++Bmkcf!^4U1yyb7?xCqsYEG>L|mYI(bMCrX1ot9ZYYz>Fda-W^T3 z9dv}>&NGDHK##19qKK(zx`a-mfmnZo5etcQZLw8XDIBW4ddags{L)q?;XEwQ4KTy$xZ7!EArTQE~wRyJ{i$c4M6Bk^3lWZG%_F?4-X5 ztQh>IILp+Uocuy$oLjYUS(#$iN^|DN6R>uR9hJ=GD=gvNzRAD<0z zw1ZODvS5g*bPg{_vs!@{J*Jesk;kkX+Zi@vZ{gqIhS)T?05So**qD0WZ5tH z`=>;n)~32VE8MdF=U4ax*iScX4;EzxT#8_&gpAx*$}SBI?#`Ey=Al&+_%(c|!fh9a z2=s)He5(O$^91-Z`~Bf zc^|cxuz{Yf8Qb|ji%rn##<;Y#{gwZ9LXBc^>6esxd4mBL zlA0HX&Ee~s>T{9LlYYj1YVxai;5Ug`I}ji@}|e40?jyL38XicAXs=dIAcE689T zM8m*ss$2gx-23E{LcgCpisVoviy|2mNh{DfXgi(>71KLtpU6c-JuKI9G5N0z81Vk= zBjZKc8gi7a!NVeReEA}t8)b?l(4Uun#CV}1)~||PQm7GH`R_)IC8!>8WAIeQ=%k6m z=#Xtfp=u_5TvMj`$CH^*_YD`6iQ)SUUL6XCCr+Ho5XgGufYOi1IF?TAmQ~qLksgiXYCqqpekU0{VT-CixC=3K!dA?xBp;vuQ4BKX zr%toKB2JNSaakE3a3^AqhcgJro$5{(iU^e@u-Upz#o@Gh9YcI~L_CAiw`n?V{&2L+ z$(?`>9_i9$E^geDk>X)e zDqWC^E0FzjEE}w>EoD+B?NQ$N-`;M^Wt*5hbSuR1;_7RgZg<2bfIc=Ean;@vozsM{tL5hI;0YvXbCk_3_B~m zJfV8xnW(6b-F0LU+z0S(mBNW$;?uKRAme7KG^VRylP40=r1hTyu-&Y{YQvTX&x~1IFdjC+q1_K)*FT|B3C0u_XBwlRfNI>{%eC zP!sfMj)^i0Z{<0#zg1}`1!BKeUui75u6$Y%I}2^Cs+phoR`=m@rjEA%6|QpCrDgxgGN$-2*AAL zkoKq)L$c(fovU3Av!SR|nNIEzdLw#(uFVf}Mp2Zw$%wW_J#>x#&ATU;B`Hkf&k{RH zBrN#6HgB#d8g#m-a1Jk!>%Z;QH~vxIe0Tv?tWS2hGI3A7NQ?_oH{K20p{oVP1(_M| zMk$0J+<{O-h>r6bm*IOKRq29dAOtWi=R4V};8A|JV|i1yF8Bn`P+$_rLhfn35y(Qm z{WeycqVktx13(IEZX0&}E4v{@2W8@+%wB7Ey)dt&T46Yc<++>ml9Ixn+J>o74>1rY%K%NVZ3Xu1zZXW#M_I@?Gj= z!5VC>KF2P3`$*o^BUlyLlj1RA3o+M>iIQgo$Py(V-wP7;B^(42sEWI``3E5r2CdQa zRN-B(^nC((<+nWyIWF}IaA$Vg#*ZNoX+bP_Ujb}`?Tf4DNCJ%Dkc?_3M* zjHeuHLQh3M_}o4l@AElZZ}jzmE^T!SeBd|$resIkBR#V+E}vhj|4j>O(P>~b*qzKE z%K1)Y7Omv;^OuZLBP(YEBWD92X9EXkgBWK+m`wRnyqN@j%`U|b-DG^6C_Cr16Va9@ zJhv?+4>bk?nnPs4-Jm;n6M?_7E_BJGipZn(qb+CKM1SpHe-4t#&MR34?WEIZ+bH+N z)c$HewX_YNDDG;_fD77uM&EQFX>39j!+Q$C{R}rGi9YnBseFX0Mry&)h>DPF^V_X5 zvk%j4DM(6Ee~K6yki1Jfb{th9B~pf0MB=lO3URCR{q5so`Cs+q7f%PL*EgDsq4Gq2 zZ5WHZQGtY~!o2OF*ME}p;D@i{4jJ2^Lp+z_L{iM5vwZ{dkz-2zpq&B~ zijrlK!yF;I42CE4&Y9$fjpbBr;c~&E19qU%(OBTVK=O+pA4c7{V&iDg?X+#q+k(yA z?FFfR??*>7`2L#nUUe7U4D4LFis*j_dLJR-@Ut{D4P{m_LxG&GLP&BBD@TCLV5p&UaNe`qC#!r2`*7K{^kfZAFvH`!gMywo4@`Pb z^3J8l9oj>cL)-&{YBIbXC2K+8{ZMBQ!i%}QBY{ib5a|!5lGDH5^%?bB>aF3NR|yAQ z0OR&CI)BQ=K8AnfqAXc5&f&UE{Y9jnXuFqPo>KNVO%!E&o7#qFcjy*}WNYY?GxaT` zJvUmGaKt`sm$nV>+X)-}A~Gr>THPk^OT1v2=qc(`W*1R-afZI0*a$!5?5Gj zbq*tU_Xd-Z`r8=qes)i`O~rZ{#9O}P|3&{k_S7@n1HEuft#Iw`Q@hEh_H~9R7*Qb1 zUkim+@$YR~1Sfer!wFab%gTj#STw`xUa3`r&Hd+(CF3>QT2H$I!gQh>rc(_#TvapX zKvr8wN6877K$k$CF)``IK2~2mfJ3(&bLNch@IoMijsN5s^zl(k)=%>ULo){T?p*Oo zYwyxB6O_6b*sf;E|57Uc6^_!@_RZI;S?oN=oZ`m)~K6+F!-nHGKlR()+{hWMNXH$hgsdApNL}N z(xT}B|BDA`XdLsM5d%>bJ+eq=K;v@NJsF%}yHCZ?{{}YEmI9_vHi+4v(!Ys%&UZme zF5VleLiEK0xqIF%A4dP1Yq0TVuWgw2*o+Q;eyeTxMlqag7I$08B_^)x1Lw6W1Ciym zEXpvE4CC!A``1g36aTwh5Ftqu1f*p$#bC|)8Oh4*${6oj8SkRBSy>@mU_^OGY5$iJ zLSARPwTP(qP1-%1q6}Uj%8VCU0i)BIldxQynL%ly2{Ka%(VIX0k7JGAy_@+3oGBf8 z4BmMP4#o`gq>8H1#r!eFAt}XlT|vpHzdxRL*UFkPT=I-bxT19ppZt2tC!9fQo?evjff)NsWayU^0DCr`#EqN! zF}dG6&IBc6lC-v51{UeHwCun^*>ft@198n5xE$j-XnGfPWMUn(KLr`^K(m@{>XqN=wdEHi8^zeNdf*G~M49@VZOv6sdzriJt*l4BixqSL0{eEW+Y*ODb9)UTHsY zxF=V;C!e_|Q=v3yNH!le*ZLS+y&`STmyv{h3&UhO+7)vq-rofh5*NMi(ErAnalX#S z-(K6MT@pmVvIetWIkygaj}OV35|R3| z#%%7xbL_OUOfU&gmjk6)ls(<8%eLvv=#E-f|#_y!B5b<=Zw%uoa6fHKa*a~x)m*_atAo3JR^sbA| z8dNZjQ%nV%H*tdz7y-DebK5hgGpGHcUK-r*KoaS~gw&!+>MC^aawgg>sOeanNS~@# zTU-F(hVg{OUS!s8wl&Lp?bt_L#m$XE=~RKU)plk7@t*EmGQ_u^Cy$PS!%4lb#k0jl9#-K-{La}+QUX#C<`$>*7W>h}nCdsH zpw@Ypo_qO#BWjOuW*T4hjjoK}^|l9yZn#T3;p?{@+6h+f%-A1&E}2A!A3lAe66Jkg zL;W0!%juqs23mp@;02lD7%&kyUkiNJ;8yx1LP+Vn5rg&Yy4mqy%S6QYjp|1QcgCAx z4meXGmC15`UKB+}xi^BD@U$z0x?%&mM(aG!jk2klZVer-r_Ww>J=|t#547_rgO2p{ zI%4qu(TpEelvM0^aS|A8N;4!<+vV1!!kh=R=KzwF52R{-QC~0JX2eAKydO$Bo@uX^ zb&)cgt9sL$l#pM_24Ii$Kd$B7HoMcnaa$)h&j%u4I2Z)`q$KL9<9yGEY2JTKs}i1x z6Xo9PW^f0@t?|Aw;AC0cYl=HIheLk8 z%x&k~?MOQLRD&DvJbN{44X_KLX~k?8E9d&YcNf+bk^~=G6WH%@=eSMye!>fx3Ap)% z!AV~^njSD{RuG(F-{O>&J#z)|w_1Fk)K@XMT(YV*{(f99Bm1=YF~h;F{pjAw9rm`L zp5tcj2h`)n|23yb6+G4FJogO}|ormk5gj`f?HIiyMfK|fm61u=~ z@QkCtbV72HXm(+Fh_h$uFKipHD&TnXp0+P0d)UGjt`C~KjLb9hukxMQu& zA}>DUGP*3&79;^{^v?u6sYu#QkWD0y9VRjM2eWaASd~s9^=b1JyBp`TmW9`WgO756q4Ry7q7EyzqF;9pe9GFsbhwi#Ko@cuIXBP;vE(cKWA_Wse;o0+5QY*rrU z-mdeNI_Hz5gFAx)IPWVSWy$?jLqL@3FzKHK4GJdHTO%Ip($<5T&YoD#nctlcLEm2% zl);NPnBp8})f&xt@3ieuAYRU7B-n-UjeFWA@ z=L4w=(oKg9ctmGCmL7~|&7yj`0sf}IJAbj3)twW!F4a+nlbTw?4C_+dR2%QVK}MsV ziiQM6z9;4<_3NfU(OS^Q+EH(H!xy~O&9IjA)w8Rs7;oRR$RUQ4#Dlw~$mXT8FXO-Q zr<)wsVUU2|lPC3KC+;dU+h|36vyporYk~C#$GhZ&Y0+lJ^VirvszI#)fwgSc1INg3 zm_w60j#Y++wxd7%NWpLIPxM;7TL5N9oqT%hOj9VwD5K#f)}E*8210W9ZGbqgbJmEs zmD6sc287nKp!rlfLyNntEWNZ64HubGn(oYJBJz35(dugB^plecc>7F;3M6PUivRqk zO}|^R7)At6Yf=M1k4gBT0z7^>Zlf){z|T#{&D>xXxW*A7Jyrd#yX)`t>I5G)~zEqW_t^hP_ZTXLR@>-?Asc$+YKTqqjbcKwIO+8K1O$ zklt}UDDbYnD+{2?ixPoj=AN!U$8YPL_3LZ!ean?cS{npfh-GK znO08;18QTxdmmJclxli?0)v7Yj|#_H`8M>cJH@I{B@*ECS#!}9)Nt$RP9V_MLe-Pu z&nJ3f{+9IH}b?OnXh9DMF5J# zhl=W|jKtZ><4R6WR4YNE*-jaIIM|L`b-(x7i-&RV=m*m|&1f;i?MaEc3|+21JS=j^=^K3c}Q(W)a1@dC#Wfi!sJB92gGV1M>#b8is#MsREEvMa9?Gyb5@b zLJx_AWH7KZ?%02@nk)ni>1c}K0J(C7hwSiduU;3v_aHA0X?3VPChw}$5Re*d?2Ix0 zhmj+nNhm)XpZ%qGrj9CEl~OfSp=aJ8#JpI$7CP@AZ2_HGY1j;lo5+fEZ? z!YYnaL6Ig+bL*|2GxA{<8jgI6MBlAC6_+ygshw#N_Z>(uiFznxEFFd zs%A3Z87{1TyU~G(X%{c9ZRAUtBhFnPJPWY|F_)I9tEf7yH&pZn2b^40yb*a2NtAZE zxDY)RNCuqhdUb$sxTdl}$xxR(W>wCI2-AHXfXv;Mm?bA&jJ;N5k)Z z9-#)}Qd8_ZE4y1=)}%|W+U`J<)IFxyk=s^JP{fG+OfX%E)yV6VgOOM4fy10kg!g)r z(&eY(M(#(R_V-@y*Upbv0iUj&XPrkKS=N(OrLU_vM6Ft(n8z{d`8RJl6L&mye6IJP zLB%4KkF`u%taBVt|qW%G0HbD~R6u-InvtAL-Rw>BsQ?VE^rV*PMc+AQt1Ro9I0g!kU}k{pO0F z+t~EA#ZdvFs8a|dsyz5+@gt&c75XPRNIk!QtAK`fZUyh!mL$ZwfhN%ZN+GCm+VRCI zx^^Ve0BP!W@BJ$JrH>-}r2{@~CFWaD?N0Ug&ny*Yr8eT;3=@{Bs2B1K|2c>oGDd%h zQLm>7wA%6sDzUuO@#eG%(kVsj*P1+FSDqQRJP}M>hxAol{&-J zA!yoo8(&cYI0H_TNM*LV{rZG)qVwLV)otv7Tes!g?9zNWp%F8swzivT$d`EQ*2O9a z=t5h)C-$S#XVxKA!oo%bLg7TDrv2E>_;wD=y*drPQ91Ww)je(uwqhM#@oO#Ishzue%m`XBiqoZc)~~x1QEGXQaT#j#NF8`h}mnl4xA54zZm{xk}NkeH8 zWI{XNrC2Q?akBR&CTNFhAx)z~TwN@RQdly+jF=&R!c zNXt)J|8&PfEMED@A~tv&UnXV`87)%vy*nga{(R-FSPyV&ZKVb1-ht(Aiwy>^hS8)7N2%pgolvVdQf8m#)wG3c#q}_m?e*M)!p`X zwp9YoMnHRmhfW?|J?_S)oaurSHU}c-7WK=p31-j$Yyvj}kfF|*IBUNhiwVNCn{xjN zp-<#bE+5}|mDse#O+i|7yN1<_>aY1Nsr~?_>=*Ky+Z}gghv@!Mk5?KZ=@B=1;n|2A zjY$cn?}vx}(Ab&F2=w-jfix<9{*kKw92j9l(WE91EWls-y3nnpEX!rptwf^RxG=~E zzbplRlUPt=q$U7M_@}zNl~~mxLFIZ|lV-1u-|VFBy}(aNbX_*xsyd(F3qbIyo#PL} zc@QvHy~#OF`kEGuU+o#S%LTc7mEk=4w!xF7s~XYrpTT3N9+@mZC7+R43F5CcJMyX^ zSUA1}Yf}xCEe0A?qvD0WT}MgAUF=-Bxx);|em+J}4E29mHsn@Na=;UEpS!$h`^8oq zNyF4A)qr3A%SOUrkYAGOZgJLa47Saii!Ko9VI`bs=AV4(*yFRK2JFdhse~c3xseT_rtQo{<;t({54smYObnV8L_yvtfPq z{qK7%@kld$qw*saUm;fp=mItBYyR$Y6nw()rLD-ulQXC!WYNC#c=|qV*fB>GLFo=V zBO!bzGQuNv#k15$$L`%r(k%`$zZ`idL2JBE-;hfS0>?2|3GEK0hCKa5p zAs*FVkw|h4%RPG*`Y)tT_=!d*^lGlhWb*97QH*m{X1&bX6VRYYpx-ira-|%;V{E1P z&q-9$1#@Qg+nw+bUNiMFz`Fov*j^h z&Fn*~u`Ymx(2sL9Cx?zqu&xH5Lht+h7H&x8BG}TFA_^KIxnVkM7IWce3sO297uL`3 z)S<9+4L;m_Y)C+Evnr`&BuXPIdpi%VsZ5>njpKKreEGbV))|K;Xynd0+jvIP8?X&W zUwv?=0E_P-Gb@n;96if5uA)R+J1LZ`ehU8gfUGB))h`M6XdPpf!ByTE{nX7J zG=IYf8~7VkF_jwDIg{2Kwz!hE9Ge*$-M*oagoE@p@k$&*I3AM|G83X-(!ix!q#)={ zxEZK=P?>rT%NpEroOahS)Ox^IXTwHnRNPaE@`bLOTvlj8yXx4}CjfiS$=7z(_mNoi zyjVx0D^3)1cyy1+r|HdJdRZ;Irwr@{;|u@%>2ZJ8Kct@4ks>zIcIk}$F!*YfCe#)p z9USiNOhT+kI>@Z;SRw9Y|y4lLEy= z*NiFAA7uqs;!SJ2YIK5H2jUhbKK-ydb)$*1{MsuwDP;W^De9bCnTVz)7g93ooP*S8 z_YnO2we5d=W=~upQU8UtD_1UR;a;U(rEQIB7ZXLEeHEL~hk&E^u|Us4H`CaHNqCRg1M#S3zS0O2=pthE+%vSi` zcy9f*PSmRe&S##5D_glW*Q?FK;D3)cA!k0UbHD?L5=_x9KkY=bM!p>|{lQg|+OaER zHDS$V36Zq=Z%u6lkp!X`q4p5TT2<^c-Szp{n%W}q|0Mq9*HLR~`#v*saroWJ=R=RF ziD-x<=aCbFdp#6W=C?*i54;8paluoa@{;PNn0koPai&Jk+Kg3p>((_>R*~I+A1BH7 zQS$;#V=jRy|I=*9|4k5*3mTwj%#T`-e`k>m(g0T$kzF6@Wm@2B8r5N~@Jqq144ldT zN?ZhfPoe_;2Lxff12qB4fvHI17xNfn3h%xvL%-%Hzj-FfKRe|gE(1+q#R2rbw zj78+&VPX-rC+t+P)++#y(CDUd)%@=rM-Y}M$;rc{A=K8WJN3g)a~GNYQ6l=B2Wi(p z;U1mC>}wnH8uQMsw91im>=l+@--NJsMl75)npyWYJTbu2t}*9wx5+lSLMkqef&aHd zbu%|VoDQ0;4m{@)Pul}woWl6GQKrsX@F%7NsP_BMUurxX7_~eBcB=~WOB=P?rwe_8p7OtV}dXUsP#I1 zlZx!n&L1_}?w#!GZDT};zlPNcJy`CwXB1s{5^UTdGsOiEALS)b3m;yWVC5spbU82d zCjU!{+XNS~>NJspCZA3vB<(BV-dnvW3_%f3$-~af12c z!AwqOD**kv9$qu@ZAv%hzEIv?%7Od!zNhLx_tTJ)r>y-zU%+Qw(`@9#mr(b`Z@PEu z-=2UA835|qZmaxSwvYfbzm>MdPzz`jg!$bqbRZ}Q2N(*zcQAb79lLP-wz@-^et|s%gmCdBQ;h9J->Xh? z*#*y=iTC0bFo=7JW`BoSlP6}F)dgLb6-!_P>#hvG?re#P=G!3zzrv&uR4t*S;_l0~ z==(tbwqDFkuGTNGg-yf{=~o?wtLKgB(lFN#RDS zMm0SMFKpIJ_wz%0@D`nM!@1Y6z%Ipg!l{UQArScco=n+aGstq9S$Tq245YfF>Bq4e zaj&2Ja&5h57fFirkdM+}1p)inv8;gT$v*W}@KOrilI}+)K7NldMK&(kDZkWCd zta`GCSeCS`0CBJtwZlQpq=!swtIF81f(n7*sP zQ}p#xw)Kl69gpoJH!Q<2ux&$i8vhY#5DT8b~$_jR#*8pqRa&Bf@JbQ1^y`EU#rdyVe?=2y#IsY@2&3J z#F@dG##7HJTnLMvdyeULLAe}=&h(1}#w0wd=yE~} zd8+8!)qkblB;O+)%I;6i<94%G^wY#piZJxP(bQXf5j$>jTEx z8=mhn_6rJ*dBGO7i8~g7mfk=@Sw|lKH4Ffr7_yzXBs9nd^?yj*q<{w7) z`H#evFARxIu)NPEIzqtSZju+v>xQquk{bxXOZ=lct{D;NJy z^F)=>eeWeGcBxEKJ+AL^&Fvezi}Gl9=C84)*-6Omp9}8aE|x&73}p9+g=NlTIeVi+ zIN~g?pLVid1n-XKke5EJ_=q~vaxMyq_hdh`zbgO4$}^xuzVT_duxh-hnHR+3CnMOP z778St(wIj64oD~_`6649&$Iq%CkFA-z8#d#Wa?IAbS}Z9yST+X|CTmgMD^&k(wo6a z>QZ}hDM^sObL^v)SlQ-~dlYY{%f+rC_K`*A$ZL)^vgP{@`8jP}RhEy8HG_=`hxFa- z#dVQO1t~2b{2wA_E$H|}r|5R%w(67UBU5sTxXTl?vCu~1%t?QL5lD*OZ_k6CtazQJ=j(R6%00 z{SbQc>g@CNv>;{dZ8O!^hx-*^LQbdTA2hSbu;8x(|5l#Ie=npCpXMU*)j+IF8NBiK N5TW@a@jpuVe*l?z)|LPO literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.rtlv_sg.cdb b/bsp2/Designflow/ppr/sim/db/vga.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..63098c15398aa013b908c5ba77ccf6bf4f15e067 GIT binary patch literal 22470 zcmZ^~bzB?Y6E;erK#>B4;_mM5E$$R|r?>?T?(Xi;;_h04Td`8yQ`{xE!wuix``-K4 zC7-i9nVIvFb24^L4l*_l zRt|1f4mLJ^GBr6eDK|Sivj5}Q`uja}77UD}=6@#`57_^mhqV582EIG?Mf$NOv29k0HPD&gWi|n!s=ZFG${88vkP>&|L3;HwHQDBeV zw~3p7ND$Q{m$P?{@$@Gp&hs?rF5_UJ1@<75XB8N@nDW7po`<@C5p>}EVZ%{mI>MzxUwJ8iS00EH}ijsbappZra@7V76%WEIR*!h!e+r zT3>$-`*WR(@5w5;%9GV`*!t#;?^p<0h>Y)BOpmBmZv#J{=C@$COCd&ucT;xvFuMNh zCI@K{`EksPm&Ol^{Q9inJy(Pn(+6UAZ6o4<9I4wzk#3+v36;W8};#^QMo*y z#}-ZOd?zYG>Vy#CF*&kCDzImsAZA% zccvo5P%>J`bKhPk8l2XgG2ehQqdOfW<#zGJxu@V5iiWH+8walWO zTZZJOCx`DzY5!^!rY{83WOe-}Ib_GqbZM;beWEoTlG3`pB8wzDC*1FY7^*dlz7;ng zqEs|#`hHEFuV5{k*1d+;(5>wHA!j>>t8QKW5X8Wo&#M1^GD_E>>U+vBa%Jurt7NsD zq+ZY_I1FV7FFaJJ#5IO8WL6IwQ`vSC9M`1W`R z5ex!~`hI_7H!oI#RrLMTxTD6-B&7iN#H-K_`I1PCf086jGg8sQLp7=Qepd>_&lCR zY31zaLg{PtKQ#Dp2dR?AVJdIH$% zQvoH{HCL?HhNZp+)b#NC1A>Oni1H8H>GbYq9QIqjJN7@W*PmU5hr+x-BlLBPi%+99 zh;Bwx(wYUaox4o>5dM;w4*D3XUbH)L;`x5oOiA^43(8z=EP^YQL0nUsUum1h<$VL% zIa9obmHF~<<$0iwMP4C67h9g1_0F58pM-T%v9t(pb2S}4JF^$ow2&UU;Ifq6#-KB+ zm}6Er1VFZe(u?`_^Q8gJ0A&rM!RU_^Ny8WVyhI2>t?!5GC~u;@O8eIKm@;@h+5P zI8=nqp0tUeO}aZK_hsuMJbMr&LKs z0P-c_VkWS!7y?xXp>MJh#r;Rxq~x)n?J1oEyDI|0$nD!486^gkr0};X`Dau~qOKJD z^-MA(3GgFBGV;)PGm+aN4P^M{UmMsF=4GkQJSdiChrb?*>F%mEkS*c)9#AE{9!WHC z`R3#?$>7Wk-*;k-OkV-qM}y4SeRHI9WztIG8x3%%q7Sy7)*{WVANcevzBXit{OJzZ zmU)UPv?)H=v_mH2_sh2UR-HleN1la9ex_GP6fSP?$`S0ep`CR)QHRQhGt;p_NnL4_ zUgE+@^=7l5cZS+(CbEGuBKG&%3X>LXD8tjPc7}ff%-El^6!rPiO7t$z-ey*McD$Kv z@;fAHZ_Q_iv=Q6i*|HG4*lYvyi{ zUCCf~oG-|{ERdyC4J!`C7O*Bk+_12K$f^DMmk0|omAk&x>19;J+DiMpjy=x0K#movl!BKdXhQxzp3poF#?ONEA%stvU^Rq8^K7$ z{jq^MI-YEWm{>2G?eO|tVc!rbWz6nE zqQ$r`Sn_P1FuF-|uwSEhW4V}vH8`$XI5YH{HqE)LNNQul)i>s?J?sO@m=t$EM#$Af z!zLPWXZ~avl7cxZ&dg}T&azw~OWxh%G4&iWEunhBqH%<) z9zj?HTbCglF-8QFRubOq&HxjAV0JDqz=O-8`08i%_I9H-QbXMiCe6atn!l^ML$Nzm zhJ8!^h#!V&VsV$T9EQol)x``kpcr4rp9MQ$Fs9mZ1E!8^(k)L38b{f^#SI##G|FG` zEh_TAOqep)sL?sB`$G6H)MLZAGg$aXPm+DWf)1c^B;s`F?20?zX514@-tZN?9gPc zBuWJSgZT9y1pm^15czKv5Ke4I3V2AL6S&fs75cF0_rqu@5{JF0;E?tJ;b%oQtkN}O zyvZK)YAw9nz$)3RzK~|Q&v?Bv{Ux0rpr=j7qtHxtYAXAG%JNR~IoPNsMZ>z7p$Vi;ev%rr}$+GtN7ms*se z=_{2R8FVxD&J?2#V?_yqf(Ff&Ef8fom9~e4H!s|1@qm(Ro@-s$D zED2^zID?IO#yo?UnD*SJnT$^K=4lTv<~{*G<~Jt$d#|rn^4)4aeBCjoeab{5Yw77a zw_9I}HNFF2Nn9|7%EbR03pl8LqC_**gA3%`{Ui9D`B*$a;{A=!Dn+(-N5B5>`VTIH z_Tr80Fz@O!wy)Y~I4aQCJYr5SL4{t4>WDgiUY+OsjzL?DRG_lAB#?DRdXbqE`AI^w*Meg$pT`OVWvHnY5tV@eu~5nRPwT}J|cEeA;gjB77{UB$v zT9i?H<6l2kpALeY3CmgNT8)l^k~YIE5(fX$(-Hi!hI?-Kk;aw;->lf2hN}8UMZRhz zNVmaG=bOXWE{6A|_q*6-#!7yj?H#Fc3RVyD?}_QzAEH64Lyn2OfkF|?(cXI7%`1k^ z3*Xb8=$my2uW~8swnU;q9}^69UOK>1D(%g7e>5Cmvm|#~Vl7nKCt7sW9pY_pQdgF; zC3jfDp?VgIY{^*r!R105YC~%fx2=|Bv-wFySz46=_FPhPWr1#kyN0^_d`*N6AXby47|SQl<_&+V{Fsu;&h0~ zkknYb%wKm3(hpDc_C;bF!_D@Jt!mj)>5GOr5>aW<{NKj=nw_cdkVm?R)tTM}$JyEl<-c;`&=@J-N z_GRvsom<>7=WrI+Y@6N$(O>ICd}!rj95mP3hrbg3!-sfXup9S7k= zos?RzQQ^>HLG5qaIyCqy#>a4tuv}FHT`Y?h9jqBD8c}Zc34u#BtQoGaZ{pDvRFu$v z6LW5$qPmADccjSUmALx&>ikL64S+khL1cV=!b=e^MMe1*kCmTRn*4^snH}3QH!JjspF45w11A92( z6_Jz7Xc}1gdPEg8BCUMtiaz!LyC{NXNDiB-<)Qk3X>^6OW~z|QEgvGGh#6$2;ZxU~ zP>aaxl^QNfUev>{j>WGiDBlv{|9Qu+#$kMM`{_GBxA6KqRHU*Hi&-&RprnAhQXrQk z;^(vWY~<+Enuj#9-Tio*#9;=5S+A0AEmNXEiDuI9VMbOhNu}AL7O*PL`Wh*IE^-Lo z?}@Ua=M$O(Dj>gwj?OeB*iG+>jWR0@C-pC`(Qlt4YS-n5MmpgF9OEMCf#KG9N<;xt z>ub6K;x8iR!W6uo5y?B9^OOyhT`uB{(>5O0>2&l~KO|KiWZ@K15J*dXR|v*-mC+6G7?qfqKk8P1_hBH69hZom*Ns)!$4>JY zzgsrv=Gd|go-2!~ozN)cP}$nAmsW)TlIcrhM>J>oCsV-wM!~PS!jH(;PhaSg#i+0& zf`P`)mG|JQLEC*HA}OuZYHZq=v&F9>K=+6{yANlhRv5@d{~Fq+ZdO z{cG^##ptdv+ml%(Jv-D%XXr+5)Si9kDa4u6lI`IqSX=nqvD?NeqAxCf5${x1DlO!m zOVR=ywzIuRYdWb?@_x>$*W?h~VspuVJ=Bi1u@j!Qd42YsiBcZH{c{la4 zH~sI)lnRZ%+?aOh7%vBnYcgWt#IIaPR6JGhIi@bh1VlYR*w4p8vy}?^mWGkUD$#JaQJB6F*ILkL2dkL z{%)kD^g-Rj&I27RdvbA86ivsv>UBNf)%hr14bc$k92)dmTToro2r;y?bz*Hk>LG zx>@)%@bWpKFww-b8Xx3|^)b)%*j3Z_^sWs>;E=eQPBR3aCk%CfLEGk)hND{<{dVF> zCIeI!A6hqKWQSssm=K2wnyTHrCyD%s-0iiSsA(m4xLcekkV^-n^UF3EzJ&r(0;r~2 zfK*%cS(T>Po<`byd?_0NdKT&Zq46)!m(Z6!P8h$g)#qc58B+s{X|1M9^PeZkrlgG8 zxCAS~0d1d)MZFYL7WPw(i%P3eLRLC6K0z>Qtxv za$T3+Vjk&uJS8+kkB62lakz70huiz3T^Fws%~{ed?|0r=S1BVd4wE>@+sgjb>IhE% zr?X!8T)QK-*X4e$NDnr{ZHUL{Nth|c7>w4oUnPi9=>B_E$_&GhG`F$-JFkBiv(Xt2 zny7o|Nfag0gkva`H!NqMzxsZMqx6-YkA{~A)qlRVi=2(7T75N{>cCPf7`)=VI}D2eifY>{MEn3+Rcrxp+AyTM)1;=Fw8aRbY0i) z_Yh*mreNQ##7FdKq^q7V(2G}6Hfn}#O2X!)<73+PdzF6!b!qfrWM1h01cp}j_J0Ez z_pF=H8FKXqhVg{k;vJE*q{?*T-)NZCL!YM=)JTIsY-#{w6HU~D7$5zpoO(0OpqMPS zY41d>E^AJ(PNRz@s}MG6V{V7jpCITjuk8#fE*ocds>W(sbgZ^Z6XU;JFPaC}r$-i~ zg5_zARz9-i`q&G!L6z5UN`#O%B?e3Grj&&G($E%d*FyUg+3lmJrT- zx`cP}_OAEI+ka;G{-Jh98Ekg}1XI)&a||SOr;TC+gVKD!WVO_!+`qGbY}Jxx`}FjN zZ>rg^w^HZx?ysn3IwYib#H@V&Lb&a=S7UAu>*5`uXzYMnk2HQl$rIR~J3zzMn!fm6 zX?9IPw52^4Y7>34(eVVH+@$2Wd&?Kse4vmQPW5h!pI8P4~Eh?r?Mw4T3|7jR+BO+C1!AEA|e($DdK+jB6#kNo`%V1 z-c6Z|U2rsko?CC_>2cTQ*t@BTCr{*SP4)eUZzw@hy>+6)h;vf%A=ajc1#6tVfvC^F zns{vwfP#GVG>%DNVa!uZt#T~BZoM0$NUFBJxf9$=MN%&LIUo#?G^Sy?V9>2>d`w#^ zq>)UdQ-hI!CWbk-$h9D55vSGbEb-B&&TsZH9=!MaSwc2~+JEz`px_BbBYQ;^F!in4 zMV{4yZr%ICUBpj&Kx=UdH^dQPT4;FPk_??xAYn24_i7G+<#pG9jNF73b2_nLz%)ZG zOI>fd#H-yUYy^INx>=~t`l~!E5tBQ=EDdW;jSE2Ded)l0%{MN!Wfn0;E8w&yI=-hW ziZit~$=2#~yDn`7j0>mAexrpJ<9zx)R=HYM5@pVEGshqGXmz)5&Gt^L;(@FS^ClWC z9GVlP6JV2hh3e5EX~!iyt^#J7rC#@Kw7)DGk))dQw7H)%Q$&v=d1;zYT|hCs%}WsW zUi|3y=O5Tk*mP#(aVNVmnb}*|L-5l=H;LPQZ9V^C(f~lOfyeLPdx6LAIdQqbhwIik z&0V2=z?E#4n63240_tV@&}=?JUkq{q++2IQpH=YGv`a9EhkaTj?~O+7R|}c1%H67gA&H5Sk`-K$sg&8yjM6-7YH@aA zGXEQ}U*qglzYiUACf{C&X=v+WNFCBkhgC%Uj>TBIqWHD>Y3Os5D4rUf4WSy{A-)=2 z8-ZF(VbE$>JbP$RGJB|4HG8NjzT(`k0B1AvepSn8s@-&Kys@b$PxTN&7`HW+gQhr6#BE9L_$jZ&!B^W1rFoW6Fi*IUd<=`5Hj*E_1_i^cj|54208$a zk@7q{+WRc0MU|Wn5&_;PCE{CrIcS^4ZIAL>CBMYXY_(*NbHf9fqs3eZVX>FsN)mVm z#pf0OloS!V(2*CIs|lRR;Y=b}VmdHV+ZAw5{p;p|*+J@}{_Gg|!Ra;2=dUwZwStU# ztHTU&b~tvXUQo1Usab=&h!Ku|Z`w024K39?7)8*AVQa{&-VL?Yr6|xs*)9%`Ic-@M z?*ZK@s=Fp1>5J#zIXBs+!mscEwpH03)_D6Hnp_WRmyDg-qIX{L>%s;>x5Dl~QLG^R zP27QArv-MP|0XSnG;v+H5ABRwvj34-bR(Cg*E@(9{7O#%K6(zheUD_ww1^8M^>Wp4 zTPYM?UDtqnvQORS-=hFjzZs2p!dcpQrkU&0!~+4f7Nvpc=~cI|f}mEZeH_FyY3O_y zB=Fb?z!+8XO7Wf zw_ijz)?|ZjNd!UubOhkF=MWD;BrxI$L`0Jd)bW6HmWB!HVS|ZzI#`P;1)}MhoPh10 zLOj%v!2ZyDJVG1*5Qk%0(2+cZrLq6fc?wdqLkrTERRBH{h*yR7XG52|%fL!#Ax;I9 zUfdwYM32DLyb;->1=U3jc(u0l3szYHNpG>h>C`}vmi`XEDagwoW#B7wuizlsS&3x7 zcYZ!Zgu1t*n;6Ut%@)zG?=j>3nTk8Gm5~7Kc!zXGN(B_P3->53*mx!t-FU8ke^%}e z^o|OG+_4#;^z8##I%b4WwPJ-Kdt<{HzZ z0@lKT;-xW;C}Yo93Bc5hpeArOHL%;d6>J6#EF)Td>xBd+UEZk1{dndFO#>bYY_zx` zTy6!VeMCA-#RQ?RY)IS3>R~YD9_!X`tu{}Ekid$^ z5JN*Q(CZZzSd9wU+T0(_K?#lakxroRP&ZbK#gjp4(6~p`H2KeEiYs0O)vBQ3z2~el z&{76+Y7u_hZ6;Bt26KQ1>b}MTXT3ioaR+v*a)CS^k!m4oSvy|?JO*qMJ#Eh5&|-bt z*p51TCl{E%1LNO;1XDhPFQ3Cb1lHFIiy(fB{y=3o&?8jY{~Ufx)B}AcKu8WnLFq7t z>Lw;*m3A5{Vy}8=TIqP;WGI_B%h$YviNXGZ%rSig7Q@g|Az*@97dL#Ng+qrnzL^^6 zZxenSTd=V@P-}-@pLh2AGk`k~9ZJSpC>dL+fZit%zaLh>+H)*0AvF*e z%8)KApy&ZDXh9Z|XBqCH3vKifEhs;Ey>lGxtg2u`cug5to3P$FkH(re6|QF@F2MN| z!W+g+bQX&d^P*X+Hxxe(PshgOS?M$blZXa~Pj?`soX^~TIl1u%dU-`W;4Oqoc3j5! zDLrYE^*+L^8!VuneV6R-=*)-YG4wuuST~qJJxhfus-X(1-bd7R--#!dNn8ft4(9yJ zP@v|`JT=hh9q5&<-`f}7kp&o^x=!5i%Ple>J37pRQ4}ToRvv@p5{ zX%FJnn&Z(8^1xX)unM~!ff8kCvcV(e{TW4%cLP4yUmddB0RDst!i522O+dQ7ae?w~ zmccZ=-u&y&0r=pB=&*$u!<9o!kkNY(V&;Z{1{bLCiOE~5#;S?P0RiLK{eyOF1qY`Hzp$5CbOFGc;x zyt*=SUsP!-j}>7V;6T_8*bchaiRc&y6%{^X>jCrt@6EX(ec0h?e-Ho!Us$j(1`Fr| zp>LnDW+X%G>Kx3e1BGQX>@Hn-eI*|hDhy2PZKYkuWCm%}`XqO>!kc+8ZUC zQyMm3b|okK;g~0vO>{k!4z4vgu>Y;sxWX?OO=Aa7mdNw)7_bAC^@@wfS1f1NxQfZ` z)0Jit50Z+_e|Ap4=-iiYa@sQ`{w+dgQP>Ocm$4+Lj}Hw{jThORnfQ0)tVR&(Dicg)R)< zw&mH1dX3HHBXTR*P(}J}t~UIW))A{i_JuzGPphB1llSuZExmjnRzsm5DCG=42W<_{ zv!vEMFA&C({5uk(fOm6aj&gq@@F6<+Tn@~WxPFXQhwRu%F%V2NFN+iDW7~9DOoU5w=uu*|JXU*_)qOIqiA8J zNGp)*W|CRAmeg|7R{umumi4|_U$OQwYW|4u?~%8y#1KUlv1bGD=x(_^$?#wO<#m0* z^#kk`LEdsV&Fr7Ft1Rni~mVc_={P-=nTsFU1OGh0=x6 zsCiqSD}uyjG}?t-SufwAKMOmOM{clJ%WXQW7V@(M9}t9avNf+{ixtU|obqeMaCt-3 zC9?`EOwG1FHI6WIaI1?@s9drNaxZYDs5mDnvj$>bGZg)FW<}T-=Ea&o?h8=$urCRj zPCuset*@rrF@7~*TaPTiJ}g&EzItdes6B#a;If~ZR|{zVRkV=n-dV${TkFPg&fOIT zE7znN{MvkUJy&q;h4pg%XV#tX=MA}Rt^dmPgYJ~qGnUs$if(OWvl&$<)EefNE^dlCPFmYj8EiKk1RduI^QSbV<1s4KZZpUbq+!P6#vZ zF{@9l{@FmXYO>c{AQW};C1*iQ9mCT&J4C+P@bgyb$Giv-duBkI_i)*7cQV^sOlupp z-l+12OMZTUCmI2Hk+Uw`z)KUr*a8k=C0IT^ZmXJ8kU zeaAorGPN``i{qH}Y!h^A?1v&-rmKhQCA4yHrBxILp4&FHi5RJ3hwM0?eKR58de)3o zPatecFJyJGSRrUaH1#@BmMbc!v$^7F53afmsFHrxG+BWLm+RKSL&iY}MAmy)OYy=~ zEQdzV^J}bwW%?0^s;v^W9ByJ)5Fy33UcWdxPcU%z&-j}OXZJ8dzN9ULRU4eHxmixm z9E_aT*n`MUcyBM`Q{Wr-?_Wfe+h=F~59KJh%Gj|=aVR;_999ZX z%`o=*Uu;Z5n9*7U+GO{d`vZne3F~N>+@fjHrGLd%#(#XB^R7>K(<6N##^kLMp0ek1 z)#EGeU^&8dULbZUwc%r!X6ey*z{nX;#haj7ExKGbfp+jCo_8St(Pq&+*W#m5iU0`- zfX9W!W50J}|5B;W2535Tk%DIKuA!_DXkWoo83nfqWfW>U` zsOU8Y<`qu5CbcMO&*K@a6P_TfUXJ0xlizpL3QJ_KVa{e+-K+M=-^%GLlp1mA{8|vz z1qjZHywsyUG#88tl*p+a;m`hUy(`wV3le59Xh8DAtTZLrO-K4g(1m5b<{o*43~KB@ zMFLDJfZBu&HII-|2#z%#OXvC0b;Ao#Zi0-0$@BtXZCA_+#&z^Z9|!PPx(_ej$(>2g zJ5UeR4m>{PssB+4{3mSKC{w)U!#6s#;it1bPo{{E{(+y6aj5#&kz8RE%dPgT$9ZwP zC@eBdML27;`>=RiXOt4}qt?Eotn&Z;dZHWZ`YhJO(7ff`9z*CW=ZhORA+fbet`17l z_kDja&4dJyB>jlsL^lh;^ND$SwHTFfvz>+lKKyyB5K$$XUU~C^FCDt@QO@8?ab@vm zLRMg9nutYlnH$I-oSG6Q*Zvdd;+!o+wkvx zA-Ux<+34M{RwwfpucmswVy`^-7WWHVCKd~ME!!j^X8{~I5_Bn2n#Y`;%rOEkg_fij^$agy_KM9JNwF@d14^r52CKU456Y!<0uz`rtF`#aK1d zjQMqoM8U@P@~c#?MBgZFI07NO8o4C$1ALe0B4)2E=bpf)q|uI z<3mpNZJEnzCAWdqiHD#s7e}Z*3?JY-4i}xN_idaE52AfJMcPvFJh1%kps97u8m1}# z+lpxJji`ghBU1D8w6$Z$42+d%*A3Wp`L#*oWO(65v9$9<3GT;p+p_nx_3Nc(GpW`J zZSGBr;KzU);byYj8;|A+UuF9<*X5Pd6a#M@BZ?KKc_0%{wRUnv=@+UELxD+r#32q> zhSE(IzOIgzj-qwVsb^T^si^BmiM%GJ?^;e=A%u-o1T<^S9`aX)Cam*kt=qEG{|w6A z7D{B67fw>MPL!6KNwIAH!Cj@^|J}e+de@V(Y>pIAc)m=^f()5CYBst?hpA11e1OJ{ zHPvefRB?8;2Ne|+0&WQ7Q*+e&F~p|D08Nu5hejh)KkPS%vUfrho7SjoyF|T~xXG3X zrdqN;qE2DicF5ro8Mp3+uLSfCEVCT2)vehXvt@i+;U`Auc7#K~vJouq?{RMo>^1f| zF*snmGb3cfGxD|+K(*r~#6umLb5{uOrL^xc*0&FL#andXVZ*zcZBY*IHChh%s(eEH ze*pJu?9g$M_F>-trtvpe{`IfGK$7gP?G@u>7xX?m=UDULKYW#&XVR!)@8rpb)*Bd4N7X*!G@k1Ez|tQ^Xs537{; z6j<%d2RmOju=AF?mlUn;xR>^OKbfLP1U$xJ(=#UW3IUNFS#-DBy2qGk=W%356M-g{q0nA$)~1co{@g9Y_En{x1BXB)vQGVk+c`OMW0i!vMSnhxU-fVT1{!xTb?M5IG)T?WHr{}nx`cj{w{uy z$9Orh(Ryl+B&m3TW-e6&{|>`YsP{&f@w@C#+@~2EHA=0mBem~@LR<_IPZ|G8*gx z!;KIkVw|EhimRUsBjFI|=ffIY+r#ChhI#m8X%y&pg?)PSQyYFxRkEx*x6V#MeyM88 z^@=~au!=|iY(f@RM{V!{quLr<7V1 zN<>|bvDhw8@h$q%_a8B8?7IIV$vd6{er7<8*{h=t3r9b%Lo(-J8vowS{^|AI}~M7NCEJKo?|GvC$tn z9r^;|9?Pht!(-SCeF z?xA4kWuCA84cu!$o*W0(ob)s@zVz{hYVKHmV19is`{7;Z6VYami*~5x2ZOYW%h>&~ zgbg>Z=dQ1zmKH)ruIu~_eVm+muTJayzup98KFDhQo8a%f&foGTpbJ29^fxl`CyfLk z17wyQH|ouz;#T*xGGZV0PbLM4fh5`+_+shB&-ZYMd1sZ7@t8ekG@HHQ^bg$>K=`B;gp}@V(H^K0@$Hn^1H0iSLsq&xTy6)-uCMbKXdn&#Omi!P< z%^S{{+xq=0#OIP@{5~uC-gpiu^S@OFnoO_a{XxekU|zzcDQA;UKu*GdeZZT>3;!rW z{CK4X)Phd`Iu*HxgC>+;JNg@1Ju~NQeuz)`8|sk&L|^A^iR5TP;j(9VTK`}1M0815 zT}QYch!Qri^T=IwMkjHJfN>RXi^D<_I!Bs?;P0*&Z>4sJ|GiL72*LRKOq_qHxasCk zs%X0{0Tx^R(S-`#1w>Cb+38TskH;rdE+@$Y+CN;#o@c&Gjh{%f(@TKq#G7kDhA=4Pkxjb|eY=78K>xQ-e zc%9borQQmR<4?NV<0A7!JYn;s)*|EK8^S7#K+!Cs>~I3D+D*Gc#jBeTZ_K$+t&Kl& z@|H*fI+TR1s-U>N6ue2BHB$Vqym`0$kd$Ke=fd}~Z&VV!6{c$Gong#RGf`!m@qOq( zBNQUy>k9g3A)~fr3|k%q{f|o-Y!LEz#kCM72=BvoA++Wn;c>NAI{K=7GdfEdCNbGQ zeY5*(Y$>~3fhO~#j4k@-9Wz1>mO7W-sCFK;DTAQ=j}OqMr8?4B(Y2X3HLj;yaTqyp}=bq+EnGYvB{-EJS9Q?s#(e4l576BiZnYlS0q!UN{ zQGP!xj*+jF7*$`0_OiK@sDB1rDkuVkTFLzy&tpfZ+$b@KA}%%x0ZYq##9={&36sG^ z*Ud$DUmViq_wZ5CdWGUi~apYw}6;@o3ARAU)=q?4bnobx~Y^jrIR-d;_#;QP-h zcfGghF^KQk6mGtD@b=TMh$oEb*sY^e?(Ln-yHzJ~!vQx-{2Hv=tyLEQ>#1kA{FY1f zM~+axR({|=$x1jnHT~9UC(%!qn+5~zr@XU?%E^9WyHzosfThxe_F~P}-@mfkuov!$ zZlz+j{E2`MRYrz!`fYZk={vOqK095DtqR|Be@BBI5>6CuEH`F01=Ln+&A@(SzS0`{ zw4)WT-#e@&w`{;?^7YmEfkz9_ZN}&0P|~rI%)jW?JIqk}w~cKzoV!=$7YvUqbb?C< z7&x@3nq7gRn5~lOnD|UF^}B+mbkf_*7~c_3KR=1LD^#l$6Q}SJtVQL}c+08y9^g}u^0Vo~g54RNXiO9gl0`}n2pH0vUKh3wVq3^u}*m`q1 zk9iDt!y3}7X`*?4fXKJFJ3o4CyKrrzD;9+ZCalnIw)wTC)}n4dpsbE+e)^4M@UXMv zUU9XUN3<6C^a|HqjWN$JfVbxt*akNx?Ytuy|A@!;ApBsHQa(KL(40&uBEI>oK=jNU zH`e#bS7*D~D$XzBX@yS!_8vrh?rpLC0p1K!ut!wysErfn;No=kZu?SdfHW(yu(4-K zKF@{fmOkpH@Qjb|0fX-s!FjmlwiP+wp_uQsi%zRbjzWu+kl#f2LZf zH}_GXYDp2%h`U>82nN1oETVdY+47cFD@Jte*m^6{oA`wAfN-5<@Y60`?S`hS&M;4} z?(a#fr>mDKp`4X?B2yx%;Rk+59pw;Dm&y7Wg}(S&SOD#_Kpw7M$Ah%#1jB{-X2dO} z^0SlqNof$JC(1Tlx}WD`-NW5LN8q(z+Ib|cSyd*juTRh%JF#8k%qzm>-2g2S zkpWB`&)26{^KB~xzQdcGLXKGFoW1pZiU*ucOLL~9!}a|_x}*K%eiimkZRDl9L1$z@;cT3) z{MYyAV~9G@iE`KZ-+=g_b;s?Q>g0clxIEegLd*Nt;EFZs^fB*veP8}J?cNN?ZvQdr z$)&ax-B|DA`3ja7YMAr4y?wm>8|5bjBHxwXsYdFp{Y>rf zRs!i(0lo*lL6!Zn8fVx^!O#Bb=opmJ2+sOAq7Q+=g~JSSV%pz-0K|HKVG%vg_I_Hp zY;DFj&vrWa`{!Z5T6cc!WPN`ls!;WAsvoiz+dC*=%X8~;Dz)m1hhi#a(6t$5TT1%V zk%WykxOsvN^&xZFZC1yQrK)j2IN1B-1Nb$@&&@ARqNdN6jP&D>$T3}$j=k^VaKPSW zM&$*;s8DWrB?fKX)Yh)}?%y`%s$sy(`&vpZY-!kDgfyYT)}yw3_6-L-V@ z6hYXYe>QpCMbB3(e@n4EW(U;Gu=PCAGQOk<-hreHzzlx@De?CW8PJhiVIU&(Zu=V> zcZcFZ?_MQH>TUnZulwO8&DI$Z^p!7hfgGiC0kc1Ktov0`xh=4Rf+DJ=; z2nvKAf=EJDQ3N$3jw8~+z|aYXW*|Beq(}&0&`7TV0{5VE@Av)8bM`5#?6uza-FX&z zaCVo&Bt~p@y{2`%s%+eWzAwLayRtT;Mf8c_mVF=lD)Yj6A!7}EUJ*;I(`MV~g#9XE`wimvBbAg`ek$-b5c@nVki|taW}Cjb+<8n>ANzHE$e|;I+K^|u2^KAT7ci}QfSlB zI?Cmpp6yujYzjY-R_d=@9PyfhDZSt0F}V0in%Zybh5TMy1j+WfaOdMcha@n`kP@;xE$ON5hQxA!Ky*l zH1rj5Efo?Au>gkznOMkhge4xv`d z{+M7wO^Gb^kMB}q7!aVe1;t_0@KXe+Y^3~3Je{elO>J(!@hpgNJBwOAv3_}K#u^o= z*3)1q?my;fgk-)*C!K*(>!W5mk9CeEFR$2mF$@s5rKdfLOq0X?mC+xH^C2RHvVBN1 zg<&C&k$gs88*z<)etnW!E04?J?FkYd8RuuWax@6e#^>vYF_|78?kORsPJf(;or<^o zW1>*~R0KG}k{|tAMZ0;nE!xt)MnV4mI58SiB?Qp8p}UPjONq2>Fk2UCDWNhIE;?fY z76{u-_7-Pw&>_{LAx8-Nq{Yug2mCd4r1j`&N3|zgppmKK&Uu5pTkyfDpkv<>G2+wA zG}S1Ln(D*uEn#sK5%0gCX9pw!1nvfHkhk#2*qq@Pl%-vbowo}+ z^1Th{@D+XTJvG8O3f?2Vp5SL9gA_5Thiw{H>}WzapFAx!7e<@8u~hEdBFk8O#nuRy zZ5d8^rW}(&zK)<&_BbD|t|G)Nb3xQP#__vqi(L9|m3?CBlR zTZL{mlKpnu8LZVe^<^fM`9#tJ_}}Jns`T|giRaMk8ic6vgX7Ee`p5eU3gw{DKeXhmRxs%X=fjb(*wPNS9DpIXw5yQ%0aKa`}tz%ikg8IuEMe*>uJS!}_#{{N9 zj$5+if|xItNGSyXg9Ad5%?vuw13xG^H6w+JBqfrLnnQ<$8P3>4@a&N0de}oTLKq*? z+o*=qMMvtPi_rjj!&MaY5cf%QIi#or(y0ZR4^hV$0?74e@7|>Oc&qZ6_twqQ6q&|HFOyt`JbLm0mqFM}?r9BR_qIf6Jl;1b>C2to5!Gh6Ao zICxtBx49GBwyhopu4o2RS6cG6M~?2kBY^mpq?uwZOIQ;WUVrAf?kp(jo*+uIY#fnt zK_624oL)6&j9TC;I@)KNhlzbNeIzfi#ORkg?dCM-2Mi=XwseU^iTUvaL<7Vs^2o3l zN8xQ9cV|c!->+yUUZs-MC&~JBwew>8xiG?p74Kb;w^NQ+`GCuY{XHE5n^NMfO^fjU zZ{lo##wBB24Fqx zpblOz0~j%|FrY>by7rcXDg9K|QLh>dZh`e47yRs1%kevH3r^R1qX&L1q~axT;cvwr z_!@CzZi9w{)^W%Nj5!?CDy>O;l|Hyo-9uEj|M!7-49&JRBC2CqxS0_&nK*mH>dnvq zO1a)Ml}wjGhAxs`B&^0WB_YNeg2j-r6e!mAa*{|F6zyXi5&HSq`-d@+M3v1)KIEfw2-T zkM$X28PFT<=sd%NdnEvM$cidhR8miw!gGull|{ygP}wce_UBOiB&NlBG~Ne@xnb@j zQWPK6+@YmB@a0w-OTo5yLP39A_irW4M=xB%ozy^7n=3Nn1dV^V-3*zVxca$u`Bujkk8)e1k7L{! zdY6OK2y+p9bEZt{PoPtDy#p~?wl#+td79?GN^}^bv{>iSdcxUOhMkLrLwo&)OKln# zDufN%o`E9`RE7`@@7ndt&3}nI(o+gmKQJLn*+Sia{?58IG{PQVnQQy}GLKA{=cwr-DYG;ddaNW(f0+ZNwHlfA z4BleGD(hLm+s|@&`2JdM*gmbVuFE6v3s+*b>Z>QKSQ-5;Nuk6Cm<=c&rlS;$tL>lR zyoAkr@|4M{hCCMco_P4J0Wm=Q9QfZwx&@H#=a1XH3#bav{zd(N%5=q%8ZN=HA@ZhW zLm45H&V_e>)9{#h-+DsApCZ&v%4Ec8%&}vYr)#18mXoet85R0->CjAkd0B>`QYjgA zH`gPgtd?0AQ?PFNyt!J18fl?z%7rgVWa!W$$6g$BE8$RJmG|ehY3WVU!aRv9YQE~c z5-L1G+3cUEOR`IA#C-elgwx?&e=M%-Af`xm8^KLQcZ6sMVd@*|*U5F+HjL zXxp#fA^m&%%8h zaSFaA3}=rh)-ry+)9dDy(~=|8b>z2WW1~PjN{itsw^(eW$K(NMyfc`r_Z0bp`=PfD zV*4hZ6?pwZmd3Z{A?CI3=AL3BJ4M z=iNl;c+=xq_>D{VZjKE}qbaM|p`p0ktp0bP-MgK{5cDnHkDDGks1vM4BmvfwtB+lQ zZDi||$q>r)&%|jC3O+M9wNA7G1chTm?;o{>=!+uj$cPtM1K9K#un8@U3{)%LE*g`N1EHt z#~bdIiJ4%nMN)?yh5ku`V1h9r12s-M&NFtr3(4S!e7lIGutzOS&Rw6 zxMBXur?(1aHb0_<3uX(s{8@l&T`o99-kyJF zR@Dv+d6NK?p1PgvLb<(Kv}w}pH8t&|kD^$JI|tLOX@Q6cWyMzOaBhPvG^g{hapDi9 z38AZvER3-k`N%ej1+irXt24y0H5W^TTP8Kf#R?qf*mpj_-~zW4l4Dlqkz~7TqKa)O z`#Z6JQn)=J!x|b<6S<<{TXIdj>{Lv-&K&K5cF$n>HH9-$3NIpRAS?=RGy>K$3TI+e!d~0-Z)5gUsGS=>crauY|+ZOQhc_?JPDXEw1^N+{XZ=;g4KkPotZiv7bfxG;~O2^gvsT?80xVD@1eWDM#ouvxlG)8sp&%eTe}%& zJT5S=<9NPONWIk(mHqT0tEzE6Mqy!8_pQ4u-aREkwYUOBS!KIeOQ-e^sS> Qx9uRcjZYunmt8|p2LrOUtl`Mwyp31T>yJsHzK|>VmG4x0 z+HA$F>P-F83!T9tnu-q=&dDO+BH-ES1&u+oyq8;ddZ|Yc>b`0L#vwM*#yh#GBp+rF zacIszQA(y65;l2yBm3*t8x38=fdamp#(&tHyFl)3r}r*Ao$s7}tS6O}^l|avxZ>cX z;g#%BCt~ihV?IViQN+t4H&vrfp{@=!bzP1OBKEp73NId*^18bl%`feHjZeT{ z^&Y=(pxld%t5H-b#)hg(V0;TR>xlz9E83+c>&fZ-3!j$dZLTZqYt65OsQ5X;oG>>nu*iu)CGd@rV%Rm*=#dX8uL~ z9UBKZ81ng1rjRbK{?CJ5lSn#?J^YmoqMdCyt6kr~!$N=Yr7Ctsrks_$z)+>C1`@koM68De1YF&J_8Xtk^%>jD5#1|w z#7rMu2e>faCh?9T^~3Jv_0Q&|Vn-97@mt@$E_&F0o0p)Y>CFBZY>#@#2NOCjn{cNv UfUM^`arHD`5BJB+-re2*0J#WuD*ylh literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb b/bsp2/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..dd36a00685b62ce586df68d878d48094a397583c GIT binary patch literal 1449 zcmV;a1y=eG000233jqLN0AK(y00011WpZV>UD*ylh0002u1ONa40000$0RR910001~1poj50001Z z0qj`IZWA#So${1;iAP(65K9(_O`Ae_h(*Mje;BoCltzREEch9I0(Pum$A&$E z4FU-Xgm{K~oN?-NZD$6lB?!inJ7b?S*Y-Kro=Hce(P&SSB)8_0zW;_ip^W`Dk442R%RC)_j=)t?z@h-%7R z)6#I$Yp3teN&H!)D%OaUc_)@cpyNPlP0?$^6%uh~eKfT27RUWBteW|$XGHNvSB;H(tj zOujW_r^m*+Tp-SB0nU{IoT~*m*IdrLG&a&+y`OIOw%Ro-c9=4ZQH~)>Ys)@8wh59T zjs}fC7Q~0xW?FBrt+(oM7!RNTM}lmo7}IoRy~ok(PGmbN@Q@EvKKL-Df)7&`_%J1a z50m#kOt$+lIqt(`whxofFk!4(ufNu>vt}A3#WYBIX^_;?AZevRQc8oQ6TW?0&31i~ zZFDdo2Z9V*RFFX{3o=$@Xj7u2&>Dj=XrVzy{%R>Pf-xvJ@XMiF$0nbgvseIDg8e^e zucown(|dqWK*JUtPT8Wv;1;E`g3}GD$}oB3!(@yPlPf+k$>A7zayUk%9F9q;9FEDW9F9pY%Yh^Gat*zBEjf6iEWymF3^F7bgX{=0 z$c!L^tOzp5h#-S(2r|foAcHJ`yj=){0+Eo{{Z6AHUp2w+*C`y@+Kb^h%f<~mSd3IC z5*-$?NGli$1@n%P=SPIh;v0`suXdhQpA-?q2Y?S zQXy9&i=#jhPLVidZX^zQ8{v?(5e_*U;gGS1C7-e_seWk83ljMVvIk!1BgkS%R{3Yf zz!S0SBuJJ*x&i;PEAwi+eA@KqJK_T(JjsX^C z1*aK7${A$9Bm)Bzkmd(sgudW#$AFcJuWCUu3=9Hbl7WF0NJ{}RLY=d}Zvez#SHF;; zNav{Z1z?xJwR8fNqZ;k!@8UXP_2LEWU_(8?B*bWtH3*~qLtKM=H|g(YVP%K}N|-mYR7Dab^dzSwtSigpi9)*FyAo|}0;|hEZ#De zmjGNV3d{tBm%4cJYUj!?dmh0!_KtuA?`T+l+yxkWXfIEkmE6FVZ zs`euI0J^&~ay-Xwt*i9P&W@fgms01V^(eVBXM$_GJo-IoNRa-?N(L=bA!ab9zmpL- z&?z4e3B~<5&@i?n9+aMZp>r_(r@F0$X?JV7uVA@)j&%|mMTZZ>{_fYXaxg&I zfe?PKVO-dN(XL@EI{EY`$j3DJK=`!fkN;ntPwP0q&V-{c2f{kIqNvp?oZQarCg-ePCad&!2X7knM5Ldh zfrUHvL9$_D*$kXz=XO9oYbAN_M=8TFCL^<;Cphi^>P?jT5TKWb0x2z6)~Ty?)jV4v zFnSY~P|@7BG;aV=)DbMgX#5mgmKI&|69#+{=}YUG#O4{-bI;r1K;N2Df2=y?eb}y} zG1c;>$_i5Npd@Fehjkd6KpAp`u@@nOlP<*pTby_uC4am~@hIUP$HjP^8?L+_p1aS_ zTxrrss-&OtPA9)(eYm+IA5;H${3JmuDXdOfLDDG9N3HMOv$S-zK8-$NABXXnZx`Ph zsAgX;u)08>@RT>?qZ`g{N`H2MQ-YO*L&w}_bbGWPAyfZ&K&Hq??c&?PtHt1T8vFN) z!7btWJ}crO47r3Gs~M~%T{waTAeDs4q{*bu4f#Uy2~SaUxr%aVIed3=CxVH~i6HL{ zdT9Q?30m-5@a}iknY>>Z?G*T^C9oA5{BbC)$>&xeIE6W(!lMeY&%|dwqdOAUjz9d@ zerEgR_%$JQnm+F3dMFR68x-IJcaA65iw88~!UyK^*|nb<6&Am7U&|CfmTr?MAXoU@ z&M8=qrfdCErc_@p`k?gb565ohTGO_sW)qjCNWF4c1Y2W~D|x%2pa$9;(G+TZJsF>F zWSRFH`sYkV>*j3}2BYeqRy2Iwi@aD}Cn$pP3CRwjDu*P;6|$K%)XvYtt3%G_q%x(v^z35{u<90jg)N_>?0 zDV&_`<%#6N9KRgFHXO^9Oi9)`5m=jx#o4Sc@rn`aE7lyPK6!}^nUmE-hA+{4OBsGj z-bAWNBK_s-IL#SPd!t&Pfxw0I(Vu!XsGLtFPm~u@fLWBa$>NAAYWw$Et;aOqTe{Iu ze4K|x!g!;Gdyj#8Nf3j=`gqio0erJzUd3!|F5E=r-NS1$&zDD?BhK5?*~Hakjwf7t zCq{91k2hm?TZ_Fa1&n2n+GjUSb1^n^iquN2@}^UUuafq2-Tz<-16?lYU3E{^*>EIE zy;4&uoz+aCfRtq26Wr3NAF>4vBPr_IBZZYel}Cr`#<5###s%#<7G4^j-HsQ#|4RB8 z{;OegU{X^bUYgh--}CLIa=)8pPCFCjk0cw@qD_> zg`l1E$7Toax{buQ3KvISaR_YE;$<&g@>X>mL zdrx2M7um~cdrr(>Z}l3?tIg|ud^hP2RjF5~FBtV}b_-BfgZ`1%-#~>K zNb2sB*={fA*sR--vp~i{C(JVq(yr8QT3Eu{P3vt-sNHODP}4mrZy@4_*=A|$50!c8 zI09YEo6lfg=C*QEGsd>jW=w4SKaHwo_&d*4r3132WrsoGOdd*uuGaT$BWcDpU&&9} zc+^v&+wG2%^_!y=Q*y(TN$nTsf4q!{d1@<*c+?N|b6v+ON<$CowMD%v+70HDCp*l} zthOwSk0S^CXws&$lZ&^~q2FoV;&_YIfW7FLH@$A6EN$QEIC|_Eho>=L+KSTXSs6Pw zE4LJTrOvsm9!pChcd3VaeJ}7^y3r^)U0#Z&Bp34xXD*O#{@diK2ZVcTcbgKl*s=3B zKP(pTSxCXl?_KH%&wzLK>X814Adg$@FF)_B+3Ro)T;%B4oa}Eyws}Y6rp;B%?gv4; z`^zZSkT+7uUW3WD=?(?6`$W;*sH+3WFY1#6D|w%N{rrZEdN89n>?Nr6BSE}&x_J{*Np9a#}H3}!EHmOKy*+o(3H<_K$_lA%NkEnkVce5=! zUME)+XV-tOZmSn3+mx0C{q+p%E%#0xY>mEagP5Dc(T`pkwkPB%jqJ|LFbkKUSE_wX z50sgGd-$3Yi%-l}ucB3dxa{gy4h=B*fQbK~YC!XQXhoBis4$;~{&&@~(gx72o}oa% zeZqfukjrK1X=sZ-4W;(5y5Hqz7w>EkCf~1xuaAd$)EAJ=2_BBOc=zSC(2pkm(Z|Ez zjq>v2nf$vr3!Y?x{$~Dj)3h=2P&8LTS*|yqWTOSO>nLjIc@6#uJ1+Gkd$ZPS#dYY7+Q5IRQfgw)0>Z= z+tURymENNT4U&|R3BK=4HSe0yt}#BYr|1oTXZud>&I_Z(?4YBRpo-*6b9m%bM4q5ypR5-ZNnu;D12=NT=U7!!#2roSlnp zjbibjoIUt{u*o9W7Pv>@{H`X0_{YYP(Ff#{>ONQ^X7jU>-6NYphZ4s(2c=5wQ#?O3 zjU=S}bd;@Rgi|?4OOoD5{w=X&UZGjEREdnm{-ksslrN?Lmd_04=$JDs&d4A;bbu&a zu9Q6?)MQIM$qg=XB%E1N=nyRo+je+^TU;0B%T-dk3xXNMQ>;x6?j(F<>iIgROet$@ z)(nR=Sg$*%Yf+36e~()OIe#!~JX@3c6kE3_FMYuf0heuv6bat0-cSg5EJqX+X$gzUFE~T|yp-3MATWIJjD}-R0&AO1|WX zl?)o>t4hz$YHIJ0FTz^?!WQwx!v%HUuUs=Ow2+iGkw#Nd+>!W+K?z0yB&*YLb_Mx| zWj=QpPkSL+)Fc+g5ty48ZDHa$Vy?D8)u={kA;U33_;67srTKu@^-D!_xkl>qTyYhk zqezxN4%zwrZZ1K=C5sHuze20 znEgLZ+%mgTwxPO}f9lEzp8{X>mnc3(g3`0kgM~(%|1>FXQkQeV`s|8+fcXK_lUlTo zrxlm#(n72vqqVq$@Y|#cBTh#C&ioNJ+aw>cQN0FQiiS$GPkCO0UMWvKc}p5G7xv&T z&7tq}tJ8gR+3jB0dANZ)C@z8N&4!RZM=ealkMbpYDJ&JicL_K#y8q2e)K%KV>CkQu ztb3SB?cj8XN}uCo5Mi9&b#0x*>Io3pa3i~Jt6ifhiD@VlQ|_Ntc6P-8yfJSF{GV*; z|C?Fz{|CFY^~V1v#Y-~9(cZ!OQY6CHs<`8SdrHZF_y5!XAJ;x|Tg50yfB7G;!LkS* zv`+fZYx>RlbvA^G|8uhc`^6c-m9rKx_B}IrTT=6?FM1Z$>{ay3OrLa4fHo?|W~Zvc zC9Knd2JF04kW?@w!|o6HwT;Nd-c?B!=$iv{Xk?~h8v#x5GoM9QIh2w`M#GB;KF;k% z@XJLwJ(ZuC;zR74HOiQYzNU&;i{Qt-Qs;*SJ6m&aP_6Q+Hwvp)lHRf{aKc)74MQC3 zcc6Od?A!=wuESv{f&R5Z{O6^3UIb>j_k~IbLnwiTsd6X5MZ}`R8{q1SpnQ|cWGuJ+ z8gTW{tWn~p!X*;jrHAhF?czhUn>DshD1hro0K7nVc4Fr9Xs6B@sA3DjGM8M)JNd;y zgwGw@2vw^Tdj15<2&bST2HneV#v$Ro4&;T={N*HoF>3U-`yBYbM?0C( zv1M@VDALe$?C;ylJcjv*8HW5pFZc<)Anl>`DqZ!w-5<7FG*EwTwAkjQuBXbL$Wz0R z>||Didai?o>DTN~V6TH?LG;nEpgdR>tzg@p_3Gp5d4wBa42?=o0j-U`KLD;;oGxTb z8EiZuZWa<=(^+`~p72JY`|xE$27oW{tw}u< zQs&+hPt4iBQ2Y>5jY`BQ6!ZVkGXf2RCJ6C^a39}BO!9(|wMJxDnE#fqJ|R;fNQ24jwRc?#W*K^K7a4v%QLCV$e^m(SBL z()qmV&n%ai#|3(Gc!@wl#LV`5G5QOaPgO%RpTk-?{Fwfo6iZK=)`w-wQg^~`=VnjW^l zT0Phdo})Xpj-pvS?6|Y$>M}LL2!P^j+}^{j*AMvB^KbvKWoU;HbOO+PM_ww#i^lnJ z7={oJQM@__Jws&r11hLKAEfaj4$&Y<&>)X|ItjER7r*C6JAI^M8(gJT0RQ6qblMIn zjCRsRvz;5Q8st&|{H(x1(7iM&kAM54$oo+aB3J^zpFW^@tjNilARsmjNjht9ILBl826&69)`sp9mhbBdQ+B zdrtAm&MA9nQkVv?BBCf(<@^=iwwjj{vF$yT*I^)gVC~dUZe1)TV!KUeM@0Jr{1;v1 z5>HgeiY*T#cq}7K+8^t0A-h$c@{sIqawm*Xu(c5=%#9!shL#cW zwZYXD6ss58#k^sy9J+=mQ}e&C?umn|8Db!HhJ&*jhH?={B0Au%WpNo)N#jQ-yox|f z_cD-T)#CKS;ZgLFQt476rKuMyR1C^z`^U^m zMQb01P6ADb%m>bqE3K-kw$8%qr6lMFl?0`gb0Vcx1dW7%@41GwzqRv!SVkXV>@snU0^_p6zploem{rf0r9!x|;hc zQ$dHB1Z3n$^W9vFLGOgzf4!NE}X-s{M;D`5zk%X&d_}D%9p0~l*U#-?1SA!w$4zz zlLjHu+r{VIkA9ToFrtI*br<_0QQU`v>(*xz0O3p z90NnocBBYv3H!$a$OlN=Gd1p{b&9U)oF-8fwHoDr^r4l8GuH&0<(~YeMyH+;wH;M= zFMGlQInpV(G)5l%=yhOkQ9JWtMM%GUF~aGJkVs_&NTX>W%_k4WY6M~pVaPy2meHPM z7)n6rm(TT_8v(zPZxYWYkdU`#Pxc8{<;KkrZ-|FAj2Vev(6J(z5%{8hQEtl8fcwYU z1Rt$;l|uGUBAuYp0O?HCkh)s;CkWV;{H3cjzzL#3`L;K{;Prn(s+*Ln*S>7_>Hj12 z>BmzzW%;uW;E;x!lE0Y zIwAFQpA+aVKl47~=$Bhz8XA@SjBLbF# z_^IsNtT?6VqA#1|SJe>mElSpX`^?BH6=?ty8vWdr{A&q)YqqjP)sTU|kyYp+|7pTJ z^b|pPC9lK&Ki0v~7p@Zty2&H9a7t^a>wmp*QluG5P?PAK)=;>I)@&2FDt@ELYT!n+ zsqW=$?9_*lc23lVDY{u#`*06$hHH#C093zO%Bc|sY8p@_<6zlx`7h@;J>w;mdnN1s zujPgY&IQlOy<`%gwP_7YQYGD}YbW2}wR7C{EwgfAC5H~lYvn9#@%Tn#O~Nt*qQ z!{oT}W>XmQW8%pW&0t=}`qHw^;Ll#?@@^xi0(m;=sATVUkF-Bq3!-4nTRvwMFWJ3> zXY=2MZ`ZpcYs%Ko0cXAbR=T%G%7|{{`X-PWl5D2rO>e}pk@W?n+&vuXYq|0IYr$GE zD4~TVY^6?mJ@7PrzPh7E;H; z;>EUj**tW0KAowi>Fd3whp>^{^bG8oITIT5{cfetcHHy&|L9LB+ zTpH>;(viP!wF;XUb1s#MZr9NdfZ4mLmY5|m*J}O}A)f!z*snINnTxbdDQFJa$boMcFmal%1J0=y5iatYD1ZCa5hStVsF@6$RabNik zeYyA0Y-%x-IsaY8+}Ip{-|ltFoEfYQJ#zNRnZ4hcb*Mmkv0p4AWpqm98--OThLQ36 zdG3(ewFLNLuyui38=YvTN^3FlgZv_x$Eir2PE5z`O}Ze8^ay3Is(Jt zbX%b2E$&~nwEXt8sZIkb^RGIkDLYbCw?O(YEzJ}I8stw!eCkJO>y$<3{&7E$*sFr5AZ?HIb?Xe7_%= zTYEkCeMi?>>W0Ucz8M7*no^^5H0@z@tRbCwJC6ay)_ePTJb87`&Y-wKR|lD^idic2 z4yBqcGcR|iYbqt73a#b08Ys!4?pM00>$|BF2Yvtv{i-!f$;35=4Kawrp4Y&~eB?Y( zBHz>)x^6yo2;`XFTX!t2|J?ccWXoewnr9kC-hbh&`hEn*VqYMgYy|#(gveAxQ-Es& zX_Ib^RqOWwN%V(Kp!I_QSIF$Eu06fJG776~w9rlM1BO-Sd#T}aYZ-;?q=x1svm^$g zhIBrR+CNdctRpH|Rvx1h)n711BlcN$(CExbm&-FlzVFyB{*!VU_u_)=cWAakGaSe z&atMXs$EF=!FVP;Kuh}1Xohmlgx=?%(>f8E{E%!afc}jM1P~L@R8F* z%D#+V@cEYF4xfGcD?4;0^dVNMY5zO-gb0fw+Lyl3_KUFKX`@HTp4nfXjVuIJ2R2|< z!<~BuzZQ}b^kWvOfUf0q_DUH~CiQAQULsXvQbZ`G-&|eez_s?whH*+Mf zJ=qvSBABYyH^sE_8|lhYlrFv1wF82Hj*>kjcF&8nPf6h2xy-Rq09}j{$+YK}{qL_B z`1$qbF@hYicI%u2EAR<=b32kp-}J=%o^#Oq`uV)WM4es4%YR~B*q3rwgZPLPbLPpx z@|W&gdasd7!KE*ee(AaVgN=VKLrfJ9YP?3Z44sOuq$_Gc*U_E5=bxjyei6YdGmWVD-rt$>fGlJPvS#35K4))!hBBl&;fFdQ>Wlkq;q0R< zkRRZ-E#$iF6Erz5nhoA$j3to&$HQy1Na^kNq{0-pE-*Ro5NpdiuZ8OOcItN1>e_bQ zYw4*Dd${y`SYDyJwml(zj^E%6`C$pd^^gMffplj;s30XKwZsV;r^jO zivCMCN&*eN{<#H2hrEmgqCE3@j-FX8mofHjbsk<;aMlUT#QZ-MBEiEUUKCKqYrlpBpEU@IuVHP%W3gkKBu=?RpZ*RIqB%=eXe4QIwF4>OGAz` zBG|Muuxg&dsm|ea(VQ8w5iZecxiOe@1+K9?bb)h^1!WBo+6S@|7K<_6j&wQl%tUi{ zRdio&tFAb?=p>a)gH@Pp;So*i?%nD;&cqgx;IM&q8RsE2Uzm+U*PDPMjzo^ z-P3*Un4Xa>O`tmxahWu~RVugx79`z!D5=ZqA+p9@$`TT3_Z%WXO~^EE9YH+j?DqI* zfxbZO@p1>9eo&d%vBPQ9GAbosH{mEst}tNU$+QWUzs%kyVfPHZ0=G~jfLVv)TOw;#Mxg0jbwZmH-)#ete@~f)AaEQ z8FSZYyPH_ZZqdoUC}Y&IU+@ZhWzx>-|IX8wMl20KpkYubdEneectmyN>}w{A=g;#& zwq>vERn`?Rq9t>L(AAy|nI}DQ)`Fl*-3#B$L-2WfTrjyss*60;CzD)o#J`30Z=Q1M zoG|1DZ>t{V^FjvJno7GeEA4$iqQt+sHQ*7l6iQV1>Hs(sRuVJ*cggYJK^+e2A@%x- z65iAcOw8wW7NwLK(Oc%@=g)dEAC%kB`v7uQIVhr%V1wX8q=yGkf6CXt=EVo%kn>t) zy3}EUTb>q3<(7k(j^lO<{>Ezp>+@1laRShxtI@{s(%^4kp)rKv2IJbHGQ>~x_p=a* zAa~$JM(@U}0m?16nEn(sXK04#RSt`ey zBmKM5n!Q?88lyc!}PO7pqoW6YU2~=jsRqciHv#?#tM4mIQtFw$;lK&8PEc&36iXXrjegTKW!FrEics#=;g&$;vNI_Cq z2fHJvN_3Dz(SaB#onN$mV?4goZ6j|R17+&7!r>z;+r1I&6|bnO**nrBUESR`w?7d% zyXoLTofu!`qpsXVhTvOg_E0YFNzep>1JXcc^+|Sbxm=tjvn$CJ>(@G z#9AI?XcV7U8JwqVHwMTauJ@~}xp7|}T-h#*$Qved>GcqTYPrHIN+}>W=op+Hk>^V6 zQU?Uj(SX#+Yp>~PnIHS+|I+VQ7^nhu{sk{z5&#K@qGIA4$|xYlxDazz?0K>g+#e>q zFaC3^}05Y0oO_`EtVJeSEIl08uBn!H6p-$iNwWSTuJ>35d3Nw%qSMx*v! zOTx-_1^SF@Mu!1fXE)tf@K4fmqhf2W+EdGki>ki^$5e1YuO3MK~$eX5?8j*NZ^;GZ%~z7?NZ*2*DYRjpv6j%CIa5S&Sa*wfxT*=rdGI}-3-`2 zfzmz*l4cvUFdpkNOcfa4kgWNYFq&rma>!MpNl#>7f?#W$wiA|;_B-%9xFX-!O3gcQ z@TD{vCEhIgX4}ru!rq5$O>}7x;S-D^kL5r(je9aEawf*J(8Zdb-1RKEGLSBgzK-Ak zW6uFOykF6?#r2g28{8_?wd9zv+VnEZ+A1)Z^_CHSQjq9JV2N!rT%iRgNcguL44qr} z354cd4Q2}hkx6_4U&C>qwSI(m#vm^vYd&;N_DRa^h~1#3d5Ha%SVQxo*(LtY4%vgO zn;~A$ttu*O2lx*@v3t?Gvg~xy@ATX>&N+Prt2`-LU}}%_I;<1m7OT1V-mHT}yY(`T zD4)FdcTiuN^<{@!`tx~s2MwBQ#y5$15Ozkl8xma@&ejvL8aDxvhp-dpb(LP-Tldx7 z_kMN^@O%mWvOH4F3wJwA?d3Un8py3kNFrY}J|WS0T-<84p;K=Ul;1dvS2D&}W?ud2 zKI#(9ZHY_NxsA=Tj~O}@l^H^d#uG zuAjTv92b?_O;}e!Y0cRw(asworl|{c{qQ2}E_48Xxx{lYav^@6#Xei!(^{?`KA$6` zouh(1nWDvCKC0N$?(y#2r(^{6h0c7&5dzxZS&g`0e1EUa)de^VxMkb{EV+KpW*6%( z6@>6vE*KA7wZyG^dvYd@)Ys6w0V>UE%-%JzUMP`%7e;J@5<}!r_Wc!)aZ3mhY>B zeUk*5211)xA69MSROA_@e_=u(ih?986@n0Bq)i-IEEsEC4(u)RnH)sIx!&sX17XV= zz{5A%{j#r`u7j|WU-vI~x%8?pc+s1LK1M*ANuk8c2=r(g5HR_P#VdXWEjep=ZU#OoJLY2lu+cgY4#Z&9LCfTTObwk2kI_2|MHxHcR zNz8CF6cV34TjA?6&6_0?r5b#a4h|ws&Qn%s)(4LLm^EJ%tY~(Y_T>W|CNc7i6{Ew$ z7fF*2US694z*dAx_o1TdayqCy3}zyG$(wVTF~je(B1(A2ZPfxg_KBKG`kF^8f-cGP z2p|JN_)Npn8PR9pqkh4^dr6jyhkg(@6{b?q|C@Q<@g3zO=TPR5jqT?%DDNym7mH;c zynDScVrfgmt^|_En!U5U5G@WkL%g+H#nxEykRi%CzI#wUaXycSJ=6H-I?~?f1@R3B z1vYO3$(5Y_9&b?koO&4{VdgV4h&A>DVn(zO z&K$r~96ia_qjOoG4X##F%eHHaGM}b>6b*RmFPQlz_+L(cJWG}JTZk#Z>c&Cg#+?~Q zw83g9K_NGk&=u_im&#YMrd%CfVGO2RYld;heO0F5!%_c>GJch>&geK4F!BLzW~209 zwprbNCE;Hy1tps{O%7$=Xf_O>DeF-@UrTakvUw>+|4FwHgi?XY-uTCDNj*&o5cWNE zJI%!QB6O`jvgU=f;lm~P@Utf2W;0ky_9YRFohnaZLm*vR4Fld~yS0NT#%+Da zRnFG8VnkI3ee}yWxeb}i$}q8-^T1nHOW!AviEV_{J_}EA0&F3eiMQ5kJof0!@0@Ol zSl{dC#HLd@d|B=U%_R5=CP=vNjM5zwBFHi124xEg6JdGv>EW}jBMbw-@tZNQ{i*SR z?M!C}-EUDZdnGZVoSo3hbTTr}dLAjsqgk3H=Qj381)AmG4mu6b%^G8te^}84U$;=; z--;g7h(uksWSiV=&2I~F|4t=d6Ebr|^!r-cm;M|Y>k~2)avtMj(Sn;Tg>&lsXTAiUHr98zfs?&`+C zyo4_FC)7AodpGu@?^4Ym)byQ5LCkGIq9KKpwlr>AY2|KKp0x>N0HJlHdCUq*2#>rh z->c4BW_iGxL8YEx-DpS<6~CT|Dm@X(6C_)_-o)m7=Et`q;I38wO(brrg4 zeg+?3jyvW!b2SMf=dOVNi39k##va&vK?ALA6Vt3neMN?MTsMxVyCQ*p6=iqg2-|<( zF{7R8II8>^?^;NuI^gT<(h?mVg)w*H(v9_rg)t|O0^}tw{GAG5R6^!!<)1((CMzuD zsMIdGZ-qcC{`qjTL-p4!u!}^X7oPvbOR=cbKPl{ELPrc4KAw9)I@xhGnUa=u?9#5? z9v_;dKM!O^2`*(9g4~gSEoJMK3n}ucj0Dc+;^&FX*L4tLkHYr=z1Ky1w?_2BI2 zCG(Z??Gv9N&jskS8#=S!&#boX`i_x;tViySlo(^l>e}g$b>MykYfz zce&p-o?vfE(BjH!kPaVq*~98;5a?K9JFXBkP}7;CB({^4*NI^_*1EWw%4f0+<%w~0 zJpYQCE|01ytd5%(`P-zacF$q@0|!2zFV1k)+!#F$J3=gsr9jwHy_a(W76}> zP**D-S;gRaN#l75HoM4(V}B65RXO>JB3F4u*NMNy1X;QmIOKOK{m7!3UPmI1Wq2C+L#itQ~yh8xU2VLB`avw;D8HNa%2(Dt5s1wLDf3 z8u!nKx0y`tv{$@Th^Dd?X1&m-P#yEo{RU?qqw}8pL-9YNEFX7D9=vNBH|NUW)4?b+ zCiFA3O<%trY|@&+fS`+$@tY5F|M~fLQ^*TX-uOM+sv!j8GCOs zEcpcmo}6nujI$x>CpohNZUvo~U*iJ97?^<}?@7cWA zvr*ScDzGM;<&lZunz~S#tW|-%V33AdqT_nUf$q$V;69X_)yEJlUj3v44y$ALJX+M2 zh4)H5wT0yTr7I3@w~e!b9HL);CU-A`>)GGDL!#>g!%yeit(^NPLYuhUnvUAMmdlo& zZos~cY!u^Y5To$*Bz)(mbrbQeB!R@-s5!*<;X3`Y-Y4r3V_4cL-4TZY7l-du$7QZz z=`%6^czo0BUgvh3IM~bOFqqo`gWPo7Aj(KbE_>O(LnSI9K1a{*(A(vd_^9^Lp3P%q zD{7|Fhw0EEWf4uX(X`WcV0d|1h;YaB+EvV~F{;WUZCw$l{;y zdt#WITofZT`WSU)nmVWc+Gd37OZ)b;uEgI(puEJR3*0kCh#1{o&!;Gy zW$+v`j3)8l1G_jTm5*^#uYBAZ8z63WBDAgDO~4NLKI(B*o=pA>YDu^9Lf+aAn23=rY0M`SXDs1LNVr6Z4U|IR+Ll3k|-RHL%drnj9JE$+Eu# zd_+Ze_=jP|HD|r^F*!E7nm=YlAeKK1>3ed`oj>#$l;s8(>fbOldvNkiH@!Vu@Wk-M zeB=>s@?*cW_$}~CH(tKy!?7@LGKU~F_~K1TNVrWt2VwRGbCSY5NStFYTuG0QNyv(Q z^Og`qT9d)~RGWH5?*o9-grz{f72MLJz>-!xw>FG;(i+CxF_~WBL60x@4m7-BWH3BD z$|A%w6}QkVmQWFWAf2n=9+Wai^uIxt33sGs?>(y=}Rb$ojJOvK~1XKimzG<5iUj;0m{`1Fhn_;d`k z49v6)baZU^@?!Wxjusa9e+e1i!2q*>fN;G3sDS?J0_FJq*>?dxYlrl~5q2egC&pS` zTUsj|#0Iusx)oyCcBx^ixfc$e)}@1UjbI{jtzyy_BGTpWf~#s4g%A}Pq9GVQcs(Q@ zG-6q{kU)fJ{;^I>?8^&R!K>2axs>|mrKzbozpkk5sd+DiIj$gX{oG!1`KUPm_)^kR z($eB)YHE5+J7E1n15Nlp*Ceds?YozVcdaH9CkKDQufIj5OOLwqv$0Jvp>y^6N1CHRdhIhWIG}<3q)xR(l-J9g@m_{2>y;omX_pO7nCO1W}T+I)@ zA2womaeKGkl=P+=PHj7REt;t`NUjdjB5PmSCiRXp&nXVpde(T>X?LO3@T6;dPiY+0 z{(#4+oJ4Fc7%TE7q9w?n!BAEanJn6M#LZ@TsFfQ)X2Z)(V^JS(h`7J4V4b6W0cm?% zt#BW=c~dVe_GX!+$!L&>vR>s}9b6)Q5q?>*d;#w;#=`J6T`{U(67IP+OR%*q=_NK? zsHw0YsC;kP-O&s-d}sW z?XjDdYCX_e~90;e_rYK&>F4}Bem_})tc9LG3Lh7IPH!H zdvk*QxC6;JPsrs>f{7FT7^ZvD4d4G+gY}U+yA`j>bL4Ssz4?#^5IfGlT@cy_X%FXd zipc~=PnakpeKG!L))2Q%&XZ3j{P3ZL@87R_J>PR*_?T7SY<^6WRoPlTb>n*0=G1z!o`WCCvhe?B@Bg*ek6`4z zbde31s|q)C*{N>jqqWDQA|$fF9TZ4Slu>R71T=ohVB-#Shp5cdZaP1knbCw-_}-g) zYab4MOX`3uNepx4Kqv}dh-Nf7Mzusg#YmyKpAo)6YBKVPU0WPaLf^yu7gb;T-8whE z{bXU{g&$1lnj^hum?`|ob;jNFrlrI^PE0?zH@DOkU(1vHaJ=>q>wGOb1cg;w*{P?5 zKUonIu$T?r=Uoud7oaeU5M-TmVSp_T>JR9|W z*H=iEnH7+k*PQaoKeWcHS(h-lj}C{#I9i z=z7asBnP>>pqY41n(sl`UZLr*!P(?YGKUlmZrLbWCM%kY4RkwA;#dnf!R;AIT^#wP zzV7k#T0ic-(w4ul$a(0Nw*rX=-(h1Z%s8R4?$t6gN_j|LjvF{b@5Ih>8!+%Yu~CB! zr`MuAX&a6ur$syF*RYfSXC;5UXz9(}8*|LrQd4T=I#vlTx=jRKuM}&iCP>G8eeoT4 zl`LxA(Nl-JUmD|ChYxcqYX?Mq@(t<@Sg#~6-yi(Xz^iya%4;v3HsK%2ioX?ppPXnr z{&H-0+|NB^$MNJ=ek)7Qtma)8ZwWLv^_+MuRvKLD^@v+i6+g9aFm5oO{nUVG$}@d< zMk{HKF}mbbs{Lb(mb(0}V_5RadlSg2?PMx9q|#pB2Fq0ix5Jm8j?RbJfNllU5(W@tk-Vd$3Rg>a6!>nq1aS zU6?_Q{cuaG{DJ9lKk=~{D{jI}MH(27o#hl;C?V=vKjX6PUA7){eFdaT~inNw}b1+&H>$X|v}Y8#h-SC?v={+>F79+$px zgLkPNZ_#BSSu+`an?mMT8C!Cz@r?Xz(fV{Y&%9CT~!{l z`hV5gzXP||#;;8C$+*`&)9WCZcxBim-WGc9xiOBrgdXL&@wC|=4&u3$+^K9RT)hz* z4cs4G#;f63@=6B;jQ=6HMHr{gTU!-4gvO%c}B@@_<+~SKQL5 za;3*MTB7HC=6;nw`%waf_)~#6y=Ks&lp2KIN+>t4?~;r2^WAWq^Fp5Zm-*hxfa+ow1%Oo-*;$c+Z*LvO9m#`JS=jl>Y7WTKnE*HmO?>@|&a3RnXWUB*Vws zJFnNfq(&tclUZ8WQWggGyMvY?eUbt@E?6(O!|JQyr`F-4#@^<{R^r4~_QY25#56P7 z&oS>aKkkye@;dKayOI0f+*?3jiwswEVucnOPPmlQR{;=d2^y^a-g97ZML*X?*Xt)X}9S;1Hvuml~>Ms8~kMsByy zMQ&3D^sHg(o_-b5`%eTlGJnI&#Knbd?nLCEK~jeZMR{x5PT3w?oBMKt_PHc8wnLGu zJQeP4SHW=Jv!9m`tl*3^fNRAJm1-!ulj@hutKx9*4y_nB@+B$&J^-9>BWo9Xkaavi z%Xsi_^Iwm5^3|`2?qONR_pz*yg<99iB5s=G5_e1siF)Kl#y$yQkz7Z96WjSiFeR3e zoKYGHQ~bR;$}LMUGS!Ej-FxS5n9W_l)c437=aCF5#VVg^PjduhhYJ840N#c{cGdxC z2Ot9gPXIJUHJex9zL*%d(QQxyKnnmP04xA-0KfwPKLEl2h~p|S0hfp)A3>4J&j0)@ zuw7MXG%+>?D?N@)ThNP&W)$qp7W^$l4g>5fHYfgu5-x%@c?9=~A>YFjTn1L}A~8!) zrI01e+4v#>pv7wiqwNkjh?6?{DrRl#HS~=yE9gs+kN&~Ycty{CklVb1K2;_3pL8Yg z@Q%^uNwOQFv6IAbvk=6?8!@&aM$y0N@+)5hPp|4VE(@sQF;J+t!F(zV0a zbo4e-MLpA+Z1u)NALiHdQs+2rThBow4c`BP$=mQ!x7=2Dvj>+gsB_?Jwp*X49e3Wg zNG|+L5%`ctRQM2QQ9$huA5y7-i=gdK&tIhGz??O?ia9f~8SkLdNIh=cS)4XGOhiUn zf6eon>?}$e;4DH)OsL<+$S%LFISsju1Hk$e^ zv*`&dtaOZ_B=4KBTvvPJm2$lkKy>2=icFf&;yJTE5OanVyjbcyyxQ=jR&RJQGZ?o_ zXFy)KREh0*o+jYuNtx-`-Wq>#f^o)KQ+MqPnwcJT_s}@#tdNtjFR^rlB}G+*<{g$4 zq`?%62TxdrAhSg?{F(Wsf=shy@T=6ceCf`T(E41HU~6@27_6oOXYif#mF&W1WcbNy z$NlqIBZrl{g#~hur7f>j`K3x8Plfs>H+9tBtq)suP2&`;+W7VMHG)wD-F&3WogKyA zlZ_K=Cw+9DX`fz1P+uuC$U2g+>!_AO!l{gFw|wre6EmYClSa}=F;< zIzh)XErCc?Tn;eLBT`_(GF9rY?~_OpN@W?X6-le|Z;W|FcZM~?Y?3}!6>ddrfaRPv zxmOM5r|F?+P*KgUJlCkpC|7k?K~Y(rIeQJ}Sj9AS_9OMvbjUWz_*(8-#Ej@m8Toyl zT>=>SqWq^u{V7ES(`!H;+JN-Fi|(sK6rgboXppTy?*`9mq4QKc^gIDS?0U3Ge#ZTB zT`&kgji;R_yc_*Yk^a-Xs}(AEuRW0e2CkRDHETP%ZPi9(!=9D+k`p`j5f2XI67nJx z%PwQ5z|b}+%t{S6BTHQ)nP!KedpL-B^9|r(0f#7|u0JsCd0YD=fF2W@uF2bhTR&#dExj@>mRG79z4YY0yk!}nx zpHc#E!Q7m?4cLL%$c7`+PuJ-^Uo*R!UmoPexfl!YN{IDQ&tyQwc(Rx!Y`N6ElD=>? zSSYCv-8K78YFNQJFGv@2e6{m9RDEzG^xX}&T@Ohx@EuQA4-U8%;!5wNUH^ z0U6BQ<*33!CJFfoapS}WIF2=3g3Di(d|csD7OQJlI$|xV8G9z+x)RD#H55~)xPTie z17#3fs~Me@Wu0*5mbv@nelxLjNWBegvxce)XS^25M}%-J1UjHKPk*L1<}dF%E)~Pm z)xYaTFZJbf7mo9+S9CkAz;D*7l-Ajr<>vKvH9fA-x=eMP!_x9I!fx7ib+h`ptb>|w zVhAB-LSv?xlHjXZ1s^v!w&c3Y#7R8Hw-cFsF`4`g0SbI)_ou-ng$|A|x{7i#j_| zgB`@0mBko5>iR1L%xEYnPNFOP*8)zemrMthZ(Qh!((p#=0p+T+S9!h9jC4`re2Pi3 zv}w$<{*ceUL>bw5taRU{aFX$P;3THtv6Io&!Py-1kjkLpU{0+wL&LdGA-EAvApw8_ z02%-o0AK-t0{|WX1a6rKVTnGMK7oI#DqP=VHh0A@ix!on%ew@=MCm|svq+@;EyVUU zB9^{LT_A3T;s`Q<%=?9h-{8;RP6vXWZAS2T5!%PsWTg;lv7#PAE?{lR$_DImwL0~G zZ=62haSMD}kiFz`8_0?On&WzeBwEa}-5Z=nSDZnglR;ALgX{o5jDvILtAg>1XXs9OfqZ>wXTJTR- z$kF&kFqmmc`gVS?h677cTiilHHTOBsL0e`f;AJFrs#<{@j~iKWp(u)knpKS4obOU5 zA6s>yXoJAABwcPHfF@Int)@&?lT&Ri0nqhI6=Rc8D$Od$O8xE~d1=cv%(W$XVuP~9 zOLT^jnms%=y=Ehpv^I7E5k8WfbW`yrUVcIT z#z1LCb|;5^f3HUhU6hllAl(CPSYApMemG856g)I|AU^IA*L8&a6vvf; z@)-MiD%tKvlSrD)kc&v#hy;fS7gJuULw%3DuuOSxxGYb;k6$0)MCgYTA)MxlBXBX9 zAj-zm3LSZr`_(d#hSYN!@#B)Jsw{oet8OWI!6Ekn2MZFu+l7TyxCNhez`dkE)sO5P z*_4$mI>n%;NCf+Wc*NpqF|3G9*&28obgHoHK)Ds*5MvVgLxV+fW z9N4aM1bX+U?7HntzBgbmARAjgKar_3t;N-GYE>2-l<6|dMh0kk>)bqdMe>x^oA`08 zF*j!SK|`ACI3za6N+jxON93cu}c1jie?BZXZfZm zhPZ;UC6!|l()pm&RS2}Pm4;*U{I5q?KYpQQe0h|sIOn9LWD`hk6 zC=HA)7AmA5Rs+x=MuVRadP7f5&^~VbjzsuyY6H;}h!VER7T;vg*U$xm}`Z{R*^ z5#u1{WM*50am?j)s;y#%2{o%r!$rPk>VSZs?TtXe5vLU^DDljeK%LB)Wj$)gCuK zX0RVDBXw2jL-SPz4n@LepQJi)tEb@ZP4wyjh`$#h*JnGG`4Rsuk$n@S;$GOX`4q;8 z+9VwxT<3>S@Z~oq2$35XYkAos8dtLhaaeH)a4ss6%!2awGseLXvz5J@%!ox4h^H$i zOIR)fcFr>Px+;s0wRj`Xp2sTE2NepP>Bb57=RMPWejW~mBUvo$c}7|W(j-)v8}dhEOJ_J0>$)Ci2j>T@g835lw3KS6 zLF#jW=lp0fIY({gBm~0 zF5 z*-bo+s=UaoNeLt(2~8kv%`*KB#yF1E4#mKJ?pb(VkYMbs9iAKpr*t-s`b+_ru|EZk zkU`tEd>%2aBW4Clf(Eok$JuM}#X)!Aq;mW{H`-Ydn8q22!19#A-Pt9;L$r|8f5)~lG zUl)O);!c4AhaG)-_SkuK?Qrlj*XVa38Qr3s>P>E}=vxb$J%}J!id!Ehpn4=jHEret z*crXuu2KE-8D?^BDmxzC^zQRyWu4OgZvoxDSrEl_=f+X zNCTEn%4N{ms(^`*#b=SLZt<&_qklJXq%9eyr}tYo8BGD>@H`Qy8XZZ8aC%-1)k(T# z{c;AAfYfbOcOXz@UWCU7v$ASjt-usWSs)6Db8ikBpqQoDj+mZbMn0xiLp~fS|IIINDb;_WD$pNhrH9Ht^ITxr&W+9jk`sMl zm#Pi{nzYECPdF?L%tEEAsgz`y0x0L6ZfsO` zClk%NP4-NUthKxxhqhtW4khH$ETsNe0=mr6AZRLi+k&o_U9t>Z*;O6+iMZ`&8Jn;O zad?ZfC1t8wWtMdB+b<2>29xWJ;WVy$8{vAe3p7ZbwtTG;HC#w5&2bhMNS()m z0OpP6gK^ycgV7ZQFzsR=jQq|Y%(4W4@ih8i=y(5MWMw|=_GtDitM>DO!BfURQ6HD047Z#khux3$qyFyZ) zyFy~7B9f?n!Vt>Y@}zjE^&6aq@gxn0K$&r)>j&i;=WL1HG+{`=A%HWAe6ccj`}J~# z)h1(9!6}42k$kPb_)v1A-gb*UsrU@RkxIVFTz)dG&3w1Zkw$)*;6$asX0afX#dUGO z_f(^xhJUVF0!vDMG!32!0`teo-n2#Fp{a@3pk?k9?*(wp?mXR|yH`v|yLxAjB%`l^aIOHE}M z3izi*?lFThBn$%su_s5>2%AoBRK(`IT&NVQ{G*(AiUv7|82SXFL}%3q-u-tfB2LS9 zDn)HjLMlZ911chR$M-5Bm!z&##HUoQR0QQDYryxlnfo1#qEpS4j{XV1h~{VrBARN=L49NMkM^<(_9VWh}uz3 zJc;9|EcN~l?X8iVytw%Db`y$*w7-l8IJUSMHo^iFu0++78CfnA;0GlJ4d5>O^{Ht{ z*rg9>_+^&^1^1={Q#h?TV^>E8?RS0PuQ<_C{YgylHeMVVRkw@z`b%hcW@-IN4#t4r zCZ2S&YB=LRP^M8i@Dcg9G9$4@SU$VFE(Ggy`GM3pHJ7C54azpnR=h&Ynkp|p&6p~8 zAI+HJ4ll(5MjclIEA6FPk?#qbTn0_izMtEpO3Qs_({XOS$`vitG|&eWphTj^*TlOb za7*hnujn(TXv+|QqWfuDm2>zg2E?fmn#ayzMev&yprA8zK$T`N+VfdI@A-k2;S>{z zn&I>%0wtqAE&}-vvzlB0vu}U`G=tmtT1?~dv@P%DunqT`2naAh#19zQxqYIO6AgkF2?7#OLeo^byMkw^N(z)0vr_ zMi~ztbU|C*rIPLLs{X+qta`Ex+Nb@*^t>S#Y{^p+*N&?Gy1ptEy1WglF4S5Q9I9-! zG(4K@#5mNcSa`Imuh>*NlBv`>_9~;!Bx$*BsTcBz$kOGP+91B#z8KgTMc9g8k-^}5(r_X@m=$<*8 z@c7D=u6t({sc^eRJ1LRLfy@iKpT(Ih3{e4QD!}iw)`c^fE|TL z zQJbOQ_G5XxVZh2*eSiYJ6RJVGX=t{OJ$13~wJaHhEkX?3j)@nI$K2y5j6*t<2bci11h)=N)X7DG>3*=tW_ zP@&iUP)nnn5qNVlj9&H`*i1UPjOyasZ=UCG1W*G#n5=|VuuiNXewL|O1oFs)`)19U ziK%dI0-*I~rpA8gu!w%>O=TS>exJhfKq2QsAP|or5e-h4J}wH@Ys;HVJPBDuXwYO6 z2*?1@kcwoB@I}i=M&sw;0xrMPxjS8^Vap9X;$WhdW7V_nmXFIH&wo3^C2X1z29Dvf zg#(pbug|y(eEI}=v-TZJT;17`%H0Ko^L(aD(MLQUqOB7$`q=sXy;;h`+|rGmn7p|2&1dANw!1D z;`6@97K`BjoasiiRoK+^ zEZh?7l=0nO>c>HV??_slPb`9@N!(`&6|Dev#E|Gahu3_a#>(ALGIsJBXsS5wB?+RR zCsZT|vd221T`)Va;MN8)g=DIUNa@hs#Te$!ZO75MvRbamY-1E`us6(jgFI zVncOR8h`}dp^Hn=ifq%UYGq?3Di@S-tK$5M6=vh>S1}b{7%d{wSrkI>O076^J;;*+ z3XvH}!3@*rydawWj<7m$cw-w$IW!m%L=q}u(E@S4SPl7T;%16OV&~p()6Kf4J~k{C zu%ADft--3xONXF3hwshw$Pp^{&P*F3My+!sr3L8w4rMx|n#cL%)5O`N`Mm+#;7{T- z8LOUFL>a<^s4CK)Ykg{en%_vY7_!nUTWR$og`pw#Gx%(fVvYYmO!!I2Qi5Eh@q6U)=y}LbdM$P4|taBEnraa>$3R zol-~&j7pzU@}`kn6IH|S;GAWo0`P7V4AfL?cFa`FHotD@L%gavbv;}-`vy>GV1#*X z55>9MPkbomLK$aqy>aHPB z?3wZX*6CqUWu{AP=>^@3fjeLL*(amtQFiKpBPXW-oVpQhXeB|gWNMLgiu_y{q(^NM$ z`d2qF;bL~kZFCN@OrOk_4B7U(%0*$rV`OV}4dyJ+zc7nQS1kmvDTNUhP5=0OQOz zOj5vyMcP^PAmxUhw|q9F`FxrYApvxE^$l_K@K~g;%?Y%mR31dyQpq#@3m-r4d)6X1 zT|5ONObq5AcdcFlE8UN*Kh-n$GkXEvX{-^dy`LwTw;E$hQ!?j(`4kp;XAS^mcM(VU!$v=94y|~A) zs~T;;^#q1t{fa>@*nw6uXBiF;F8(A^#uIz^y^EAW5IivF83M#fVNhul!jmevCKLY! zJF>8~ThCxUvcrc~Rl17j=S9#=F9Y!@B!%F2vz5iV(1D$=P7oS$vyi(T1@#IL#b1zl z1tJxsqcMwrevzpSZ1p|N3F;pzT4rD{YlsNJ%r^#|BLhtBxpn*4W-6mH)xdi8f1gO0 zh{@cUYkWK()VNJ;i<|QdR&QAh(LYb!<`&k1BpGt%h)~}KUpw?m9t$XNn9Xn8|z{h>4Lj#3wE^Q_nA@Jn<*?P ztfNRS+o61S!$v!QkEm<75h(K6l2q2-pf-XIq9kqpSOakFya3L+_;1b|z^&kM<}}5w z_qX>`ciZ;wKVm(iCOv>ilT{e7*jn3)+i2MSdIJpRzjY#oQ7&_>sjnf9p*DK3w|j19 z{uIt?lKPgJZl`q(oTsaOmEftOex{7ju#6rq-00hW7rb4z-u6bkVW!1GkQNwzW~f#( z>IN}}sx0X#4WlVu*a-RB7mSRo_GMoY;RKI@MSr_23*u@zahD^kB&gY*=7iEN5eabf zB39fj;*&?+{*xDxF`MjS%xFvABUzU)TE->6ogkVcQFEENTkIzfhv{GM#L5n`NwENd zGWj0@^$!8iSf0R${09~QimBHe(4TGjF0 zD`AZ}XAmxe?E;h9u7v;>ir_O+M~@lTkHS~<6CC03eI47g)C;w6`ADDlU+@oh#l@Y= zPq~ajJCp`{k5(N#h1O#Rej^rs_X9}?rU>2OFJlVBBCJQI$zO78@4PASNpG#=uA4HG z4q2Gn==6DOQ7-=$FWp}A{_)Jj-N2F^YI`D(mRD=VVM?ue;{5zqW3cB5wDaT|kic zT>*F0$Hx3d?DTb3$7<|S&=A4%XW6nDfpEeZgIN<3?%cP8ir=_OOku9HJ zQy^Lt%!^+uhv{dz_s`tdpr{)xjuGn|_j9=}2it#iBjmlN~F8hiH0qNX-?^sYKwT z8sNUV25G>fCZN_PB|ht(t$zVUeblzIf&Xm=zM?k-icAYe_g`%?O;1G@z3i(A{x|d{<|Ht|y?I1>KEw#OGifU0FsEsn$5Ov!v6>)*lvr8w$T#4N-wo-zEAz3EoX$z#=6Lu+F$@>T%FF zI!f%gMpxEdL(lYP333Kv33>#;85mFl;QR?t1KbAzhp1qq0EAd_P zgD~)f2j2?Vz;n4pNq#B=5l#q|F&X+_b zm~)p=_6iE_%eA3tA4{W8Y9sZyyqh5mq}{Yu{LpQy_QKw~_~vUjxpp1*GU zeVicagqPb~wU4^Xm&B$aljrwH7z4EtBS7Jzjaa<3E(##X07Nl>=mZd&r7p^1`kG4a zz^RU&j`h}jBm?}Kk!3QFm$`f-ZAnlGS6&nfJ?B%ifKs%@B=)tm-a6Z+vrPzGyPG*)4ME-LFG}wE-BMke{ z)jhG#BwFcv{ecsKRU(%84skH~`w)fsD&M)Otd!x4d+dHT6&-QdrEBcI^KUiVSY#Pe z>!NYPXwjKpgpkc`^XHASFQ{(1xA*L?AUx=5!53)DuO(BPp=Nor7pi(JBe9L|B*9PH zESsSU-mFD}_oDvpjt?yn#aqZCGkGRWpB%#7PlqD;_OsUBuQ19ctpsej+5YkUs#nZ% zsX~*Z5pP8b3pASVw5SzLtC>$PlqtV`O@*$1X z8H^$9prnln{HPgEREuY=>w-3NK2RvlCqZ}~m_aHP{|k|dw!WdIaR|JHrEC&fjqf;| zMRqzg=km`qg*SvroA3jK}OaNMAWS&=IRX`e6wX&H(qr=?3sLofr&noXqa zKh*2z0QK}4s5Al>*2N>JU!mJ41!6Zpxi6;xog5dinb0=mYwv}ZG+CyC^cCoI?v0l; zzDo%yui#HoGL-5}86*7pKTZ9hdCkPfGJdLr3y?l0Mc@R`gwsgzVJgyOjL|2MGK`<3 zk8W4ZT)BL0M7e~vkT`*_1fqBO&>PH&#P4d?LQ@1=9Fa;vl_hP`!Q3jCWOd#gmD^M>q)=pAn^(GP* zKNEPi&VTa?V2Y*x!&I&&z*NKB|BtDFA+`ap>TYd-#tHrI-K8m z?~~ih^g%(Gz$$%3&V6K{P1C*Gm_U)F)B7PLof)XrWrn~8?1XuDKrqMO10_79TfQ;-Ras8=5{?Kgvtzr6C&0G#Z)A6^4>R&bSADYa+HN^j_QTWh! z{jI_NR}J!qM(b}4^1o{4vH=?Izcn!bq1nUr8Eh#zCU@ftbXO{Mv5>G{7p+082q#^! zkVxKIVIql*sa^>REmDxqN^G4*Ee<@ezw$`3tm!c}$lLx^!LBe7@AVRL3d<3>ILDMF zB2UX#f(zCkCxSgW63c80Ip0t8;~+*pkFaRMWyq|>H}=bgKp5+wzHvDde_g5SSc~G6 z+E6l{JqbCfwj$U4QO(FFRp+6`nUUocbjYNUL7XLr&K$ zrWn51ET!l(xtI~AO(J_nNloDH{-tpyUHudxcmW3tCq`J8PqR=H+CJ+NNsyx@wP~hB zB8I7Wp3Nx*R^Cjr0g;B7xsjj+z|uNu-TKKiNK(3(6mlsC#%gO4vj{w?rac1;ktu=y zB2ZkHn03(l(?V?sqy$K2SDoJ=o#xl{9wvo6hVjkswv3YUkJA!J-x5vN=tXoeuRse> zID?KEwvh{R2op@-t%}o3TO`r`aCTt5`+uy_!chLOc=Bz;On*;;qe`v-XNpFmbqgoi zsh32eHD;(qCk}i5(WzL(P>o^4tU%n;%QnMFM?YmiCYL|MDQ_)bs&$)QLO7yEBeal# z8pDuzHlO>i{qp~@-=bM4++K$i8?lU_Fogn=+_JB;bB8~SQmd*Z^owG;n6@A#C|zJ? z4SUEX_ys9uV`Y`%Oiylw86}rg3h3wlb34OirNd13zj9N)T2RfRn-SCf5%@orfSQ$w z8L2afpEpQ-e*H5bFwBU_V4S|DpMU7KNU#Q)7DY39s@f*)i5$`7wV0}gh(1vPZhli- z(*>SXRK_M&EAfYfxP_3D7VS67vPh4@#JFMLoJd)Q#xnZU)NQ~HS&^2pa?tFSA;j4i z^yR0MAJe2KgutaMLO>cP*pN<$DG(Z;(M*Tce#S5kOipJcq1l%Zb>1!$aM&v26Jae4 z;ILKtiO8nU@>{7qc|(*_Aj6Ew!W7cq?4BPMPsxXmAXoI#KYl_RX?ctxv1ht+ex^lPUH+Rp-g0w;oLpj=GKY{jlbo4)(j|aBDIET@>x!|(f>#?-->-R1 zDLnmc&?Lqip@|Hapw7A*<=G&&+@BE-JXMf+t70|Bx0@647->^_%?l-KMRwRP1pr}$ zEQ530NO4PIzmx}rsSi7o1LSy2{NQTEbe2l)(``VMF)osd=otTZxeR5fon%@h>C%tG zXfuGT9OoP-{4-4Sz6pJt$i(!O$bIzMUo!_d{KjRBgwSLJR5P zN&Z}A?0>9+cq{fD`_^#Bbc|n={Wg}Z?b|I=c>JH|399rT=lTD_4dsLC_uIa+wTO0P zTF&4@zTp(-pZQ}P-I~eHlt*1{(!K$%c&MKdCIQhMO7XU+CPq+;n#f}Hw38ZXcPlT& zBGvVd5LK4BotJXU+%v@ODn);|9p$e+R!B zRJ8m$021}!U4ORo?S=KZ;XzXx;Pyw8t#qn%D8Sp%v?SW~g`(_Kn>?N)sC>a4_$8_V zzsnR(*0kb|PHMxno7{n{dCC<5lGW4Hb9>$%e9d60%KS(Nhcg^;8`p?CVERCpY5Pf- zG0JoldB9g%S7SRDoiZTik?_&JnY@&oN_7m~|3U}Bw!!hwd4pEA&m)t@x>p0W^ zqtuqk06k7h59<|q1f>V^uHlSm1ivuD?yEsrux%=AgmHS;bc8-8L!j-JJi77|*}!OC z9C|Pu+pd{*(1U@D4taj6n0*g%S*a)$3+Gttieh(UBK@Qpf^B8`MqKceMT8pE@#kC^ zzhM>Tq{Hctw9k0E4HsWs{`elqkJQD-MKOO7WF#SSTkuW`UIz2&`SRX};ga2-3bxo3ASAk3MS|B?MsWdJg%4zj;8#4@pe%Mi;xH1B_F z+Wu8TmHCm8k^g09>|Zry9~!T}HEaK>q00L3=Wor~ziP@pH1B_FUjJ1?^}l9_SJ|1% zHMCV+m2SJuE6MO_sL;tu?xR>D$(rt)+>JnxiLe~bg7rYaVgIsZd(A#aH)?r zZQH=yLoq**8c$D2!OBc!<8JeGvTACg<2bu*caqR%|BhHuT`&O)R~yA_YgHEE4Muwd zs=y)roYNA;?5I^V#Zgr-k;{KUYB^BM4gcan+dl3B8K$q{uaCaCq%uJ1LA;BaY5jR6 zLVe0)fD*@UASNaw&b?uilatQX=4Q&q>L99r`?z_$_ZZ1p>GW_A@UU}a%b@vFX8WLd zku-VJLgQ-a&m+*N2TTVeL9P}jj-1)V`@@y0-0*MeGU^-j71B1#O}YYl@rNTLW)isPQe)t?Or& zK`lS@3L4~YUN9&$4gLsr@etW2>Go9Zb~-7RxMev9WTERjCIygKqfAzE;V1QJrd>$M zN;Vxzttm7}iHc?{AGsHkxBbRA(>hAjAL-q$k;A81A5yyVEqXvsTu29tLN1|CJh&NP z%~(!nE0MH>ULbBlHH(QmT<7a-xPqUnZe9M7uNt)i^3_o+#ljflkE}RtG{&(-f~`Rc z3y>xbu{5h#WGn!3&GAhIXGTe9`#%%GrqJ|{RMPRkCD(=9faF?p^)IhcN&iQx*ux~G z`g*6HW*Qi(-_kcgr^%YHeT1$H-C(XC0{6R0&wuZ%#7D55g21$QYb%W*;YoZ z1We_*N~-iw8*QIt(-kkF6h_5VA9sbC^igjJx#hfQ+dQHQh+rEfU@cii6l0a}4Vd-F z4V>U(=sQNFSA$87sXz}dveGU1Ip~!6CNMtmiam*RHeuit>8QZ#5tC@>Mg|2!AO)`C zqw)^OArb#Px)Bn~!3;zTT4l=>Flbki&|d9|8jA=|DJJe8Ll20?06FT4_#De94|2_1 zs8jHDGY|uMDKcdjNzN!DFCGJ$K!4!VP)hZ8JtKg-jE=wH#+Cr{L)2?`6Y`QV{1F)R zfpC(mdF_nM@PQebf65q(K^Rd*CL~~q|5@R)t+^3# z{+J2`1|ur(LczM%+U2c3aW!zsnSpks4;t#tapy<_Q`YHBSZ71(tvsqd)Z z4Pz?OS>UsWT44pVI?a>uLhz%Fq}FG6=}UFyw~3)RXHIx6vOJp9ThX_G)0)WLb1p6Z52yr|8eAS{TU)*F2S3F^0%LQ)h>4DEqqU9f|T z|BPsHCAjKr#$#53C-Y8Wp^4PmiDRGgmWzlbcjSHKK(|})!}m8h*!?s5D}pcmSTB83 z_`8RKIX}wcpicc;S1-}Cj>JBT9yQo($TPY{r?shQn)#J?5skNox<87~4z#fBV{q(0GwUWa(8{^1(>s&&D~x%D=#^kwG|*q>CR2dBlNXG1SIS1v%<6>c zaTnh{$bU2181O*rH!;Ta;OKu%8)#ctc<60tPz`IATirS&KgeP->MTF!YG{Z=mQT3L zA}gRco+Sqqh2#Y_6Z(Meq$gkie34ReX)9)K#arxfYqQhH)E%r}VdXtjkIpq*|LPw3 zb`tY8?YFZjAo?U^h@LGw`F0t-@Q5>iu^Lg_2|FDvPs-Au?(zG+lnaS+gGiP$<+0Tvw)LIpun|cU`vlQ$LcBY$@lx~lb@)fwA zfLIIm*AJ^r(G#&$ji%xz5dF_QO)=r7PEYHVG!M>xRqE`C#+a12i915{%^`QWfZD!T zZ=$|kdBLUx6Y(6xl_Y5}3?_(EnVS~3m*!Y)+5w66&MiljH#9)MDE93Usbe;E55nmm?sDX;LEFg@P$SZym>Mk+Vd}QT6ItB0 zpUP5jR$|}KKs3MDk4TG~=b?b{q^dXO)QklmrlDXw2dvUYKwP52G@CaktTvV`2!`WYwP=)I? z9HLyxmX8#WM?A?o1X%T&smMy%=-;%V3!j;nHhXxo8(=Ki6;a)*GWoAf*@tYMU%XT& zXt6Q5j@Q}39pEu>CS4wsPv=x1Qy;LZ-UKGQ+w9Ho>P7{LGFdy%@I_K`=>8++)s40Z zq*I7`)9^C)3ie8!HPEIcxKHidyC~%^Im^#rd8=^KWS#N z#|V|kHbx)xShwGq7q6@`V;Xi*#>fJ4R|2RFH_6Q5IW9=MwjIGgOanEVYNZjodaT>b zSs|{xTJAL4yT_+y>-QJL=41CJ-ADiZj18Y&t#xy5)Ae27kUMm&XO8`lOVRYf4O-E> zt&3ElRxoJgcD0!AOmPS{bluiu?#wC^S4sV=P`qvO6ZN5Gf6YYBy-`^Ggd`xh_WQ46 z0bDZ&Mye5+JzKu22Ez9`5Ef$2jO#A}-2Z|Vch6Hk25^^bPw5_av&sb)Vt=otFXtn=yoUFdHCr%?pk-tCHYuQqhH|Z z{si6Z?M}kd_7{o^C*X@rcU`37iKglF)>VXo*?W5d{|1DB+HeDlD!6&U^C^-ZHBbS174Q9Qu#NAHYlztjY5dnWzd+9h?B4u> z;}3|&nFa8@sm(CU{IVGJnGOE^c-P}-?*foi(=eI`uU33sQ*FNsS6yVi*{XiK-hi5?*MsK;NIx?pMqCRHM zaJGkudwNYt8D=VEL2>qZA~bMQ0IFw{C9!_iVs1$)D^HhyHg>a&=MDSDQ(qX0bvnV8 zLG2fx=CL82MZ6`z_7yQJ(|rhX1?j%OqAsRyJ>jFMPpI86;4$FAUH_^62hx#n93kxh z#Bg4~f|dw3J-*G>Va4q&=iXD9ewj@zh>uH0e;AUVW_w>T&{p|+rTEQnPfez~(Ytqg zW+G-HEcpLzH$8Y8!s4qX&!Il=!?E{Ulp6UiVa<|pdw11?u-+9f*h8`8i1J` zy#F?L4j15)zFldr%RbqO?X>F4f_5O|8)6Cyok@s|#&MfV;7>0hZ*Yz-#pCm@kd~EJ zF|_n_aUYUeA+2NT@6))y+Pp^B0>nVILmJp|Y%xHomY;{Ih;CXEAoRpMRu z=5zQ(j!WA4FGRLzk7M}bXH9n@iv&AfxJdmogI-*;$6;nH5|`Gyk`{i`lMB%^%*w=P zTKQvVCetp_E)EIV5QB)fEHld?9v$+r~PI6nG+KhTQ zMq#+3D%_4w2Iyg&x94Q&0&^xaoFW?KJW7C%KNr!??$o2d4->eS5$%;uKZ^ZB^SF4Csiwr!jqUXn)4AsB+5x zp2h!_KNOWo7u(RN-?J#`2kiu>1_+po>kr)t+5e~s!nXHrQzqzq__f~u+SzM^@5;w+ zA9W@DyK_BW;Lpz1ZoCJ#my~0Qe_9@IP7gP0A`|Q9PL1DO5`vKZmo!$YY* zht1>p0z>U>L*63vSZMeF9s&ArXi~deo%#4ql92=B$5-cu&yPiV9s+wHfHkn(nvu*} zRSX-T&2vb3qf!=aO(+JISyOQdd3Y6SI{r-$x8uQ4#m9^5ZEV4V{&51N^ljGXvsA$; zG)dpvHH*b-wHs}Kcgv3pzZK#D@biZ{`nw!bw6n|&J?mW-U@fljgh;SQO#r<}ObGPO z41b7IrE+?Ya7%lx5dU}NWq0Q6m17&5@z2h^r)J6G^HfSfY=&KaA6HffgXs zN+FIeuK1y98f+@!F#lLHHMjvKl(Ngy9!$o1Lyg?M%NFa$_2FUaqCzlrY~)WmX2+$> zTDHA<^v9ZJtcv3)S$G5Ap~qs7j1dY(vsTD@7ZY;={W5<*ZS1K&pAl2_@Y#42ed(z> z?JYoO z3&x!i#!&tqG;^p}C$aSSESJ4~NPB9}hxa|`w4T)+kJn%cT0(%&-t%23&hSN|`S(Wfu|^?xV+C=-oOlqSWcJSi%c&KFtP90E8# z-;Nb%aE4Hyw`>qd9(hUDM0WD4c3Ak;`i%a<-?Hr&7=}g*Y_*oH9{*4( zelEO1s9O~rITzq%A;>4-9F;?GR|vO;pknT=^M$Mpx8jsHwA8pKka(U0@$kpadgP zN^fBN{lQ-0z5QZ})nXmTWSzC%U+e!}aB}sk*0nzKg^<%E*Ozu2S(*%xGjj9KGHjAJ ztu)iYn(}q6FLv~-*pvQU+Q+7@ae+L|GF>`L^xs|_5p!Q%;ZeGlrsUeo4u@kz`5Plj zmC7I0McV)7XV^^2_Q`lWFrpmBh|(T&x`pW7k}5w;%eDNwCP&qGiMirme0;9G>U@x> zqx5hqf`AnyH@Y8p>9qgx(rK8# zXIoAOAnuH6W%;x}5aG26pFe(U2I>>Qu_tf-p&Bhf$NfiSifgywU(|%N_U`F3%Odq& z=fbe3|NDlf;4Hl^6Ik7t{nh}00{7oKiHzyA11u?RF$VB3=YI7?=l)?#ki_h_!kh~% z-c+nePy?raw5L6{g3Kbm{1@U%VfnP$jS6BF4yiu+%bb@@Ee89}?yWN$(FPNn?<=?p zE#lOZ{>E$&b1#ZLVX~!ttj>v%U?fI@GxXnfPw*%?#hw&cpW>x8Je9=OJfF#)`boqy zgnm~LTWzZ7q7*%Og*T;j;1|Rt8P2yDzZOl%BJf#oG7QJE?rk@fJ&Thxb(CN(b-~OA zUmCVRX^Bvq&8AjVx9DzpuL+Gl#spB2zDzERN0zUD{x6m3$V4;``NwF)r%SiPG#_CP zMKOR#e^xAy3m;BRRpourjXLfMfQ;{G}>niw9#y(EV-8wwJ!eb+4NnQ5n zLLY23OfHPlEmUYp#;UC2Xf_t~?H~F_dS>Ply|5Lf-T3q}JiznN4~3cBfw>D364j8f%Wi5^r2;BrPA60)9HhMBBUXy^7L| zecV($vGR!JgZw3VS4KvJ&l6!01AWsZ9I^VLM1xhOnA@C~wi}$R8&^DN5NwF~MZHfz z@lkGpc++`jbwW-FQ&)EVfm)8IK6G7tS&64*Qi4kl8lXlG35OtVcp@w7XOn0C$2v6m z#r)luqK~S&O8aY1R<$GVlC?wR2gZPQ(?y^3o!_op-G|i@*jBtGhb;H~C2+BYDwQQz<7BwrDWHn>~oF(A=E~DuH`(p0uenb?{y<1MXiXU9INXaBW!)t8DsZWEW-Z= z<#tZ7S;4z{yTERybWZ|g7B2d3@3 z=wu;QQ!C}6`QJ&r+n8h@Ch3MrW+d^3?c|NTd9(u8`S)nNft=@tL9xQ0gb5=4Yy(R? z;7A3s1hj%BZesMEY@u%)Y+@vuVZ=y8L)9nIo7 zwgbmiQG?`B=@=~zy$F#Vc<^L3ipUYfU96xKT5a;mvm9@21yT z8N%(B>!`yw7_=y__uR-AO8-h4ZN9eG5c(c$sL|Dz)CjMk1?@wY=f=mUJbyUAJ!{Dp zeX-n4FOJ8XrQF_+Wf4W)Iktd;L#~c{bOUyR+Dv%10?HcPXo(7~6p8IYepa38flq)E zyg?zE(sX{G9vI6u0mccyXHji@>}RA=!-EIHZ`qZHw^564D5bPw`$qWQqL5o|2< z;Y7-@_8>tI3{^_6Zv&7LhOnmx$v@HuDs;u*UKxSEp;p>kuMI#R8xD>KD4tJi1ykLU zuxrFpz&B=pcUmCDkpK5hr}XW91TN80hqcS$>SoX~tiApaF8ex)y5U%mlpJlwJe#%u z7YP?BM!PZZJ-`_0v-a|kAYnOhL(;_#Cd$miDxat5p)-0B<;Tc=Hd{3SmBqeSD$zj^ z0b5Q_a;h_MJ>VfFF87aHMz_nKUWaMIDaeHs=rT$^%mukU$$oZ#ts@gC!xDVBg6m5o zT!j*vVWRncvG^pe$5Vde?~%3s5`PTJU1cM&!dhQG@Q45rj7L`VmWZBsNno)ZeAtf& zcMuemRyw2PUv0gnT@mrv?az4k6Wa!t_U)&xtu^e5H^k_YbEiZ+kA8(;fcGKgTmO)! zvWeZ<{!lcQ$S$(pa(q5#*_VkZw%zPHhq~Xx9Yo|zm8*eyyX=SV`#ZlqlHqP-tgusa;-fxPGC;NGfH9Wm9KanC7Sd(a+sT- z`|69MJua~pwXp8URpzYJ%>sksgKwV_m0lDYZBI&FL=LAVzm2UL@C=jcU}Hbq#_WYl z1q6QfG6cUb+l2Jcfn?}*myE5%8f>rM+Fxwn?#L0=2l&|?Y49mOpr0l_^Xvgv&-^3U z2FtxUA7*&v6y+Hz6@Y07TjK;@+0DmhVOUA9>qBwbddc)W?*LC7=y8}-02lii$~fUV zL~4ba{5H610M$bWrX;`3tr`G^OQ9Lq&kBtbK=i~N9s#^%o9f01TuFH3cxudb3p-z+ zgQ1#5=1F3@LN9(Y?Gi8TER zhlm}yoEifLZ4L4k^BIP(4;_YYT!TMuOEL6nx3o({_~-xYH_2!p%kf_^lDA8%l0+N} z;4m7}C~kdZt?q+q3Q_(P{7gbg@5SfSq>)1_+ZdJI3D+o@FT(o0llt@BU6L*rf&3E9 zPp_}4>nYj)>{Qp2B_d$oNtk8n+?)leW_U4!PE;>Kg0b>B+gH3OKLzd#M*_CnG0b2Z zDz!pFj_Jw+dcAaT?Z}IJK(l8e9(?ZZvtUNn^Qb#FolQ&3LlvyENg<0Zb|#i2pmcQF zKzU5ZN{aezn@$jfzK8e7-Qz@r><>J!%yN_7S?Fvw_Wo)P|LjEv2WXwOGLXER^zF4l zf6U(YXXxFzj+1@zUuS4WRW*iR<~w*bYyP-R{gk)Y$;0riDxVZ@+hr^^V=NA1fgD}e`xPzXmqO&^LbT+~{Oo7)Bx^A) zdOCv<*@+LtKW|2}|2aNo?2spU+>05+@!exxd7psf?4Yy1oL8F%a6SMc%fVlS}&%T9pIwsPx z{k{O5JAqnwPu}a3{A_y9;!Gu{8rCGje@zr6`X+4*E0~1nxxA<#%V~V}KS@jiUtXW~ zXA>RE=}GoKOx|%XYb$uxNAEg|&-4$I4 z_sfMjug%Gpn8*rlTJ~c{KL_xED|16^3?i+oiWtS_v6V$rSxs`H~ zx+lUUK(r#{iHcY-^^9F^;&zmRcC6?PO$DShW(l@tAYA0#QAuj-fHovKIvkJ3_lq`jI^6-Pig6~kBaF+#s7V;U|JO?yc8k?d-ax z9~%`sk15la)XOwjvwAN$^_ztLtPc0kHHJuayk$RgA?fysSC7IkjN{BSOgo+Qu+@Ru z>1-w&C%|MelrfHZO6DGi@J8F~%MSJoJT?(3^4r(NT)J=yXrXQy{&=nIaIdW0@!iEp zL~t!LBr^!MqK!JsKcETms!l3e@QF+m6@Uv}uLW2tBN96RIV2p`;lk8hEJ zN+ec+*N5;^I&jAQ8#&`|)!ZNzN8@x|oj(SDGO~gR-$@_bK)&pB--qu-$bB}QZZ4P) zmwS$o0-vXhQbPpnt@{t)4~i}bUeXj+DRd}9mB((^W{hvcv8rLMrGQvi=lFr}!y@2} z*i+o?!`|Iw_CJW+U!59_BUSD;XH<}6YcmJqSo{j}gry$X7CaqB@Cs%{Yz8)&-sYhG zV4prOTJ7$qV1L>Nno@X(rx$(P?0QzPY!+hxyFOZRJ;#b*fy?tJ_Q{i&**(N~#b26- zEP}u3EP=3J8qS~be5CzMe6f2NlpZePFyG+|G+R@|%<4s!3?uL!oz*JbfEb2zgy z7j1riS=|qU!8fCO#eK!OZk&WVtGx`dK&wAhNw?*_Ni(z`X^=Z{Lal)(PE%r{Lhkz? z3<8VG)yLA4stR;vwXJddf(*a&f;`uik&D9d_qQ<1Dx_0D#iyX)=G)LDb!Od1&tH=N z8qx&pNoA(FtRxCzCKTz<*eQMN5us%TelzEP$G+1BZeP7=#BCNEKu9z&>8;jSMuwX)B$O}YMS^JAy;?egJPC)Oq8CauxYY0hPJ+=XtE zjK*SSbHEld-(Moj^?pc9{N@ua&p-Bl!fR_SFHG8G_T~42?JsD0x1xC@JYh8TY~$(m zY|92^_Qo{69;A`;nADlmWjR&)yi2;#7g*X|=^7COET3y&bNwS!0n%^0(yicdqvYa- zYdHfq=P~>av87D|8#0A|8oRVz6QG7FuEW(F5JiFya2j^g+Sj75MfnB9M1{dqLNA=- z=Eo&)TVd|rzX{c~&PUa3+|0B#u_l}Ug$Zaoy7D`<;m8avlxuhDS;q1~Z2e#IONO65 zIk&&`kkFEh5HXC88}x;;HDop8L@gnZb+7MQ^dhWxrt#6Sij5>Hlg5iym}?v6^q@2l z+e+F>u~_Igv6Yq)1uN~P&6UM-o4;P(sd8CZu_7LP#dIRf*#HW&!w=E7`}hGW!=@XM zKa~)JQFGcX;dcP0O4`ggC0UX5(t;5Hxp(<*Ue7du4!?N;lcGQ)jc!=Pox#Gk))U-3rofbc_Nf>hgEAGeO4B7>7#3b_l0onBTBr z8^4n)Q<&e#qeU?O9?)>LeF4pOtdz=xn)n+=A+By-A39FIAN<{_RX)f&9V5plV$xB* zUCp2rUfz6LRX^|Wze|5XH`|z%p8TF$^wS%~+;sErcdMtQev`L?LznmTtjm~eU==e11?S>rP|%ZEX7Ui(N}aOt6Bzj%A*@Tc^G8X_v{ zX7-={1M`;rs0qx=@&87KlHQ)qykb*5rEBGsTiUNTHXh<13XV@aN(RK0?V2UN9bK1D z{HQZpZ~ZejcyGA;cl%%zL#DG-%`xgvjsOW-0*AykkiD|L?9FiM67$krfbL4b@etyH z!?9VwUN`;zp8tmAhyG?sj@!sVu5S$;oM57Qx|#0l(R=xWhIGqtiA5LH7a2PzV@d)r zx2E#?ZM*3lbyCap6Tk82*MQRy)bBb>XWX~>EnGK_HdS1p{t9Ji1TB^vQG7L6y&6Qs z6$p6S@HB$@e>UIe3=X0a#Do>uEFK2Y(>~3q@Ym^!WhazjA873>xlxYuz@ILD3-t3NfGOq|4w_)!ryrov~59TdA+wKKA%>9Db=KNf%Xqsc)x4b`ysdQ{#^)0(7 z>ZfZHjPpU5=KeTV_IdJ-ly!SLmi67o#Z3nHZ$CH3=!-2G0*ek2TK?O^m%}h?PmI*I zN~PusX$-NLGxRUuV1ydBiivkj>gS9!Y6g_+y83DX(!ct4EEo2A)nSz0-xnWHkhcx9 z;XIf3n(NhBd_cTXP*7;UM0<%8#Mfh`9q*{mDWi^RHN>~8%&DsBY#4_OrG-Q==;?Yv zUzyZO%Hy{EiHwgM8-VWKP|43_y?*^v^g4s0iXm&aBU@M{{j)C5)R|%=d`rb!Dbyq(5VPk#EHK zlw2p-#~FQd`bt93%vr}tbDuBq%LA^BEudV!e8NB>#{3s%{ptl7l^uU%w1&$6ALcz~ zXCL7Inzz;_k8w5r{(5id@$|l=8M{=*vbh{L zMMMk={18F&_xsH-l5FTFr>&T2NfBYF%#I4J@q1+LjgAp{^NT6>Mw^m-sV264#LW1VwDwDfa$;I+pHzQ z2N&D9>X3%ZMnLG&5!~mZl3r}Sv}u=?^@3K+1;X$7M^vIB$mA*EH;|&RPdnr~0F|WR zY3=+f*0H@?9`8XlVZ2i&jONxG`PH*Y^x;8g*KxSi4UY9naLS){p@McrDnKmA7~Cfz zhL{($a^5=+4WGJwvqc1Sm*O^mO8CKbA!a(luZbHEGnWEzE+umr2`ROxCZ`!%BkED1(b+W>9B1v@V?AHs4Z3r$PYywUOiS3a~^c< z=;?Fziycy~xXX-klSzI^&coHG1LY1St>ftWV%>FjJ8x`!nccE|1Y9K|+&!IjHuBPM z=5DJf96pdxQD-`~)$?2HaP#*#A8hS`suvKi@DqxbLyY#P9zD!@ScLF~C4#;u!7@zwj_orujSw9H4{l%IegJSE|k* zImbVsJ~+e)M2#J${j~INzg^#3n`>Y;;RXf?&UCHxb;g;dDQ5bo(*3d`zC9kHTt_4oSz=%N$c$eZ zsoyj$(qjEUTa8LPvfNc%%QM|G=Nx8-KjGOEgjTUx_}wx|(d*2)=+$mWXiM;AI0v(6 zoJ|yg1?A+R7ZY&LA&SJhmnn`t=LqK&{eC9Gr=+lJVYLS+-*0twIMqV>JU7oV&4$M6 zD}ly51GE*8RU-SWhXFQMn|rV7BDIw!7s(+kQmOOOG(tS?HqKs$R~zaKfw}8N5vr5& zIHqrCcseTLZI<%3v$8xrv^N4in;NzRz5zYxMCY80Dr#+?^oaHex@laUE`TxEsoUe< z6)NL41lJ2P$&Fri7TIn8Dm-=mGMCDT-y8J$Up>SZ8lrky3l5U5_drH#9e%Oz?);Ju zKFN7%c+e)(W-a?&4p4}f+_8xD9Y*JhSsSIwq#q4U9@o~cAN(~DpLcNd7cDta@!I5} z*L2KEZhI{WLndcrolySQ|>+ zm|$u==nXYVokv3Ixk|-2=PW3m$DS|a=f9}&TQ4!_yc%{wAjj64kX>tvOU;9Ya+PS& z$6t2*zOw--ET;~%#5uX7Ez@&dV>ZrQa{f7g5P>>VM2?QRiwn_?xf?cJH2JwW|51LW zEgB>KF*p{h9_U;b@!9@GxKrNjVXgC;{5XNCNEeVs8tg3Kr;44jCJ&;$t{gV~b0n|5 zcRkqo!kQra1@4rlPu2HHGW4sTVQe%5$$*N(st!#0uBxU^hNT;mwzB0gEqaW#nYg9?lzk~$}P{q;RKQpd+SPAZVCL!L^FrEOfG+?qm_ z2dndi4siZ-zOYVdFIdK%5@>h15myS`xSYYGTuQZZ@1)f(8@bB6_QokhI%VbW@CBTd zEkG^5S#m$TVXBp=At>Ut0O@pp_y}-^s)I+G*JrYVEnaL5=nL9x4f0fdY#4J{c;oxm zg=;?NMhjgWu(>#IWo(>nmw|B|`9H^ZcELRaF6n6ppVsiOwg_)ao{4O(s5f*Aod<90 z6m0v^-#J%IS>j zUoEtjWu&G(9fF*Q*N6Tda~ts%Yi>kawV}($g_qptcm-jnqi_%Xea{Sw651G}*fx*B zjq#VMaFf&Q>Ri$3SD;{}1D{|}zHd_QOzV_;d(XS$IMrL3U+~9!XoIN;zKa$58IbZB z*nH5T>P(hx59hdkUHTW?^50G|^YnBuV zN&b$+7@%E+sslJS&xK%*(TVrp%#lHr==Ea%)=U^&+gHCKLbuS|^{7@31BD&JKw$?i z85^npUjXYAEU11Fa#0UlpmonWTd>wxD_ve`_HzeM*?+K1RlC)iOG4o-!kw;eu~vYm zQAT?Km3YT-IoG^1M+dvUOt)=q9y#7OnGHNwB~ZAeC3X09=*N}JX`|RRnCL!#bkLq3P=VRUhD;TNeeB{-b z=Spkq{^NFuW=W7-f+`d^;HRy~k@5Tcon*7S`J`RE-OHKbL)-4+0x$kfM5tM><N1wI$}#l1vV?O zx8Tvg$J-lTw4HqUvhue~;21`T4BjRDbOSG9NkFKK~HdLjIYlvwe=tQ2ZW9c4| zJU0$9@;HeRaS8Ydv_P?l-1HAGh%bnHv?|T1LLM>Sts|0P#^zc2abBRotHG;k*sGc_ zVI09V?S?q}@QN!TBZQN(_ASB&aE!s1cY3sLw#M#U$=^me{z*PitB`4?RTFUh2rTVP zg~cp6%%kIBgG@drZwlxwl6h_1z@J zK1Rpe51|Nev}myIX4e!HEZuu`@r|IZ^SR99SZv^Fi=>5S=rAI=M$e)0C%^>*$sVZd z-KW;ww(w2-U$FuI7ok7?U!A^S{iv$~eDcI1XPBXPXw^Db4yb zEhd1_Y;bL;T{b#1=p2y$-%Udf9p0!z?S)D^&|pCR-DCbj%sO*o{`j)$&O`?Nyy#p4D{J)V_4PKJ;)tX`1X?19uh* zjw$stzgi!dGy+$I>$Q4@c7BkX@C;oMQx#}fV5@5Y3fwDi5>e$>+WrLBPHd!Tqu`up zqmkkUVEw6x0#EsecSZ{r-*-DTH&HBG>CtE7Upnb8h;oMKp!<%&yVp-sJeM|1>vtQ~ zcI!Xsc_kZkC~W$2Ugbba&wRP=lgm42;r!i@k_WwuUo7+JhB}aT)&1*S^xu=li?57# z9df>x;Ha#WN>cROoqCh+on*)e_ul9VfT`g{dK&fRR~=(}2yf@_;O<{1p6)~`9HUg< zMeb^S+pak;>7zP$CsI~D4f}&;!3$eP#T~*LSrDnHhQ?HK9T_k~*}tj?p6RJICq0W3sqH zH@|?V2Qaq>r~9yDb3yT~byun};501}NoSBIKe*!=tknkDEV|Vn*Rm!?fC9B&%Ys|M zWOD*X8A>5fyOhV;YwO&j+s13=r0={v@2fclH|rarwqq+jMi}q)4-a0C{WJ_@N!3Wj zwNk$B*>qZfv}{Vhmd3|3>>VB+9(9co@p($pr|piGg$Ec8b)^QZ{7o%-vm5tOmhSt< zXK(TL^LgYL=wYvyCmqj&l6=|SMlcg)n3^%r;)zcwI?3&jHC@O5lK0i z599FC#+y>Mr~>b!2H#P6ah*&it#Unyi(N|;=q!%u*&M>IwEw1x^Y%gpEkXz ztk}CsA>++=VVaX-eh*C0U43+vfo>Q0MrV`a_%~SJmCXKy@(m~~RjyF=3GM3KS)<0c z(P6SRXx3}xfTyRQ0cQZDULPtgD4h$4Yd`h;`6(Exnug`uaC6!Do5N@;2@Iy7vN3HGbAJ+VPJ# zSLjuWbU(SXq;2hd|DJ36MY$tWfZe{q@;w=^A!FRjc9WnWu0T^|uz`(2n5Kquj^t*= z?iuc6rMa_W2QW)+LZ+iF!nmZ;9#TubepXyv&HuhD^dp)4FDk9Y6LLBt1N+zI`9jj& z^gRjgNZ+==u*e`wP5wmPK(c(F6}Kn?2+jw&VX=nyXWyxpT^@Lfg;ALrnjtzz@AG9? zlwJg&xs`^WJrN$Vb=_p%5t0&!70Fw0_ho|0%QE+B#9DRt4TM3L|7N}`l}uoD=E#)# z1l;?|8tNW<&uWdIRA?l~!8ElK{@Z+sMU>p-pK78y9ZtQ=^S2+pc@F<-ZksbNM?A_` zV-uYoOP26Zb;MSa3~bFF>4<6}UrzAwO6iw)KS^dmp;q#B+lCezueY}{zTnte9H)s} zYm-$JvEx|p*{BMjK5P5=20cp}_|5TgK7FLWz{1#4>TlC9BA2 Qh0C%!;9}q4{?`ZpAH4aNq5uE@ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.sld_design_entry.sci b/bsp2/Designflow/ppr/sim/db/vga.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1ea7ec9957c34f1ae2f2a6fc1b54abbde376f660 GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=HzP)afblbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilN9HF1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci b/bsp2/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..711751054aa1f2ac2260bd6665e0034601d5eeba GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=IeP;xQ@lbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilJ$@#@ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.syn_hier_info b/bsp2/Designflow/ppr/sim/db/vga.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/bsp2/Designflow/ppr/sim/db/vga.tan.qmsg b/bsp2/Designflow/ppr/sim/db/vga.tan.qmsg new file mode 100644 index 0000000..79114fc --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.tan.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:19:50 2009 " "Info: Processing started: Wed Oct 28 14:19:50 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Warning" "WTAN_NO_CLOCKS" "" "Warning: Found pins functioning as undefined clocks and/or memory enables" { { "Info" "ITAN_NODE_MAP_TO_CLK" "clk_pin " "Info: Assuming node \"clk_pin\" is an undefined clock" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4432 16 0 } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "clk_pin" } } } } } 0 0 "Assuming node \"%1!s!\" is an undefined clock" 0 0 "" 0 -1} } { } 0 0 "Found pins functioning as undefined clocks and/or memory enables" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_CLOCK_REG_RESULT" "clk_pin register vga_driver:vga_driver_unit\|hsync_counter_8 register vga_driver:vga_driver_unit\|hsync_state_5 191.9 MHz 5.211 ns Internal " "Info: Clock \"clk_pin\" has Internal fmax of 191.9 MHz between source register \"vga_driver:vga_driver_unit\|hsync_counter_8\" and destination register \"vga_driver:vga_driver_unit\|hsync_state_5\" (period= 5.211 ns)" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "5.018 ns + Longest register register " "Info: + Longest register to register delay is 5.018 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|hsync_counter_8 1 REG LC_X38_Y28_N8 8 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X38_Y28_N8; Fanout = 8; REG Node = 'vga_driver:vga_driver_unit\|hsync_counter_8'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|hsync_counter_8 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 138 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.990 ns) + CELL(0.332 ns) 1.322 ns vga_driver:vga_driver_unit\|un10_hsync_counter_1 2 COMB LC_X41_Y28_N3 4 " "Info: 2: + IC(0.990 ns) + CELL(0.332 ns) = 1.322 ns; Loc. = LC_X41_Y28_N3; Fanout = 4; COMB Node = 'vga_driver:vga_driver_unit\|un10_hsync_counter_1'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.322 ns" { vga_driver:vga_driver_unit|hsync_counter_8 vga_driver:vga_driver_unit|un10_hsync_counter_1 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 248 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.038 ns) + CELL(0.087 ns) 2.447 ns vga_driver:vga_driver_unit\|hsync_state_next_1_sqmuxa_1 3 COMB LC_X36_Y28_N3 1 " "Info: 3: + IC(1.038 ns) + CELL(0.087 ns) = 2.447 ns; Loc. = LC_X36_Y28_N3; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit\|hsync_state_next_1_sqmuxa_1'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.125 ns" { vga_driver:vga_driver_unit|un10_hsync_counter_1 vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 257 35 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.562 ns) + CELL(0.213 ns) 3.222 ns vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 4 COMB LC_X37_Y28_N4 6 " "Info: 4: + IC(0.562 ns) + CELL(0.213 ns) = 3.222 ns; Loc. = LC_X37_Y28_N4; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.775 ns" { vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 246 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.070 ns) + CELL(0.726 ns) 5.018 ns vga_driver:vga_driver_unit\|hsync_state_5 5 REG LC_X37_Y29_N6 4 " "Info: 5: + IC(1.070 ns) + CELL(0.726 ns) = 5.018 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.796 ns" { vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.358 ns ( 27.06 % ) " "Info: Total cell delay = 1.358 ns ( 27.06 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "3.660 ns ( 72.94 % ) " "Info: Total interconnect delay = 3.660 ns ( 72.94 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.018 ns" { vga_driver:vga_driver_unit|hsync_counter_8 vga_driver:vga_driver_unit|un10_hsync_counter_1 vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.018 ns" { vga_driver:vga_driver_unit|hsync_counter_8 {} vga_driver:vga_driver_unit|un10_hsync_counter_1 {} vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.990ns 1.038ns 0.562ns 1.070ns } { 0.000ns 0.332ns 0.087ns 0.213ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.007 ns - Smallest " "Info: - Smallest clock skew is -0.007 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.255 ns + Shortest register " "Info: + Shortest clock path from clock \"clk_pin\" to destination register is 3.255 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 84 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4432 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.827 ns) + CELL(0.560 ns) 3.255 ns vga_driver:vga_driver_unit\|hsync_state_5 2 REG LC_X37_Y29_N6 4 " "Info: 2: + IC(1.827 ns) + CELL(0.560 ns) = 3.255 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.387 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.87 % ) " "Info: Total cell delay = 1.428 ns ( 43.87 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.827 ns ( 56.13 % ) " "Info: Total interconnect delay = 1.827 ns ( 56.13 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.255 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.255 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 1.827ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.262 ns - Longest register " "Info: - Longest clock path from clock \"clk_pin\" to source register is 3.262 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 84 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4432 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.834 ns) + CELL(0.560 ns) 3.262 ns vga_driver:vga_driver_unit\|hsync_counter_8 2 REG LC_X38_Y28_N8 8 " "Info: 2: + IC(1.834 ns) + CELL(0.560 ns) = 3.262 ns; Loc. = LC_X38_Y28_N8; Fanout = 8; REG Node = 'vga_driver:vga_driver_unit\|hsync_counter_8'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.394 ns" { clk_pin vga_driver:vga_driver_unit|hsync_counter_8 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 138 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.78 % ) " "Info: Total cell delay = 1.428 ns ( 43.78 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.834 ns ( 56.22 % ) " "Info: Total interconnect delay = 1.834 ns ( 56.22 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.262 ns" { clk_pin vga_driver:vga_driver_unit|hsync_counter_8 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.262 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_counter_8 {} } { 0.000ns 0.000ns 1.834ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.255 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.255 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 1.827ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.262 ns" { clk_pin vga_driver:vga_driver_unit|hsync_counter_8 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.262 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_counter_8 {} } { 0.000ns 0.000ns 1.834ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 138 25 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.018 ns" { vga_driver:vga_driver_unit|hsync_counter_8 vga_driver:vga_driver_unit|un10_hsync_counter_1 vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.018 ns" { vga_driver:vga_driver_unit|hsync_counter_8 {} vga_driver:vga_driver_unit|un10_hsync_counter_1 {} vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.990ns 1.038ns 0.562ns 1.070ns } { 0.000ns 0.332ns 0.087ns 0.213ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.255 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.255 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 1.827ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.262 ns" { clk_pin vga_driver:vga_driver_unit|hsync_counter_8 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.262 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_counter_8 {} } { 0.000ns 0.000ns 1.834ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "Clock \"%1!s!\" has %8!s! fmax of %6!s! between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\" (period= %7!s!)" 0 0 "" 0 -1} +{ "Info" "ITDB_TSU_RESULT" "vga_driver:vga_driver_unit\|hsync_state_5 reset_pin clk_pin 6.543 ns register " "Info: tsu for register \"vga_driver:vga_driver_unit\|hsync_state_5\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is 6.543 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "9.788 ns + Longest pin register " "Info: + Longest pin to register delay is 9.788 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.760 ns) 0.760 ns reset_pin 1 PIN PIN_N2 10 " "Info: 1: + IC(0.000 ns) + CELL(0.760 ns) = 0.760 ns; Loc. = PIN_N2; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4433 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.016 ns) + CELL(0.213 ns) 5.989 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X38_Y30_N2 53 " "Info: 2: + IC(5.016 ns) + CELL(0.213 ns) = 5.989 ns; Loc. = LC_X38_Y30_N2; Fanout = 53; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.229 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 153 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.544 ns) + CELL(0.459 ns) 7.992 ns vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 3 COMB LC_X37_Y28_N4 6 " "Info: 3: + IC(1.544 ns) + CELL(0.459 ns) = 7.992 ns; Loc. = LC_X37_Y28_N4; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.003 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 246 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.070 ns) + CELL(0.726 ns) 9.788 ns vga_driver:vga_driver_unit\|hsync_state_5 4 REG LC_X37_Y29_N6 4 " "Info: 4: + IC(1.070 ns) + CELL(0.726 ns) = 9.788 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.796 ns" { vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.158 ns ( 22.05 % ) " "Info: Total cell delay = 2.158 ns ( 22.05 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "7.630 ns ( 77.95 % ) " "Info: Total interconnect delay = 7.630 ns ( 77.95 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.788 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "9.788 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 5.016ns 1.544ns 1.070ns } { 0.000ns 0.760ns 0.213ns 0.459ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.255 ns - Shortest register " "Info: - Shortest clock path from clock \"clk_pin\" to destination register is 3.255 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 84 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4432 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.827 ns) + CELL(0.560 ns) 3.255 ns vga_driver:vga_driver_unit\|hsync_state_5 2 REG LC_X37_Y29_N6 4 " "Info: 2: + IC(1.827 ns) + CELL(0.560 ns) = 3.255 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.387 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.87 % ) " "Info: Total cell delay = 1.428 ns ( 43.87 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.827 ns ( 56.13 % ) " "Info: Total interconnect delay = 1.827 ns ( 56.13 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.255 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.255 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 1.827ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.788 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "9.788 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 5.016ns 1.544ns 1.070ns } { 0.000ns 0.760ns 0.213ns 0.459ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.255 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.255 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_5 {} } { 0.000ns 0.000ns 1.827ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TCO_RESULT" "clk_pin d_set_vsync_counter vga_driver:vga_driver_unit\|vsync_state_0 10.494 ns register " "Info: tco from clock \"clk_pin\" to destination pin \"d_set_vsync_counter\" through register \"vga_driver:vga_driver_unit\|vsync_state_0\" is 10.494 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.247 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to source register is 3.247 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 84 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4432 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.819 ns) + CELL(0.560 ns) 3.247 ns vga_driver:vga_driver_unit\|vsync_state_0 2 REG LC_X38_Y30_N9 5 " "Info: 2: + IC(1.819 ns) + CELL(0.560 ns) = 3.247 ns; Loc. = LC_X38_Y30_N9; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.379 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 109 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.98 % ) " "Info: Total cell delay = 1.428 ns ( 43.98 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.819 ns ( 56.02 % ) " "Info: Total interconnect delay = 1.819 ns ( 56.02 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.247 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.247 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_0 {} } { 0.000ns 0.000ns 1.819ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 109 23 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "7.071 ns + Longest register pin " "Info: + Longest register to pin delay is 7.071 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|vsync_state_0 1 REG LC_X38_Y30_N9 5 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X38_Y30_N9; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 109 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.944 ns) + CELL(0.332 ns) 1.276 ns vga_driver:vga_driver_unit\|d_set_vsync_counter 2 COMB LC_X35_Y30_N4 2 " "Info: 2: + IC(0.944 ns) + CELL(0.332 ns) = 1.276 ns; Loc. = LC_X35_Y30_N4; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|d_set_vsync_counter'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.276 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 147 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.291 ns) + CELL(2.504 ns) 7.071 ns d_set_vsync_counter 3 PIN PIN_Y11 0 " "Info: 3: + IC(3.291 ns) + CELL(2.504 ns) = 7.071 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'd_set_vsync_counter'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.795 ns" { vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4454 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.836 ns ( 40.11 % ) " "Info: Total cell delay = 2.836 ns ( 40.11 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.235 ns ( 59.89 % ) " "Info: Total interconnect delay = 4.235 ns ( 59.89 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.071 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.071 ns" { vga_driver:vga_driver_unit|vsync_state_0 {} vga_driver:vga_driver_unit|d_set_vsync_counter {} d_set_vsync_counter {} } { 0.000ns 0.944ns 3.291ns } { 0.000ns 0.332ns 2.504ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.247 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.247 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_0 {} } { 0.000ns 0.000ns 1.819ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.071 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.071 ns" { vga_driver:vga_driver_unit|vsync_state_0 {} vga_driver:vga_driver_unit|d_set_vsync_counter {} d_set_vsync_counter {} } { 0.000ns 0.944ns 3.291ns } { 0.000ns 0.332ns 2.504ns } "" } } } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "reset_pin seven_seg_pin\[9\] 11.756 ns Longest " "Info: Longest tpd from source pin \"reset_pin\" to destination pin \"seven_seg_pin\[9\]\" is 11.756 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.760 ns) 0.760 ns reset_pin 1 PIN PIN_N2 10 " "Info: 1: + IC(0.000 ns) + CELL(0.760 ns) = 0.760 ns; Loc. = PIN_N2; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4433 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.016 ns) + CELL(0.213 ns) 5.989 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X38_Y30_N2 53 " "Info: 2: + IC(5.016 ns) + CELL(0.213 ns) = 5.989 ns; Loc. = LC_X38_Y30_N2; Fanout = 53; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.229 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 153 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.263 ns) + CELL(2.504 ns) 11.756 ns seven_seg_pin\[9\] 3 PIN PIN_A7 0 " "Info: 3: + IC(3.263 ns) + CELL(2.504 ns) = 11.756 ns; Loc. = PIN_A7; Fanout = 0; PIN Node = 'seven_seg_pin\[9\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.767 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[9] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4444 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "3.477 ns ( 29.58 % ) " "Info: Total cell delay = 3.477 ns ( 29.58 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "8.279 ns ( 70.42 % ) " "Info: Total interconnect delay = 8.279 ns ( 70.42 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.756 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[9] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.756 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[9] {} } { 0.000ns 0.000ns 5.016ns 3.263ns } { 0.000ns 0.760ns 0.213ns 2.504ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_TH_RESULT" "dly_counter\[1\] reset_pin clk_pin -2.787 ns register " "Info: th for register \"dly_counter\[1\]\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is -2.787 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.247 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to destination register is 3.247 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 84 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4432 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.819 ns) + CELL(0.560 ns) 3.247 ns dly_counter\[1\] 2 REG LC_X38_Y30_N6 10 " "Info: 2: + IC(1.819 ns) + CELL(0.560 ns) = 3.247 ns; Loc. = LC_X38_Y30_N6; Fanout = 10; REG Node = 'dly_counter\[1\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.379 ns" { clk_pin dly_counter[1] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.98 % ) " "Info: Total cell delay = 1.428 ns ( 43.98 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.819 ns ( 56.02 % ) " "Info: Total interconnect delay = 1.819 ns ( 56.02 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.247 ns" { clk_pin dly_counter[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.247 ns" { clk_pin {} clk_pin~out0 {} dly_counter[1] {} } { 0.000ns 0.000ns 1.819ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.134 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.134 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.760 ns) 0.760 ns reset_pin 1 PIN PIN_N2 10 " "Info: 1: + IC(0.000 ns) + CELL(0.760 ns) = 0.760 ns; Loc. = PIN_N2; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4433 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.010 ns) + CELL(0.364 ns) 6.134 ns dly_counter\[1\] 2 REG LC_X38_Y30_N6 10 " "Info: 2: + IC(5.010 ns) + CELL(0.364 ns) = 6.134 ns; Loc. = LC_X38_Y30_N6; Fanout = 10; REG Node = 'dly_counter\[1\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.374 ns" { reset_pin dly_counter[1] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4490 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.124 ns ( 18.32 % ) " "Info: Total cell delay = 1.124 ns ( 18.32 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "5.010 ns ( 81.68 % ) " "Info: Total interconnect delay = 5.010 ns ( 81.68 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.134 ns" { reset_pin dly_counter[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.134 ns" { reset_pin {} reset_pin~out0 {} dly_counter[1] {} } { 0.000ns 0.000ns 5.010ns } { 0.000ns 0.760ns 0.364ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.247 ns" { clk_pin dly_counter[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.247 ns" { clk_pin {} clk_pin~out0 {} dly_counter[1] {} } { 0.000ns 0.000ns 1.819ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.134 ns" { reset_pin dly_counter[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.134 ns" { reset_pin {} reset_pin~out0 {} dly_counter[1] {} } { 0.000ns 0.000ns 5.010ns } { 0.000ns 0.760ns 0.364ns } "" } } } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1 Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:19:50 2009 " "Info: Processing ended: Wed Oct 28 14:19:50 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/sim/db/vga.tis_db_list.ddb b/bsp2/Designflow/ppr/sim/db/vga.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7a45114581bb8ff3e53543d803e264030a96c865 GIT binary patch literal 178 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#utZ?_Pd=!4HP(3lh#h5D4Etp~BzZyuNmu?tKR>Nr{RBD$LBnQ`o@T0n5=c AP5=M^ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/db/vga.tmw_info b/bsp2/Designflow/ppr/sim/db/vga.tmw_info new file mode 100644 index 0000000..38aab4f --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:01:22 +start_analysis_synthesis:s:00:00:17-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:35-start_full_compilation +start_assembler:s:00:00:22-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation +start_eda_netlist_writer:s:00:00:05-start_full_compilation diff --git a/bsp2/Designflow/ppr/sim/db/vga_global_asgn_op.abo b/bsp2/Designflow/ppr/sim/db/vga_global_asgn_op.abo new file mode 100644 index 0000000..617a85c --- /dev/null +++ b/bsp2/Designflow/ppr/sim/db/vga_global_asgn_op.abo @@ -0,0 +1,14970 @@ +Version: + 9.0 Build 132 02/25/2009 SJ Full Version + +Chip Device Options: + Device Name: EP1S25F672C6 + Device JTAG code: ffffffff + Programming_mode: Passive Serial + NWS_NRS_NCS: UNRESERVED + RDYNBUSY: UNRESERVED + DATA 7 to 1: UNRESERVED + nCEO: UNRESERVED + UNUSED PINS: RESERVED_GND + Default IO Standard:: 3.3-V LVTTL + User Start-up Clock: 0 + Auto Restart on Error: 1 + Release Clears Before Tristates: 0 + Device Clear: 0 + Test And Scan: 0 + Device OE: 0 + Enable Lock Output: 0 + Enable Init Done: 0 + Enable JTAG BST: 0 + Enable Vref A: 0 + Enable Vref B: 0 + + + +**************************** +******Individual Atoms****** +**************************** + +- ATOM ------------------------ + ATOM_NAME: r0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 0 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: r1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 1 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: r2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 2 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 3 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 4 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 5 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: b0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 6 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: b1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 7 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: hsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 8 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] hsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 9 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] vsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 10 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 11 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 12 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 13 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 14 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 15 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 16 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 17 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 18 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 19 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 20 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 21 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 22 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 23 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 24 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 25 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 26 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 27 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 28 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 29 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 30 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 31 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 32 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 33 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 34 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 35 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 36 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 37 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 38 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 39 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 40 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 41 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 42 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 43 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 44 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_column_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 45 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_column_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_line_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 46 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_line_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 47 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 48 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 49 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 50 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 51 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 52 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 53 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 54 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 55 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 56 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 57 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 58 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 59 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 60 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 61 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 62 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 63 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 64 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 65 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 66 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_hsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 67 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_hsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_vsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 68 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_vsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_h_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 69 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_h_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_v_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 70 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_v_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_r_out -- UNIQUE + Atom Hier Name: + Atom Id: 71 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_r LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_g_out -- UNIQUE + Atom Hier Name: + Atom Id: 72 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_g LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_b_out -- UNIQUE + Atom Hier Name: + Atom Id: 73 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_b LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 74 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 75 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 76 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 77 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 78 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 79 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 80 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 81 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 82 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 83 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 84 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 85 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 86 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 87 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_state_clk_out -- UNIQUE + Atom Hier Name: + Atom Id: 88 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_state_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_out -- UNIQUE + Atom Hier Name: + Atom Id: 89 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 90 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 91 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 92 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 93 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 94 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 95 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 96 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 97 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 98 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 99 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 100 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 101 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 102 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 103 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 104 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[14] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 105 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[15] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 106 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[16] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 107 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[17] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 108 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[18] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 109 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[19] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_20_ -- UNIQUE + Atom Hier Name: + Atom Id: 110 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[20] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_21_ -- UNIQUE + Atom Hier Name: + Atom Id: 111 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[21] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_22_ -- UNIQUE + Atom Hier Name: + Atom Id: 112 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[22] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_23_ -- UNIQUE + Atom Hier Name: + Atom Id: 113 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[23] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_24_ -- UNIQUE + Atom Hier Name: + Atom Id: 114 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[24] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|r_Z -- UNIQUE + Atom Hier Name: + Atom Id: 115 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|b_next_0_sqmuxa_7_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|r LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_Z -- UNIQUE + Atom Hier Name: + Atom Id: 116 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|b_next_0_sqmuxa_7_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 4000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 117 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 118 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 119 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 53 + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = reg_and_comb + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 120 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 121 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 122 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 123 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 124 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 125 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 126 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 127 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 128 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 129 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 130 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 131 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 132 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 133 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 134 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 135 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 136 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 137 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 138 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 139 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 140 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 141 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 55aa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 142 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 143 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 144 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 145 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 146 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 147 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 148 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 149 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 150 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 151 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 FANOUTS 9 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 152 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 153 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 154 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 155 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 156 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 157 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 158 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 159 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 160 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 161 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 162 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 163 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 164 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 165 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 166 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 167 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 168 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 169 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 170 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 171 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 172 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 173 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 174 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 175 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0cae + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: clk_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 176 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] clk_pin LIT INDEX 0 FANOUTS 84 + 1: NONE + 2: NONE + 3: [PADIO] clk_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 177 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 9999 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 178 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 5555 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 179 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 180 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 181 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 182 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 FANOUTS 3 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 183 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 184 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 185 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 186 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 187 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 188 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 189 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 190 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 191 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 192 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 193 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 194 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 195 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 196 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[18] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 197 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c6c + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_20_ -- UNIQUE + Atom Hier Name: + Atom Id: 198 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[18] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 199 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un13_v_enablelto6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_sqmuxa_7_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ef23 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_sqmuxa_7_5_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 200 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un5_v_enablelto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|b_next_0_sqmuxa_7_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_sqmuxa_7_5 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: reset_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 201 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] reset_pin LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: [PADIO] reset_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: dly_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 202 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a2a2 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: dly_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 203 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a8a8 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 204 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 205 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 206 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1f0f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 207 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 208 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 209 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 210 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 211 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 212 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 213 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 214 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 215 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 216 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 217 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE + Atom Hier Name: + Atom Id: 218 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 219 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 220 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 221 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 222 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 223 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 224 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 225 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 226 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 227 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 228 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0808 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE + Atom Hier Name: + Atom Id: 229 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 230 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 231 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f0f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 232 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 233 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 234 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|G_2 -- UNIQUE + Atom Hier Name: + Atom Id: 235 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|G_2_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 236 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 237 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|G_16 -- UNIQUE + Atom Hier Name: + Atom Id: 238 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|G_16_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 239 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 240 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 241 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 242 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 243 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 244 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 245 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 246 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaab + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE + Atom Hier Name: + Atom Id: 247 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 248 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_sig_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 249 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_20 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 FANOUTS 22 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7733 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|un2_toggle_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 250 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un2_toggle_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6 -- UNIQUE + Atom Hier Name: + Atom Id: 251 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un13_v_enablelto4_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un13_v_enablelto6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f77 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 252 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un17_v_enablelto3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_sqmuxa_7_4_a LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5 -- UNIQUE + Atom Hier Name: + Atom Id: 253 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un5_v_enablelt2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un5_v_enablelto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = feee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_sqmuxa_7_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 254 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|b_next_0_sqmuxa_7_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_sqmuxa_7_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = e0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 255 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 256 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 257 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 258 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE + Atom Hier Name: + Atom Id: 259 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f07 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 260 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 261 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 262 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 263 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0ace + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 264 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE + Atom Hier Name: + Atom Id: 265 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 266 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE + Atom Hier Name: + Atom Id: 267 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE + Atom Hier Name: + Atom Id: 268 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 269 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 270 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 271 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 272 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = d0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 273 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 70f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 274 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE + Atom Hier Name: + Atom Id: 275 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 276 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18 -- UNIQUE + Atom Hier Name: + Atom Id: 277 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto18 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f77 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0 -- UNIQUE + Atom Hier Name: + Atom Id: 278 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un13_v_enablelto4_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1111 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3 -- UNIQUE + Atom Hier Name: + Atom Id: 279 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un17_v_enablelto3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fe00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2 -- UNIQUE + Atom Hier Name: + Atom Id: 280 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un5_v_enablelt2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fefe + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_sqmuxa_7_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 281 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_sqmuxa_7_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0004 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 -- UNIQUE + Atom Hier Name: + Atom Id: 282 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un9_v_enablelto4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7f7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4 -- UNIQUE + Atom Hier Name: + Atom Id: 283 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE + Atom Hier Name: + Atom Id: 284 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 285 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2a2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 286 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15 -- UNIQUE + Atom Hier Name: + Atom Id: 287 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto15 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4 -- UNIQUE + Atom Hier Name: + Atom Id: 288 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un9_v_enablelto4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12 -- UNIQUE + Atom Hier Name: + Atom Id: 289 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto12 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 290 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto9 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f77 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 291 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE + Atom Hier Name: + Atom Id: 292 + Atom Type: stratix_lcell + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 FANOUTS 14 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~DATA0~ -- NON-UNIQUE + Atom Hier Name: + Atom Id: 293 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] ~DATA0~ LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + diff --git a/bsp2/Designflow/ppr/sim/incremental_db/README b/bsp2/Designflow/ppr/sim/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/bsp2/Designflow/ppr/sim/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..24e3ea7841989415ecb8d9752d00bef6d54708eb GIT binary patch literal 47826 zcmZU)1z40{&^8Vz-QC@t0!vGGBQ0GD(k0y;vZO2^xf0US(hY(LC`flN-SvO)=lgx{ zcl}|nJ$vn(nR{l=Jm=i=>}~}%JlxZt4`lzFlRG&mee1SmSI6aS(@Wt@b?w^1>N0|3 zsG-GLiGHZkOGA~%gey(O+r-UO<-%)$ye{va?t{M~AGVY&oej-U9eLp!Fsd!npxD)Y zNZ8}`o*A=3Uf|=}=|YqlHFJ>2&1txi%3~U?C36%twXn8XC`A47xtJc&L$> zdGW2)d+X-QgPo^FR2F^OEF^hR@wP_lW1bGxkH_Qc%m|btMck z%Q)V0UfW(fl+`-w_j^TeZ;xA2yzSBdvQ=_uC2G8}ahmbYW^sM`Aj%sy*OViccm7j( zt^XM2w&%ROaM%&l=JyDLCN+YS>SUHUv5n7uncX4Z9kvdbncB6|2eprn^nG_yO&)IaQ%IH4SW33p3oXKFzziG;Cpv=y|Tmv9!Y9EoOh61dRT?I z{@QrBHC}|R3f>bwo@~?|CgnL(FD(T4Uo{?<7j`TzKJG8xnt{V^+K{K?BwL+>ZXQm* zJmTI}4Xp>Kbnu<(KMrahOMbqmR;3bn*YM^6aP;tMq-Xu?Z29)EV=*xBGgZms;q4(p zl>g;OMiMo&ZmmpcY4iblFU042u`zazyx}N4W0qB+;eRi5nHF>>oJF}UVfpL*`^SyO zym$BFP5rR*yt2&%ePZfHpQ@Iw-JFd9Aqg1lVQVg^#k=%pq#}D(tHC8ifGa)dacL>& zainz#Hjlj&Fnn%BNcyk?yS=aS?$8&yzd5_Jy}3J@-kF`-$p)A2O~$!<(`0>f^*`A> z+qOPWup=h6n>+<)*G=wa@5IH$t?eS%a#KtC34RL<@bGX8xW79$Tua!33atp`=H=$S z?hpeZNi2PHcYp8Wb&~{M+o@}uWJ9}dVBV@SQ_G`#oi|z;u(7%8ZDy8d60!76tgWT= zwM28#Z&eBXkCdgGev{dg`p_-zG8q%*Dej!y4%ZAff1XzP%l5rbbv=#0Cwop$T?4O?-UoEWlWXlA&zw9|o+5IwkI`UiS zRKF_eS;pzje1P4+KrS-%X3|&l8qRpr+Ai!5d^!zURhG^@M+7u!w8F2jm;+e0zhsS$f zaZz7)x6Q)&z3jL?LWo#i%jIUC*|&g;&CN~6hrn|c(QiIpJ`XbIdmr1Ih214HcEf{2 zmUSco+>bJbz>i5A&c3cn&Xx0?W>i!{T-&#YCO@uWDc5<3zV4d$G86rh6%Kb{d<%CO zy5EDcHvQrS=XhDOA8RX)xyO7?&9ns`Z9X16cWP&rt;^y0E}C57i?02p%`W?p^;a7a zqtmHbgKtoa>*3=9%=j`@pu_I>tzM@@i^xZ+98-$srLLXq$-TI8`OoL@6CJZC_0v_3 z@AV?6sIj*OUgtn^bSKUS?*|a0x1jpJg%Vy(C+%vikV?`|rFLuP@2;Lxo%Vmd<~|)@7eavO>HE0rV2e%*?MfEEU+U18OAKoDlCfB5 zx@j5bHW{sgZLjVY9l!mQGKzIIw|83j{tV>n>APCFe6Ck`UEb3XmB>>|7p3unjha$2 zn`+Ud?PI%`r-BLPH-FLVoL6Tq$NSFA3PO_^1LNvR&@C7Y3e|JDN#k5}du(oNF6$o$ zpU!49-Kcx|DR66yCoaGzw4zh%UShhq^4n(Kjge&tK5m$4jAJ<`zHV9ia~hY%fAx$j zP%MwCg?h6Yee(A~qv@GMK%f!*;L3#3Z7`Ug7!oA>4btA+_NK#FycCvkd3W~t0dW*5 zY(s0>dnGq-Qh(a~KQLvc`&7BPD>a-qJvLi5 z9wx^d!FH8j$XOTF@9W&hO{_szswEvuATrOK)1mvMB&?r%_IEMrWh;{jjU!?0r-%Of zFT5AF0$)vQOy*d6nV;v9&%PcN*=s$V*Z6e&>{D#*?sWF9o_FJU`E-K+<-*SSSzi`; z`Pin~0=fj+m-hFb$7?sPTb0D+d9p8%^pn=^5_YfM5AJ1_LmV&qgKj&08{MkB&%fp7 zjvrRH#9bp#Z)GpGeXEd|lVc#(T)=L8KO)|<1tWI}fQ_wdtOh2>(X7E9k6N&*JN>@D zy@B1Ftbaz6j{03y0u^G`uOjk>_x##1b|Z18u`|&48!FizFoDANP-LgTY-jg9`n~u! zi2yA*q^QX8dF0&O$Lhs?t@OPy!rk_^tBDWog&#NHDnKWF+fKMm@|vADZ}!&*O3{hF zyKK=7)WuE5xk&y7vj>ru)3)7UHq{i|@1B#M?e*5 z?idgB`T7HN-+GHAp1?&_HGOIOWA?rKwejWSMTgy`Zop#>xeAtD($e0oH}%tc}p+6mNZ2*I1KxxDNVZTa*t+<6cHKn^M3gFXEJ^8bBtJncdo(s zt5nCIxRMiuc~ZGF0pl@G#(7+W_3NtV2H^FiDL%n)v3C)sqo2v_Aeh=yXp$iFU||p< z+h+)WQi*=%=ys%|NxW@Capk~bYL1rhjBE|AKO}z@ZVwMx71cGkRYB}Cx+*;uMlrf$ zzH6sBMW-;2F2%CxYA`1)R`gI;6?V{k2X+KPD+Xf1nsy;PeT4k8xCywzF3kxkk7%T6 z{11|3gF{`v4Fo<}lVY`Fkzm>9ub6vvkYMvrVc=j}XZT2|L?gd(J94=_zX-f>xy^q+ z^%!=?OhQB!6M3+PUl_JrtWK*r3hLeFG4R+BBwCx9jAfJA(u0IU#A8t7EJ^lZ+x&N) z7nTAtZY-96^tR@H+cR6ve{v&0ez7ezbTi4dyiFrB@e%`i`AlE$9 zlM9Q?XnOF-$VO>5YHE)r{>uX8&fhEmE)d0I#fKM!QPPQ|w$!9pR5Hj%brr}&nJ7If zt|wuAF;PNeR;e|wWuT-p)=vG%ImBa(x7K5RoUhVQ#6oMF(on~^;-N&OoPv##QTwmTQy|jlbl&05nb2T_=KhWl9AhlJrE-i}3puKYd9Iukpl#=P3#N8j;>v}EidgY)E$$Ft)R=S!HR z84PGHkR2&9-}NJYQmXZ0NhjuE$Fu4+=PgiqRm2fWEPT|7{EAtXK^~(?ni~jXVZ7tU@cbr8U$dlA%Ak%ThK2L&>lkhQ<_vO!c~WnE{U; z$q>ronS?kXrWB7G))&p?n^GGtPNWKtE#`RG=>S+?IigWnaOmRI{|8=K#9;wNoa}st zoh{}<6oV^sB{ryiY3wqZ@eiMyRb|Gx3*)G>=M^?Y!Lk?U9d_-MI5CAg6R$i55xvNU zYI^lnsNr%*6ee|3bZ<1R7`1ijEtl}7nq@o*hrGvdHiyL$A~OImC*eX000>L6XupZ? z^jD6@mFYuK7wL`O1&WKF1wh!uHjx7m9Lz5Di7AIx1BfjkfzT+U+clSPAi+bQ%<~2BcVo$ z!!|u(*F(KdGpVQY7H&Pcri}br!?JsYh8K@5v#wXB zeaeQosb}d=!Xe=?RIbaouID!WetxAw`DNI#MKnZN?~QtKCqy|OJFG8?3zSwC4pFW& zP7;M^u^RA5V0_RhRsIeo$kg~(UU}MS$r?jyd#+J+tcckCQM7KXdZo>&2=1)B5*S3( zE$iB4cy6rCPU0{Zred6~D;`;@Vzw7a+U8V@{ZU=3G8tmZdeT(IG+zcN#|jAeNT?$R z^QVfYu7W|S!0TA-P>*QrX<##vxS|-2nj839q{t&%!JsAWl(N94V!A<)DHo$1tkjn991`jJJa6G?qZyZQ61v|;87;&z=3I>O(Oq=1879i6 z-LEld=f*`Lj+{!u(ik6{zNjoD(DG*E(f69;7N`gob6E&QY94G+syC(!P|!vWHvTFe z(^VdY_M-3_gm0&4l*VP2PCdz+(m9^wP5;S1|C4Jy$pxO|&FNxK^5$Q~u%YBkofurp zYLp2^sCGs*v&_uJDHgM-3T`5fjJ4^WwzrMqXxTpldZj8eX|zhWzEP8vHm#kPpL~C} zOa+kQowD&tV-V6Z?BLNV&t%X#02A=5iqRCJU-DI{EM(F0LjMaPjvolYF47usL9i-K z1aRS~@QQMu3a8vicwHhiFU|iQ# zo(^1Gfhf!M;93H8sRPtyH&B;@mg=kv9r&3TFn)_24h-g~?Ks-0LVkgN%7xiMi6%<}sz^4~bZ432(OAP=@s~6J& zX&5Wj<$GF*Qi0-4UAECuAkn(vpOt-_scDr5OLgn-+j>B0$`SOvIDl6`8Y2GRG{k=W zn}(hL(vYG}|NQ?(SwR(ZhZ{N`;Glo7tg?s}iq{?Z2(aTJPx`i^n-d ze%R|Lu>k7&cZij2OXG&PgtG~Wx6Tf)SBq(kCGr0Sr$)0ZL38F`S30}>8Q59hZTy1Gu{;D zdqyocxSURv9OtP_(imRzYLXwc{C{DB$K&td`h(MS2eF^^25Wmeyd4ebCE+o^*iOEE zA_csiTM`e?feF?Fc}UUl#ju`VnG4bXS!kV*#GADWekUw1A=d8KhG{MNwv*e<*Wc}x zbWM10R>I$tUC-j+pbk%&=D8Zc4SX(Bk=p1o2ACD1EN9{C$o?MfE!Hpo(9MK=kvuqp zy~Vte#45gNv>&zq?_f@yFbEBb+uYL--CrySX_*^Y0~gAaE^?B&lm2HwSoRNI zn{mBC&>xFSA*o!zVki*|KN%y}Jz+6TJ zEyvoHw$fs3ivP!OT*K=b_alGU-aAC;19IfQa#4B=TiJi|FhCyv!|R_M5i}E9!ca7| z9o(GqAIEZI1eQ}=grxSNzK8uI(oqP7qnu8PwmT!{rZQlHO++_>t1$_Sab20L<=>Rx z|98q04@>*Y!#aQEU3A7WEk6oBp%iZ_lZ~eRBRTAUNlpkr^+E{MKILARvwuuU{mAaX zqyLX4RsN+(QGYIL-j6{KB_D`MNM7AjWkfLnj3S+cM+VQT+nhH)Zk(Pwl*B)BeGQ6D zZLT5InnDVa(g~6_tq9MI60B(>K#o=ie(YLSomm6Tset2Sa^iN0oNM<^4w9~L>6~n5k zGwJ_bEee0jI2@76M;LcFRxzH0fw*kSl!%C*@xSZMMmfEDq#F-7`gKab#P>$OtSwbp zcx%CH25<{a-U2qu=74w>i>ioX;P7|uuVn*Vm`P$}Wql!U{_7uqsTlfu_>%xW%r$>W z?2U%^@=!6_ZAXu529wYu#o?N|F*~5%a&aJ2`Cty9;K$&;k0#ODg`vlhrv4}AV{t%j z<*tCE@_9CZ0x%?7K>kL)xGx^y7XSmoB2D`nCBsptF^{|^g0+5Sy%H8)F_Y1lGiBj( z`55>D*(g<&N7eNtJk1iu2pvw=m<^+!v?-hpr9B&wGU5+Zk z3n?k~?Hf3#8TC`)BiG-oAf3XzQjqNwS0$Cfrh3hOzz7#$!~`(H z@+(x(pr+b*BdtX;46lD`<8yCaCb}Z5^}@LpsBAKF(wu7Ju$-oJmu95)x^eH|3;{^% z|ByaVFw5F#v<5&}Xl{X7w<+B_z^oHs7HVcZ0XZ|zGyJt)bHEOg?Kuz=z9te=gbokj zjyd2Ck721&=KpdB9ZZ-U!HSX^p|m2Ps%+_-=@Bif`sxkc=G`K%x;cb|M%`nm*Ba5< z5)Hw|Qd^i1oMOPmL`g>qPWu=S>hO-oCt*g4#y73yHH4qd43(PA6i7}~vf2U6_^ZBh zlwj)fSB=LH>x<#K&a4RbSAF@(UzKQZps2=QRZv!fiCvHyY$?IiAJeren*k_DNtDoN zN-&|XTm3YUU?QiZ1`}o{De+SO3kXo4q1M6q0neYDq*O?iDYI@>YkA7cx@lzzA>jZ# z9k51Rw?aeMu&R}kU`hZ6+7n*Gn(Iu0Q(j(m3?k+hKmqTBmo+tM2;n+YAU$a)a&W-9 zZ_`S9bf8z5R~Pbmbgv-^pK;wvTLk3uc-&C}D-D*Bp+|Igo!?iw-dMPYawlQK1iY0e zQm;P6zUYQ{!}TM_1DiY0A!U|z;Fs)`arT6D)G2zHgTuxfu^W?Cu6`(fbEKF}#F38- zsyCL4w&dqwwKe}ovO0C|194+6K?WY$u0xqA7TiZB( zcyUSY)d9|cjynq?P1qB>s9|X->n@AB%*y!|wBFHrqq;0KBmBl|I3X%W7Axx>DdR(- zM5v=g_^+r6g#f1Vf{F8TSUrr4+?gxUTe8Eh-1)`ai+33IqOEyp-l2t~JAM8T(6`KX zH_3?IzH98OGyjLplX&Rw>1ck*lX*#nxI%S7%9^Xy0&0FqL(1h{?}f+LAhqRh*QnBJ zdr9qqet~Cv??W*arl7MPKl;vYHmea%c8!IF{oLK%fB962TLd-RrLy)_juREHAg`xuAg{3FDhoafb3-naI<(*67(3l6($*mj}?^F z#G)_WA~dbsf@hwbHwfC22pM zM4f(+Or1>4cPjIOcc|d5b1;rqWv9u!2LZ_pPSyoprWj7P#;?t=Uwl!OG!!lbuI4u!tudTy&masgd^_tU zD^D06d;vkQCO9llu);hVBy~q%()EKCPOIYj*@*o^XR%zcRPc;*u;u|qbm5yWcYT!5 z%hCMxOqrPHT4*ork&(!M@vMi^guW$VilB-88eu`|WN|e*MvRJpYUzwB-H5U}V2Xh9 zi$5mC0*?_vmKDx|3Asb!&h=4Z4Cl=lPMj5Od^IYG);mIt^a=4;t+3M6Xx!Tb+!AqI zR};{{K88YaF2&1a`eb^v6%RZABA8HB#QUpTZ|W-gsZt73>^#GY@U-WCN91_%!aRk6VK>=f2feDt8Hi|t)k}Hl*Ua|LYvc3i28yNO_9+D5Ov7l(9y#X zBf99idbE$+PMP`M8@NSM;SCbrjuATHkH~!GH=uRwcs?pG+Kbj3J8#fMQ(?~Y$_2p+ zF|3BJ>yI{yHruNs$glKQt9&SyeYsY0b8{z--aq*wb~4gu?V}7r3_g&v3)f?GKQnk~ zQL)VPib41_nwFzi#9p}n2#vjOj7f+Iyw7Wx`uhl;B4&M@b+q+Z?Ivj{6Iuk5F7rwp z9(@L33qXNU^EYbd>kwe}ha`45ZdG}2WDbvxw(s(y&(WX9&70HGRwu((FY_2El5pTr z@I|mR$bQ}nk2j}f;R>fQ*asQFQDuqN;}qN!I|=@w)u+*4-$X-njX-mW2yT|{GWlQ* zVU?{ZmN8{smPT$sTt-86kBDrh>r&T= zwp58dfp~pvqI_Osd010$0KN8)Dq)u$Z&M5L&5kDQGmi+V?tWe^-DO~5UZGh1o1kDu zxlj!Hjp-fo%ZeGl3j5o)xyO{HE4#x!<2U}My5|M&v{rA-K(LdIiUt`R*Fbq0vv*8=nsNCkl4+ITk7fM)u zy#sI6&oTU>GXPR1WKe$~Xa-AOU^>WZP~vsM?pO{pq)Y} z!3Xo|QiB?#Y}n%I%jYjLOJi_qws0mcevA35dove@8vn$nN3ncvDa%Si%PxFHHh|LJ z8(sA>Ua@*ox57YF&HM10NBS)!PAY!JJ>I_6A-85>R&f~fKmm8eOA%KQx0zL1&++JC za)7&Iq4m+fIjHS^Uxx+3Pa@m^ukTIyBg+1bBJXtShPrliya%l?>_)0(#=XVf?~O#E zX#G^auVjlsgG`H5%b*&uh!k;10<|>K4qf#*eV>s|x~TVu2_taKdufy%gdNMEodTyX zzOvo}#$fi@BraeN=1S&rn~XsHA!mOXh4xd<{81scr-Y+{CVFtET4|yN&{^6kIHk3crG=$|BYM!jeyBam77 zio|_CB2az+i9^_4faC=OBr(Ow=#`A;YHG(2`8AN?nPIoSLa^G`Wm z587uQ7SBAo!aOW2S`?3V3Cd==Y5Ab~%!~+6>(c?`dipx$BV|Ecu3-*P9slLp&oi*C zwPT^bR7VgZlI?^bkt5az)gj!QWaYo{(6*Y`Lm09gA1XMQynf@Y&6RnO@dMZa%|pyT zkaGy25W$1hS)ZB18+)7(#Hs65$U#T#CzQT?LLovSy2T`NiW|#F-N!P_3><<0i%X

    YITc)C_1PHGU42Qkq%2h=et4iI<(@w21vrpU-=5C!?qox} zK6H<`!14UCej;{{_L!z(@_S^t&}0T=0u93L)QeE>E?w9-+QACg?h#;z^C zy0k#oUim8tga=--#eNUw7HY=Ua3fb_LT7~EFYH0lFZy-8`GWLP1s2*BmcuG@sQTI~ z9-Ed-B+2e0Rz{3sz=`&j6`yb@pSwW~$!|I$EcJBAfYm{pJLa)1Xz+aKg2f-%OuT!xzgg(2<+a=X{0H z;wPj?@ydsy<=GFrt9eC>B0CnS`tkI*5A-f$lS&f9E{y{_eUaMhSB`Twe_w+HXMxsH zM`;0HI9`>R8)Tc2(J~urH%ItSN5b^ETD07q5Wlc|stIDNd_b;%CQDFVK(74mFE%vNQ!%yBFk31lFr7a&tN`HR@Se^ z-@*<2mR`%zGt6(%w3WwTWdN~h_p%O9u~NqjV)>JhrPEcn1BhTO@Fu@$Ad4y@`wTyh zezu)X^=^FO>5?Hc(pn$SQ9wB#?J6fJU`xlQM;6@=dI9=7UYyNkbT1~HAdyTLzy9)Q zJB=ak5>s)rJ{j)fXMbK7BXZOPWZ(B0>L)15*$4w5 zLPj)Ndln8bY?L;x3Jtj~iUlrfH%{E~xKI{<8j*)cQDjvmnayigF@|oCF|cs`Wv&5b zt}!yCnoLj_IbMi4-L}s*QXw}Ts`QI9@(?%!TD4?ND;(g8{ULJe_u{$;xPi2{o2t># zxiwq6d*}JMa=YW0nW*`rVcUJoQ8)D0muNwfbyR;9^QmweU;a(lgh+j3`zFzVgS_y_ z&S&sJ5(Afqlx)MuRarWQYW^gwi3DumvUFG~_}T9XsJHv4GS5^MGG|?%@F@v36|O}L z?|y8O-V4iNOxlW5PkO3=BJ()GTzKHxS9Ii$Te*ek9Ri!34^N;Psv_Pj2p*mX!3al( zeseI128tZViF*nhGf?ph{2K9O4epp|(sg8#lffiwKcj3HW<8%4On!$zer9z$Ct%#* zy0(CO(DP?Slr7+-R|Jixwh%Nh)g6rXseOyHFHxl-iWr%uPSj{Est3V~B&D+qj0tZ` zRCSNwe{DYc4Di2QZ3CFG>EFJ+pXbBu{Jd|+k6($oGp(*2UU%E<{N694i^#Lzue6q9-z2B8zp@(T*JW)ZZlXmF;pTmfiym~`bgWhZ&$hP@ z!f%+Fn?ee?7uTDe?Y6W{-6W%DK;~oJ{|n>*8~;c_i&V3BGDt)jlu1a^(+Syba08cc zl4t_1(Zg4gPB-N0ai%jxgP&JhU>??u$!PAs!C&_ViAQu^9t^?!Z&{{g1QUQ@Ng BFSY;x literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm new file mode 100644 index 0000000000000000000000000000000000000000..6146fbe1af6b73f75591696d729658dffc732417 GIT binary patch literal 40192 zcmaI71ymhN&@~#|-Cc8VcX!Rf-5o+gf;+)IxI4i$Xdnc44Gy zrPj(!GkaCrX?(K5k(=~-;InkQsczHU~BU}ld+H9 zW{W(#J6!C}EDJAtKit}%-RFsb8?GOe{MkhKnmwCuADC^P9`=hyk4bGs7N3tseX<@- zc89hHl0(u5in1v^AB@oA$!tkKiYzISihOGHyjkBkn&_KHi_dOd@G0gN0hdO*xjwIN zOstRcWYeH!dwV>H91AbE>(ydRwJiw?gHesz+&OM97q|QFtIQtRrdEogORv3LpXWCw zlKYI>1Qop-TbkTc9xiStST37H6gt^JBA@QO&QB)}A7$_#@8|E)T#dZTpU(TzE5DO{ z1hsiTU7Vgb8F`m1-C>XHTqU!(o@nfi-gljwtW1)T3V&+&bnSM&zR{;d*81S!_^f?Q z9K5s?V)m%|Y;~FW@#%7ZJ3S<%X&HoOwDeq=juw4_5S_j9tuyF;6GHf|tLUE0cKc;r3eJc=8EEdG&QA?)-YYxq>m%Cm>F_3|_K zv-lJYA{n%8hgR`&MTN^vSo1yR$oBc+v)UuqM>0~8<<{r3o89xSHYJel(Z{8rF7MV` zI8zoISy|O5hVO`52)Xxn1wBXVq&MRsBADI7K3J^?Y6*ZtK+iSZ??GUD!q7bX1XI{Rn)*BODDT>+Mjfcbi-OpWR@pC^|KK=06pD&9a zx|rq(NuHUSr?I_B{KXQ9BV%ble{pwlxqJJ4ig}fC(l#^82TyVH#@Hpw#Ir%vDnvwI z0c~`Yr2?&T+h}#~jy(b;`H=SD;&5}-xxsB>ziY@=sgt?(vj>jL<0Dzt6-tP}k499J zdVWg0-`XWDcB|Tb%SQU7O3x0TZVoSY)^76oJKMIjR)#mFZf<6#QWcG6h|rewhuivY z#|ES>N9NCm;_cX41^B#wpFK`pJg`3;@4WMH!dc!vy9qhRv#s7XCJaC)kqM z!(%`~GqQW=e)E|`X*nZ{MzQ$r*U!_=xzL;GWUCOZ%F;57WiR_j`Uh3i?E_Wh^FT!HXv1q9$}8^^iymTXlZOfo`2$OTVK5j_=@!Xa&>4E`EMJfK})^N}G4-KQUjkB{g_N%3fwP87U$mEcsZ6y2MlbySZrg-ay zR}@Y{1_1%d$uvIc^jCLNB3l?68xJQvb8?R|y!tl}J=aEz&1evncY69Ht-|gEA0Ofi z#8_CEC90wx%5g_fp3;_$g54Z7GgmL2Nj6GF=)C0XNa{7(CVkov{l1gxKemjrh<_<* z9qZW~=o~evzhto;jouS=J-Zv0<9(PP3i?`!_MEju^>(s|a4;&$2#i+AVyI-48BD?) zZTQG~o2bR_e7eR$BQrCh)yJY3U9`QLuB23E^fbC=t#;FwzCT2-nw>HF5VHO2hfH$DQTfV*1eK*QpPL-p(va(R*!Aj3?JPorfujXCQboA6}u@+;0`RUayLf zb;2!su#~x$HnHjt(?0|mS3Mtey6$Y z<>yS_S5LuQkKA_+vLK=@IOT?_BWnox$a^ zZF1`)Q?@#xvxo3=@6`7cALUQYyO(ITrK6}hbb-?+3>Dl#>9>Lpe4S+E#0aRE-kC~c zAg|}HGX8PtdZw%i9xYqNsM5DiZkHY&9-ka;?{@Xd4R?yp%iG`{Dq5dc_b%?qS`A+D z^X|-z4a`yg40_|?eD?u|5;CP3p3Kdo72n+i9CK~Qmu!4I+;a`V8j!)<>gvRw3CHZV zzQ_1rQ8A*}njPF;jejkU?OxrmeyOq{Kb7qcpL}|@G2e}9vLk0YA!4XcQ{TQUa$lVY zA9T+s+cUL##^dAc?5w+}S!SD=?bUQL-7~+>V_TV*yn7*7x4A}rcXdq@;*;6xPBij% z_wbd+gchFF=WUm>>;3pIRZ}>8EgnycGyM18Pm)m=^zz0SyJxxun@&ffm7au~u2;k; zk>M$xFV=3{ecX;UUR!HbDjJQfD?N%j++Cl+W+1e^5eC;aVuL`BazxAEuyB+cAEZSC@ zd~|C5l25_>^I9-OVAr}bUcpoFaju4pLqwZoZSU*qax!ZCEVqd0ySI;HS|S;6!=og| zU8I*L+=mIhPZJ(9d$;Bl)Nkgamkc$Hv3o;&ygVNfS6aQt-P~`k4sO8#H=}(<&0Yjc z-i{dFv8&_4R5}V=iQ%tUhP@q5dLOEBd3SquxgK0UMLt#aA`7;^8f6P`Glk8vf}Qds zD5pyxfM$aNtc&+&`*jvUX~Rq~K~^6I2RaAxFfwJkZ$Ddrmnm$l73`uPK?Fkr0rg)r z`PKi8c5pahYvRt?`gvmC^*eC46S-X3QkjD(>!B|jM0V^$?L-b3K0e9DQy$d&Fw}@& ze!ol@os|6$YTjVqA&_u0s?4J9C|kJThM}eb*MO_)PRgFqs2Vr#15iiT;Yr|0f~Da| zQplTBO|pKObjb(p`=XXkM#xI+hyun+&%e_m12@IarmA8}ozwMp#~1{6T#JP35`}uq z!>E0Oxv!YhUibxpRA}HDJ@p8a{yY^l$x#U?N4JUWOFV01uPEWe_k_truVQY zxsyL-WqyeQ#)`^0+Y$mh#?GOtY)LKF_4d~a2u?)g!R48v55;=~g~3yL%+FN}PLr13 zO&kue5c^*pha&t{NjvQ?#CyfzMEGtR5lDE`YrAG(56Jnepjfyh*!3a(;Q$L!S?M?y z5|ZP1;r00r@a{% zu!uAEH#TKVne|MU3-w|$HZ>vcfbQsCVWlvAOTNZRF~Lfq$~xBZN%e=SBO~9O5+)cO z907ync`SkuTZsbZ9ju{F!AAp`+Z>Abnur%@378{-_-9q=`{aWVq{>LTvAeO?*?X3V z)Wl!e^k7G%_@|h17AmueE87?TvMyAnE8JPpDzT}aOGyJR0T=ZPXFdDm;e9`(bgF8C z*m~T+Ic9-h*1;y@;2bsZSVzBVs%b4d3L6YyJq_UMYt1C|SM#hvIptu}@Y9seZupMw z)Xu`x&is_zfUbh^9!m>dQ$)bR?Nd#UzcN$2sy9JY7)S+Zu?0mI=>FzaYjAQXRA7aP zexuJ(sN4gxih}~Zgg~3M`WiO{{q}czVD1LkKkU@Fv*%ZPk4a~dNoRp^Zoun8)pGR| zawV`of`3@GvFWh_8^u|jKY}!?7%Lkg_y(Wwh87H;6pWDVABIYrDxZ{=NY_sl|2N$6 z;83p*#^S2{ppNdhtQ6B=_Kqz2qc}QD=2Rt#T;8z`kXo237CQ`02038(a5SYA*q%{X zxfY>$&jbn3@mkElo8cbpjTkvzF%f7 zX%;u2#+=$5@TTyhRXu||8Jvrl8y;I?dThWB#PUmcki8_1Bu;=@P#Wu2X zXBx+!1tfXUV;xv(9-8!GZ_{G_OnC&7t0iPCN+Sj*<3Q9v)V!R4iqMt!xFkP0_`Mc= zQAx^K3f2p911SC}5HIL+OiCjnCpS`=mwC<0pArr)?A3UGHuUMHFZsSNeKck-hIX-P z6h9UpTPhrNBzb&LHMSE|6&7zroVj)c-laa1`_%3tT{#($iL z)APd9NlS#2f41jWw$ESD$ycV!-!TrP(@UACs-T~gL2?vQ za1vlTd4tO###Asr27$lIK3`cUzX~8?8b0s_0Efr; z17=E{KkLH-USb!DSMvZ-^tj39U^=a=$>!9`uByt8^}LS9NzamF2KxLHzo#binxx&- zh;a@Cx?Tyy%r8)6GKld~h}q)d%txu^vln28(Z9i47K*O}k9v{Hyl~=G_4V9ZJbYAu zItKq($s{1b>!-4a5q>GF$Cl60iaS=!b>04o?G51KWo{WD(F{Tj)fP zA^XE}u%Vx;3qi|97xcptQxRP;A_%BaX)oDV zMAWm92l4$6I^_>qTYlgV+6zE?r-oYq=p;IU1`9;Bcl?Ev-@z}lquS2&c#$0lcn5;S zZb~3l-fEz!3U{cd1$|aWSb|#N!Ab%hxTGW{JcAtppeo&o&~rsb^Si*5IfCkCG85Cd z0p5jE<;?-_ae#MoM1yzqg_Ozvc?Txfi+6<*!29}YU}%ll0+reTvSL0ly9HbbI7eQg zNBw`MdW*zMK6!I!asJHp7=X*Y8GRzaMF8LuMQ8rPWr6L5OK)dbi)&wC{|qb*b%80S zVnhfQ{UEHpEx3S#H`bvyfh2=1h?W~CKI4DTy?@Zq|DsnSZtc$T*%~7-d;Ga9->GBM z^ShZLs@RBw+|4l6(bXeha6FGAfl%V8D~p4~dfb#vw%n@tchRK~nr#r+daoRENq>-uTFFS4n&WvCxh^>NY@ zyMLMgNhf>cOF9AOc7x{i|3l^_oqs|Og`M<&q*JYM>>uhtf`0yghA{a5NT*sM+y5{6 z|4b(k!^q*kOfnKf6X3BofV)milnqz;phfMa%82IB(Z&0k3VwN2^fM&A&za$nR)ta9 z0tDL=)7c$VL7+;jL9ZI41)_kV=+Ty~zBYWh*U5X%d0(DX9kHFmyT%_zD8jM53Fs&T za%IOlnBoUa8HD zB09+eTPkZ1WZ-L8FeE%=X!R?gAiu~jh|q0&Xmn_F38=uYi)=g)-MI`~mcBbH!2{uk zGbRHl@vr<}nNs^!*&IXUTC|}`Kk~zqOGCrkb%B{pXJPJ#7ILNV+TLu*OTnHsQJa&S z<0BDVEg#o}1B6!Hi7B8cx>ovMc_)*__g5L)-LeHBACfTrxGuPpn*EWtxgqkzdF%E} z6$=Ge*RPGLbI%ZtN` z2Uo|KEPrL#@1H>=z<=rJZU3w3L}$LOuJxwA^a!ka1J;U&$k0wn&w2TyOj;aJfBj$S z?m9one^%!g1`Hhw8Y`eHFe*o^St*&zIz`rbm;I25;m7xa-(uP|<zqAbF9&IsA%$ zWN_~<(Oy6Un}~yqJ9}SO5P)|~kvRK{|D%)lhYo@Q3?VeudPm^r9KX=6K&7rg*$zq1 z&x3aFt5i+=8R-!~@StA*uutEM%c+bQQjA}?=XC@|=Ribv2AWx?tk9*rwbdGEq342_ zh&6cquOvTqNpuFv8&)_bD*{M50HM$HZTlK)#{_E!uuNHMjPula2U$q9FaILSV~snj zTS5@#*df$ZApx1~#@dm6?_*1k;%r)HcC$6EJijy2=fTMrcZN4um2h95~WgBD^%z_+d6s(eQWxV7DOIDN53hmTz z|E+0AUSy>o!W)E?msCRY8c!>qtRDFk5k@t^oDU^t=E0A3T#rwoF1)6MzBR-YM;DI> zLiarOe0lzhvx>uuhmN5`da;m+e0p$mjbDRnTXFr-V1-S}f1}Ueg%kv*B%BP5290Lc z(Y181|MJ{I1GG0OJZW$++$e6$N>>rfbu>>l$38(;rG59_7g|*(yCx^yq;TvFmp@__ zQ`N2hT2@60P_w6kQ7;w5E`J4qKXhBqBUUP0eXwAE#eFw{8HcX_JnnCO8GZ9oU)F%> z43wx9Sqe-i^h&r(c3@Eur##bfJ1(aO6tMHxe+$@1^8YGe;-DS!BdtvhTsqYxjf#Nh z0<2c`eDdcve}(uX3lK4}@NN4SO&mZKB>zinL1})UQ8k~j&HxS%OcSm!N{#oQZZ~)d zusEVTpo{U*=$!mf*B)D&2Y@BSX7c`8(7of+`{TUbOBcUSL4h55^E;=@m(ih zwvO7>fS66EdN+0BKaJl;1$v*FHSn**ulghL?Es07kbh#I^F9!J8V^wJt^X}f?oD5` zH8!BF|E_$X@MI9Pr4WA(r(blw@L!$3bZ-uz1F)n175dqL(3e6SnHJ*El95Wy z4fs^(T(D)OsTJ_WA23tm;#tpUF#M;lU;HsS5$L4@!sYR>dNu6f6h_W)(*!qjb_Lwt z>gv6VvvlSQWq2{^)o}FID^fQb6!VtSL@R@w{YJinf$ruX6p?_qEgn*V_x0B|D!-T_kL zv$;b>P1j6HZEaaO2{55E!MQKPL=;qMK|SlD^Mv&}AzucUVn?JH+!0 zyp3=7Z#U(vn)NJF2>tY-U0nGL7;^bMT@Z1&=X`jY4i{1UXmMk7sq_?5oH3PMAt#$az5z`u=^1qpgqg`{NwS-H)#047^icEUE{m`O~PI=%hTBw z|AJJ=38Z|k>ZStxDWlwROKAN3X=F+;smC0Of#Xcn%UuhjmC_60|zYpd^ZlZg(6FjR|(f6h0oy<#Q7B(H}v#zICfGi zYm+kwmgK82qFU<5h(jxNYa#_J8c1$mEl(2sd_q1Y1i2E2%)DFGx!t4UT0hZ^oYL=j z@2t#J`iWDtF6rbL@oEOyaFqn=UT?Nfb34z#8T$~Xxf7YvtHy7F=Oh!^t0s0zJCCGL4Ln&EM;3)#K<>pg`H-IOdhu)9xqoXN91}0)<$1o-o zQAK405&04N>?s_by4Te{v!=r$b)%M=3+a>CHvS~WS%}r3Dvz6zEi>mDr`I{eaEvvf z{n2l?M!A+Ni1*>7!nRk6zDsJ3ORKMUg|ZlG>f?<5H}4cdya8xDy1onaT4~B~UW6j2 z90z^sHGSy)&lz0|Ke4S5^r4+LB=Pvy%GLSVA<0Mx!s4SMI^|?w9hqC%cz63TNiaw@ zci#q_dsFcbx{dn3v)BoZ7L|cz&nBOGo9u+m2hNI`?02Hh`x1ZRj>j(}!!9oq2Z4v+ z7DUWQE{mo$C@>us6@Gcbib_G^p5bIppvYfC%toT)7Z=62xsOHi;Y%LMC#bYB70aVg zD-^a2{D3HOiG^P4A{l;N5y1CFzf*qhmw3ApJdlhGy`s!zIfgm0m|;`EgR_-ytUlhe zjvm)6eS8#SXNJvZPMUej;emQw4idf$CrP?FNg1M@w-Pe}(oXq~jxv;QWE`OR{d0Lx zH|?A9^ZHHBoD$gWNy4L?u$`Rzqimw0oHC;Jbg23T{5_ zf2bG3mAMx=ILgq!kul?hgpAKemvvQ;+5h9b#)+1{M&(yE0{7 zpA5Pp$W%jFtjgBJuJ+6D_0g<^Zm;zBt-?=F;g^?*k;ZW#uN1$Tu^xJJIe?37q*!mv z>sSNRl^V~4zm{Rsp}b{|B+WP1Elo6AjFxUg$6=FDJ%H*jA|8^8eg~oHk`YfL0M7cX zN;(JiwM>qiuc4`>&3Y|{DVwBbCZszRUUS0ucfVK>F4`yk=G}4TUk$1p)i8a@VNARu zV4DtmOH-sMUMxMkgw$DoH4rzON>&*4e$IZDyz3&=)FA5^{KzaMBRtHqJ;>#N)g2_y zXNJ}zDG14nU}Qr#0mgRi34RLD6#IyAp<<7l5vk4tqUUca%RX@rIK!8n&xzq-Yg05rH|q_Eh>eXfR_sL6+v6nY zS**foFxkJWYh+iPGL(*S`v9h4r{_A=h4%`Z5hqt@PLjo{d~M2OL!r`Wf+XkuuX`TA zy`%J8GvJ=6-dC5F!u25dANL<$+=m11k@V64_nB2VlRNu&8e);ctg-B((grmZkqq4X zx|BZ~lVocvUXPc*598a{T?3j4&I;z={31N;I*BkwvaMuw5sMT z6wZDK+_Cet9KOk$x>jC;k44T?-;P~&Ox5>T#`v1GXY`4F&db|=iS zzqf1F!MF^|a1nH<$Eg^k^w+AU%2I*br)9CKxW=JvBKaWn)`4b%uLAg+Uzo>9>y;pf z*@jM1nDj|2Zp<^oMFmzBPh3m@Pe`{M+f?wV(9tm+YHtQRl+m!nQw$fP#cRUR0nVpF4_jF&9w6 zYn4jJ_azY7J8G34)lp2WVFhOzv*Wi19()?FI;n)((VD9Ex=VCUIG~ZZ+kaV^omQr^ zO+tEVvQ!zC=zJ(Z*n(d~#_+11)5BerFOX_fwT`V&*Uk9gAwk_4mV@hYRa9oB-^?OyENYHnNtYd^Yk&IFSkq_<7s)7HH6UvB__35?Rs9-tOHP&2367U=R+-$Ob&%^6A$uNp;&3qem}AZZ ztAnDfiQCj}BP5*Qj7&4g&p8}cS$ggT41G8(Q}RdqLFu%x6&^mXmv>q%R?(d*n0Ba7 zbdEIQ;A_opiCk*!QcOgoZw)g_fqm$3q^#M&?=OPwg*FE;uJU~txctwu>z-ZO)q}<* z)^eHcvp3!O#a5t>LDH%vzr?Rt#$@ankJD}09VnC%0V-Qeg}xi%n0{ZJbg5m=p1e=+&Frb{qW2>{%fQI;tomRp6q@aC-+seTS#%GNrx zbRaB~o}V@M?fM@GDc&SSz{yMAo)!!^vA@vO5D(adxzTD%cSioKs^f}<@ zLl&FyF>}zFX42So{{- zg?Q>(?KvVndI#r`tl9Kgfzc(QZ=Th`RE13 zbkU`&pw>$u9{j7j8cM-MkLxGH3`fD!%+rLvwy0RkxGPPKiiS?lzV6)H2{lU=*RZG1>ebgD^XONQbX1tVq~>MCd^1IEP=7(4%-i z-h1mSO#p2>qgjmP4}}bg=Qxku0Yn9!lpsmUF7qrG-{x+<90v*dp^(4t z`-(c}@i3^XU^%-nrsJlFhwZ&w^Fci4j24TKvmW-dMYDs*Q8JzfQTv+j#=LWS3nC_k8F9_EH>IBas7D*LPKd zo-{+uaOqF=Wg96w+a+pJ$)}cCs_JNDzse~ebdB$b8Z(e?TxG{jo8R;~>V?KjJ6p3C zB!i+>N+otJ2uA2Ipz&_xS-M8C>HOCjTM{7ccP*4z65yF*lMLm079wmwi`+ zE@W%DwLDVy;V5;QNUaUf^?&YDbOHCr-$=-usPAqXPb`1LA;$V-=3IKlzQn01U)($? zy0S74r$nPTt+s0H-PttDt~U@)KDIY*zQ^*xzg=L`sFAYOHL(44>)p@^M~~bJrqyFX zLg+qAfuxQP-RtSQH$hcTsw$7KF>YWXk8`N9>wet5NqT+aLUP-P-rz+auCB1pa&9&b z4P=AnME!BoE`G&3kr?YkxO3?%j-@&nuhdq7rZ&**gak{$q(Cndtu%!M2TofcvPxC> zM4BJoVYv9&UaI4i5aJnI_T{e%urs19mq7l^DQx4?gOMS#vW+ioyRg54UB>$0*OG(i zsOgSS>F;cMY)a$H)rlw>`3+Kq>Z|Bv3IjUV2+*tpn&qOC>VBamr^rNpVqE(HVjMW3 zIF#o2-we=tn2}4f2O*s&5W8_dSeb?loE6DwkXeF!)?2XjI%u+8>z97`dtV4)_xf3Ds z_kzfSI6?c}fp#un*#4Q2O+Ue@L4EuV4G(c``bsIKO!7MI*Zq<-{pfh>+N8(ObU9Fw z6)MCh=>a(P2T5Tn!8Fp&d`^UISVH6m_u`To(h!!=Z77}Mk^$e)$HrqFNdR={eYoK zrkg(%f@^)5)1&|Ctv%2zp9(=Wxy;GiljuA`nO&5)mg#fgSeiSE_BuI==6VH*)YLl_ zGRJ0p5QRKs_MJcgLieRE-sjmP>?u0=1QL9NvIw!G6NI{dU&gQEO7MtQHnci1nYnYn zGoMUS%AR&8Sv*g{DBvVJgi11ABN6iB;MXe9)CQWJH4-uwA(D$k#Z4it(NQJH&Q|xr zXRG(ZjYYbmGYto<0w9IOSQGa>mpmnxeGLcj#iwtKev4tP(XFN*pm0J^87to(XfD#D z4z-W&Ih=61^mz)=Pq)tG(XZQ6`7e^16cotlTJOec>U#W4Od_=^DCh&47(lc18}-4G z@1oF!htp4sDuMa^ShVH+F_A>kyWSR3Aj-2qlzTo7^=2J#m#w7iT)tIxIwEZp>;D+5UF=x7GXxE#eulN z4p7q}Q2iSPf2)gGG!NzZOMcAzk_XN-gG8kncLs8dh*UNdOIIA>>Y|=2A!b1kl)pdw z6~EPg@MJ?HX5_Bm-q=!pCaCsU0!CSSM!QdkBcrQS0^RB%|GGFU zuGkaG`TE!Jn8rP+(qmCxN=~wWyjA_$qTYdQ@K&4SyEb$mz1vNdsjGph$=+pBc z`#wU=y(V3NB4{2|RG4%pctrkZ^w;+4HNfaggq#xEwUl2vuhv^(ELMlK7c0P|MWRm> z)l~*M_Xj%v5?AF3<#PS2Z!8Qf_ImWnek0)8z8s>;a`sQ2-YmYp;uo3S% zs?C8L|HO@0>QHE$&dzF|kbRntaKu;9c! zi7sfe7R-@xkjLhkWbGIG=^OPG#nnKq5*ia#vn9dRK;zpmm&aIv8YM0PlC_~|4+muy1QEej&t|z>E51j;_1Xqk$~uhcC@y^jG^GAae#%-?hB>ZIc^3n% z8mj*NwCt^eW)#bWF9-;jn<%*pAvw)M2_)(!oK%B{jYTe)n^Jx#_SQUc&E7H1%(umwn^Jh*3G%!kl~ z%oPTkG$M^byVR=s>QTGu@`?+-qimm>L*-yCLX4NgB*x=_AOJg%G0EZA%l`lTYDNcp zN@h1x7kpL{mK&zqR!1$I?fYYnFj2-f{OO8VGoBM@eAU7%Tu3CuxB9I}H(+9Vv@hHc zUw}mv>?`H(5ee)NUzicUu(#5}G6dkt2d_+tX~}H!*Cm+r)N5A5bL26N|9o4<8W1Fn zfIF)Xf@g$<`%HS^$!GS1jw$~2XEu4;uV8j@A8@rxjGzKFQ+NKUMETCGg)llo+KEaU z`YDc3%D5w9VePx9ok@%|96b{au3^zW3|W-djM5Tec1uwdTV#D~XVG2LI}tZlc2Bz< zGF&H0A1z-SnNC%_*OqxIAvld=Q4jFQ{BQkX@@?=Gb z8V{_$br`Uv_ER*r%bcLUC_*5_lw8;~c+}FxvvrLsd+5ML`Q5RJ5JF?$o#ND1FW&p{ zT|r^kGg+o}LFu7}y=E9w*fUHjD0eAjN#q2pFQgAvpI}rGpxH+UcvPSXyYzl_O$IL4 zeK+1 z$mXndLLj0}Dk=pjYB0B7@B|z?T9?%oCaD+#5&L;0#v~w2OVkS%PW0SMi0zyI9bp zP`?R|owmw@0Hn5i!5^M=>f|weIAQ9rSTQ1|OQ4n+x2IF`Y7j;E)g~^TFMs~4J$uMv zV_pb#@_NfDe@$wHP3|xHETqT6YAEeBv^@TOo{>0&x;a5^x-H=b!(<@TM42B6%T3tt zz7yE5!opKqf#ArFo~}9D2QGluNcLMl@b1?ts&~8g-Z)mdZRhGHVJlP88|$jvyz|hg zp%+kuJeF@zS5?<52>gmzFaAteV#1*9Du<*;ViQy@p~G^^t_{7V98Li}X{MVl!2xF#~!;eDbv$!L1i(5rf#Ka=KK!nQvzxMjFwFpGDjz z->YsuYDV5hSpMAg!ye%a(u}+xUb!Wvp@|Z2IQvQD$_}EwnbadHw*FfPE7}zrSeY3+ zkZuQBm9P)gDCP+X*G1=;-6bcnR@d4OzjZ=hB`6U?sB9Z*;C)TG%9J+L@^9bxO24*H zzc{Vpcd`P<&6DesvwgkW zM;f0tVLp)x;q9A?y<1nb`KV{yr*Yt$#x9P1%g)kz4XC9I{VYR9bb@9)@3vJ};Csx? zOKH+|K2k?_(s^TkA84PBJGZg6^-jZDDBFcY>e``$>OJ5J=n*HA8b1R@Tw%|QpV`fj`S6)t1pV@WXRk%wf2$yuJiS-p>>b*+kwu)cQZrB z^k0h;&8_dp=7)w(wh`95L|R+CxxOxab>SCw|K}T>=!)!K;2WJ(Z_@siD|(JD*Lw+b zZ{Xb!@O|_{%3dN6^%pcib7X7Y!F1AZ(bO2`E8-t#P~*1468uV5#9ZN4 z5XhvUNH-BWw4-R;{AuVL{Oj0@z%+5RUEO7ks9p{Ujfktuzz*6ohXd0`=N5e|oyp%1 zn^M&bLYS)y5t95oRIww&vKfvXCVa@q#)+MxR1M)~D{(#V(P($H6Rg%Yf$uJe5qrx-x$>7KjL5z=Uce=k zA`^w}@%N+9(yr0I#f%w;hIw;1@)f5m#6NspQtY)|?w2@P6=k{v+TyAy6U}WKkWA6` zX13#}IM2XJVw6@*tmws44f7K&TaEDwmHf*ae?0y;=-$XUVmt6%=&1c?x{Hb{tb71ug=zEkJk<-a)PzlFl*L&QoD8XM-o0#_YoE+9IeAaiJ-dUw^}1 zKFV&>#Qn|yAD40065ql`EqsxcUZHx-&plj0L+kyPz9nY8NVtXyJcoVw=9~j<{1@%F zK9Ip4^@X#{nacUhX6!YMgz4eAV1H8s&u}I)LVoFL`1yAH{13*Ave-LzugM1Yt2U%@wjFU{CCclRl3|*wTK30rwD;Im#mmZoUz`l}QcUk(q3cPr+d-Rt?S-qJ zCJ)m7jBQGy7bXOf=Tw+c1{s0eUH=|t7RJ59_A7q?lG5qiryrZ6eEL31q%b_ z@ao{OD}24+*AmcXne8Ffifi54MRuk(ZkD~F)fCdX-P)hg%}7ibO>9Wbc)l%K2UgRo zO32fvraBg%s`Bpykx~2wm-5##e-yH;zt3k`gkjt}oF;}T?^M}Yh&`qF(}vA@-bXLSD*pFuolP!vkMij6`)gs| z29$bRJ?kh<$lI@~HP(VwopZE`EKeFEsK@p^Z0Il6PJ0ccL7iq;2~1QXy#6)BM(Y(P z^W9-J#8&IfT`Js1?8Fwp$tl+ndj_E^#^9F9bgi&5x7KdrhlK)I+XW3O?4JW?g^^8r zY+|%P+R1^m!_BE@&M4E>2rqsAOhf1zW|N5*?Rvz%AYy=LxQ(kl5oR`2JXcxKS11pt zwiOHWdsM?_MI8MWA6{mJPB^M`7s z4IB%X8(gKwoh__tt~FexLLNIc5m5@woO<<)vJTL3`)3+{w=kPJyy)*I?BY;eo$7Ug z8<6wEwO^2trd|eqy>_Z;C|zf}*`tH8EG%9FX~a+oj9ab-(u#qFO1b`w9nu0gIjK8i zkJEL>82Cs&C#>rprr)AfpO{S8oTwG4%u2vR` z!jkoN>-E{D;ps<1=|Ldz1f1eki(W{BJ8w0B!~=i#HkAH;yxsHv@b%8Yoh@D1Xsn5C z+qUhAjfrh@V%xSkv29Om+nQi9naSOA&UwH3?jQG7s#2>~KmDxLYxi#L?*2`_KBFY0 zzP@>dLeu3C(>XmqvZ9&^F_9_%6$}BPG9N4O3M%2cIA3#lQvkMc-QU3a&fnnaeHXsO zbkW}+Te4J{lb1QZaU!UGpwaXYp~thRIDHz+cSP4a)CDr4mN8N}ps`AIAf3iUqp_0^ z?^ExALQjnS?oxCr3mJi!wy#3ZpaUA!ByA3$7F}POqD}#X!Bs%8zL22q0yX<(c`?EU zX*g$RV?wRl@{WsZb_(RVp2s#N;SnyCG!y3JWd791s(!J1 zu)a5moq|voBl1`91%yH<&BFqGn^0$4_>{}y0gPmvlqt^kgTQx>0qH`B;is)_4e-rC<*QZMVXi4G>cO>0eoy0z~ft=N=JES+Q6v&MkSY4!f z*H0M4n@!aYb}?Ha)yr&YW|c#31`YB)izV-w5msvDN+Rs$QehbCr)oX#w55Xp_TLZ& zTs>!o3VaprJoeS=g(=Eezltw zbO3*|RHlE^Drp(Sw5t79n@$q%nDa9K=(Tzx{dZ$xMu-==(M{oMH0Jp_Y+9NbOe2FD zj{j+`+q$TFWhf-^r%%Efx6;{Y;ge@BqS**oTMorDj*+eE76V%H=58vveu}w=ob-Ca zt$os-Fq~=@2DTGr5?P$~iDj|6;cB@8m28!dlx~P99nfwk=VK>1ypQgRRs_p9s4)!W z*t*}2TKqLH@P(G1FX%&0jLR?Z{oh1#e;(~U&J4{QvRlpqGr+2eC;^*?hglXDjW0KJ zh38oza(f`!dQZ2Q@?RNJPPIM9SXQ)Jd72hzZ!VzE&3>OqVk{bK-A`l>9XbXcrrTUs z56 zp5F)8%RiP!)(<*z-+#Xyy|=&R94=O$?h4rQ{n34u`*7qC;Qe)J9$5E(=1MTU|My&p z=ah%X!;5Ek@8JJ;uJlUEUnALjZDjI)&y#45fq9a$d7oOwTDaX@IA2edr&;eI&z9_G zpIqCG!}I#I-x)s#E|4`H5I%ZcGlpA#f~tsJ#`nJM^DBwpfZ#n>AT?Q)_cN}BpN@s6 zk3F3{CWk64*QM{O>nPJrEIb#trKj)Dq5XT4fwfML?zOA$!^7S6`eP=czEEtR=TBCJ z6t6G3U`(&}UnAswBY@Rbk3NA?P4WkprKYKY!JQA&2Cxc{48*9x0@JwQbe#HF;vDEs zR$)#2vq^CMd&CJ`y(!i$B;)D8?@7xf`sPm3>Du@UW|-w9*QO6dU$cCF-xa<$8BQiT zt>tL52*MEO2VM-+34JG!Q!!%D%UnVb7-V0v#f8wY*HaCy;7nGU2RB3GR%;BTq_{hg;YBvf7DqF!O8u^%aWzMIlpNMLyEt?sh$$8n znZ^_o*BZ-$4B9dZ|J$zm1vU8N*SP9Ad+O&~-*bCArD@}EV75I;DZ`Gx)i@bK9KX~! zO4d?yk4vM3Jy}Gezf&_Mu%_$6JYnC^2x;W*sQk=ayhn1rBqk^9;7&djDQ1^1RU8aK znWN^7VJ5)yx}lZw)I?p65Ea!Z`*Vi_%}n=-Hcm>X?}9)@`I%Z`omH|(zNlL}#pmt< zVV||`t#>{Z~OZo{4my*)k%* zZNHz#{_}4KH}}c$)h%8cmse}!s38F%@B;;ckd|RXA>iQ@xQOe6`==T`xNC5|PI^?B zq_`IXE~V~v-(rfFe5N2|d)nklmwhC>KYRCS-}*SR1Ac6q(w4sB8M-HJj1 zI>d-AU0VSc!86#ak%ZL4bu-7wD`EY2o8E#nOuPam$cP*8Cf}20B~3&XbOh zGQwwwj!Itfu^nzyolS_cIOlSxRa3zT;`FjmNe&X3YuL4sEaJw6Vbx?Iw_T(_~f z)(xvENAoI1NQa`_`{*wn4z;-}SWb|n8xx6&XD%gZZ+b}#tSvt$Fa3~iqV?4C7esIE z_=3w3%f>M~QH5o&(iN5oM?;7cuv1rlo%Lfz2`L3Lq2!??8B?HnGi6=&$e;PiUSys7 z;neC>%aLTC`Mr~Bk!)Q0HGF#2BU$!|Rl7wv7dZFRxaGN&xYhNd7pWoCxy6WJlGk=w z)VhBxW{o1kTNAxs>?!cp@Xbr3OI7k+*1K2NyRW?|c{OvDtY8eaFM0($m$)EhZwD(~ znbD48lh}36p?OR5QSnuI?>=z&25g>x?an&*#`WE3aLxKgn1WIxzpov^p=bZ5bbLF|3S7h*gHs6l9F&js zF37)I;*j2;IdV}5X*ipI8){GgqLe_XW~?T8V*)N`FraDG(CE~|rE7Y%-oEoo5yz)2 zBSv76+Jwm;rAA&q1WL1DEk+=)DZ2!NyGurAU;0+&_R)JcJUz$tn)P}54#x)!ZU#cW z`P1tE;;r=n*Q+>{Do6bXlgEd#@HN-@S-t_s2F%JW-LwQRg0^c7yK_E#JJYq6UwS`| zNQdkFok#iRI~u=xhQaFB!tehBw4dOueGS@jZ!d8la@;-A6+Q@*iqq`BsV5P z)Lv+%182QX3hG+-mDUR}n_e8z^W3)S0hA$Wz!gVcs1lM(L4ILb)=Q`Qqohy_CifHoZ z6A);b!|@O7Tj9xAi#4&st48jgvEeOzur?m3*3Kon%VbXPN}HG6!2bU2%`eVEJX)y7 zqxP%j1J}GCuug%R#5 z&{hrse15;wA7%4&BX}Rxz6}v6w|7`Go2;;mLxEe+b_jJ^>Ed-@y()6u?VkL!GA7?+ zwcG*+_S7G}Av^O}sbaE`3{H?zV&9p|7RuW=`@!85zCE5~fg-peH<(-Jm#owu}xZQldGU`i$ zx`>}~EMP##x{in>3t_?jhAO-r$*+dvu?z8kWu+I$_eA27Qq5d_`oqsc%kVfKc)Z~R zmx){zf>mypRZaL(fX=#{(2zNq-tf&pKYXU+0v1a#d2+?!?kSoOw? zp3N>V|2e9m$4m(k2sB8OV^C?`y!B|Zsg66%3dwf|amk`?vV(Lb$aS5F*~xdPdD)a4 zh4XutSlJ=viQWk~VX7x|tC~q(Nk4O@Uk-B1HYoO6=u0ojAD2V4p4OAva`FN}G!!of_9YUcqe>Z2aRuqHM!WzvkvO5Ci zj;e9o2+nO2orb6}WV!4RfrE6v$qJREAXBn}Ri(uQH?Wy}f|x;uRV_(;qg7f4>&xdEb7(z@ZX>5JjCAG)1;Y z74tXgg+*dol~ze9EoLzfX65eQ#cKFO%3V$F+fNofa)xOp9ct1B1sjcx3d0}53`?Jp z_K0Y-fwO-&SVF0OTgj1Q0?(}5R;VJ6U$U^)zdeEQ(jnZG&4`RVF>(l-&zS?LmQUa)v!nR7>FDQ z-==_yq+6`Si#?(a$rZyb(?uOOt1l+e4i0uIsVtEEyF zc&iV`5A)*%Ymc1$vB7olJB^A(4B9vpB`EXm(won`C3Zf!;3)#FPew74L7$}79D1>gEWqs7AR(;f~@q&skHVM`{GF2V4 z?hKR{pyrPS(sI~9}E=W3HQ6Nn3gzq~##)45uveBT$j zuvZB7B1w~GNpzK#SU5zNnL~~;b=%3wvyj>1iuNJ$m(*+X$vb91h~H{YW3}`vk2M+T&_^;S{6e>7={0Xh6<*#4`S3fD31Y_3?G9T)03L%tN z@u0gm3T-BfsA!S0_S~aTY0;2g)=38b95gNkZ6h=6J50A4GljWYo|$p3j9Ayic+*z- zR4#`Lb@=1>_=X{xGc7EK;w=OruhW}qZwdX>zW+{=P_|VP-@Tk7#qaj^WPKr2+X`G+~{m`tLBzro4lJ@iN5vzL0Q_EBHZ<>5Dq8Ra-g7pv_4dI)< z3iE`hzx#gmyG~8ezXCJTNTq`WuHouv@A5hM#(%(omW`kG5tSc@0^L+*{4S07Cn}5# z3sn8G#t6xWu9|M@75Dj#Y_6*ZyhUxJ3Aaru!H`z9UTzzCAXVTl{hi;dmD8&8!geh4 z`He7}D1VS9XuUHRSU#^>W%5m3meMID%*U3A(hWo~9!T zI`r~M!3LO`u6vHVN2y=}U)ZA90!t2IQXEc+x1CJAOg-178&TYs=@uX2aNwOW5OYFa zyn_>rnZA*&KMbdJ-`Jje?A0vW?&HjIPoG-6xeO+KGcd%2wJ$`is-Z|}mXXFuF$kU% zQ|AoFwY?EJW~lI8wR%y(S|u3DggeEaRpTCWDjVcctaL1SKv3&NI%S*PWT+F%ATT%{ zaE?~D-rxJlyhYcg^7FM&N50F30V=~?)As-Dh{3ZQ>$e!CCR+dD{w70lx{cL6=X_`) zK#f0 zd?qtMB=PWdzH5oyVpxkf9+f&)EYE7?(qm#=zF2h1Nu7>6tTA=Zq0N-J!5!BpiqqX{ zX_^jkTssYYIcw4()CHUyGHhC(`Yi6-1cn^p>dx`CCiOD zmZma+9G%cg>K>q|N9v^I(;y`bpzGDu_ zbfZyfevRLG4Dm_d`bCh+5m$~ka8YXkHfJTj<1wH|zMoqqMr14>NWTVzoq#iy}hJzf9XZLzNCE9q(17hJ^ zE6|l!<4aCl?d)cvTC$o$+JymsllasF0gL3%xPWziYCNX|4cwbleHpiRrDNHaIGGk( z(q;b<$j(5uV516`-fXod=lm)G#mY4Jay7X))v8kBO3KO|`ei?CbElP?O5DM#I~4>Z zmoJTH{UH;9Ohbw#y#5u}r8*5HSJl8NJfo#rM3HKV9Vs!^){9~k9QU~)MOak<0m2Wv8ag%ZrMk{yJlE+jH~O0BL=U6#>gR6=J88J69Rl*wp6gC=dRWKmbVd*8zRw<+kQhAj(H%O+E!e`MTMuG6IXnJ- zH||1yR6}wXJW9j);ABK7)o$}!Zr&%VBeQF=C2Xy5=P|W|+$M5CTL2iheYGrpqj zxCVD$cvS{mOAfkHy4M1ut~bixkM`~t$Ksm?r?s^yKf`SYi7A_E8CNxV^RkW(**xi4 zv3D2m5vU7@;CPO*f3Kbs7EB9l)B;Z~g3`m|U^o2!#h`+OPDVF_n`2q4mH;@v$pKul z9YD~TegDn8OwCSR+71m}WfMZ8qqC$Ip}7URoLHX$(VnRmxduv817>f(F7Mp5{j+;X z%{+LEl15p_PEVt?#tdcDxjbb${PQ|{U7fWPDJ#d1kL>G5@>Yh@85oU_W_81E)~Ip6 z%R8d@57Q4EKTi!{I?QPRN~4CNNlbYo-IRneT66TVLpmXaEHl#?U9#UBD=oT)r$fHz zjFmp-_z^sqX-DDgr$vV*kcm8AdcGzop7a?pjtOb#^B)HXF>0;xgxp_{+XDVk8@qDm z8mOk}QZ=7K8>*&)3uwd`OmqTW-4u=Va;s-I$#P5)PHS-l`big0SO~wkAxTQ>v@Mv! zG!6;%50aLG$$@1g!+T8D5gHwaTeAt+9)VbK=*T1P%n|WhbbNEKDm#x^gXD`i>u+bh zWSpdrRyU7bf)XWb3H&Z?N6fAOTrb3>bVB%^)ofWl?F?@gw%m;zyNAK5@>EBejuuYa zGA|$D+5m0Q^NL<`2-kB2+Q3UpIjf(P-0VCO#kN4B5$hDy!s}2nij);YB%Om7;ete{ z&^ak?Pco~XKwyw#1l+^pHQ0kt-___RjbAmh)XEHAf(o4%&;NV!NUUj2fPIWVIGFaA zV*K=oIfqpV&JdK<=(m9k7QI|9Fa88tE~R6_tBhvb^jM0q@zDk5YFlEF$$qxl_0%D; z1EtOC#@3BWP&Mr#+52f3D}%yh`ip&At3WR|EwxlXTC7TClK7($%m?g}69lMYFYt-s zJ=XbfjD(|WIODxmB0cH?)FF}0GkuK!NyNpn`%hfp=tGvOQg0)uiis!@X1f8)Ml6Bf z==~qLFe03{{oohY)qUlvdS3SU}eZ zW)EFffupN*18=z*`7YIBt6w{6sc-j1yXK}Evh;c1U_I4%3~AY%L$LvLBil+73$yOb zwhlFU>R5LA^?NTZOUHqk>4e&@9z%-pXs>%2`r?Dy+|A5Lg(5nz#$s!nNO`XlBh&rS z)8d?>GA-C0fbNjmt^(bA5Y56sZy&fm&B=>{qv6g{mXDv4o0nfBu(`9nvr8|ON9ior zVc^vq8y`a*ew8#k*g?I*yG*Lj-Gq%bTlFY;;cY+hgrBVw|6sZq+@%DQ&QNPTg00bl zse#O(m8hFR-`eFY@EN~K0VM)=Z(!w{_r8<5S@~@l|Gi$9Z5A@o`ld;3vl+dnhT)c= zz=~ua2mkWJtE!z(Fo{W&osV_mY`bm@+s#Lhn{!P0#-wz?FYj8j>lK@8n9G(;q2)pL zx>((Q3wGdToB!Mr_8HON;Cg1{^cX{?T(?(=G82ao1Ky^qg7WcLaGI21)=@f|4S(SP zUTOqqP24*sTzfHi5pemM(41r%@q_u(WhtUHCH^#0mwu`RIbutXR=6Am6m=FmX?Zg# z%~EfqQgpkL$7R<+v|p!-v_&q#g0@At>&p6k4ck9G)_`Y)N@AKQgA}qgwCVt2SrCCd zWS9O7FBPJCozm1((70KPLfUkMyu$IMlsM$(NU)gdK-w`JC(u!aJMp#xFB0tcJk$c2 zZ+I~7Nao@sdadZTJW*eUx|@S*Orhg@{WW2~0}G@~YlDc&Ev9NUT&;RmE)0i3Gn)F( zn$RO^or~{n-$tsMrLy3zSjxaOPh*$nR+ICYDqX=-Zw7LxL#Etm5rDCE>N7LS^?*6n zn^%~RnG|gr}=IbYINpDU0 zio{N3&lr33WiPU)=cl7DuZiFx{iq<^H-X#6(=r*#!mg8lXw?9qy2ybDK zd77drX}m35^NaZtTGeq>3$D?>G|=^TXHy<2ntyqWuT9YQjm;GK8jO3c#8Gk36RqYM z8e*{M7V#~NGf%nErufG)wN7{_(h}vl63zwn1|b}17JcC}PngkWfa?pyn0A$owJ(%5 zr+$DW@1}7iT4JPIW~T!G&+KW;YL+lD@($%`9`P+?0W#G|{_+&oq_Y`ReeGzsbjy`G z3CNK3eG1%@{Ek3P&!uBNSsFQlDv6sHkSFalt_dnMr&#xO-^PmT$mDJFF0&5YSoU~% z;u$hD>Bn)1d)tokoK(fSI-pop|l*EB@@!HtDlpC^fVH}EU^_(G`B|w>A9xpRV zNLFZ1ywua4q`j&6-;yTH zHbuTL%^JzxB(GwQ6X^~9a6_@rqIE;tMDJ8VsxMI+3EOHg zzvKDPrpHMe4Ic%^`C3E!cOpygE~-bWz^p2bHjO?C!S?T{dWeZ}Q-NL#7+^ho3Bw|c z9n^26{2~Bna3#Dsm3t68O|v;M5Z&Ym9(u{M+I4@pI1Wn&U!;AZ-%OnjW!tak=7Zg%f6Zm_(E#gSHzS{H&5#g6@r(L4Y9gf;}qGI zslQD&wnmI5zZsWnb1YbC#JXmwo8*{N#Nz23;$I%lH!ZtTKvWNKC=THlh4F~jy1(Ax z2q@wCp}7Zis*F)V-`c2~JYJykVN9T=LYkC~f2(NBWbBpSXfjSkunMY{%-X9;q-?jA zb)=B6FBlhCW3@Wf6>!rKarcx6%TYyeX~A_?e5zZ}1zJTG8vX#%=rgo(!<-!jwc^)Q zMiDu1<4O8X>L!*ETDApWU_g++tRzTBqX#_Jfq7|7g-cy(J&c+#P40{ncIFu?_skhw z0i|dQ#mXxXn>T00b_BZ6smmOe!*C8D~m z4SzW$v_<(Tl})Fghfkl1ViD@fpQ!o@cVkQWCcd9|z@4|hOGUCyteML?G)}LPtvRiB z_cm6;KO_6f;$6F#EE(cvka93fSZ^WbYZXIVYkp@LMysA(Kc&=^OSL@c#lzs+U8d~Y zf>tX@Zo)i2swth&M(u(!UJdU5p>51D{vlw%#YC?lq&Q!B^OuZZ!lK$l`)8ijgVgS9^j@X&Q-7utmcowMh zLts(tEZgCoKTG-(t3saCpwL)T)8h;7G2*E;OeG$*8&RvI zPOHiV?Koon5_9_H7sgc)$cjyMzI&jTtY}&9(eYVPtM${Xu>)^-R<3o|clK;yaKBk2 zxu&^Ect-b-_KEVjpi$K6(XOTw3%kJ#xju=z#&#J)EORE1KjxKAf8g_}izBMi;_{lq zt8&}I5bc;TCTI!26C}jO)j@qQ&aW0&a6ce4;d5Gu8)zwpCLF;eohhi zJs2O}K6hnKEK@JR@v;y?0ZR7^;_dHSELiJm;dEgVR=^9*zHOAZfI3MxK- zAf0Ow4=KzhH+(rcHyi`oQKe5983mJQ2rw2gY#_c)M2UoK4ACkWRA5|a2w)lpn^&c$ zesNM#r7zI>u1PQL10`-Ol3ZSzI|c@_1$n+PBTa9awA%To^<_vQ&r4G2 z6Oa|4q5IiW{68A_Xn@9S6`-+qz7u?mDabb&_|=<-5_QdaDKG*S1?e{u6QKA>oSUU;5-=5T7Ao=EVY(Am@0M zFv%bzcEE-)wPPGrV~B`NH40~IX+3%Mc_M(2kHzGnYxp@j1v3(VCoU9u1`nQ+Ke z@gU09UZya}DYw#~MQtDr61~ONM;bkkAV!+E9=R~cv>3#$M4&}WSrf>_)UZil;trt3 z(d5$YG^0q!_D}v?ksyVtpo2e9h-Dc{;6Z~}$!LUsU=p{E$An}Xzk}=pB-t>?lo-Ue ze@QN6XSvPfR07Tzgl!WUV7W%lKuO=S-yY zT)IiBt>mG>JA(t9X^BN4wi9=cNNqpEKLbCJ){)PJC%-PJ)q{;oYQifdjxLXo!X{%> z)W+PPFk8YHgzI~h_PJIwLq6loWJEMw4lRh4ibnniCS~hH&Jx9U@zj5?!hKkLo2&o)qXc7S}#qM7(Vs-y{ zK9sgVEHWgxQ(@8kUL`V|ZP+2qjQ%ajp|+K5#XNFCsF#P&*Crb1MTX-qb+Kpoq?0rK z=qZ8!J!Ysf8WM2T*A_g%#INV_tl~cs{j4TudQ(#T{sTOh(vbX8Y5WWDIk)`_@B#qc zDJfn6pdOiIos*;}WLj=w)&5m{r_^Nvd0uLzl!oh4MP-E|G-5||m{U8wETl$`W(5Gu zmOlOki~@i|6_wHd05||3Mvmq$LvN|YUqCAW*i=zz{SUC7Ru*C_SNWHruJrUTpbP*k zs;HFx2gn2f@^Y1b8S+Z8{sPhfz?6zg+W&k20Q7QJe;MLR)Bgg(O3jYLU?#tnAkXVY ztWgd%b^`A=N`et^pL}}~3r;+$98Fq-NNz6UCxf>Vd^tGu9>}QL9L8v^H`hlW|3t(C zBhmV>$YYY+VDW+I{1h`8k)k%@28lF^E%ZZZ*bmd7J{q)5rc&)Xr1ST2W&4(}B7QkI z)E>x4y9atZ*k#pw=-*w7;f z9VxibVAp|x7v;=ABmD!Dymg|bnL^E$x&Z(@H1vE10H}?29cbxMjsF9*HdDy!(RTQc zjj=Uin4A;OOUbq}cpRuG_fkMc?gR~U{!D8PiIgi{xqbCiY&GU5Ab*pdY&~AFqn>Op zA)ePwSfe~#NKla5aA{RwsRNN)p`mMcZO@9@xT zJ*u`1@s@*SGflUvkoqzyNZdgLsKZmJ)?6w203dTcs?s&#jDn+U>jFUn=Rbyaoek6~ zt`uDWu+tWG4giMURvMgxASwCg>CDE+@jpkBozDM!JkQp)#T`x#&K(+x zoY8>(P((JBINIG28K6rRA3f>BVAj`~KFv@59Y=gCvQ&NwA(0wym> zwxOHvUc1v`v&;xFR+=`1k?*MIoQ4^RA14=n*}fEg=!_C+OUg3lbdHRlu7jZIJLojw zr9Vlt+ zIN(${<2XWvpRQLXK^lIzu+Pi*bkMFRPMR$}?WD&G7;pX8ctFl#B&_;)%l|FrV?us7{tIf>{bMBZFZQay3?6grAea39? zTNlOG$9DO(UimH4G}x_^Qf&Pf2DP4G}wS#+%Fc*bXDk8JKbC z^#d6rYxhFc%Wc<0xADJ?@|U+Wt}@iA9-Ok@q2=DDAeT#l^LW!^iW3ebI zk!q(f;-Zv|BXp59&NCe~QQX2qb9a(p1WQyPK9NuU0mDUEa{-itrJUSc#+?6TxJLjm zaP+SMa=?Jk;00g+AIKhO+G?UWgIy;~uQ(||+z2}iPxbdveF{1MFTb=o-^mjEs*DvD z)$uxt`e zJRC!ADjOp*9sdM+zvK#2!YA+!hywRX%COw_e}K;a7r-UZ@iH^fskB}&|08)N>{>e! zsn6q7Zl~QXSob|Sn*JyWa_mI!L9zl*?=-*rkGFRgHWXExZ?Z?9lZ6OK9U3N3V3>6X zqgh{RSY@h+a5w*t3vp|v{qT)%Pi%Y+RF1d$?IRo=!?t%)`Zt}M`k!=mAf1qg zLei8pS^@5bGECPuC0gDzIZ|6tHY6K+7FkYkzeU4YoU(DP*6G%yeMI43>&Io{?eY8c zKxU8D6x_r}_|;Z+i+0uqPg<?^#m-Nb)-5+4LXZfX4kZ6h^S{>x zoR_2I&n82I@t2#6q_{<6713g9^EbE1>~}MI8wvd{ID`KLr$8@*-)Kn9gi}6mD15@n zFT?-dh*30Af%@c?nI{NoFL)bN|dcA z%UJ9L%2r06v&lmUfol9Zix;90<=B(S+emx|j09(4#^KlzjKBQnpDbR336*2;nf&2o zs?2(YTKJjKN~UO0JaNHG$o!dk52g06R*YibOn#&3C6iGgM42>Sax-%nX8#LK?n@5GPEye&iL<_(TbLUoWwtwBo0o=%}#O5Jm+n;7H7Grhc zW`nF@OKuv;{4WmE=peK5Bh(gU(%ft_8EmRYj^=P$7L*pzZ2uKBlLjOwGfH_@KGD%U zi^ZaBe197K+pPR7rp13xf=v~Kn@wH%!yEn z(NZDE~52MHQkGD6!ie>*-m-lp6 z@iwK7UxtW6jTe9JJ-(8)$x#!-xgBlqhG^CIrPm|wT-DoO&It+lc%VD)4_)>Vx;~vY zo%+lf-1NnR-97ugOxee2n88YQeH){upr)^n)Ei$Iq^10NKg_QJ1`oR~75f{hA+ zv)&;p$bpGYgZ$<7iF)ZXu{G+UNPm%dI$3^S-q&AG2XzCja)a-#uCMpoAWE8qV<4v@ zDn)8Hu11O?&xXIGq<($9^tX5&>Wl0vua^Hf%D-)3D04vE>E`B+lIIw3eLUW$ykr)4 z`>vUZWgy8uKgB*KINDf(V_K49cwnTFG`E~gJM=&Z@&490;-b~%BPtBG zzrf5H`e`?W5sedB=gmy|^2Eq}`D5~EC72T_Lk4rUyf7!$Y*@H)Cq~t_zXnEc3<}_RR!2HS!y}4BS?ZzPGdRU&U7LM9IaY}?3*ju zRz=~L5=0&sC9`>*8HR5?;%Lvvs=!f#;7E5QhU9Y}xB9q^3}yDtSZVUB89E0eP0d z5)v+A)y@XaYXZM7qD1=4AS@MwKlGBy}xP@-wRW2sz7MEC!P(#43G9r6ewlfbu>!aShBD|nz}!!{Io4K(P404n*S1yghn3MQ)!TYmD3CQKj^ zvE>a5JIz;p9Edao; z8cAqSE9y?}nKEnwC~`&7pfk>qGh$*ZopzE91r}Zy=_pM52!GWWM6jfiP`n`xtfJrm za?AZu$7R?+;;pUmy1boA{Y(>F$iarBFEyLS21VGX*umT~tTu;wzBN?45V!cjP{^}V zgQ6$w1S#}zpkR)0U-eJoR9g$-d6HoEiB*_OrManj&=0`-(f_pmASlzbu;WpIDW*J1 zG7e4>A>jv+-DjHGQSKB`A&H2}lu;NInJ7di0x46ft<%&w4Sn_fGq=*hHazHjIr=Vu zo{$siM6$Ib=Tpo3fhZ8fhdRx09kJGe`TY;a6g2Wjp5_K0e?y znS9D6$mxn=3=(Ma6Af&5dmGweaQ~4CDx#AM)o6Gi4aGh(c!LQ*XDd6%BZ*^AlIOR# zLO$gf!@DKQ|K4A#7|A_GJJf(FN2IOEk~ov41I(dt zhswP7EHp_Ldy+aiCpj;Il^o$Jr?|qy6d%_a*b;mTY~I-}eGmN>@7D5J7|Sc)tZ_(KiZkMaMMzz7717lprrw{ zl%U6c`NEHEAo&+Qn55r7+FOM{?<#LR6v6NwFMmNedgrllhoDZ|<)sNQ2c3ETZF+zD ztA{S$#te`!KLl5Smv7<(2Y(syo9jVRQU#&*Rh`3QhbHyxIi0ls7laPq zZHg>DXeuf3+h18!+6{JGFz{rSFV%4`jkMqmB8%@YtAK(SV{%DTVY4px*7$ zjKo1Ng;@j8Z8z%1U=lQ7!CBval5LTcb;5*67(G@gE!V<570}xLd3TSfWDF9~UVFd3 zMplJ{c*&(Z{EjvlW@HJ-+s{PZ3ybYZ0OE}W@Yyir~ng2u~@*8zQJyotDjex z5jJM_>RNW1bns_gQwXOSS}5p&aYRA8M3iBU>c2Hktd1kXMuUYLM$-GvEINj`PJ3hz z8Cz!e_SQ9qc?UdZ9#r^%vUl1q_<&r3*#d@OCW*^YaY1r+;x7M%$gswsN056%$Q$R6 z=F4gP$<--|UkH5P^>Wv5r24^n!B06iux^;q$WsDYGTq)e&xZbzBLOv{!Jc6a?=j<1d$ULPLQclnsS$0HXHmrv`lR?`jV^8Nar zzSi~X=Y-P3@}R1oUvx3*wl-Ehs`tMBeA?`TfWe~b(+?-w|84QLZdP%w9znQOV8FLh zJ+P&MAz%8s8oD2IaHPMioN`l>5xIRPAGj1GJ1fUtkIXUqL&F}&-j|mvyx*?(Q!@+~ zT6o}Z$L0^A>X(n-Ebp5)Yv;c_s%Ps_R?0Jl5<9-XHOD`^MKv&6c+^gUJZ3?ha0Svp zeDQC6X@_TK1H~2%#RLllF~{y9A7b=j8_|Fd$jsc8V^qug2|G>PC_iVfg1!Mw-Z*WK znhZ0160gD}DnFXLNYxC>glZaGa^P{lcyXJGcH$%qcg%-PMETZiU~cR7RBzb#+r7K7 zdSm45u)jIquTB+8%XcOUr1edYZ$erYQGBelka`*O2G)wgg2)IRtW4$$u@%QxOjR7& zd3d%GH6If;lG>AB#&D$=G`H4nG2ym7m5tGY+MOk$9QWp zX4fk;E2ME>K-WtI6n@`c>`qT$08980$rTxH;hW6Y^TcZXXS$aa2jh1G`nL`LW>_B1 zT?Sq1G4{xq!(;4GMXizlr>64`r}}^YxOt3@oXm3&MjiQ$5efK+FY>Ocy?R2-G00z?7~KZweEi5ClUkV8Bd)umN5J`PNoZ9VAqShgLX20OCvP+h@K2N%LN2%(dh@RYBh9Cgz zvQL-CF)ZD-J+?bX0u=9zv3^#CFNTrA8#HWQImAw%$btLW^v&zy^TJ|H2=_DtNeYj) z7sDG!HVI((D@UnxhQy5S?TaG$V&yMqSHj=_1&IM=KN6K7t2=}gWuX$K77Z-3tN z@@tidj*l1?w7bwM>oPHn@JO)Jc=r|%R+Q^2toTw*`{0m!jg4M7b6lh%Z&9?&_-Vq| zGx|5=7DAbttg9Fj;2%}348A17?erH0x%<2% z$N$g69exXu^81>1`G&vjt8{9g{QRsdvhm(oQ2D~;Pa3O8F|^=iCvCpSGOzb|@ZvJ| zJRfPp^G#{B#CsgIei{F*S(~r|>F zL#7|H-fbJt+s#GU@Ky++adm7(l54lOC;N8~v*~mkhaYp4h7?QXk+#V8+hS&SOtXBG zCNrkR4Q6%ShGFhzmi4j*VAd&RsRZ(;yoz|1&B#_pvUwR#k+KW>@W(jb9ZeMu z`R*VZ@z}d+Ud1E96b|PFAIs&)i=F?vfL$}P-B`$z4fe6imyJDhSeD2+vHEa7N?`b` z673|zqt(2!q>81pzA?x-c%WK+ce0vvsfx8b!mfDQU3|GhwMUUMmug7<4r9#`ca>Q_ zq?^KZWtrG~X@V~B>?egH7va53JU5}%73k@Dv!5&cKk7}oMPjp)3X zQ;yncf~kbJJm-aaf7D#cLqs6o3tJ`bc)oWSJGNetvPm{0(do?F3(k?+qLDbm9otSW zmDlkuV8+_j4BQ7J9U~DzDZ9YA9No&z=M!eU5j2}-Sbm9)onnAbKKE30|I|%wqf|-E z0CENdhKD-ZrA`Or*&8gz=TDX(Up`z`t^K+a_KZFBWOiiJN4P+;<|et))3v*3wJ13X zUsk;QI5tu7ypsG{^=w$s)00(v^G((0tzWw{e=oiS+K(DaqHn5({XzY@gtlA&@7~OG zmAjES*134z<=Mv^wg{7{>D(r*H>XAKpPb_feFdtWLG7iXf1BhlfkwM7f+w0(bW837 zgAt>@8~Nn-Syr#r;1mujREO{FvDQ!9@rM#e2%TFGEm`d2xoGTjzaN_&x(>Ms*WWl| zkAf=+SIV?_JxpSvF%3!DDchuuWvnf0WjBL~h8WOF2QDnUmX8tMMRGr9*Lc=iJsWzs zwso917MOqvW#XU8jS|ennBfdUIV(LbX5WIES`0$jaN-TKwdt=S z5kl&HgAKDv>!#x?dWWmyQtl_Sai2;G8CbY}sYeN$4*bBqDJdLyY>(FLv(D#nZXR4! zndiTSV`8F&aQYcNC$Dg0a~2MYrIO$>=ZlNogoa+=`q1? znSMvC95IFdnWnsPvi_w{Opv}uLpJ62{TzKr=chY8g@oYHfQfy)2qxMOTA6{zLxk!o zJxg@W`Jit2728s?iO&HpLR<|{TN7R{(%+X`9ADIAXVp3{Uz^Jja?CkL`q{k9dGb^g zV0fRF&IbWS*p4Ln-}uG3b%bzC!K|`%c&mF_}JeTjo+9_C!<`(z7r>6c_=l0-65l)Wc z@9R}%5z8vkS2SYO5RhcxPbLq7RCuerckF+c6`v6yZfN=Z zAnrM$I8uAwhdoiy(Ow5uJB5$gx6d*^c{vPIzZUiUVlJ{pF}Kto_S6|0Z+>ffcgr>)8$a^SXotblF4jjf~vqDEx-w8YNeuMy_x-8zoS?L|Nx9KIiOZ+*>24 zj7(LMf1jm#f-6HhI406U)ACtfJm@;0`kB(Hn?R^2f)$Nr>a^moIAD7(z1qRzTlW@}2ss~+UIwSw_8``=rdsN+_>#41SZ^2Cyp^x)Mf1$J&7s0)U5f z9dvRAJrjg>)s{_DX3uO#ro??>>8$W0x%}Aj!~)HS9BVe-w}x=0{PsnCm_(MkbFDczZDK|rI|-Cj7x z`?enNDDx^qoO*BO4IIqH^EYItRBCr#dvdQ&0E5IKW zf}%p2z@b?KEk9rP&IjV5{79Rrs2EpcIJmgi96GrLsEz?)MKp;d&mMFb1l& zbT|goATC4Jhn&oxthh2A&!8>k*W=EFaFQIeFZZx?;|Sx}1z^u1@A$pfwZh|(DCKT)iy$%ndx#Tw zQSE#1HuL!Lx-oB&(^s#)InOi7N5M>2iVFRTHjEP_(2hL}5reQtjeIY1Gu(v@%x~&U z^b~XHG!6%Kyu%#|e&btGV7=@cCP4Fsw}>vyo5%TClTY1U;GRf2NQg$V_LRVSz|YDo z%{tmbN{9~m&>wEzcxSW)vZ6t}nKV-3^C>b~Nl+R}Lf+J~3;#pwySXT@NfD(a&giJI zw3jw{vzuCg+4uU0ygo(L_IjKYzju)OCMR6qzHlQ`AiU@BP!kJk=_ow7gloNNd_Z9B zUQ#^gzfW(MZ+1#MvwjTi)L1R2WeDv15}w-_Wccr=K!*>0RNw`bTZV4|D=djjTAp*AKIJN{Ala@T?t4=`PR9}u(ug(c*PqIkQ;ZMGQD*el*A+SVi!TJC zD~$GY)O2qMS-j+T=HoX<4KK23y!hkkLSN#ZqNMS;?5_cT1~$Pu*0{o-xJ(oub3f$FBb7_pe~$K+?7Sc#K9e$y!xy_bEA)C^J6sU`Cp;Fp-H%<8R+}7Q z!`jR#!t~pLn5Sjbh~g&0Te8Cx5O#~Cp}Drmw|8>08>}5=SRn$b z5%S%FgDB?FVm{wfYV2j$&#-8ffVn?XV^~s!9;t%yK@i zJE0xs@Wd!W(_7QrmTmt#`u=LS)RkzE`V`l`nl5^q(yXAN zmWioWI!Wg1Vf231ttyUsepn|oJnkT_wSOpzfXz9^{8(*eaJxco7{p6sh^rXTL%6Ju zh6!MD5YIX*j9@L}aDl$S^YaG}c&rE(0gD-WlaZYDw`1n-gFLnH*m98tgtJ+)2bT=P zzB@#hwbL`c6K1x&ePrB+_6P)3JFPX{v8i$rH>pF1@@n!*$NQl&PqVFKXK;NC5h_|#1l#8sgv<>~K@_Se>!xO-xf_A$sp|@u_EDjqk1`zh zupi*yA07tDx6y9mvG`H*@tHHL9rNi`sY?6Nur9h@%dVc!ANa@Nhl?Hfpl8i>=Q|yZ zwutZ3yq9f&>`_@521I%R^sTN0O`>{oEt3;z$~i924NA8ON7;J}dhESB$<8X3O4-+d zN~=HFqCcqkq?SZ)SMBu`7pm^#9}pbMq$^32q;6Oh*e>Fm&Ud*y%O868S8gk=>WuNk z#{pVf?}KrL;)iB~2Mk@dY4b{roZng@~^!RnKkg{Q}#z4^!&0jQ3)_ zglYaQ&v{4hr@^E|~D zL(4_NU6sL&0MRo$1zjqz9z8hLCbl=pCJh2_{NNB^8c1wX)WPgr!z$gmpGGY0q_XnM zrKGmW2|>xF>Yv;sucO!Im(T{*$)-z*eM~1pt%P)+2u+nHcK-l$PQDX%4>+sQDu6hY z^qAyhmzw*MEYOf8Qtso7##Y%EeiwAsIe>56-6cX<{51wIOdeZbCwI%M{%;(szX>wu zSI@6TZ=8!4+a|{&Ap>oH6nGf)!oS(COM?yFh9t6+oa zNXvk2X~sLb0k4ySS10s35C`w}D2#{-hvH`34oMWTZ%mU5{L$9zmJG>ZO9EQKaZ8~|+WmCD#_tnyWv#FGngI@GV zf{>)VH{S(0uE93$Q5w9T$M%{;^cQkaW&4+H_{_)X0u8Ogg%(7Dx9s^@qEuAI?Ub~Q z_9V36ODq)Gh_a1MEEy4#q7$vF5#tpNjPIjET}s4DJd!p0j+p%8 zG)n%pyq~GP1W{(`$RTAg&2-h0haRAxXX9b5CB?1lL2)J$-lb3Zre+NS^PrDV@Jc6z z)=w1*CHEY~he7edY<#*RV`{NE+`pPqds>$?qCv$SJJkki%(=~Av56C2F?l+>cu-_U z^ZPM@!oMR?3s9M_p7oJ_T@cKWSxwhVorBH@k5UC0U+$@ZZRX!AkK@6#d0_20Qx8Z| zryG4gdY3A9W&lVO^)K3RFl8!wuvTSX_I8qzhs@$&7dQMvI`d4w)wq2Lc6S3o^ g0KN&W|GT&G8;|z-ubO+bJNPeG{>$8UcJ<}|0HJGxwEzGB literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..968ae4321dbcd948ce2e71439039d082c877eb86 GIT binary patch literal 1035 zcmV+m1oZo?4*>uG0001Zob6g|Z<{(4{=Q#<@_9D~vgW0tOkJB!nbI{&(@IlyWf?HU zs$)`P*dp!6&w<wk3VNrOE8Z}$j%x@Q*UUPxuHi` zaMrk+T>aB+e6-(LbHoJ^(k8OsY4Vo~vUVy9Xy_oC3sT_|A#mm2zRyan*VMI7F`=Gj zv`kGmfq4Q3Qf*~ufz95kU&8!wt-2fyH2LfnP^4;yXc}?>r*kBx_Ad); zHdR9(%?MrC-}~pE`(q2NnySq*e7ip~Zq1XccE`METObuZ^F%0)RM}d-ElyP-CjnuI z!t}wKO(6f#f&q>bXn-U@&E#oj0oAP7l~~NNJ?;U-}o5or5DM3TZ4>Ucoe+;RrY}cMt;}@i2|k0vc`LL~|2d;)n#yr{RyHXH>lv zk4TBb5ntFpLjGuh|5`iZx&L;(GX!^v-Nz`N*{I!fJm2iLx{hmlo{!A-Nz3SZZ5R1H zqvu*+ZCWxMM?^&S-EDvTUc0!t9u0>5OF4EX-ewXgrqccllMv3SD;7;U^Iw-%asZ%? zb~vBD4F+)V^>%VT93H}{a4x`8Eb}vt*5&r&yb5)O*H`;dr=_2CI)>Tpw44vU4w4M& z=&02*I(|!c-Bx#B>KsOvOtxWcf#<8ZH6>U^>B{1n)3v4m0cE+B*$Lf{2_DkZjXI1O z5j$4m)DLEIpIL0Wu)s>TZM^67SW}N?NxiIWtJ7!&c16sw1XO8mTc@*IHpzQTOEv@g zdt+-d)+TaqK{G7mzE(7?JGFBOZgH;?g^ZPY9J8W6#X1RNF%b?Th{xqpz)$n)q4dal z)$CA}$G{GaL~wneJZlIZ!?TjdNnuj8K(-#E7-7Se2@o^lH2G5zdJ`x~Hvj zV~=o~uz4&qd|BOLh{w zIF7KWJP7*U2!yftJ0UP?2+Ybu5Y&kf)QJ$(iQv?U;M9pQjTX$UJPJvTf{Z%C31%?D z!4e7W!DyZ8|Gpj|%I^r!@nD)Om1c+slNtl)hBTfrS;S1fwQCQ(x5<;Ez=jfrb7Z=2 zg3M6>v-}335~t!>v;<_6Eft3&JBZb5N&z%U4NVy|%Op~mQ}HZX;*r#N{7%K=&vwd4Ac3_9{j~k+$B3gqC8<#=Hm)9C-=E9sxyK+4rSFO+2#FZC|JuUOLmp!-j`aDA&XyFtFXQ@_!W>fae6 FV(_c#`)~jN literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..8a0a4e3c91e64e1ffc447cfbb2602f5b498fe830 GIT binary patch literal 9693 zcmX|_by$;O+s2WS8YL3qKmu@>^SfHxGRXBp#A&!uO zLj!CxebdlVONaSW7{jt=Q3jE|z1H$*5G7hsdp@@8cr*{Te;tb`nQU$F{iyP&huTfK zPW$-nnk{c*a}L%D%>&D->(HYXH~CXYryh9EQZipGj;VRhL~P#wiuKl0tN`=E_C=g? zlJ$Krf1_$?_<{yEbs_{FZX(!1>l)ZlN)MA4G*M9W3kS)Db1mY}_*LgFX>H%1 zCh*7lLvybO?@#*en`UQ4C-Q#{+ z<$8Cn+wyAdqbjE=XJYVuYGCROQ|aAk%Drd8$Z~}LV#!0(WlJWzWDm0GBDH}j zld1J3Q)X+6=&~fvbs`~Gt&o^Ra~~}%@qX*}b}0xs09TNtN^&M_j)KiyVE5D&lLaH|$V=ZNYf}EI`|6 z7K5)hKT^x{3L^Ml8o3U+@$zGESiRzGe>giB$aWOOT}b`#YZAzH5-=A z_pGbJqz7P8l2puO4T{lgk5hn9@y6^7k?{;>XH!NA6;&8u@bj=`MbcS-_NUQghVZQ9 zq^$lp0dP=e<<9D43s3tuZ&8%jEo-Xs2@lGOD`b_z*HoOPu-T=2PU54)lWIBimZgj) zxqx7aaj(znJSn>ElvFv3_0`0Lrc$y;eOhnyaV^u0xA8l?5x8O>BM?tDNY#b(x4vQI zqSS$@gQlS0HWl8C)uVJOU;*_YMD(ayVVLuPuPo?L=jC|K#W`0!-1Bp;wc4Un5K=Xa zlx3ubiMXK}%&10y3qh07)i^cQoh(dvcLjmR&da^yH7V$Zs_X@(28F{fW>AIW0b zllm!prW(IzBU#AwUgMkU#+RckuTd6^#D`xMC`_#P8m{VQWH@)Go@J4|Yhj|R88Z;G z>@l4qK;?sJ{3rYlHBsaU3N)KpGhrhi zpZk^SZc_cjm9^kba(tVbVEIRY!BAm05_m6U=gPh&Tml{cRM2H|L{7sd%)Dz-kEh&u zpQFo1KnaY^Xp3_Vk)`AYlW~Mbsy@fVcu)b8R-(t6E@cY8WeNlnbGYMB4W-1=drXvW zjFD1$t35jhjJt3vvXr~{<`O$Wi2Rg6 z@=I)b+06=94?k_X67#GWW@+o@FB?$S8XVATJn!S;3zQ_@ru+0&Jyj--FiFvjyM!a6 z$2tfs#TwJDSS-yPuw6=Dl#&&p5G}pVC&@UO-i0|NHT04<%*X3JpCguY z|DvC{crBn?x5YM3ePHoCiJEu4hrjp}P+y1#Cltejn%HUjC^#XvuC1;2ZzH zUZXR)t;N8u&z6{H}Zy<1) z$4?t0Tf~p}?gU(|r(!O|ZKT4nJd%FX6iWI6(iBP@i%T|UBdRWKKH`O@6u|stJm^b^ z)oW|XkS$O0F&i0mY5Y9ElX4>*8+mnU_bS7zMkhMrdV1!6P1Vx@qh&fRG2n7O;isbr|hnAtVTH| z`~!9<@Gm?mR6hUwwVU5;R~I~^{JCDwWo=|3Ez`)cmebT%ZDffhX`;5g4|6fueR~9y zmTrU!%5=4nMF1(IrA{)BZc|G4v2nOtZMmlged)QtI!sH^>$%=8Sq*`GNw8u&b261~ zc|kTRG)cJ?EvhdpqbjWamR`n^W=|4lSE2Ir?(18SNi3(Hcw{lRLO;tS_nB(U0PY)O zn~V;$mD=#OKimXpI@nd&=qBO>=xk(_rL5U_-}SX z$;*XNsp@@+A}%DCr>m_Ru~1@tWqIh-B*W}owER@`ER%@`w?4YNKSkHrW1;ytYc;Xo zdd-INSfR~xT}(KuIiZdfDn1XdD8mg>vY@FF!03!NVP%p;Ebt!O)dp9&Ef$AWZ51dH zXsoz)cQ$$}B@L+DAtECTixrXtWkODtfh|Gqi`Qr9!M+)$1aO0&n`}i55{53O&c*%U zj&ccy#oZD1lfU{>)kn6SGqG)D?=d&qxHwJQmQxltf%B|oeV$wzB9>8c9Qnb6DUf!X z9lo74Bhf;DL0e&?bWA^YsYgUjC61GbZJ!-Z)SI8=_`*en#ZkG6FM3L#OL!AWQ^Z44 zaF~wU69|9(WRjL{*X%T|ET3&kvL;?n{}mps=7gCuwWt7h+)d1hw=H0`SJUXL_o_(D`^(GLOtq`}OB z6E|jnv3bYXK^E^HZl$sWVBL=x(7JEnc_;(?sOQc2X3rY;CUr^wY;) znN@*0q3y5pq!k*yiL%{srcTKO2hBBLNn>~%3atV_yw7m*bI&wtXHgsI2+Kn_Eh#SGXn^crz z!dQ*7UBY}+k@`Pdr0PQ+B@O#lTiM*YS$14*obQ6qLo)As3KG}dMf;rKyLZ{&N8E0| zoy~d#r7r>|6r3kUk$o#upii_G`=k>sb}{>>Ms99?uG!+%_a46b-Di7SDdTdhXw{w2 z!PGkV=2CXCTacI6FY}K3wV@>Nk#Gh!dog&En)GFF#Mo=H>eA-voKG%8SUmLvA`ZnxQ$4(!pDDKqOan28 zg{&z_WCoLXxHIB8%C0x=)*cxsf&+uIvbEhN2SFb@sp8knSVAAx>xb2SqL#9-oq2rL zJn@0{WX6V(r8ve_VzYh*Ie^vVr@G8U`4Uu7LCsiC}N04$(yRr+32v+bVPa%QG{)AbH0CE*u3M)Flq*iq7$Y}Z< zYkCvcB&JFje|70E8~U;~;EXtt8okh-pbwa}iOj(Y{_b!XPKReOWoxia5GwCx(5C`!Qa1=c(-Wrdsux;L{ma3!W3ed6@oUNC z;+N6vqrC-L4$h~(X|u0Tg10KzG?o^2pT{(?S=n%u<_A}u2s{?xhpKGxy(TO}d<8r{ z9M*upFCiCUaTqkJ0idWMx8w3%C%g=Y#TQf}3BsdT9EhgA=Ma@4G7Mq|c5ocnx zd?rO4U_i6$rSFd}n5hG^c#WM{53x-YMgDWcmlEs~WscTH+!lly?z<>D)Z^->3{V&3 zH+dTRquUX!*w+W*j;I9`x(9r94KUaSqHvqu$d6}cr|#yR71L$mt#%Yw5 zCvJ_QM;!t|>-eVq1bX4lgZPBN8Jc%s9Rbt;fsp$yYm<`9dWu%m0OPcS#%mKY=(b7A zk(*-BIv+QSwB1YE(l%_61m&!HW5c4KEvw`m)z+b)-D_&H`L@ZYGSs!8dZ`+Kb|81= z$7zQdShLZR>s9+|RG0Ynv{K1k|7aVA-?y_}?9x;0uM&iv)aWa2^~N!MYC$iq#nXOA z(P9a6U$vt_%FaHsbcB9a6Kx_C>!@*5Nk}LsiV}W1TorEivh1(PQ#-w9uh+>oQ4_;o z{^_wVVSf5u!bhD8K(n<0q5gxI9=m5{w$B{vy`n z$BRCjF6HDi7GuuD>$7=ENuxNwbI z8vmT?L_RfSPaVPK_0C>Vrg9Czbrvvb2C2smd(K-y^@SRf4b@QfLhJO#e*hV1ZyFKX zg>GF|rUzSaB*s(o1h|V*jnbwZv=#o6#wneb_u!h>^>ayB8Em zCt*Z=Gq)iD6uEC;J-C}qt60-T%)%M;DP&6LLdGt(tt0%1By2s%UVYA$Ca{@6gpo+DWFT!J`2b%1w=l) zWj3`0I<6`@74o(@#Nc~Bd<4?#p8oCaZ}PE}lhNMN-W|xV zYGVS96-&z%59orjky=O!HETcM@RbP{u&6o!rhZ`hxV?AR;-u~xwFPgMZypuTTQ_}h zaXk2bH+8$H<5und-ho}D;CDVkfp9PMI0Y)s`d!3@+*(&cU;M!Pm`My*DayXn(5FaL z+k0g0U7=_JI1lm3$(Q%(uZrIDutO_E%)W{zd!WCMN6j$N58PE4g#^4MI9AcVU}H-r9M&Rh)yFEXcX!eMVr}+I`UGlwKJV@0Ke8VbZT^ znR~`p3&+xjxQd1RS?nUQ#wGnQqD*nRei=&fjiC&+d*20Bb)yY)lj8U|wX6#T?0WJh z`e)>p1K6OtMi@uOq=0y&oJfezlW5FHT*WXT){bE5@#q``te>~LvB`Y*Wm?bNvdmlwP@mC1JWqW^@YBr{ ziX6RwK1jEZ=3!tBBw_?@Vo_WaZ2ZLWZ#wb~;t#?P!aLUa)eJm5e^!1UZ5-r+e!y?N zzYsz5?T2;&aZ?d59((JTTi zg=}xFPaLIVf2GH58uK<_vmIQs$eJhRwZ|1Tz~6N0ZB9Xrnxc*rI^9S%9dhAB0L zi%f8wCEQ53QrVyYwvLRmX4*YNy^7eg1BS1-J>h{#t{;}A zbC4TB$imE17_=iFd9wPkOe9RyaauZyiOZa30%Bhm(Ikmc9|t(#52zYUcGhQ z>jp&(bna=~c)#@}(a!IfOFk#wVyQ*|el=Na3Y;nUR-Ly|*;$-wCSNYTzpS;%o3HwJY& zi9W~Frjgju_~EVWEB9t=?XnCm`c;hEjy@9Q-2rOludDmMD3&)`CfQ;s6APHsOhg&S zln5O^;Y%li|IiO$G}XOZcc;1Xmfwx6Hhym-diUzGtO<1wjC2U1Z*l>sWsIXLBa>bU zo9XAzyGzqMVHB-0y;sHy@30rQ*k+V8U0r*_48>}dCtdM7W66r5W0YS@zI8ccY3zJY z)!I~hO7U~J%~~w0bI4I?leIpA>$haJMUwircl=m={j2!HexE86O+SwD=*me3 zBXm#6OSQ=1_sg7(4BHm*cJ*{>>hcRcfX@~c@$yT~NtF_N@IyIj`&Ng)&$7#dhAn4T z(^SPi=rdV#?-ZwrT4;uU6CLQ5vu1er(_l7=wZ37G`Wtx4v02JNA3Xcc+2#VjObF1f z;RpOG)W~jQ9RwW$D?7i)kC?@0iJ!dMgDtOS47fa{-UEyq-~dVX*a^}UA-e!Vtqpek zG+D?MXZQoP49hEF#V<0K*ib$}BcKU=Go4?!o^YWDIksXBib8C6QC=R%9^iv4uH(-= zIo4E9eP>e8Qp8A5==mmhbL1Ea$wbO)4;6H+xj zeP!xIgt5p>ho_MPk51!z6F(XC4G@M;^>IsXPd$1*S8%{3PE(L9A3=2Cm!-EQ*Z&We zY!eJQrr}3^-0(0YCXsgOF2?nza!_Kbf)ijVJB$){kQm6m^N;HOz0a`DQ!@}hahjA( zSR~ucqx*pcm#7th2Jg?(-;xvYiJoO3qt<=TfSmYWX#jD=S0V?AsA)4?c+*;KKlnp zZe?I$i*Ep;DsW@X&#P!dmm;vTr|Msa2!I~mC%U89kbMTc1Z_wjVcY9&5HF4xUc#^M zaCOgFL-{kFKWbk#W+G8c`;`iM=su?4j7Bwjq7_8llLS5SHF?#(bQ##83R;FmbI7Ca z$%CFKP0>dsrVi}g2IGBPJ#$#i&!VKR)s|{|53E@1*FcSa>q+3$tvp2Hqb-jn_0;Q; zr*kB?EDdddGh!>I*obwTK0hRY=j#qlEktz`ci}m4sJv;6r7racQtRspzPGR!1`J20 z3`R-odPzl{vfr5&GhL}NF7mx0$NAjPG;rMKi+Kcw<|TNqqp~*%`7}B!jxf}mvv{l> z_RFI57J5BfxbaOTQ{%F~n@(SwrV0(^GHTm>K)Il#&ROlTRfgYx3w$|>ZxI?=1;oTr z{Xn@mrzosIZVPu)dlg4t9hbTJR3C?{og@yDb(&5E>+9U2JfUz+L<5$~HPS78xuc~O z_=rQ&QiWB&iMTl1JG(P&nF`>k|DzrIP$EgIU)=U_$+%2D9r-;Sd5hZL>5gMzQ^Xm@ z&d>bz{;lzAO6BO`>>*vv&;Fiyw{DW6)i99s`wyp7^%Q5LAkQwHE}PF}&~G^p6z}Fa zdvCXrcWWyg52kA9ND}~QS=t!>E669&z79?D6-3ll{%UORthcF@jAqM}!|VgMZzdmAQy(+!>0Q8^(p_j=K9&NQ{o)A#a^*xedSmc9 zXAg3LAYm7go^{x<8!>bk2Rj7GsnwnQ?q}3;)f)|2KaTXsN?j||HB5{rRaA0NO@WyG zrlH`jjqAVa&WWvK5oBP1&>qT z{dUj&vjLQN+VLiE#KWGvW8QKg9N10~S`CU=cW*JMBc6V#fyh0dW{=nb^}v=8Tu<7? zgm_>_!@*B*3I|59l-vSFK1tvb z<_H>d48jJboWAyC3}#dx!?@uXXAeLu=l;| zc)Zk&Ik*ux5>3}b3_^=Gvp48?r_bDrrSmzIwoo@{6 zj|cg)a5%j@G4v@=N+Y8v?l8qG6auD?hnWu_eCh@MaJIM_1%T&quJ6@M3 zrk`i%7i($d8b}na88WZ#g|nX85|~r$nf(0FZk|R{*-k0$jm>WND(1ak7oq9XXDL|n zsNKuh&zZtr=`lN6S2Db!Ri0-X`mrr_#LfUeM(Z+8THSp8h?sPd$+hHHC zVV4mDi|88qk3_%*RiW^8!djWc9u9q%Wf89o#%B3*oqQwLwL)>OCh0eRn?FqKvBg-n zER)T!cE@p_cuhau5!bLNcUPhJP6Y|8`)fikZ8puyv1e@~6fqHr3gCSsfR=xSJckqN z*s4)<aRkSGenUgt1#uzBiM2qk7bvULy^#1XH|=K7^f|R|F;D{b9LvDpu!`( zZsRb%qC)!|M2b9mlxXm2DD zwcyg6x}V{3wJAK0o+~l-rJ%#_jcXsn`G4R|LN6BJpJT}1u;(AR#7vB(_~&rD4lENw zVkz&4xs}|eSCwx1(l@ZIKFHFDaCX=o=ql2)Ju?>(OS>a`;M70XR7`7id1Q(7EkMLIOl-@Gl}?TomFg;}t=LlZ<3#E2HW;8bx1>e0NPm=-@6l@6*Yv#`t=>UCOe_7?nTmPOeK@e-Kgc=a&xn}Ij z?usNs!ya><1+2=l%}#lyY|mbKVWElIqF@gk_)dOiuk4X*(ULQ`yPjn<2tj{d0mwJE zzZV^yUuaV07%?pQ)t8{grN$Wy2>S6(#DCkxMPN@Q{}AKxr5Z9{JY{*Y=s^#3_FVRP ztI_91pBTSFC*D_SaYvVDuBmFD&;Fesm^KyYTA}{PU9oya!$i3=1hr;9SFF{KK`+eU O_pLWbTK-q8TK@sAa|#Op literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt new file mode 100644 index 0000000..c12c986 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt @@ -0,0 +1,1710 @@ + + + + vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_24_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + vga_control_unit/g_Z + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_14_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_13_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_21_ + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_16_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_23_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_15_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_12_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_19_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + dly_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_sig_Z + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/h_sync_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_11_ + stratix_lcell + le + + + dly_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/r_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/v_sync_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_20_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_18_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_17_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_22_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_4_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/b_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_10_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + + + + + vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_10 + + + vga_control:vga_control_unit|toggle_counter_sig_11 + + + vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga_control:vga_control_unit|toggle_counter_sig_0 + + + vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_14 + + + vga_control:vga_control_unit|toggle_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_15 + + + vga_control:vga_control_unit|toggle_counter_sig_2 + + + vga_control:vga_control_unit|toggle_counter_sig_12 + + + vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_13 + + + vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_6 + + + vga_driver:vga_driver_unit|vsync_state_5 + + + vga_driver:vga_driver_unit|vsync_state_4 + + + vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga_driver:vga_driver_unit|vsync_state_3 + + + vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_2 + + + vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga_driver:vga_driver_unit|vsync_state_1 + + + vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga_driver:vga_driver_unit|hsync_counter_8 + + + vga_driver:vga_driver_unit|vsync_state_0 + + + vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga_driver:vga_driver_unit|hsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_9 + + + vga_driver:vga_driver_unit|v_enable_sig + + + vga_driver:vga_driver_unit|h_sync + + + vga_control:vga_control_unit|toggle_sig + + + vga_control:vga_control_unit|b + + + vga_driver:vga_driver_unit|h_enable_sig + + + dly_counter[1] + + + vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga_control:vga_control_unit|r + + + vga_driver:vga_driver_unit|v_sync + + + vga_control:vga_control_unit|toggle_counter_sig_18 + + + vga_control:vga_control_unit|toggle_counter_sig_19 + + + dly_counter[0] + + + vga_control:vga_control_unit|toggle_counter_sig_16 + + + vga_control:vga_control_unit|toggle_counter_sig_17 + + + vga_driver:vga_driver_unit|vsync_counter_0 + + + vga_driver:vga_driver_unit|vsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_counter_4 + + + vga_driver:vga_driver_unit|vsync_counter_3 + + + vga_driver:vga_driver_unit|hsync_counter_3 + + + vga_driver:vga_driver_unit|hsync_state_2 + + + vga_driver:vga_driver_unit|vsync_counter_4 + + + vga_driver:vga_driver_unit|hsync_counter_6 + + + vga_driver:vga_driver_unit|vsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_counter_5 + + + vga_control:vga_control_unit|toggle_counter_sig_20 + + + vga_driver:vga_driver_unit|hsync_state_3 + + + vga_driver:vga_driver_unit|hsync_state_0 + + + vga_driver:vga_driver_unit|vsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_counter_0 + + + vga_driver:vga_driver_unit|hsync_state_1 + + + vga_driver:vga_driver_unit|vsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_state_6 + + + vga_driver:vga_driver_unit|vsync_counter_8 + + + vga_driver:vga_driver_unit|hsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_9 + + + vga_driver:vga_driver_unit|hsync_state_4 + + + vga_driver:vga_driver_unit|hsync_state_5 + + + vga_control:vga_control_unit|toggle_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_8 + + + vga_control:vga_control_unit|toggle_counter_sig_9 + + + vga_control:vga_control_unit|toggle_counter_sig_3 + + + vga_control:vga_control_unit|toggle_counter_sig_4 + + + vga_control:vga_control_unit|toggle_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_6 + + + vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga_driver:vga_driver_unit|line_counter_sig_6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.sft b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.sft new file mode 100644 index 0000000..dffb074 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.sft @@ -0,0 +1,4 @@ +set tool_name "ModelSim (VHDL)" +set corner_file_list { + {{"Slow Model"} {vga.vho vga_vhd.sdo}} +} diff --git a/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.vho b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.vho new file mode 100644 index 0000000..4b694d7 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.vho @@ -0,0 +1,7784 @@ +-- Copyright (C) 1991-2009 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + +-- VENDOR "Altera" +-- PROGRAM "Quartus II" +-- VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version" + +-- DATE "10/28/2009 14:19:55" + +-- +-- Device: Altera EP1S25F672C6 Package FBGA672 +-- + +-- +-- This VHDL file should be used for ModelSim (VHDL) only +-- + +LIBRARY IEEE, stratix; +USE IEEE.std_logic_1164.all; +USE stratix.stratix_components.all; + +ENTITY vga IS + PORT ( + clk_pin : IN std_logic; + reset_pin : IN std_logic; + r0_pin : OUT std_logic; + r1_pin : OUT std_logic; + r2_pin : OUT std_logic; + g0_pin : OUT std_logic; + g1_pin : OUT std_logic; + g2_pin : OUT std_logic; + b0_pin : OUT std_logic; + b1_pin : OUT std_logic; + hsync_pin : OUT std_logic; + vsync_pin : OUT std_logic; + seven_seg_pin : OUT std_logic_vector(13 DOWNTO 0); + d_hsync : OUT std_logic; + d_vsync : OUT std_logic; + d_column_counter : OUT std_logic_vector(9 DOWNTO 0); + d_line_counter : OUT std_logic_vector(8 DOWNTO 0); + d_set_column_counter : OUT std_logic; + d_set_line_counter : OUT std_logic; + d_hsync_counter : OUT std_logic_vector(9 DOWNTO 0); + d_vsync_counter : OUT std_logic_vector(9 DOWNTO 0); + d_set_hsync_counter : OUT std_logic; + d_set_vsync_counter : OUT std_logic; + d_h_enable : OUT std_logic; + d_v_enable : OUT std_logic; + d_r : OUT std_logic; + d_g : OUT std_logic; + d_b : OUT std_logic; + d_hsync_state : OUT std_logic_vector(0 TO 6); + d_vsync_state : OUT std_logic_vector(0 TO 6); + d_state_clk : OUT std_logic; + d_toggle : OUT std_logic; + d_toggle_counter : OUT std_logic_vector(24 DOWNTO 0) + ); +END vga; + +ARCHITECTURE structure OF vga IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_clk_pin : std_logic; +SIGNAL ww_reset_pin : std_logic; +SIGNAL ww_r0_pin : std_logic; +SIGNAL ww_r1_pin : std_logic; +SIGNAL ww_r2_pin : std_logic; +SIGNAL ww_g0_pin : std_logic; +SIGNAL ww_g1_pin : std_logic; +SIGNAL ww_g2_pin : std_logic; +SIGNAL ww_b0_pin : std_logic; +SIGNAL ww_b1_pin : std_logic; +SIGNAL ww_hsync_pin : std_logic; +SIGNAL ww_vsync_pin : std_logic; +SIGNAL ww_seven_seg_pin : std_logic_vector(13 DOWNTO 0); +SIGNAL ww_d_hsync : std_logic; +SIGNAL ww_d_vsync : std_logic; +SIGNAL ww_d_column_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_line_counter : std_logic_vector(8 DOWNTO 0); +SIGNAL ww_d_set_column_counter : std_logic; +SIGNAL ww_d_set_line_counter : std_logic; +SIGNAL ww_d_hsync_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_vsync_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_set_hsync_counter : std_logic; +SIGNAL ww_d_set_vsync_counter : std_logic; +SIGNAL ww_d_h_enable : std_logic; +SIGNAL ww_d_v_enable : std_logic; +SIGNAL ww_d_r : std_logic; +SIGNAL ww_d_g : std_logic; +SIGNAL ww_d_b : std_logic; +SIGNAL ww_d_hsync_state : std_logic_vector(0 TO 6); +SIGNAL ww_d_vsync_state : std_logic_vector(0 TO 6); +SIGNAL ww_d_state_clk : std_logic; +SIGNAL ww_d_toggle : std_logic; +SIGNAL ww_d_toggle_counter : std_logic_vector(24 DOWNTO 0); +SIGNAL \vga_control_unit|un2_toggle_counter_next_0_~COMBOUT\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\ : std_logic; +SIGNAL \clk_pin~combout\ : std_logic; +SIGNAL \reset_pin~combout\ : std_logic; +SIGNAL \vga_driver_unit|un6_dly_counter_0_x\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_6\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_5\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_9\ : std_logic; +SIGNAL \vga_driver_unit|un9_hsync_counterlt9_3\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un9_hsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|G_2_i\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|un11_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un11_hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_5\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_4\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_1\ : std_logic; +SIGNAL \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_0\ : std_logic; +SIGNAL \vga_driver_unit|d_set_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_next_1_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_3\ : std_logic; +SIGNAL \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ : std_logic; +SIGNAL \vga_driver_unit|v_enable_sig\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_0\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_1\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_3\ : std_logic; +SIGNAL \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_2\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_4\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_5\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_7\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_6\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_8\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_9\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_11\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_10\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_13\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_12\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_15\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_14\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_16\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_17\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_19\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_18\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_20\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglt6\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto9\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto12\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto15\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto18\ : std_logic; +SIGNAL \vga_control_unit|toggle_sig_0_0_0_g1\ : std_logic; +SIGNAL \vga_control_unit|toggle_sig\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_5\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_9\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9_5\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|G_16_i\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_5\ : std_logic; +SIGNAL \vga_driver_unit|un12_vsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|un14_vsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_2\ : std_logic; +SIGNAL \vga_driver_unit|un15_vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un15_vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_4\ : std_logic; +SIGNAL \vga_driver_unit|un13_vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un13_vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_2_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_0\ : std_logic; +SIGNAL \vga_driver_unit|d_set_vsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_next_1_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|un12_vsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_1\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_0\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_1\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_3\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_4\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_5\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_6\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_7\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglt4_2\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglto5\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglto8\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_8\ : std_logic; +SIGNAL \vga_control_unit|un17_v_enablelto3\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_sqmuxa_7_4_a\ : std_logic; +SIGNAL \vga_control_unit|un13_v_enablelto4_0\ : std_logic; +SIGNAL \vga_control_unit|un13_v_enablelto6\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_sqmuxa_7_4\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_0\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_1\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_3\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_2\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_5\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_4\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_8\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6_4\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_9\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglto9\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_7\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_6\ : std_logic; +SIGNAL \vga_control_unit|un5_v_enablelt2\ : std_logic; +SIGNAL \vga_control_unit|un5_v_enablelto5\ : std_logic; +SIGNAL \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ : std_logic; +SIGNAL \vga_driver_unit|h_enable_sig\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_sqmuxa_7_2\ : std_logic; +SIGNAL \vga_control_unit|un9_v_enablelto4\ : std_logic; +SIGNAL \vga_control_unit|un9_v_enablelto6\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_sqmuxa_7_3\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_sqmuxa_7_5\ : std_logic; +SIGNAL \vga_control_unit|r\ : std_logic; +SIGNAL \~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic; +SIGNAL \vga_control_unit|b\ : std_logic; +SIGNAL \vga_driver_unit|un1_hsync_state_3_0\ : std_logic; +SIGNAL \vga_driver_unit|h_sync_1_0_0_0_g1\ : std_logic; +SIGNAL \vga_driver_unit|h_sync\ : std_logic; +SIGNAL \vga_driver_unit|un1_vsync_state_2_0\ : std_logic; +SIGNAL \vga_driver_unit|v_sync_1_0_0_0_g1\ : std_logic; +SIGNAL \vga_driver_unit|v_sync\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout\ : std_logic_vector(18 DOWNTO 1); +SIGNAL \vga_control_unit|un2_toggle_counter_next_cout\ : std_logic_vector(0 DOWNTO 0); +SIGNAL \vga_driver_unit|hsync_counter_cout\ : std_logic_vector(8 DOWNTO 0); +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout\ : std_logic_vector(1 DOWNTO 1); +SIGNAL \vga_driver_unit|un1_line_counter_sig_combout\ : std_logic_vector(9 DOWNTO 1); +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout\ : std_logic_vector(7 DOWNTO 1); +SIGNAL \vga_driver_unit|un2_column_counter_next_combout\ : std_logic_vector(9 DOWNTO 1); +SIGNAL \vga_driver_unit|un2_column_counter_next_cout\ : std_logic_vector(7 DOWNTO 0); +SIGNAL \vga_driver_unit|vsync_counter_cout\ : std_logic_vector(8 DOWNTO 0); +SIGNAL dly_counter : std_logic_vector(1 DOWNTO 0); +SIGNAL \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_G_2_i\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_G_16_i\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ : std_logic; +SIGNAL \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\ : std_logic; +SIGNAL \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic; + +BEGIN + +ww_clk_pin <= clk_pin; +ww_reset_pin <= reset_pin; +r0_pin <= ww_r0_pin; +r1_pin <= ww_r1_pin; +r2_pin <= ww_r2_pin; +g0_pin <= ww_g0_pin; +g1_pin <= ww_g1_pin; +g2_pin <= ww_g2_pin; +b0_pin <= ww_b0_pin; +b1_pin <= ww_b1_pin; +hsync_pin <= ww_hsync_pin; +vsync_pin <= ww_vsync_pin; +seven_seg_pin <= ww_seven_seg_pin; +d_hsync <= ww_d_hsync; +d_vsync <= ww_d_vsync; +d_column_counter <= ww_d_column_counter; +d_line_counter <= ww_d_line_counter; +d_set_column_counter <= ww_d_set_column_counter; +d_set_line_counter <= ww_d_set_line_counter; +d_hsync_counter <= ww_d_hsync_counter; +d_vsync_counter <= ww_d_vsync_counter; +d_set_hsync_counter <= ww_d_set_hsync_counter; +d_set_vsync_counter <= ww_d_set_vsync_counter; +d_h_enable <= ww_d_h_enable; +d_v_enable <= ww_d_v_enable; +d_r <= ww_d_r; +d_g <= ww_d_g; +d_b <= ww_d_b; +d_hsync_state <= ww_d_hsync_state; +d_vsync_state <= ww_d_vsync_state; +d_state_clk <= ww_d_state_clk; +d_toggle <= ww_d_toggle; +d_toggle_counter <= ww_d_toggle_counter; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +\vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\; +\vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\; +\vga_driver_unit|ALT_INV_G_2_i\ <= NOT \vga_driver_unit|G_2_i\; +\vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ <= NOT \vga_driver_unit|un9_hsync_counterlt9\; +\vga_driver_unit|ALT_INV_G_16_i\ <= NOT \vga_driver_unit|G_16_i\; +\vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ <= NOT \vga_driver_unit|un9_vsync_counterlt9\; +\vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\ <= NOT \vga_control_unit|toggle_sig_0_0_0_g1\; +\ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ <= NOT \~STRATIX_FITTER_CREATED_GND~I_combout\; + +clk_pin_in : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "input", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => GND, + padio => ww_clk_pin, + combout => \clk_pin~combout\); + +reset_pin_in : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "input", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => GND, + padio => ww_reset_pin, + combout => \reset_pin~combout\); + +\dly_counter_0_\ : stratix_lcell +-- Equation(s): +-- dly_counter(0) = DFFEAS(\reset_pin~combout\ & (dly_counter(1) # !dly_counter(0)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a0f0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => dly_counter(1), + datac => \reset_pin~combout\, + datad => dly_counter(0), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => dly_counter(0)); + +\dly_counter_1_\ : stratix_lcell +-- Equation(s): +-- dly_counter(1) = DFFEAS(\reset_pin~combout\ & (dly_counter(0) # dly_counter(1)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "e0e0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => dly_counter(0), + datab => dly_counter(1), + datac => \reset_pin~combout\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => dly_counter(1)); + +\vga_driver_unit|vsync_state_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un6_dly_counter_0_x\ = !dly_counter(0) # !\reset_pin~combout\ # !dly_counter(1) +-- \vga_driver_unit|vsync_state_6\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3fff", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => dly_counter(1), + datac => \reset_pin~combout\, + datad => dly_counter(0), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un6_dly_counter_0_x\, + regout => \vga_driver_unit|vsync_state_6\); + +\vga_driver_unit|hsync_state_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|d_set_hsync_counter\ = C1_hsync_state_6 # \vga_driver_unit|hsync_state_0\ +-- \vga_driver_unit|hsync_state_6\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|un6_dly_counter_0_x\, , , VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|hsync_state_0\, + aclr => GND, + sload => VCC, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|d_set_hsync_counter\, + regout => \vga_driver_unit|hsync_state_6\); + +\vga_driver_unit|hsync_counter_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_0\ = DFFEAS(!\vga_driver_unit|hsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(0) = CARRY(\vga_driver_unit|hsync_counter_0\) +-- \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|hsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "33cc", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_0\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_0\, + cout0 => \vga_driver_unit|hsync_counter_cout\(0), + cout1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\); + +\vga_driver_unit|hsync_counter_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_1\ = DFFEAS(\vga_driver_unit|hsync_counter_1\ $ \vga_driver_unit|hsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(1) = CARRY(!\vga_driver_unit|hsync_counter_cout\(0) # !\vga_driver_unit|hsync_counter_1\) +-- \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|hsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_1\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(0), + cin1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_1\, + cout0 => \vga_driver_unit|hsync_counter_cout\(1), + cout1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\); + +\vga_driver_unit|hsync_counter_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_2\ = DFFEAS(\vga_driver_unit|hsync_counter_2\ $ (!\vga_driver_unit|hsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(2) = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout\(1))) +-- \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout[1]~COUT1_12\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(1), + cin1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_2\, + cout0 => \vga_driver_unit|hsync_counter_cout\(2), + cout1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\); + +\vga_driver_unit|hsync_counter_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_3\ = DFFEAS(\vga_driver_unit|hsync_counter_3\ $ (\vga_driver_unit|hsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(3) = CARRY(!\vga_driver_unit|hsync_counter_cout\(2) # !\vga_driver_unit|hsync_counter_3\) +-- \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|hsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_3\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(2), + cin1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_3\, + cout0 => \vga_driver_unit|hsync_counter_cout\(3), + cout1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\); + +\vga_driver_unit|hsync_counter_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_4\ = DFFEAS(\vga_driver_unit|hsync_counter_4\ $ (!\vga_driver_unit|hsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(4) = CARRY(\vga_driver_unit|hsync_counter_4\ & (!\vga_driver_unit|hsync_counter_cout[3]~COUT1_16\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(3), + cin1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_4\, + cout => \vga_driver_unit|hsync_counter_cout\(4)); + +\vga_driver_unit|hsync_counter_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_5\ = DFFEAS(\vga_driver_unit|hsync_counter_5\ $ \vga_driver_unit|hsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(5) = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\) +-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_5\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_5\, + cout0 => \vga_driver_unit|hsync_counter_cout\(5), + cout1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\); + +\vga_driver_unit|hsync_counter_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_6\ = DFFEAS(\vga_driver_unit|hsync_counter_6\ $ !(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(5)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(6) = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout\(5)) +-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout[5]~COUT1_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c30c", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(5), + cin1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_6\, + cout0 => \vga_driver_unit|hsync_counter_cout\(6), + cout1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\); + +\vga_driver_unit|hsync_counter_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_7\ = DFFEAS(\vga_driver_unit|hsync_counter_7\ $ ((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(6)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(7) = CARRY(!\vga_driver_unit|hsync_counter_cout\(6) # !\vga_driver_unit|hsync_counter_7\) +-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|hsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_7\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(6), + cin1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_7\, + cout0 => \vga_driver_unit|hsync_counter_cout\(7), + cout1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\); + +\vga_driver_unit|hsync_counter_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_8\ = DFFEAS(\vga_driver_unit|hsync_counter_8\ $ (!(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(7)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(8) = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout\(7))) +-- \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_8\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(7), + cin1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_8\, + cout0 => \vga_driver_unit|hsync_counter_cout\(8), + cout1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\); + +\vga_driver_unit|hsync_counter_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_9\ = DFFEAS((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(8)) # (\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\) $ +-- \vga_driver_unit|hsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + datad => \vga_driver_unit|hsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(8), + cin1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_9\); + +\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_hsync_counterlt9_3\ = !\vga_driver_unit|hsync_counter_5\ # !\vga_driver_unit|hsync_counter_7\ # !\vga_driver_unit|hsync_counter_6\ # !\vga_driver_unit|hsync_counter_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_4\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_7\, + datad => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_hsync_counterlt9_3\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter_7\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_2\ & \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_0\, + datad => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter_7\); + +\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_hsync_counterlt9\ = \vga_driver_unit|un9_hsync_counterlt9_3\ # !\vga_driver_unit|un13_hsync_counter_7\ # !\vga_driver_unit|hsync_counter_9\ # !\vga_driver_unit|hsync_counter_8\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f7ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_8\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|un9_hsync_counterlt9_3\, + datad => \vga_driver_unit|un13_hsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_hsync_counterlt9\); + +\vga_driver_unit|G_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|G_2_i\ = !\vga_driver_unit|hsync_state_0\ & !\vga_driver_unit|hsync_state_6\ & !\vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un9_hsync_counterlt9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0f1f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_0\, + datab => \vga_driver_unit|hsync_state_6\, + datac => \vga_driver_unit|un9_hsync_counterlt9\, + datad => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|G_2_i\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter_2\ = \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_9\ & \vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_4\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter_2\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|un13_hsync_counter_2\ & \vga_driver_unit|un13_hsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|un13_hsync_counter_2\, + datad => \vga_driver_unit|un13_hsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter\); + +\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un11_hsync_counter_3\ = \vga_driver_unit|hsync_counter_1\ & !\vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_0\ & !\vga_driver_unit|hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0020", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_0\, + datad => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un11_hsync_counter_3\); + +\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un11_hsync_counter_2\ = !\vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_7\, + datad => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un11_hsync_counter_2\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_1\ = !\vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_9\ & !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0003", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_counter_8\, + datac => \vga_driver_unit|hsync_counter_9\, + datad => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_1\); + +\vga_driver_unit|hsync_state_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_5\ = DFFEAS(\vga_driver_unit|hsync_state_0\ # \vga_driver_unit|hsync_state_6\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fafa", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_0\, + datac => \vga_driver_unit|hsync_state_6\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_5\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_4\ = \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_4\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_1\, + datad => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_4\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_0\ & !\vga_driver_unit|hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0003", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_counter_7\, + datac => \vga_driver_unit|hsync_counter_0\, + datad => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_3\); + +\vga_driver_unit|hsync_state_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_4\ = DFFEAS(\vga_driver_unit|hsync_state_5\ & \vga_driver_unit|un10_hsync_counter_4\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|un10_hsync_counter_3\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_5\, + datab => \vga_driver_unit|un10_hsync_counter_4\, + datac => \vga_driver_unit|un10_hsync_counter_1\, + datad => \vga_driver_unit|un10_hsync_counter_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_4\); + +\vga_driver_unit|hsync_state_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_1\ = DFFEAS(\vga_driver_unit|un11_hsync_counter_3\ & \vga_driver_unit|un11_hsync_counter_2\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|hsync_state_4\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un11_hsync_counter_3\, + datab => \vga_driver_unit|un11_hsync_counter_2\, + datac => \vga_driver_unit|un10_hsync_counter_1\, + datad => \vga_driver_unit|hsync_state_4\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_1\); + +\vga_driver_unit|hsync_state_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|hsync_state_2\ & (!\vga_driver_unit|un12_hsync_counter\ & C1_hsync_state_3 # !\vga_driver_unit|un13_hsync_counter\) # !\vga_driver_unit|hsync_state_2\ & +-- !\vga_driver_unit|un12_hsync_counter\ & C1_hsync_state_3 +-- \vga_driver_unit|hsync_state_3\ = DFFEAS(\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, \vga_driver_unit|hsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "30ba", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_2\, + datab => \vga_driver_unit|un12_hsync_counter\, + datac => \vga_driver_unit|hsync_state_1\, + datad => \vga_driver_unit|un13_hsync_counter\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + sload => VCC, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, + regout => \vga_driver_unit|hsync_state_3\); + +\vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|hsync_state_5\ & (!\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un10_hsync_counter_3\ # !\vga_driver_unit|un10_hsync_counter_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2aaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_5\, + datab => \vga_driver_unit|un10_hsync_counter_4\, + datac => \vga_driver_unit|un10_hsync_counter_3\, + datad => \vga_driver_unit|un10_hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\); + +\vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|hsync_state_4\ & (!\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un11_hsync_counter_3\ # !\vga_driver_unit|un11_hsync_counter_2\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2aaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_4\, + datab => \vga_driver_unit|un11_hsync_counter_2\, + datac => \vga_driver_unit|un11_hsync_counter_3\, + datad => \vga_driver_unit|un10_hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\); + +\vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ & !\vga_driver_unit|hsync_state_next_1_sqmuxa_1\ & !\vga_driver_unit|hsync_state_next_1_sqmuxa_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaab", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, + datac => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\, + datad => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\); + +\vga_driver_unit|hsync_state_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_0\ = DFFEAS(\vga_driver_unit|un13_hsync_counter\ & \vga_driver_unit|hsync_state_2\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c0c0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un13_hsync_counter\, + datac => \vga_driver_unit|hsync_state_2\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_0\); + +\vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_next_1_sqmuxa\ = \reset_pin~combout\ & dly_counter(1) & dly_counter(0) & !\vga_driver_unit|d_set_hsync_counter\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \reset_pin~combout\, + datab => dly_counter(1), + datac => dly_counter(0), + datad => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_counter_next_1_sqmuxa\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter_4\ = !\vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|hsync_counter_9\ & !\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0004", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_6\, + datad => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter_4\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_2\ & \vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0040", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_4\, + datab => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter_3\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|un12_hsync_counter_4\ & \vga_driver_unit|un12_hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_0\, + datac => \vga_driver_unit|un12_hsync_counter_4\, + datad => \vga_driver_unit|un12_hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter\); + +\vga_driver_unit|hsync_state_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_2\ = DFFEAS(\vga_driver_unit|hsync_state_3\ & \vga_driver_unit|un12_hsync_counter\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c0c0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_state_3\, + datac => \vga_driver_unit|un12_hsync_counter\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_2\); + +\vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|hsync_state_4\ & !\vga_driver_unit|hsync_state_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff03", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_state_4\, + datac => \vga_driver_unit|hsync_state_5\, + datad => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\); + +\vga_driver_unit|v_enable_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_enable_sig\ = DFFEAS(\vga_driver_unit|hsync_state_3\ # \vga_driver_unit|hsync_state_1\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fcfc", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_state_3\, + datac => \vga_driver_unit|hsync_state_1\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|v_enable_sig\); + +\vga_control_unit|toggle_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_0\ = DFFEAS(!\vga_control_unit|toggle_counter_sig_0\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0f0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_control_unit|toggle_counter_sig_0\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_0\); + +\vga_control_unit|toggle_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_1\ = DFFEAS(\vga_control_unit|toggle_counter_sig_0\ $ \vga_control_unit|toggle_counter_sig_1\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, +-- ) +-- \vga_control_unit|toggle_counter_sig_cout\(1) = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) +-- \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_0\, + datab => \vga_control_unit|toggle_counter_sig_1\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_1\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(1), + cout1 => \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\); + +\vga_control_unit|toggle_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_3\ = DFFEAS(\vga_control_unit|toggle_counter_sig_3\ $ (\vga_control_unit|toggle_counter_sig_2\ & \vga_control_unit|toggle_counter_sig_cout\(1)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(3) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(1) # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) +-- \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_2\, + datab => \vga_control_unit|toggle_counter_sig_3\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(1), + cin1 => \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_3\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(3), + cout1 => \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\); + +\vga_control_unit|un2_toggle_counter_next_0_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un2_toggle_counter_next_cout\(0) = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) +-- \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_0\, + datab => \vga_control_unit|toggle_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un2_toggle_counter_next_0_~COMBOUT\, + cout0 => \vga_control_unit|un2_toggle_counter_next_cout\(0), + cout1 => \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\); + +\vga_control_unit|toggle_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_2\ = DFFEAS(\vga_control_unit|toggle_counter_sig_2\ $ (\vga_control_unit|un2_toggle_counter_next_cout\(0)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(2) = CARRY(!\vga_control_unit|un2_toggle_counter_next_cout\(0) # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) +-- \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\ = CARRY(!\vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_2\, + datab => \vga_control_unit|toggle_counter_sig_3\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|un2_toggle_counter_next_cout\(0), + cin1 => \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_2\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(2), + cout1 => \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\); + +\vga_control_unit|toggle_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_4\ = DFFEAS(\vga_control_unit|toggle_counter_sig_4\ $ (!\vga_control_unit|toggle_counter_sig_cout\(2)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(4) = CARRY(\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|toggle_counter_sig_5\ & !\vga_control_unit|toggle_counter_sig_cout\(2)) +-- \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ = CARRY(\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|toggle_counter_sig_5\ & !\vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_4\, + datab => \vga_control_unit|toggle_counter_sig_5\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(2), + cin1 => \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_4\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(4), + cout1 => \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\); + +\vga_control_unit|toggle_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_5\ = DFFEAS(\vga_control_unit|toggle_counter_sig_5\ $ (\vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout\(3)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(5) = CARRY(\vga_control_unit|toggle_counter_sig_5\ & \vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout\(3)) +-- \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ = CARRY(\vga_control_unit|toggle_counter_sig_5\ & \vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_5\, + datab => \vga_control_unit|toggle_counter_sig_4\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(3), + cin1 => \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_5\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(5), + cout1 => \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\); + +\vga_control_unit|toggle_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_7\ = DFFEAS(\vga_control_unit|toggle_counter_sig_7\ $ (\vga_control_unit|toggle_counter_sig_6\ & \vga_control_unit|toggle_counter_sig_cout\(5)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(7) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(5) # !\vga_control_unit|toggle_counter_sig_6\ # !\vga_control_unit|toggle_counter_sig_7\) +-- \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ # !\vga_control_unit|toggle_counter_sig_6\ # !\vga_control_unit|toggle_counter_sig_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_7\, + datab => \vga_control_unit|toggle_counter_sig_6\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(5), + cin1 => \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_7\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(7), + cout1 => \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\); + +\vga_control_unit|toggle_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_6\ = DFFEAS(\vga_control_unit|toggle_counter_sig_6\ $ (\vga_control_unit|toggle_counter_sig_cout\(4)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(6) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(4) # !\vga_control_unit|toggle_counter_sig_7\ # !\vga_control_unit|toggle_counter_sig_6\) +-- \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ # !\vga_control_unit|toggle_counter_sig_7\ # !\vga_control_unit|toggle_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_6\, + datab => \vga_control_unit|toggle_counter_sig_7\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(4), + cin1 => \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_6\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(6), + cout1 => \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\); + +\vga_control_unit|toggle_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_8\ = DFFEAS(\vga_control_unit|toggle_counter_sig_8\ $ !\vga_control_unit|toggle_counter_sig_cout\(6), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(8) = CARRY(\vga_control_unit|toggle_counter_sig_9\ & \vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_9\, + datab => \vga_control_unit|toggle_counter_sig_8\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(6), + cin1 => \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_8\, + cout => \vga_control_unit|toggle_counter_sig_cout\(8)); + +\vga_control_unit|toggle_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_9\ = DFFEAS(\vga_control_unit|toggle_counter_sig_9\ $ (\vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout\(7)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(9) = CARRY(\vga_control_unit|toggle_counter_sig_9\ & \vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_9\, + datab => \vga_control_unit|toggle_counter_sig_8\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(7), + cin1 => \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_9\, + cout => \vga_control_unit|toggle_counter_sig_cout\(9)); + +\vga_control_unit|toggle_counter_sig_11_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_11\ = DFFEAS(\vga_control_unit|toggle_counter_sig_11\ $ (\vga_control_unit|toggle_counter_sig_10\ & \vga_control_unit|toggle_counter_sig_cout\(9)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(11) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(9) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) +-- \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(9) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_10\, + datab => \vga_control_unit|toggle_counter_sig_11\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_11\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(11), + cout1 => \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\); + +\vga_control_unit|toggle_counter_sig_10_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_10\ = DFFEAS(\vga_control_unit|toggle_counter_sig_10\ $ (\vga_control_unit|toggle_counter_sig_cout\(8)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(10) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(8) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) +-- \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(8) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_10\, + datab => \vga_control_unit|toggle_counter_sig_11\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_10\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(10), + cout1 => \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\); + +\vga_control_unit|toggle_counter_sig_13_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_13\ = DFFEAS(\vga_control_unit|toggle_counter_sig_13\ $ (\vga_control_unit|toggle_counter_sig_12\ & !(!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(11)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(13) = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout\(11)) +-- \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_12\, + datab => \vga_control_unit|toggle_counter_sig_13\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(11), + cin1 => \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_13\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(13), + cout1 => \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\); + +\vga_control_unit|toggle_counter_sig_12_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_12\ = DFFEAS(\vga_control_unit|toggle_counter_sig_12\ $ !(!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(10)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(12) = CARRY(\vga_control_unit|toggle_counter_sig_13\ & \vga_control_unit|toggle_counter_sig_12\ & !\vga_control_unit|toggle_counter_sig_cout\(10)) +-- \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ = CARRY(\vga_control_unit|toggle_counter_sig_13\ & \vga_control_unit|toggle_counter_sig_12\ & !\vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_13\, + datab => \vga_control_unit|toggle_counter_sig_12\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(10), + cin1 => \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_12\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(12), + cout1 => \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\); + +\vga_control_unit|toggle_counter_sig_15_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_15\ = DFFEAS(\vga_control_unit|toggle_counter_sig_15\ $ (\vga_control_unit|toggle_counter_sig_14\ & (!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(13)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(15) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(13) # !\vga_control_unit|toggle_counter_sig_14\ # !\vga_control_unit|toggle_counter_sig_15\) +-- \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ # !\vga_control_unit|toggle_counter_sig_14\ # !\vga_control_unit|toggle_counter_sig_15\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_15\, + datab => \vga_control_unit|toggle_counter_sig_14\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(13), + cin1 => \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_15\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(15), + cout1 => \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\); + +\vga_control_unit|toggle_counter_sig_14_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_14\ = DFFEAS(\vga_control_unit|toggle_counter_sig_14\ $ ((!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(12)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(14) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(12) # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_14\) +-- \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_14\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_14\, + datab => \vga_control_unit|toggle_counter_sig_15\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(12), + cin1 => \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_14\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(14), + cout1 => \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\); + +\vga_control_unit|toggle_counter_sig_16_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_16\ = DFFEAS(\vga_control_unit|toggle_counter_sig_16\ $ !(!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(14)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(16) = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout\(14)) +-- \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_17\, + datab => \vga_control_unit|toggle_counter_sig_16\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(14), + cin1 => \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_16\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(16), + cout1 => \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\); + +\vga_control_unit|toggle_counter_sig_17_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_17\ = DFFEAS(\vga_control_unit|toggle_counter_sig_17\ $ (\vga_control_unit|toggle_counter_sig_16\ & !(!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(15)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(17) = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout\(15)) +-- \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\ = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_17\, + datab => \vga_control_unit|toggle_counter_sig_16\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(15), + cin1 => \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_17\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(17), + cout1 => \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\); + +\vga_control_unit|toggle_counter_sig_19_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_19\ = DFFEAS(\vga_control_unit|toggle_counter_sig_19\ $ (\vga_control_unit|toggle_counter_sig_18\ & (!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(17)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "3fc0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_control_unit|toggle_counter_sig_18\, + datad => \vga_control_unit|toggle_counter_sig_19\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(17), + cin1 => \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_19\); + +\vga_control_unit|toggle_counter_sig_18_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_18\ = DFFEAS(\vga_control_unit|toggle_counter_sig_18\ $ ((!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(16)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(18) = CARRY(!\vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ # !\vga_control_unit|toggle_counter_sig_19\ # !\vga_control_unit|toggle_counter_sig_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_18\, + datab => \vga_control_unit|toggle_counter_sig_19\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(16), + cin1 => \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_18\, + cout => \vga_control_unit|toggle_counter_sig_cout\(18)); + +\vga_control_unit|toggle_counter_sig_20_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_20\ = DFFEAS(\vga_control_unit|toggle_counter_sig_20\ $ !\vga_control_unit|toggle_counter_sig_cout\(18), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "c3c3", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_control_unit|toggle_counter_sig_20\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(18), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_20\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglt6\ = !\vga_control_unit|toggle_counter_sig_5\ # !\vga_control_unit|toggle_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "33ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_control_unit|toggle_counter_sig_6\, + datad => \vga_control_unit|toggle_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglt6\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto9\ = !\vga_control_unit|toggle_counter_sig_7\ & \vga_control_unit|un1_toggle_counter_siglt6\ # !\vga_control_unit|toggle_counter_sig_9\ # !\vga_control_unit|toggle_counter_sig_8\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7f3f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_7\, + datab => \vga_control_unit|toggle_counter_sig_8\, + datac => \vga_control_unit|toggle_counter_sig_9\, + datad => \vga_control_unit|un1_toggle_counter_siglt6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto9\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto12\ = !\vga_control_unit|toggle_counter_sig_11\ & !\vga_control_unit|toggle_counter_sig_12\ & !\vga_control_unit|toggle_counter_sig_10\ & \vga_control_unit|un1_toggle_counter_siglto9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0100", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_11\, + datab => \vga_control_unit|toggle_counter_sig_12\, + datac => \vga_control_unit|toggle_counter_sig_10\, + datad => \vga_control_unit|un1_toggle_counter_siglto9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto12\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto15\ = \vga_control_unit|un1_toggle_counter_siglto12\ # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_13\ # !\vga_control_unit|toggle_counter_sig_14\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_14\, + datab => \vga_control_unit|toggle_counter_sig_13\, + datac => \vga_control_unit|toggle_counter_sig_15\, + datad => \vga_control_unit|un1_toggle_counter_siglto12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto15\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto18\ = !\vga_control_unit|toggle_counter_sig_16\ & \vga_control_unit|un1_toggle_counter_siglto15\ # !\vga_control_unit|toggle_counter_sig_18\ # !\vga_control_unit|toggle_counter_sig_17\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7f3f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_16\, + datab => \vga_control_unit|toggle_counter_sig_17\, + datac => \vga_control_unit|toggle_counter_sig_18\, + datad => \vga_control_unit|un1_toggle_counter_siglto15\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto18\); + +\vga_control_unit|toggle_sig_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_sig_0_0_0_g1\ = !\vga_control_unit|toggle_counter_sig_19\ & (\vga_control_unit|un1_toggle_counter_siglto18\) # !\vga_control_unit|toggle_counter_sig_20\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7755", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_20\, + datab => \vga_control_unit|toggle_counter_sig_19\, + datad => \vga_control_unit|un1_toggle_counter_siglto18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|toggle_sig_0_0_0_g1\); + +\vga_control_unit|toggle_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_sig\ = DFFEAS(\vga_control_unit|toggle_sig\ $ (!\vga_control_unit|toggle_sig_0_0_0_g1\), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "cc33", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_control_unit|toggle_sig\, + datad => \vga_control_unit|toggle_sig_0_0_0_g1\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_sig\); + +\vga_driver_unit|vsync_counter_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_0\ = DFFEAS(\vga_driver_unit|vsync_counter_0\ $ \vga_driver_unit|d_set_hsync_counter\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(0) = CARRY(\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|d_set_hsync_counter\) +-- \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|d_set_hsync_counter\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|d_set_hsync_counter\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_0\, + cout0 => \vga_driver_unit|vsync_counter_cout\(0), + cout1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\); + +\vga_driver_unit|vsync_counter_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_1\ = DFFEAS(\vga_driver_unit|vsync_counter_1\ $ \vga_driver_unit|vsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(1) = CARRY(!\vga_driver_unit|vsync_counter_cout\(0) # !\vga_driver_unit|vsync_counter_1\) +-- \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|vsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_1\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(0), + cin1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_1\, + cout0 => \vga_driver_unit|vsync_counter_cout\(1), + cout1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\); + +\vga_driver_unit|vsync_counter_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_2\ = DFFEAS(\vga_driver_unit|vsync_counter_2\ $ (!\vga_driver_unit|vsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(2) = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout\(1))) +-- \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout[1]~COUT1_12\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(1), + cin1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_2\, + cout0 => \vga_driver_unit|vsync_counter_cout\(2), + cout1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\); + +\vga_driver_unit|vsync_counter_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_3\ = DFFEAS(\vga_driver_unit|vsync_counter_3\ $ (\vga_driver_unit|vsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(3) = CARRY(!\vga_driver_unit|vsync_counter_cout\(2) # !\vga_driver_unit|vsync_counter_3\) +-- \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|vsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_3\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(2), + cin1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_3\, + cout0 => \vga_driver_unit|vsync_counter_cout\(3), + cout1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\); + +\vga_driver_unit|vsync_counter_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_4\ = DFFEAS(\vga_driver_unit|vsync_counter_4\ $ (!\vga_driver_unit|vsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(4) = CARRY(\vga_driver_unit|vsync_counter_4\ & (!\vga_driver_unit|vsync_counter_cout[3]~COUT1_16\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_4\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(3), + cin1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_4\, + cout => \vga_driver_unit|vsync_counter_cout\(4)); + +\vga_driver_unit|vsync_counter_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_5\ = DFFEAS(\vga_driver_unit|vsync_counter_5\ $ \vga_driver_unit|vsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(5) = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\) +-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_5\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_5\, + cout0 => \vga_driver_unit|vsync_counter_cout\(5), + cout1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9_6\ = !\vga_driver_unit|vsync_counter_1\ # !\vga_driver_unit|vsync_counter_0\ # !\vga_driver_unit|vsync_counter_2\ # !\vga_driver_unit|vsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_3\, + datab => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|vsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9_6\); + +\vga_driver_unit|vsync_counter_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_6\ = DFFEAS(\vga_driver_unit|vsync_counter_6\ $ !(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(5)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(6) = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout\(5)) +-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout[5]~COUT1_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c30c", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(5), + cin1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_6\, + cout0 => \vga_driver_unit|vsync_counter_cout\(6), + cout1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\); + +\vga_driver_unit|vsync_counter_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_7\ = DFFEAS(\vga_driver_unit|vsync_counter_7\ $ ((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(6)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(7) = CARRY(!\vga_driver_unit|vsync_counter_cout\(6) # !\vga_driver_unit|vsync_counter_7\) +-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|vsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_7\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(6), + cin1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_7\, + cout0 => \vga_driver_unit|vsync_counter_cout\(7), + cout1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\); + +\vga_driver_unit|vsync_counter_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_8\ = DFFEAS(\vga_driver_unit|vsync_counter_8\ $ (!(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(7)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(8) = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout\(7))) +-- \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(7), + cin1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_8\, + cout0 => \vga_driver_unit|vsync_counter_cout\(8), + cout1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\); + +\vga_driver_unit|vsync_counter_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_9\ = DFFEAS((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(8)) # (\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\) $ +-- \vga_driver_unit|vsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + datad => \vga_driver_unit|vsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(8), + cin1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_9\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9_5\ = !\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|vsync_counter_6\ # !\vga_driver_unit|vsync_counter_8\ # !\vga_driver_unit|vsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_7\, + datab => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_6\, + datad => \vga_driver_unit|vsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9_5\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9\ = \vga_driver_unit|un9_vsync_counterlt9_6\ # \vga_driver_unit|un9_vsync_counterlt9_5\ # !\vga_driver_unit|vsync_counter_4\ # !\vga_driver_unit|vsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffdf", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_5\, + datab => \vga_driver_unit|un9_vsync_counterlt9_6\, + datac => \vga_driver_unit|vsync_counter_4\, + datad => \vga_driver_unit|un9_vsync_counterlt9_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9\); + +\vga_driver_unit|G_16\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|G_16_i\ = !\vga_driver_unit|vsync_state_6\ & !\vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un9_vsync_counterlt9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "01ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_6\, + datab => \vga_driver_unit|vsync_state_0\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|un9_vsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|G_16_i\); + +\vga_driver_unit|vsync_state_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_5\ = DFFEAS(\vga_driver_unit|vsync_state_6\ # \vga_driver_unit|vsync_state_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|vsync_state_6\, + datad => \vga_driver_unit|vsync_state_0\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_5\); + +\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_vsync_counter_6\ = !\vga_driver_unit|vsync_counter_5\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_5\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_8\, + datad => \vga_driver_unit|vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_vsync_counter_6\); + +\vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un14_vsync_counter_8\ = \vga_driver_unit|un12_vsync_counter_6\ & \vga_driver_unit|un12_vsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|un12_vsync_counter_6\, + datad => \vga_driver_unit|un12_vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un14_vsync_counter_8\); + +\vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|vsync_state_5\ & (\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|un14_vsync_counter_8\ # !\vga_driver_unit|vsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "d0f0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_state_5\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\); + +\vga_driver_unit|vsync_state_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ = C1_vsync_state_3 & (!\vga_driver_unit|un14_vsync_counter_8\ # !\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|vsync_counter_0\) +-- \vga_driver_unit|vsync_state_3\ = DFFEAS(\vga_driver_unit|vsync_state_next_1_sqmuxa_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, \vga_driver_unit|vsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "70f0", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + sload => VCC, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\, + regout => \vga_driver_unit|vsync_state_3\); + +\vga_driver_unit|vsync_state_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_2\ = DFFEAS(\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|vsync_state_3\ & \vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|un14_vsync_counter_8\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_9\, + datab => \vga_driver_unit|vsync_state_3\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_2\); + +\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un15_vsync_counter_3\ = \vga_driver_unit|vsync_counter_3\ & \vga_driver_unit|vsync_counter_9\ & !\vga_driver_unit|vsync_counter_0\ & !\vga_driver_unit|vsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0008", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_3\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|vsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un15_vsync_counter_3\); + +\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un15_vsync_counter_4\ = !\vga_driver_unit|vsync_counter_1\ & !\vga_driver_unit|vsync_counter_4\ & \vga_driver_unit|un15_vsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0300", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_counter_1\, + datac => \vga_driver_unit|vsync_counter_4\, + datad => \vga_driver_unit|un15_vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un15_vsync_counter_4\); + +\vga_driver_unit|vsync_state_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_4\ = DFFEAS(\vga_driver_unit|vsync_state_5\ & !\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|un14_vsync_counter_8\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_state_5\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_4\); + +\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_9\ & !\vga_driver_unit|vsync_counter_8\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_7\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_9\, + datad => \vga_driver_unit|vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_vsync_counter_3\); + +\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_vsync_counter_4\ = \vga_driver_unit|vsync_counter_5\ & (\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|un13_vsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_5\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un13_vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_vsync_counter_4\); + +\vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|vsync_state_4\ & (!\vga_driver_unit|un12_vsync_counter_7\ # !\vga_driver_unit|un13_vsync_counter_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0aaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_4\, + datac => \vga_driver_unit|un13_vsync_counter_4\, + datad => \vga_driver_unit|un12_vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\); + +\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ # \vga_driver_unit|vsync_state_2\ & (!\vga_driver_unit|un15_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff4c", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un12_vsync_counter_6\, + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|un15_vsync_counter_4\, + datad => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\); + +\vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_next_1_sqmuxa_1\ & !\vga_driver_unit|vsync_state_next_1_sqmuxa_3\ & !\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaab", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\, + datac => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\, + datad => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_2_sqmuxa\); + +\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ = \vga_driver_unit|vsync_state_2\ & \vga_driver_unit|un15_vsync_counter_4\ & \vga_driver_unit|un12_vsync_counter_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|un15_vsync_counter_4\, + datad => \vga_driver_unit|un12_vsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\); + +\vga_driver_unit|vsync_state_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_0\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_next_2_sqmuxa\ # !\vga_driver_unit|un6_dly_counter_0_x\ & (\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ # +-- \vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_next_2_sqmuxa\), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "5d0c", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|vsync_state_0\, + datac => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + datad => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_0\); + +\vga_driver_unit|d_set_vsync_counter_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|d_set_vsync_counter\ = \vga_driver_unit|vsync_state_0\ # \vga_driver_unit|vsync_state_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffcc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_0\, + datad => \vga_driver_unit|vsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|d_set_vsync_counter\); + +\vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_next_1_sqmuxa\ = !\vga_driver_unit|d_set_vsync_counter\ & dly_counter(1) & \reset_pin~combout\ & dly_counter(0) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "4000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|d_set_vsync_counter\, + datab => dly_counter(1), + datac => \reset_pin~combout\, + datad => dly_counter(0), + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_counter_next_1_sqmuxa\); + +\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_vsync_counter_7\ = !\vga_driver_unit|vsync_counter_1\ & !\vga_driver_unit|vsync_counter_2\ & !\vga_driver_unit|vsync_counter_3\ & !\vga_driver_unit|vsync_counter_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_1\, + datab => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_3\, + datad => \vga_driver_unit|vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_vsync_counter_7\); + +\vga_driver_unit|vsync_state_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_1\ = DFFEAS(\vga_driver_unit|un12_vsync_counter_7\ & \vga_driver_unit|un13_vsync_counter_4\ & !\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|vsync_state_4\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0800", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un12_vsync_counter_7\, + datab => \vga_driver_unit|un13_vsync_counter_4\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|vsync_state_4\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_1\); + +\vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ = \reset_pin~combout\ & dly_counter(0) & !\vga_driver_unit|vsync_state_1\ & dly_counter(1) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0800", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => \vga_driver_unit|vsync_state_1\, + datad => dly_counter(1), + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\); + +\vga_driver_unit|un1_line_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(1) = \vga_driver_unit|d_set_hsync_counter\ $ \vga_driver_unit|line_counter_sig_0\ +-- \vga_driver_unit|un1_line_counter_sig_cout\(1) = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|line_counter_sig_0\) +-- \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|line_counter_sig_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|d_set_hsync_counter\, + datab => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(1), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(1), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\); + +\vga_driver_unit|line_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_0\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(1) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(1), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_0\); + +\vga_driver_unit|un1_line_counter_sig_a_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_a_cout\(1) = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) +-- \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_0\, + datab => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\, + cout0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1), + cout1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\); + +\vga_driver_unit|un1_line_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(2) = \vga_driver_unit|line_counter_sig_1\ $ \vga_driver_unit|un1_line_counter_sig_a_cout\(1) +-- \vga_driver_unit|un1_line_counter_sig_cout\(2) = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout\(1) # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) +-- \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_1\, + cin0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1), + cin1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(2), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(2), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\); + +\vga_driver_unit|line_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_1\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(2) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "cfcf", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un1_line_counter_sig_combout\(2), + datac => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_1\); + +\vga_driver_unit|un1_line_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(3) = \vga_driver_unit|line_counter_sig_2\ $ (\vga_driver_unit|line_counter_sig_1\ & \vga_driver_unit|un1_line_counter_sig_cout\(1)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(3) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(1) # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) +-- \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_1\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(1), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(3), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(3), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\); + +\vga_driver_unit|line_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_2\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(3) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(3), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_2\); + +\vga_driver_unit|un1_line_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(4) = \vga_driver_unit|line_counter_sig_3\ $ !\vga_driver_unit|un1_line_counter_sig_cout\(2) +-- \vga_driver_unit|un1_line_counter_sig_cout\(4) = CARRY(\vga_driver_unit|line_counter_sig_4\ & \vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(2)) +-- \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ = CARRY(\vga_driver_unit|line_counter_sig_4\ & \vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_4\, + datab => \vga_driver_unit|line_counter_sig_3\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(2), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(4), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(4), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\); + +\vga_driver_unit|line_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_3\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(4) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f5f5", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(4), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_3\); + +\vga_driver_unit|un1_line_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(5) = \vga_driver_unit|line_counter_sig_4\ $ (\vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(5) = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3)) +-- \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c608", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_3\, + datab => \vga_driver_unit|line_counter_sig_4\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(3), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(5), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(5), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\); + +\vga_driver_unit|line_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_4\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(5) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff33", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(5), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_4\); + +\vga_driver_unit|un1_line_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(6) = \vga_driver_unit|line_counter_sig_5\ $ (\vga_driver_unit|un1_line_counter_sig_cout\(4)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(6) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(4) # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\) +-- \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_5\, + datab => \vga_driver_unit|line_counter_sig_6\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(4), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(6), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(6), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\); + +\vga_driver_unit|line_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_5\ = DFFEAS(\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ & (\vga_driver_unit|un1_line_counter_sig_combout\(6) & \vga_driver_unit|un10_line_counter_siglto8\), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(6), + datad => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_5\); + +\vga_driver_unit|un1_line_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(7) = \vga_driver_unit|line_counter_sig_6\ $ (\vga_driver_unit|line_counter_sig_5\ & \vga_driver_unit|un1_line_counter_sig_cout\(5)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(7) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(5) # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\) +-- \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_5\, + datab => \vga_driver_unit|line_counter_sig_6\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(5), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(7), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(7), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\); + +\vga_driver_unit|line_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_6\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(7) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(7), + datad => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_6\); + +\vga_driver_unit|un1_line_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(8) = \vga_driver_unit|line_counter_sig_7\ $ !\vga_driver_unit|un1_line_counter_sig_cout\(6) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c3c3", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|line_counter_sig_7\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(6), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(8)); + +\vga_driver_unit|line_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_7\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(8) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(8), + datad => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_7\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglt4_2\ = !\vga_driver_unit|line_counter_sig_4\ # !\vga_driver_unit|line_counter_sig_0\ # !\vga_driver_unit|line_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "5fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_3\, + datac => \vga_driver_unit|line_counter_sig_0\, + datad => \vga_driver_unit|line_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglt4_2\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglto5\ = !\vga_driver_unit|line_counter_sig_5\ & (\vga_driver_unit|un10_line_counter_siglt4_2\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "00f7", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_1\, + datac => \vga_driver_unit|un10_line_counter_siglt4_2\, + datad => \vga_driver_unit|line_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglto5\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglto8\ = \vga_driver_unit|un10_line_counter_siglto5\ # !\vga_driver_unit|line_counter_sig_7\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_8\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_8\, + datab => \vga_driver_unit|line_counter_sig_6\, + datac => \vga_driver_unit|line_counter_sig_7\, + datad => \vga_driver_unit|un10_line_counter_siglto5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglto8\); + +\vga_driver_unit|un1_line_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(9) = \vga_driver_unit|line_counter_sig_8\ $ (!\vga_driver_unit|un1_line_counter_sig_cout\(7) & \vga_driver_unit|line_counter_sig_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c3cc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|line_counter_sig_8\, + datad => \vga_driver_unit|line_counter_sig_7\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(7), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(9)); + +\vga_driver_unit|line_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_8\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(9) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff33", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(9), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_8\); + +\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un17_v_enablelto3\ = \vga_driver_unit|line_counter_sig_3\ & (\vga_driver_unit|line_counter_sig_0\ # \vga_driver_unit|line_counter_sig_1\ # \vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0e0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_0\, + datab => \vga_driver_unit|line_counter_sig_1\, + datac => \vga_driver_unit|line_counter_sig_3\, + datad => \vga_driver_unit|line_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un17_v_enablelto3\); + +\vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_sqmuxa_7_4_a\ = !\vga_driver_unit|line_counter_sig_4\ & !\vga_control_unit|un17_v_enablelto3\ & !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3337", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_4\, + datab => \vga_driver_unit|line_counter_sig_6\, + datac => \vga_control_unit|un17_v_enablelto3\, + datad => \vga_driver_unit|line_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_sqmuxa_7_4_a\); + +\vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un13_v_enablelto4_0\ = !\vga_driver_unit|line_counter_sig_2\ & (!\vga_driver_unit|line_counter_sig_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0033", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|line_counter_sig_2\, + datad => \vga_driver_unit|line_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un13_v_enablelto4_0\); + +\vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un13_v_enablelto6\ = !\vga_driver_unit|line_counter_sig_3\ & \vga_control_unit|un13_v_enablelto4_0\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7f5f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_5\, + datab => \vga_driver_unit|line_counter_sig_3\, + datac => \vga_driver_unit|line_counter_sig_6\, + datad => \vga_control_unit|un13_v_enablelto4_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un13_v_enablelto6\); + +\vga_control_unit|b_next_0_sqmuxa_7_4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_sqmuxa_7_4\ = \vga_driver_unit|line_counter_sig_7\ & (\vga_control_unit|b_next_0_sqmuxa_7_4_a\) # !\vga_driver_unit|line_counter_sig_7\ & (\vga_driver_unit|line_counter_sig_8\ # !\vga_control_unit|un13_v_enablelto6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "e2f3", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_8\, + datab => \vga_driver_unit|line_counter_sig_7\, + datac => \vga_control_unit|b_next_0_sqmuxa_7_4_a\, + datad => \vga_control_unit|un13_v_enablelto6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_sqmuxa_7_4\); + +\vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ = !\vga_driver_unit|hsync_state_1\ & dly_counter(1) & \reset_pin~combout\ & dly_counter(0) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "4000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_1\, + datab => dly_counter(1), + datac => \reset_pin~combout\, + datad => dly_counter(0), + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\); + +\vga_driver_unit|column_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_0\ = DFFEAS(!\vga_driver_unit|un10_column_counter_siglto9\ # !\vga_driver_unit|column_counter_sig_0\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0fff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|column_counter_sig_0\, + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_0\); + +\vga_driver_unit|un2_column_counter_next_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(1) = \vga_driver_unit|column_counter_sig_1\ $ \vga_driver_unit|column_counter_sig_0\ +-- \vga_driver_unit|un2_column_counter_next_cout\(1) = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\) +-- \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_1\, + datab => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(1), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(1), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\); + +\vga_driver_unit|column_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_1\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(1) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(1), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_1\); + +\vga_driver_unit|un2_column_counter_next_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(3) = \vga_driver_unit|column_counter_sig_3\ $ (\vga_driver_unit|column_counter_sig_2\ & \vga_driver_unit|un2_column_counter_next_cout\(1)) +-- \vga_driver_unit|un2_column_counter_next_cout\(3) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(1) # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) +-- \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_3\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(1), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(3), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(3), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\); + +\vga_driver_unit|column_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_3\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(3) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(3), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_3\); + +\vga_driver_unit|un2_column_counter_next_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_cout\(0) = CARRY(\vga_driver_unit|column_counter_sig_0\ & \vga_driver_unit|column_counter_sig_1\) +-- \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ = CARRY(\vga_driver_unit|column_counter_sig_0\ & \vga_driver_unit|column_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_0\, + datab => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\, + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(0), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\); + +\vga_driver_unit|un2_column_counter_next_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(2) = \vga_driver_unit|column_counter_sig_2\ $ (\vga_driver_unit|un2_column_counter_next_cout\(0)) +-- \vga_driver_unit|un2_column_counter_next_cout\(2) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(0) # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) +-- \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_3\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(0), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(2), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(2), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\); + +\vga_driver_unit|column_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_2\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(2) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ccff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un2_column_counter_next_combout\(2), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_2\); + +\vga_driver_unit|un2_column_counter_next_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(5) = \vga_driver_unit|column_counter_sig_5\ $ (\vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3)) +-- \vga_driver_unit|un2_column_counter_next_cout\(5) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3)) +-- \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_4\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(3), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(5), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(5), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\); + +\vga_driver_unit|column_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_5\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(5) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "afaf", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un2_column_counter_next_combout\(5), + datac => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_5\); + +\vga_driver_unit|un2_column_counter_next_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(4) = \vga_driver_unit|column_counter_sig_4\ $ (!\vga_driver_unit|un2_column_counter_next_cout\(2)) +-- \vga_driver_unit|un2_column_counter_next_cout\(4) = CARRY(\vga_driver_unit|column_counter_sig_4\ & \vga_driver_unit|column_counter_sig_5\ & !\vga_driver_unit|un2_column_counter_next_cout\(2)) +-- \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ = CARRY(\vga_driver_unit|column_counter_sig_4\ & \vga_driver_unit|column_counter_sig_5\ & !\vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_4\, + datab => \vga_driver_unit|column_counter_sig_5\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(2), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(4), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(4), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\); + +\vga_driver_unit|column_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_4\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(4) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(4), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_4\); + +\vga_driver_unit|un2_column_counter_next_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(6) = \vga_driver_unit|column_counter_sig_6\ $ (\vga_driver_unit|un2_column_counter_next_cout\(4)) +-- \vga_driver_unit|un2_column_counter_next_cout\(6) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(4) # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) +-- \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datab => \vga_driver_unit|column_counter_sig_7\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(4), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(6), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(6), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\); + +\vga_driver_unit|un2_column_counter_next_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(8) = \vga_driver_unit|un2_column_counter_next_cout\(6) $ !\vga_driver_unit|column_counter_sig_8\ + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "f00f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datad => \vga_driver_unit|column_counter_sig_8\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(6), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(8)); + +\vga_driver_unit|column_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_8\ = DFFEAS(\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ & (\vga_driver_unit|un2_column_counter_next_combout\(8) & \vga_driver_unit|un10_column_counter_siglto9\), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(8), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_8\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6_4\ = !\vga_driver_unit|column_counter_sig_1\ # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_0\ # !\vga_driver_unit|column_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_3\, + datab => \vga_driver_unit|column_counter_sig_0\, + datac => \vga_driver_unit|column_counter_sig_2\, + datad => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6_4\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6\ = \vga_driver_unit|un10_column_counter_siglt6_4\ # !\vga_driver_unit|column_counter_sig_6\ # !\vga_driver_unit|column_counter_sig_4\ # !\vga_driver_unit|column_counter_sig_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_4\, + datac => \vga_driver_unit|column_counter_sig_6\, + datad => \vga_driver_unit|un10_column_counter_siglt6_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6\); + +\vga_driver_unit|un2_column_counter_next_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(7) = \vga_driver_unit|column_counter_sig_7\ $ (\vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|un2_column_counter_next_cout\(5)) +-- \vga_driver_unit|un2_column_counter_next_cout\(7) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(5) # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) +-- \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datab => \vga_driver_unit|column_counter_sig_7\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(5), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(7), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(7), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\); + +\vga_driver_unit|un2_column_counter_next_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(9) = \vga_driver_unit|column_counter_sig_9\ $ (\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|un2_column_counter_next_cout\(7)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c6c6", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_8\, + datab => \vga_driver_unit|column_counter_sig_9\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(7), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(9)); + +\vga_driver_unit|column_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_9\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(9) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "cfcf", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un2_column_counter_next_combout\(9), + datac => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_9\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglto9\ = !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\ & \vga_driver_unit|un10_column_counter_siglt6\ # !\vga_driver_unit|column_counter_sig_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "10ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_8\, + datab => \vga_driver_unit|column_counter_sig_7\, + datac => \vga_driver_unit|un10_column_counter_siglt6\, + datad => \vga_driver_unit|column_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglto9\); + +\vga_driver_unit|column_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_7\ = DFFEAS(\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ & \vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|un2_column_counter_next_combout\(7), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(7), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_7\); + +\vga_driver_unit|column_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_6\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(6) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un2_column_counter_next_combout\(6), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_6\); + +\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un5_v_enablelt2\ = \vga_driver_unit|column_counter_sig_2\ # \vga_driver_unit|column_counter_sig_1\ # \vga_driver_unit|column_counter_sig_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffee", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_1\, + datad => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un5_v_enablelt2\); + +\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un5_v_enablelto5\ = \vga_driver_unit|column_counter_sig_4\ # \vga_driver_unit|column_counter_sig_5\ # \vga_control_unit|un5_v_enablelt2\ & \vga_driver_unit|column_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fefc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|un5_v_enablelt2\, + datab => \vga_driver_unit|column_counter_sig_4\, + datac => \vga_driver_unit|column_counter_sig_5\, + datad => \vga_driver_unit|column_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un5_v_enablelto5\); + +\vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_4\ & !\vga_driver_unit|vsync_state_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff03", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_4\, + datac => \vga_driver_unit|vsync_state_5\, + datad => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\); + +\vga_driver_unit|h_enable_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_enable_sig\ = DFFEAS(\vga_driver_unit|vsync_state_1\ # \vga_driver_unit|vsync_state_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|vsync_state_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|h_enable_sig\); + +\vga_control_unit|b_next_0_sqmuxa_7_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_sqmuxa_7_2\ = !\vga_driver_unit|line_counter_sig_8\ & \vga_driver_unit|h_enable_sig\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0004", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_8\, + datab => \vga_driver_unit|h_enable_sig\, + datac => \vga_driver_unit|column_counter_sig_8\, + datad => \vga_driver_unit|column_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_sqmuxa_7_2\); + +\vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un9_v_enablelto4\ = !\vga_driver_unit|column_counter_sig_3\ & (!\vga_driver_unit|column_counter_sig_2\ & !\vga_driver_unit|column_counter_sig_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0005", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_3\, + datac => \vga_driver_unit|column_counter_sig_2\, + datad => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un9_v_enablelto4\); + +\vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un9_v_enablelto6\ = \vga_control_unit|un9_v_enablelto4\ # !\vga_driver_unit|column_counter_sig_5\ # !\vga_driver_unit|column_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff77", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datab => \vga_driver_unit|column_counter_sig_5\, + datad => \vga_control_unit|un9_v_enablelto4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un9_v_enablelto6\); + +\vga_control_unit|b_next_0_sqmuxa_7_3_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_sqmuxa_7_3\ = \vga_control_unit|b_next_0_sqmuxa_7_2\ & (\vga_driver_unit|column_counter_sig_9\ # \vga_driver_unit|column_counter_sig_7\ # !\vga_control_unit|un9_v_enablelto6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c8cc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_9\, + datab => \vga_control_unit|b_next_0_sqmuxa_7_2\, + datac => \vga_driver_unit|column_counter_sig_7\, + datad => \vga_control_unit|un9_v_enablelto6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_sqmuxa_7_3\); + +\vga_control_unit|b_next_0_sqmuxa_7_5_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_sqmuxa_7_5\ = \vga_control_unit|b_next_0_sqmuxa_7_3\ & (!\vga_control_unit|un5_v_enablelto5\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7f00", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datab => \vga_driver_unit|column_counter_sig_7\, + datac => \vga_control_unit|un5_v_enablelto5\, + datad => \vga_control_unit|b_next_0_sqmuxa_7_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_sqmuxa_7_5\); + +\vga_control_unit|r_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|r\ = DFFEAS(\vga_driver_unit|v_enable_sig\ & \vga_control_unit|toggle_sig\ & \vga_control_unit|b_next_0_sqmuxa_7_4\ & \vga_control_unit|b_next_0_sqmuxa_7_5\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , +-- , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|v_enable_sig\, + datab => \vga_control_unit|toggle_sig\, + datac => \vga_control_unit|b_next_0_sqmuxa_7_4\, + datad => \vga_control_unit|b_next_0_sqmuxa_7_5\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|r\); + +\~STRATIX_FITTER_CREATED_GND~I\ : stratix_lcell +-- Equation(s): +-- \~STRATIX_FITTER_CREATED_GND~I_combout\ = GND + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \~STRATIX_FITTER_CREATED_GND~I_combout\); + +\vga_control_unit|b_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b\ = DFFEAS(\vga_driver_unit|v_enable_sig\ & !\vga_control_unit|toggle_sig\ & \vga_control_unit|b_next_0_sqmuxa_7_4\ & \vga_control_unit|b_next_0_sqmuxa_7_5\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , +-- , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|v_enable_sig\, + datab => \vga_control_unit|toggle_sig\, + datac => \vga_control_unit|b_next_0_sqmuxa_7_4\, + datad => \vga_control_unit|b_next_0_sqmuxa_7_5\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|b\); + +\vga_driver_unit|un1_hsync_state_3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_hsync_state_3_0\ = \vga_driver_unit|hsync_state_3\ # \vga_driver_unit|hsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fcfc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_state_3\, + datac => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_hsync_state_3_0\); + +\vga_driver_unit|h_sync_1_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_sync_1_0_0_0_g1\ = \vga_driver_unit|hsync_state_2\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|hsync_state_2\ & (\vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|un1_hsync_state_3_0\ & +-- \vga_driver_unit|hsync_state_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fe04", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_2\, + datab => \vga_driver_unit|hsync_state_4\, + datac => \vga_driver_unit|un1_hsync_state_3_0\, + datad => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|h_sync_1_0_0_0_g1\); + +\vga_driver_unit|h_sync_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_sync\ = DFFEAS(\vga_driver_unit|h_sync_1_0_0_0_g1\ # !dly_counter(0) # !\reset_pin~combout\ # !dly_counter(1), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => dly_counter(1), + datab => \reset_pin~combout\, + datac => dly_counter(0), + datad => \vga_driver_unit|h_sync_1_0_0_0_g1\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|h_sync\); + +\vga_driver_unit|un1_vsync_state_2_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_vsync_state_2_0\ = \vga_driver_unit|vsync_state_3\ # \vga_driver_unit|vsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_3\, + datad => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_vsync_state_2_0\); + +\vga_driver_unit|v_sync_1_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_sync_1_0_0_0_g1\ = \vga_driver_unit|vsync_state_2\ & (\vga_driver_unit|v_sync\) # !\vga_driver_unit|vsync_state_2\ & (\vga_driver_unit|un1_vsync_state_2_0\ & (\vga_driver_unit|v_sync\) # !\vga_driver_unit|un1_vsync_state_2_0\ & +-- \vga_driver_unit|vsync_state_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fe02", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_4\, + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|un1_vsync_state_2_0\, + datad => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|v_sync_1_0_0_0_g1\); + +\vga_driver_unit|v_sync_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_sync\ = DFFEAS(\vga_driver_unit|v_sync_1_0_0_0_g1\ # !dly_counter(1) # !\reset_pin~combout\ # !dly_counter(0), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "dfff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => dly_counter(0), + datab => \vga_driver_unit|v_sync_1_0_0_0_g1\, + datac => \reset_pin~combout\, + datad => dly_counter(1), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|v_sync\); + +r0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r0_pin); + +r1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r1_pin); + +r2_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r2_pin); + +g0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g0_pin); + +g1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g1_pin); + +g2_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g2_pin); + +b0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_b0_pin); + +b1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_b1_pin); + +hsync_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_hsync_pin); + +vsync_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_vsync_pin); + +\seven_seg_pin_tri_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(0)); + +\seven_seg_pin_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(1)); + +\seven_seg_pin_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(2)); + +\seven_seg_pin_tri_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(3)); + +\seven_seg_pin_tri_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(4)); + +\seven_seg_pin_tri_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(5)); + +\seven_seg_pin_tri_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(6)); + +\seven_seg_pin_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(7)); + +\seven_seg_pin_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(8)); + +\seven_seg_pin_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(9)); + +\seven_seg_pin_out_10_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(10)); + +\seven_seg_pin_out_11_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(11)); + +\seven_seg_pin_out_12_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(12)); + +\seven_seg_pin_tri_13_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(13)); + +d_hsync_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync); + +d_vsync_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync); + +\d_column_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(0)); + +\d_column_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(1)); + +\d_column_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(2)); + +\d_column_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(3)); + +\d_column_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(4)); + +\d_column_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(5)); + +\d_column_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(6)); + +\d_column_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(7)); + +\d_column_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(8)); + +\d_column_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(9)); + +\d_line_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(0)); + +\d_line_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(1)); + +\d_line_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(2)); + +\d_line_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(3)); + +\d_line_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(4)); + +\d_line_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(5)); + +\d_line_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(6)); + +\d_line_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(7)); + +\d_line_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(8)); + +d_set_column_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_column_counter); + +d_set_line_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_line_counter); + +\d_hsync_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(0)); + +\d_hsync_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(1)); + +\d_hsync_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(2)); + +\d_hsync_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(3)); + +\d_hsync_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(4)); + +\d_hsync_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(5)); + +\d_hsync_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(6)); + +\d_hsync_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(7)); + +\d_hsync_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(8)); + +\d_hsync_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(9)); + +\d_vsync_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(0)); + +\d_vsync_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(1)); + +\d_vsync_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(2)); + +\d_vsync_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(3)); + +\d_vsync_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(4)); + +\d_vsync_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(5)); + +\d_vsync_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(6)); + +\d_vsync_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(7)); + +\d_vsync_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(8)); + +\d_vsync_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(9)); + +d_set_hsync_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_hsync_counter); + +d_set_vsync_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|d_set_vsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_vsync_counter); + +d_h_enable_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_h_enable); + +d_v_enable_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_v_enable); + +d_r_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_r); + +d_g_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_g); + +d_b_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_b); + +\d_hsync_state_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(6)); + +\d_hsync_state_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(5)); + +\d_hsync_state_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(4)); + +\d_hsync_state_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(3)); + +\d_hsync_state_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(2)); + +\d_hsync_state_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(1)); + +\d_hsync_state_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(0)); + +\d_vsync_state_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(6)); + +\d_vsync_state_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(5)); + +\d_vsync_state_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(4)); + +\d_vsync_state_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(3)); + +\d_vsync_state_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(2)); + +\d_vsync_state_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(1)); + +\d_vsync_state_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(0)); + +d_state_clk_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \clk_pin~combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_state_clk); + +d_toggle_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle); + +\d_toggle_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(0)); + +\d_toggle_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(1)); + +\d_toggle_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(2)); + +\d_toggle_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(3)); + +\d_toggle_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(4)); + +\d_toggle_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(5)); + +\d_toggle_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(6)); + +\d_toggle_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(7)); + +\d_toggle_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(8)); + +\d_toggle_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(9)); + +\d_toggle_counter_out_10_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(10)); + +\d_toggle_counter_out_11_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_11\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(11)); + +\d_toggle_counter_out_12_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(12)); + +\d_toggle_counter_out_13_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_13\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(13)); + +\d_toggle_counter_out_14_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(14)); + +\d_toggle_counter_out_15_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_15\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(15)); + +\d_toggle_counter_out_16_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(16)); + +\d_toggle_counter_out_17_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(17)); + +\d_toggle_counter_out_18_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(18)); + +\d_toggle_counter_out_19_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(19)); + +\d_toggle_counter_out_20_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(20)); + +\d_toggle_counter_out_21_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(21)); + +\d_toggle_counter_out_22_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(22)); + +\d_toggle_counter_out_23_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(23)); + +\d_toggle_counter_out_24_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(24)); +END structure; + + diff --git a/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf new file mode 100644 index 0000000..d2e5fb4 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf @@ -0,0 +1,269 @@ +vendor_name = ModelSim +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm +source_file = 1, /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/db/vga.cbx.xml +design_name = vga +instance = comp, \dly_counter_0_\, dly_counter_0_, vga, 1 +instance = comp, \dly_counter_1_\, dly_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_6_\, vga_driver_unit|vsync_state_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_6_\, vga_driver_unit|hsync_state_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_0_\, vga_driver_unit|hsync_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_1_\, vga_driver_unit|hsync_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_2_\, vga_driver_unit|hsync_counter_2_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_3_\, vga_driver_unit|hsync_counter_3_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_4_\, vga_driver_unit|hsync_counter_4_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_5_\, vga_driver_unit|hsync_counter_5_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_6_\, vga_driver_unit|hsync_counter_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_7_\, vga_driver_unit|hsync_counter_7_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_8_\, vga_driver_unit|hsync_counter_8_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_9_\, vga_driver_unit|hsync_counter_9_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga, 1 +instance = comp, \vga_driver_unit|G_2\, vga_driver_unit|G_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_5_\, vga_driver_unit|hsync_state_5_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_4_\, vga_driver_unit|hsync_state_4_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_1_\, vga_driver_unit|hsync_state_1_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_3_\, vga_driver_unit|hsync_state_3_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\, vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_0_\, vga_driver_unit|hsync_state_0_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_2_\, vga_driver_unit|hsync_state_2_, vga, 1 +instance = comp, \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_enable_sig_Z\, vga_driver_unit|v_enable_sig_Z, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_0_\, vga_control_unit|toggle_counter_sig_0_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_1_\, vga_control_unit|toggle_counter_sig_1_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_3_\, vga_control_unit|toggle_counter_sig_3_, vga, 1 +instance = comp, \vga_control_unit|un2_toggle_counter_next_0_\, vga_control_unit|un2_toggle_counter_next_0_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_2_\, vga_control_unit|toggle_counter_sig_2_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_4_\, vga_control_unit|toggle_counter_sig_4_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_5_\, vga_control_unit|toggle_counter_sig_5_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_7_\, vga_control_unit|toggle_counter_sig_7_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_6_\, vga_control_unit|toggle_counter_sig_6_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_8_\, vga_control_unit|toggle_counter_sig_8_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_9_\, vga_control_unit|toggle_counter_sig_9_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_11_\, vga_control_unit|toggle_counter_sig_11_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_10_\, vga_control_unit|toggle_counter_sig_10_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_13_\, vga_control_unit|toggle_counter_sig_13_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_12_\, vga_control_unit|toggle_counter_sig_12_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_15_\, vga_control_unit|toggle_counter_sig_15_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_14_\, vga_control_unit|toggle_counter_sig_14_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_16_\, vga_control_unit|toggle_counter_sig_16_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_17_\, vga_control_unit|toggle_counter_sig_17_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_19_\, vga_control_unit|toggle_counter_sig_19_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_18_\, vga_control_unit|toggle_counter_sig_18_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_20_\, vga_control_unit|toggle_counter_sig_20_, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglt6, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto9, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto12, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto15, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto18, vga, 1 +instance = comp, \vga_control_unit|toggle_sig_0_0_0_g1_cZ\, vga_control_unit|toggle_sig_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_control_unit|toggle_sig_Z\, vga_control_unit|toggle_sig_Z, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_0_\, vga_driver_unit|vsync_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_1_\, vga_driver_unit|vsync_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_2_\, vga_driver_unit|vsync_counter_2_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_3_\, vga_driver_unit|vsync_counter_3_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_4_\, vga_driver_unit|vsync_counter_4_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_5_\, vga_driver_unit|vsync_counter_5_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_6_\, vga_driver_unit|vsync_counter_6_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_7_\, vga_driver_unit|vsync_counter_7_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_8_\, vga_driver_unit|vsync_counter_8_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_9_\, vga_driver_unit|vsync_counter_9_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga, 1 +instance = comp, \vga_driver_unit|G_16\, vga_driver_unit|G_16, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_5_\, vga_driver_unit|vsync_state_5_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\, vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_3_\, vga_driver_unit|vsync_state_3_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_2_\, vga_driver_unit|vsync_state_2_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_4_\, vga_driver_unit|vsync_state_4_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga, 1 +instance = comp, \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\, vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\, vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\, vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_0_\, vga_driver_unit|vsync_state_0_, vga, 1 +instance = comp, \vga_driver_unit|d_set_vsync_counter_cZ\, vga_driver_unit|d_set_vsync_counter_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_1_\, vga_driver_unit|vsync_state_1_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_1_\, vga_driver_unit|un1_line_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_0_\, vga_driver_unit|line_counter_sig_0_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_a_1_\, vga_driver_unit|un1_line_counter_sig_a_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_2_\, vga_driver_unit|un1_line_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_1_\, vga_driver_unit|line_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_3_\, vga_driver_unit|un1_line_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_2_\, vga_driver_unit|line_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_4_\, vga_driver_unit|un1_line_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_3_\, vga_driver_unit|line_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_5_\, vga_driver_unit|un1_line_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_4_\, vga_driver_unit|line_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_6_\, vga_driver_unit|un1_line_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_5_\, vga_driver_unit|line_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_7_\, vga_driver_unit|un1_line_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_6_\, vga_driver_unit|line_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_8_\, vga_driver_unit|un1_line_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_7_\, vga_driver_unit|line_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_9_\, vga_driver_unit|un1_line_counter_sig_9_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_8_\, vga_driver_unit|line_counter_sig_8_, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto3, vga, 1 +instance = comp, \vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ\, vga_control_unit|b_next_0_sqmuxa_7_4_a_cZ, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0\, vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto4_0, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6\, vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto6, vga, 1 +instance = comp, \vga_control_unit|b_next_0_sqmuxa_7_4_cZ\, vga_control_unit|b_next_0_sqmuxa_7_4_cZ, vga, 1 +instance = comp, \vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_0_\, vga_driver_unit|column_counter_sig_0_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_1_\, vga_driver_unit|un2_column_counter_next_1_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_1_\, vga_driver_unit|column_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_3_\, vga_driver_unit|un2_column_counter_next_3_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_3_\, vga_driver_unit|column_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_0_\, vga_driver_unit|un2_column_counter_next_0_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_2_\, vga_driver_unit|un2_column_counter_next_2_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_2_\, vga_driver_unit|column_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_5_\, vga_driver_unit|un2_column_counter_next_5_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_5_\, vga_driver_unit|column_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_4_\, vga_driver_unit|un2_column_counter_next_4_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_4_\, vga_driver_unit|column_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_6_\, vga_driver_unit|un2_column_counter_next_6_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_8_\, vga_driver_unit|un2_column_counter_next_8_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_8_\, vga_driver_unit|column_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_4, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_7_\, vga_driver_unit|un2_column_counter_next_7_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_9_\, vga_driver_unit|un2_column_counter_next_9_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_9_\, vga_driver_unit|column_counter_sig_9_, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_7_\, vga_driver_unit|column_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_6_\, vga_driver_unit|column_counter_sig_6_, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelt2, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5, vga, 1 +instance = comp, \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_enable_sig_Z\, vga_driver_unit|h_enable_sig_Z, vga, 1 +instance = comp, \vga_control_unit|b_next_0_sqmuxa_7_2_cZ\, vga_control_unit|b_next_0_sqmuxa_7_2_cZ, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4\, vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto4, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6\, vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6, vga, 1 +instance = comp, \vga_control_unit|b_next_0_sqmuxa_7_3_cZ\, vga_control_unit|b_next_0_sqmuxa_7_3_cZ, vga, 1 +instance = comp, \vga_control_unit|b_next_0_sqmuxa_7_5_cZ\, vga_control_unit|b_next_0_sqmuxa_7_5_cZ, vga, 1 +instance = comp, \vga_control_unit|r_Z\, vga_control_unit|r_Z, vga, 1 +instance = comp, \~STRATIX_FITTER_CREATED_GND~I\, ~STRATIX_FITTER_CREATED_GND~I, vga, 1 +instance = comp, \vga_control_unit|b_Z\, vga_control_unit|b_Z, vga, 1 +instance = comp, \vga_driver_unit|un1_hsync_state_3_0_cZ\, vga_driver_unit|un1_hsync_state_3_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_sync_1_0_0_0_g1_cZ\, vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_sync_Z\, vga_driver_unit|h_sync_Z, vga, 1 +instance = comp, \vga_driver_unit|un1_vsync_state_2_0_cZ\, vga_driver_unit|un1_vsync_state_2_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_sync_1_0_0_0_g1_cZ\, vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_sync_Z\, vga_driver_unit|v_sync_Z, vga, 1 +instance = comp, \seven_seg_pin_tri_0_\, seven_seg_pin_tri_0_, vga, 1 +instance = comp, \seven_seg_pin_out_1_\, seven_seg_pin_out_1_, vga, 1 +instance = comp, \seven_seg_pin_out_2_\, seven_seg_pin_out_2_, vga, 1 +instance = comp, \seven_seg_pin_tri_3_\, seven_seg_pin_tri_3_, vga, 1 +instance = comp, \seven_seg_pin_tri_4_\, seven_seg_pin_tri_4_, vga, 1 +instance = comp, \seven_seg_pin_tri_5_\, seven_seg_pin_tri_5_, vga, 1 +instance = comp, \seven_seg_pin_tri_6_\, seven_seg_pin_tri_6_, vga, 1 +instance = comp, \seven_seg_pin_out_7_\, seven_seg_pin_out_7_, vga, 1 +instance = comp, \seven_seg_pin_out_8_\, seven_seg_pin_out_8_, vga, 1 +instance = comp, \seven_seg_pin_out_9_\, seven_seg_pin_out_9_, vga, 1 +instance = comp, \seven_seg_pin_out_10_\, seven_seg_pin_out_10_, vga, 1 +instance = comp, \seven_seg_pin_out_11_\, seven_seg_pin_out_11_, vga, 1 +instance = comp, \seven_seg_pin_out_12_\, seven_seg_pin_out_12_, vga, 1 +instance = comp, \seven_seg_pin_tri_13_\, seven_seg_pin_tri_13_, vga, 1 +instance = comp, \d_column_counter_out_0_\, d_column_counter_out_0_, vga, 1 +instance = comp, \d_column_counter_out_1_\, d_column_counter_out_1_, vga, 1 +instance = comp, \d_column_counter_out_2_\, d_column_counter_out_2_, vga, 1 +instance = comp, \d_column_counter_out_3_\, d_column_counter_out_3_, vga, 1 +instance = comp, \d_column_counter_out_4_\, d_column_counter_out_4_, vga, 1 +instance = comp, \d_column_counter_out_5_\, d_column_counter_out_5_, vga, 1 +instance = comp, \d_column_counter_out_6_\, d_column_counter_out_6_, vga, 1 +instance = comp, \d_column_counter_out_7_\, d_column_counter_out_7_, vga, 1 +instance = comp, \d_column_counter_out_8_\, d_column_counter_out_8_, vga, 1 +instance = comp, \d_column_counter_out_9_\, d_column_counter_out_9_, vga, 1 +instance = comp, \d_line_counter_out_0_\, d_line_counter_out_0_, vga, 1 +instance = comp, \d_line_counter_out_1_\, d_line_counter_out_1_, vga, 1 +instance = comp, \d_line_counter_out_2_\, d_line_counter_out_2_, vga, 1 +instance = comp, \d_line_counter_out_3_\, d_line_counter_out_3_, vga, 1 +instance = comp, \d_line_counter_out_4_\, d_line_counter_out_4_, vga, 1 +instance = comp, \d_line_counter_out_5_\, d_line_counter_out_5_, vga, 1 +instance = comp, \d_line_counter_out_6_\, d_line_counter_out_6_, vga, 1 +instance = comp, \d_line_counter_out_7_\, d_line_counter_out_7_, vga, 1 +instance = comp, \d_line_counter_out_8_\, d_line_counter_out_8_, vga, 1 +instance = comp, \d_hsync_counter_out_0_\, d_hsync_counter_out_0_, vga, 1 +instance = comp, \d_hsync_counter_out_1_\, d_hsync_counter_out_1_, vga, 1 +instance = comp, \d_hsync_counter_out_2_\, d_hsync_counter_out_2_, vga, 1 +instance = comp, \d_hsync_counter_out_3_\, d_hsync_counter_out_3_, vga, 1 +instance = comp, \d_hsync_counter_out_4_\, d_hsync_counter_out_4_, vga, 1 +instance = comp, \d_hsync_counter_out_5_\, d_hsync_counter_out_5_, vga, 1 +instance = comp, \d_hsync_counter_out_6_\, d_hsync_counter_out_6_, vga, 1 +instance = comp, \d_hsync_counter_out_7_\, d_hsync_counter_out_7_, vga, 1 +instance = comp, \d_hsync_counter_out_8_\, d_hsync_counter_out_8_, vga, 1 +instance = comp, \d_hsync_counter_out_9_\, d_hsync_counter_out_9_, vga, 1 +instance = comp, \d_vsync_counter_out_0_\, d_vsync_counter_out_0_, vga, 1 +instance = comp, \d_vsync_counter_out_1_\, d_vsync_counter_out_1_, vga, 1 +instance = comp, \d_vsync_counter_out_2_\, d_vsync_counter_out_2_, vga, 1 +instance = comp, \d_vsync_counter_out_3_\, d_vsync_counter_out_3_, vga, 1 +instance = comp, \d_vsync_counter_out_4_\, d_vsync_counter_out_4_, vga, 1 +instance = comp, \d_vsync_counter_out_5_\, d_vsync_counter_out_5_, vga, 1 +instance = comp, \d_vsync_counter_out_6_\, d_vsync_counter_out_6_, vga, 1 +instance = comp, \d_vsync_counter_out_7_\, d_vsync_counter_out_7_, vga, 1 +instance = comp, \d_vsync_counter_out_8_\, d_vsync_counter_out_8_, vga, 1 +instance = comp, \d_vsync_counter_out_9_\, d_vsync_counter_out_9_, vga, 1 +instance = comp, \d_hsync_state_out_6_\, d_hsync_state_out_6_, vga, 1 +instance = comp, \d_hsync_state_out_5_\, d_hsync_state_out_5_, vga, 1 +instance = comp, \d_hsync_state_out_4_\, d_hsync_state_out_4_, vga, 1 +instance = comp, \d_hsync_state_out_3_\, d_hsync_state_out_3_, vga, 1 +instance = comp, \d_hsync_state_out_2_\, d_hsync_state_out_2_, vga, 1 +instance = comp, \d_hsync_state_out_1_\, d_hsync_state_out_1_, vga, 1 +instance = comp, \d_hsync_state_out_0_\, d_hsync_state_out_0_, vga, 1 +instance = comp, \d_vsync_state_out_6_\, d_vsync_state_out_6_, vga, 1 +instance = comp, \d_vsync_state_out_5_\, d_vsync_state_out_5_, vga, 1 +instance = comp, \d_vsync_state_out_4_\, d_vsync_state_out_4_, vga, 1 +instance = comp, \d_vsync_state_out_3_\, d_vsync_state_out_3_, vga, 1 +instance = comp, \d_vsync_state_out_2_\, d_vsync_state_out_2_, vga, 1 +instance = comp, \d_vsync_state_out_1_\, d_vsync_state_out_1_, vga, 1 +instance = comp, \d_vsync_state_out_0_\, d_vsync_state_out_0_, vga, 1 +instance = comp, \d_toggle_counter_out_0_\, d_toggle_counter_out_0_, vga, 1 +instance = comp, \d_toggle_counter_out_1_\, d_toggle_counter_out_1_, vga, 1 +instance = comp, \d_toggle_counter_out_2_\, d_toggle_counter_out_2_, vga, 1 +instance = comp, \d_toggle_counter_out_3_\, d_toggle_counter_out_3_, vga, 1 +instance = comp, \d_toggle_counter_out_4_\, d_toggle_counter_out_4_, vga, 1 +instance = comp, \d_toggle_counter_out_5_\, d_toggle_counter_out_5_, vga, 1 +instance = comp, \d_toggle_counter_out_6_\, d_toggle_counter_out_6_, vga, 1 +instance = comp, \d_toggle_counter_out_7_\, d_toggle_counter_out_7_, vga, 1 +instance = comp, \d_toggle_counter_out_8_\, d_toggle_counter_out_8_, vga, 1 +instance = comp, \d_toggle_counter_out_9_\, d_toggle_counter_out_9_, vga, 1 +instance = comp, \d_toggle_counter_out_10_\, d_toggle_counter_out_10_, vga, 1 +instance = comp, \d_toggle_counter_out_11_\, d_toggle_counter_out_11_, vga, 1 +instance = comp, \d_toggle_counter_out_12_\, d_toggle_counter_out_12_, vga, 1 +instance = comp, \d_toggle_counter_out_13_\, d_toggle_counter_out_13_, vga, 1 +instance = comp, \d_toggle_counter_out_14_\, d_toggle_counter_out_14_, vga, 1 +instance = comp, \d_toggle_counter_out_15_\, d_toggle_counter_out_15_, vga, 1 +instance = comp, \d_toggle_counter_out_16_\, d_toggle_counter_out_16_, vga, 1 +instance = comp, \d_toggle_counter_out_17_\, d_toggle_counter_out_17_, vga, 1 +instance = comp, \d_toggle_counter_out_18_\, d_toggle_counter_out_18_, vga, 1 +instance = comp, \d_toggle_counter_out_19_\, d_toggle_counter_out_19_, vga, 1 +instance = comp, \d_toggle_counter_out_20_\, d_toggle_counter_out_20_, vga, 1 +instance = comp, \d_toggle_counter_out_21_\, d_toggle_counter_out_21_, vga, 1 +instance = comp, \d_toggle_counter_out_22_\, d_toggle_counter_out_22_, vga, 1 +instance = comp, \d_toggle_counter_out_23_\, d_toggle_counter_out_23_, vga, 1 +instance = comp, \d_toggle_counter_out_24_\, d_toggle_counter_out_24_, vga, 1 diff --git a/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo new file mode 100644 index 0000000..d313c49 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo @@ -0,0 +1,5593 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This SDF file should be used for ModelSim (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "vga") + (DATE "10/28/2009 14:19:55") + (VENDOR "Altera") + (PROGRAM "Quartus II") + (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE clk_pin_in.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (868:868:868) (868:868:868)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE reset_pin_in.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (760:760:760) (760:760:760)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\dly_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1030:1030:1030) (1030:1030:1030)) + (PORT datac (5264:5264:5264) (5264:5264:5264)) + (PORT datad (447:447:447) (447:447:447)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\dly_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\dly_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1107:1107:1107) (1107:1107:1107)) + (PORT datab (2654:2654:2654) (2654:2654:2654)) + (PORT datac (5010:5010:5010) (5010:5010:5010)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\dly_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (427:427:427) (427:427:427)) + (PORT datac (5016:5016:5016) (5016:5016:5016)) + (PORT datad (1088:1088:1088) (1088:1088:1088)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1535:1535:1535) (1535:1535:1535)) + (PORT datad (1150:1150:1150) (1150:1150:1150)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1625:1625:1625) (1625:1625:1625)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1506:1506:1506) (1506:1506:1506)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1596:1596:1596) (1596:1596:1596)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1505:1505:1505) (1505:1505:1505)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1595:1595:1595) (1595:1595:1595)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1503:1503:1503) (1503:1503:1503)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1593:1593:1593) (1593:1593:1593)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1506:1506:1506) (1506:1506:1506)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1596:1596:1596) (1596:1596:1596)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1509:1509:1509) (1509:1509:1509)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1599:1599:1599) (1599:1599:1599)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1516:1516:1516) (1516:1516:1516)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1606:1606:1606) (1606:1606:1606)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1514:1514:1514) (1514:1514:1514)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1604:1604:1604) (1604:1604:1604)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1513:1513:1513) (1513:1513:1513)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1603:1603:1603) (1603:1603:1603)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1513:1513:1513) (1513:1513:1513)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1603:1603:1603) (1603:1603:1603)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1512:1512:1512) (1512:1512:1512)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1602:1602:1602) (1602:1602:1602)) + (PORT sclr (1861:1861:1861) (1861:1861:1861)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (663:663:663) (663:663:663)) + (PORT datab (608:608:608) (608:608:608)) + (PORT datac (653:653:653) (653:653:653)) + (PORT datad (986:986:986) (986:986:986)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (638:638:638)) + (PORT datab (591:591:591) (591:591:591)) + (PORT datac (641:641:641) (641:641:641)) + (PORT datad (641:641:641) (641:641:641)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (975:975:975) (975:975:975)) + (PORT datab (624:624:624) (624:624:624)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|G_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (447:447:447) (447:447:447)) + (PORT datab (1613:1613:1613) (1613:1613:1613)) + (PORT datac (1074:1074:1074) (1074:1074:1074)) + (PORT datad (1479:1479:1479) (1479:1479:1479)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (662:662:662) (662:662:662)) + (PORT datab (626:626:626) (626:626:626)) + (PORT datac (970:970:970) (970:970:970)) + (PORT datad (991:991:991) (991:991:991)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (924:924:924) (924:924:924)) + (PORT datab (609:609:609) (609:609:609)) + (PORT datac (370:370:370) (370:370:370)) + (PORT datad (351:351:351) (351:351:351)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (936:936:936) (936:936:936)) + (PORT datab (924:924:924) (924:924:924)) + (PORT datac (922:922:922) (922:922:922)) + (PORT datad (923:923:923) (923:923:923)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (927:927:927) (927:927:927)) + (PORT datac (921:921:921) (921:921:921)) + (PORT datad (938:938:938) (938:938:938)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (990:990:990) (990:990:990)) + (PORT datac (1013:1013:1013) (1013:1013:1013)) + (PORT datad (1020:1020:1020) (1020:1020:1020)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (447:447:447) (447:447:447)) + (PORT datac (1641:1641:1641) (1641:1641:1641)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2555:2555:2555) (2555:2555:2555)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2387:2387:2387) (2387:2387:2387)) + (PORT ena (1796:1796:1796) (1796:1796:1796)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (922:922:922) (922:922:922)) + (PORT datab (927:927:927) (927:927:927)) + (PORT datac (958:958:958) (958:958:958)) + (PORT datad (925:925:925) (925:925:925)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (926:926:926) (926:926:926)) + (PORT datac (924:924:924) (924:924:924)) + (PORT datad (938:938:938) (938:938:938)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1226:1226:1226) (1226:1226:1226)) + (PORT datab (856:856:856) (856:856:856)) + (PORT datac (1030:1030:1030) (1030:1030:1030)) + (PORT datad (856:856:856) (856:856:856)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2570:2570:2570) (2570:2570:2570)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (PORT ena (1299:1299:1299) (1299:1299:1299)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (565:565:565) (565:565:565)) + (PORT datab (565:565:565) (565:565:565)) + (PORT datac (1029:1029:1029) (1029:1029:1029)) + (PORT datad (440:440:440) (440:440:440)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2570:2570:2570) (2570:2570:2570)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (PORT ena (1299:1299:1299) (1299:1299:1299)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (984:984:984) (984:984:984)) + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (1016:1016:1016) (1016:1016:1016)) + (PORT datad (353:353:353) (353:353:353)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1106:1106:1106) (1106:1106:1106)) + (PORT sclr (2308:2308:2308) (2308:2308:2308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (PORT ena (1091:1091:1091) (1091:1091:1091)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1228:1228:1228) (1228:1228:1228)) + (PORT datab (547:547:547) (547:547:547)) + (PORT datac (552:552:552) (552:552:552)) + (PORT datad (1038:1038:1038) (1038:1038:1038)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (638:638:638)) + (PORT datab (560:560:560) (560:560:560)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (1041:1041:1041) (1041:1041:1041)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1544:1544:1544) (1544:1544:1544)) + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (562:562:562) (562:562:562)) + (PORT datad (544:544:544) (544:544:544)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1051:1051:1051) (1051:1051:1051)) + (PORT datac (1129:1129:1129) (1129:1129:1129)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2555:2555:2555) (2555:2555:2555)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2387:2387:2387) (2387:2387:2387)) + (PORT ena (1796:1796:1796) (1796:1796:1796)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5249:5249:5249) (5249:5249:5249)) + (PORT datab (1448:1448:1448) (1448:1448:1448)) + (PORT datac (1232:1232:1232) (1232:1232:1232)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (654:654:654) (654:654:654)) + (PORT datab (622:622:622) (622:622:622)) + (PORT datac (623:623:623) (623:623:623)) + (PORT datad (642:642:642) (642:642:642)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (667:667:667) (667:667:667)) + (PORT datab (592:592:592) (592:592:592)) + (PORT datac (971:971:971) (971:971:971)) + (PORT datad (986:986:986) (986:986:986)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (638:638:638)) + (PORT datab (624:624:624) (624:624:624)) + (PORT datac (369:369:369) (369:369:369)) + (PORT datad (351:351:351) (351:351:351)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (951:951:951) (951:951:951)) + (PORT datac (561:561:561) (561:561:561)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2570:2570:2570) (2570:2570:2570)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (PORT ena (1299:1299:1299) (1299:1299:1299)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (435:435:435) (435:435:435)) + (PORT datac (1220:1220:1220) (1220:1220:1220)) + (PORT datad (1534:1534:1534) (1534:1534:1534)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1196:1196:1196) (1196:1196:1196)) + (PORT datac (1173:1173:1173) (1173:1173:1173)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2271:2271:2271) (2271:2271:2271)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (PORT ena (1824:1824:1824) (1824:1824:1824)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (441:441:441) (441:441:441)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1149:1149:1149) (1149:1149:1149)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (607:607:607) (607:607:607)) + (PORT datab (423:423:423) (423:423:423)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (939:939:939) (939:939:939)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|un2_toggle_counter_next_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (618:618:618) (618:618:618)) + (PORT datab (906:906:906) (906:906:906)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (634:634:634)) + (PORT datab (889:889:889) (889:889:889)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1348:1348:1348) (1348:1348:1348)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (429:429:429) (429:429:429)) + (PORT datab (963:963:963) (963:963:963)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1348:1348:1348) (1348:1348:1348)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datab (894:894:894) (894:894:894)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (928:928:928) (928:928:928)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (432:432:432) (432:432:432)) + (PORT datab (908:908:908) (908:908:908)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1348:1348:1348) (1348:1348:1348)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (947:947:947) (947:947:947)) + (PORT datab (413:413:413) (413:413:413)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (644:644:644) (644:644:644)) + (IOPATH datab cout (533:533:533) (533:533:533)) + (IOPATH cin0 cout (219:219:219) (219:219:219)) + (IOPATH cin1 cout (205:205:205) (205:205:205)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1348:1348:1348) (1348:1348:1348)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (922:922:922) (922:922:922)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_11_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1371:1371:1371) (1371:1371:1371)) + (PORT datab (420:420:420) (420:420:420)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_11_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_10_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (607:607:607) (607:607:607)) + (PORT datab (1355:1355:1355) (1355:1355:1355)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_10_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1852:1852:1852) (1852:1852:1852)) + (PORT aclr (5079:5079:5079) (5079:5079:5079)) + (PORT clk (2336:2336:2336) (2336:2336:2336)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_13_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1364:1364:1364) (1364:1364:1364)) + (PORT datab (422:422:422) (422:422:422)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_13_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_12_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1362:1362:1362) (1362:1362:1362)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_12_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1852:1852:1852) (1852:1852:1852)) + (PORT aclr (5079:5079:5079) (5079:5079:5079)) + (PORT clk (2336:2336:2336) (2336:2336:2336)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_15_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datab (1360:1360:1360) (1360:1360:1360)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_15_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_14_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (438:438:438) (438:438:438)) + (PORT datab (1368:1368:1368) (1368:1368:1368)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_14_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1852:1852:1852) (1852:1852:1852)) + (PORT aclr (5079:5079:5079) (5079:5079:5079)) + (PORT clk (2336:2336:2336) (2336:2336:2336)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_16_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1370:1370:1370) (1370:1370:1370)) + (PORT datab (957:957:957) (957:957:957)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_16_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1852:1852:1852) (1852:1852:1852)) + (PORT aclr (5079:5079:5079) (5079:5079:5079)) + (PORT clk (2336:2336:2336) (2336:2336:2336)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_17_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (1406:1406:1406) (1406:1406:1406)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_17_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_19_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1393:1393:1393) (1393:1393:1393)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_19_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1319:1319:1319) (1319:1319:1319)) + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_18_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datab (1365:1365:1365) (1365:1365:1365)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (628:628:628) (628:628:628)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin cout (110:110:110) (110:110:110)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_18_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1852:1852:1852) (1852:1852:1852)) + (PORT aclr (5079:5079:5079) (5079:5079:5079)) + (PORT clk (2336:2336:2336) (2336:2336:2336)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_20_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_20_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1852:1852:1852) (1852:1852:1852)) + (PORT aclr (5079:5079:5079) (5079:5079:5079)) + (PORT clk (2336:2336:2336) (2336:2336:2336)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglt6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (581:581:581) (581:581:581)) + (PORT datad (599:599:599) (599:599:599)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (605:605:605) (605:605:605)) + (PORT datab (578:578:578) (578:578:578)) + (PORT datac (595:595:595) (595:595:595)) + (PORT datad (363:363:363) (363:363:363)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto12\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (651:651:651) (651:651:651)) + (PORT datab (1098:1098:1098) (1098:1098:1098)) + (PORT datac (1137:1137:1137) (1137:1137:1137)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto15\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1111:1111:1111) (1111:1111:1111)) + (PORT datab (622:622:622) (622:622:622)) + (PORT datac (679:679:679) (679:679:679)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto18\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1201:1201:1201) (1201:1201:1201)) + (PORT datab (623:623:623) (623:623:623)) + (PORT datac (1397:1397:1397) (1397:1397:1397)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_sig_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1137:1137:1137) (1137:1137:1137)) + (PORT datab (635:635:635) (635:635:635)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (438:438:438) (438:438:438)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (657:657:657) (657:657:657)) + (PORT datab (1630:1630:1630) (1630:1630:1630)) + (PORT datac (692:692:692) (692:692:692)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (782:782:782) (782:782:782)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (696:696:696) (696:696:696)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (786:786:786) (786:786:786)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (699:699:699) (699:699:699)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (789:789:789) (789:789:789)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (702:702:702) (702:702:702)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (792:792:792) (792:792:792)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (704:704:704) (704:704:704)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (794:794:794) (794:794:794)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (709:709:709) (709:709:709)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (799:799:799) (799:799:799)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (628:628:628) (628:628:628)) + (PORT datab (611:611:611) (611:611:611)) + (PORT datac (623:623:623) (623:623:623)) + (PORT datad (937:937:937) (937:937:937)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (416:416:416) (416:416:416)) + (PORT datac (709:709:709) (709:709:709)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (799:799:799) (799:799:799)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (708:708:708) (708:708:708)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (798:798:798) (798:798:798)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (708:708:708) (708:708:708)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (798:798:798) (798:798:798)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (706:706:706) (706:706:706)) + (PORT datad (426:426:426) (426:426:426)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1434:1434:1434) (1434:1434:1434)) + (PORT datac (796:796:796) (796:796:796)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (616:616:616) (616:616:616)) + (PORT datab (607:607:607) (607:607:607)) + (PORT datac (925:925:925) (925:925:925)) + (PORT datad (958:958:958) (958:958:958)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (630:630:630)) + (PORT datab (348:348:348) (348:348:348)) + (PORT datac (934:934:934) (934:934:934)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|G_16\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (994:994:994) (994:994:994)) + (PORT datab (945:945:945) (945:945:945)) + (PORT datac (1070:1070:1070) (1070:1070:1070)) + (PORT datad (353:353:353) (353:353:353)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (673:673:673) (673:673:673)) + (PORT datad (438:438:438) (438:438:438)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1153:1153:1153) (1153:1153:1153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (PORT ena (1287:1287:1287) (1287:1287:1287)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (634:634:634)) + (PORT datab (601:601:601) (601:601:601)) + (PORT datac (932:932:932) (932:932:932)) + (PORT datad (643:643:643) (643:643:643)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (377:377:377) (377:377:377)) + (PORT datad (360:360:360) (360:360:360)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (687:687:687) (687:687:687)) + (PORT datab (662:662:662) (662:662:662)) + (PORT datac (993:993:993) (993:993:993)) + (PORT datad (361:361:361) (361:361:361)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (688:688:688) (688:688:688)) + (PORT datab (662:662:662) (662:662:662)) + (PORT datac (1270:1270:1270) (1270:1270:1270)) + (PORT datad (361:361:361) (361:361:361)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1360:1360:1360) (1360:1360:1360)) + (PORT sclr (1885:1885:1885) (1885:1885:1885)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (PORT ena (1091:1091:1091) (1091:1091:1091)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (976:976:976) (976:976:976)) + (PORT datab (990:990:990) (990:990:990)) + (PORT datac (1023:1023:1023) (1023:1023:1023)) + (PORT datad (576:576:576) (576:576:576)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1153:1153:1153) (1153:1153:1153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (PORT ena (1287:1287:1287) (1287:1287:1287)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (630:630:630)) + (PORT datab (621:621:621) (621:621:621)) + (PORT datac (624:624:624) (624:624:624)) + (PORT datad (622:622:622) (622:622:622)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (930:930:930) (930:930:930)) + (PORT datac (608:608:608) (608:608:608)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1037:1037:1037) (1037:1037:1037)) + (PORT datab (987:987:987) (987:987:987)) + (PORT datac (1025:1025:1025) (1025:1025:1025)) + (PORT datad (575:575:575) (575:575:575)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1153:1153:1153) (1153:1153:1153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (PORT ena (1287:1287:1287) (1287:1287:1287)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (657:657:657) (657:657:657)) + (PORT datab (604:604:604) (604:604:604)) + (PORT datac (683:683:683) (683:683:683)) + (PORT datad (633:633:633) (633:633:633)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (639:639:639) (639:639:639)) + (PORT datac (995:995:995) (995:995:995)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (708:708:708) (708:708:708)) + (PORT datac (370:370:370) (370:370:370)) + (PORT datad (360:360:360) (360:360:360)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (369:369:369) (369:369:369)) + (PORT datab (934:934:934) (934:934:934)) + (PORT datac (874:874:874) (874:874:874)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1116:1116:1116) (1116:1116:1116)) + (PORT datab (341:341:341) (341:341:341)) + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (432:432:432) (432:432:432)) + (PORT datac (877:877:877) (877:877:877)) + (PORT datad (555:555:555) (555:555:555)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (366:366:366) (366:366:366)) + (PORT datab (430:430:430) (430:430:430)) + (PORT datac (571:571:571) (571:571:571)) + (PORT datad (846:846:846) (846:846:846)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|d_set_vsync_counter_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (944:944:944) (944:944:944)) + (PORT datad (983:983:983) (983:983:983)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (363:363:363) (363:363:363)) + (PORT datab (2412:2412:2412) (2412:2412:2412)) + (PORT datac (5264:5264:5264) (5264:5264:5264)) + (PORT datad (451:451:451) (451:451:451)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (613:613:613) (613:613:613)) + (PORT datab (626:626:626) (626:626:626)) + (PORT datac (623:623:623) (623:623:623)) + (PORT datad (644:644:644) (644:644:644)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1202:1202:1202) (1202:1202:1202)) + (PORT datab (1172:1172:1172) (1172:1172:1172)) + (PORT datac (2469:2469:2469) (2469:2469:2469)) + (PORT datad (2008:2008:2008) (2008:2008:2008)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5244:5244:5244) (5244:5244:5244)) + (PORT datab (1216:1216:1216) (1216:1216:1216)) + (PORT datac (1151:1151:1151) (1151:1151:1151)) + (PORT datad (1419:1419:1419) (1419:1419:1419)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1160:1160:1160) (1160:1160:1160)) + (PORT datab (935:935:935) (935:935:935)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (608:608:608) (608:608:608)) + (PORT datad (851:851:851) (851:851:851)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1996:1996:1996) (1996:1996:1996)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_a_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (658:658:658) (658:658:658)) + (PORT datab (951:951:951) (951:951:951)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (692:692:692) (692:692:692)) + (PORT datab (685:685:685) (685:685:685)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (519:519:519) (519:519:519)) + (PORT datac (601:601:601) (601:601:601)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1996:1996:1996) (1996:1996:1996)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (990:990:990) (990:990:990)) + (PORT datab (999:999:999) (999:999:999)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (602:602:602) (602:602:602)) + (PORT datad (846:846:846) (846:846:846)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1996:1996:1996) (1996:1996:1996)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (663:663:663) (663:663:663)) + (PORT datab (645:645:645) (645:645:645)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (611:611:611) (611:611:611)) + (PORT datac (540:540:540) (540:540:540)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1996:1996:1996) (1996:1996:1996)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (947:947:947) (947:947:947)) + (PORT datab (875:875:875) (875:875:875)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (601:601:601) (601:601:601)) + (PORT datad (341:341:341) (341:341:341)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1703:1703:1703) (1703:1703:1703)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1042:1042:1042) (1042:1042:1042)) + (PORT datab (666:666:666) (666:666:666)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (354:354:354) (354:354:354)) + (PORT datac (1110:1110:1110) (1110:1110:1110)) + (PORT datad (1100:1100:1100) (1100:1100:1100)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1036:1036:1036) (1036:1036:1036)) + (PORT datab (593:593:593) (593:593:593)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (360:360:360) (360:360:360)) + (PORT datad (609:609:609) (609:609:609)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1703:1703:1703) (1703:1703:1703)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (718:718:718) (718:718:718)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (553:553:553) (553:553:553)) + (PORT datad (610:610:610) (610:610:610)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1703:1703:1703) (1703:1703:1703)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (433:433:433) (433:433:433)) + (PORT datac (444:444:444) (444:444:444)) + (PORT datad (971:971:971) (971:971:971)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (989:989:989) (989:989:989)) + (PORT datab (999:999:999) (999:999:999)) + (PORT datac (868:868:868) (868:868:868)) + (PORT datad (1026:1026:1026) (1026:1026:1026)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (625:625:625) (625:625:625)) + (PORT datab (670:670:670) (670:670:670)) + (PORT datac (735:735:735) (735:735:735)) + (PORT datad (555:555:555) (555:555:555)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (416:416:416) (416:416:416)) + (PORT datad (420:420:420) (420:420:420)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (602:602:602) (602:602:602)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1703:1703:1703) (1703:1703:1703)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2369:2369:2369) (2369:2369:2369)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (662:662:662) (662:662:662)) + (PORT datab (685:685:685) (685:685:685)) + (PORT datac (944:944:944) (944:944:944)) + (PORT datad (675:675:675) (675:675:675)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_sqmuxa_7_4_a_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (667:667:667) (667:667:667)) + (PORT datab (671:671:671) (671:671:671)) + (PORT datac (364:364:364) (364:364:364)) + (PORT datad (1028:1028:1028) (1028:1028:1028)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto4_0\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (417:417:417) (417:417:417)) + (PORT datad (970:970:970) (970:970:970)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1038:1038:1038) (1038:1038:1038)) + (PORT datab (651:651:651) (651:651:651)) + (PORT datac (689:689:689) (689:689:689)) + (PORT datad (558:558:558) (558:558:558)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_sqmuxa_7_4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (626:626:626) (626:626:626)) + (PORT datab (721:721:721) (721:721:721)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1383:1383:1383) (1383:1383:1383)) + (PORT datab (1010:1010:1010) (1010:1010:1010)) + (PORT datac (5258:5258:5258) (5258:5258:5258)) + (PORT datad (455:455:455) (455:455:455)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (441:441:441) (441:441:441)) + (PORT datad (1121:1121:1121) (1121:1121:1121)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2276:2276:2276) (2276:2276:2276)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1142:1142:1142) (1142:1142:1142)) + (PORT datab (1099:1099:1099) (1099:1099:1099)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1088:1088:1088) (1088:1088:1088)) + (PORT datad (1118:1118:1118) (1118:1118:1118)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2276:2276:2276) (2276:2276:2276)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1183:1183:1183) (1183:1183:1183)) + (PORT datab (1161:1161:1161) (1161:1161:1161)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1068:1068:1068) (1068:1068:1068)) + (PORT datad (1153:1153:1153) (1153:1153:1153)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2266:2266:2266) (2266:2266:2266)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (651:651:651) (651:651:651)) + (PORT datab (626:626:626) (626:626:626)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (450:450:450) (450:450:450)) + (PORT datab (989:989:989) (989:989:989)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (336:336:336)) + (PORT datad (1150:1150:1150) (1150:1150:1150)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2266:2266:2266) (2266:2266:2266)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (448:448:448) (448:448:448)) + (PORT datab (1111:1111:1111) (1111:1111:1111)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (351:351:351) (351:351:351)) + (PORT datac (1102:1102:1102) (1102:1102:1102)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2252:2252:2252) (2252:2252:2252)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (720:720:720) (720:720:720)) + (PORT datab (1119:1119:1119) (1119:1119:1119)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (362:362:362) (362:362:362)) + (PORT datad (1154:1154:1154) (1154:1154:1154)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2266:2266:2266) (2266:2266:2266)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (639:639:639) (639:639:639)) + (PORT datab (1135:1135:1135) (1135:1135:1135)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (585:585:585) (585:585:585)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1513:1513:1513) (1513:1513:1513)) + (PORT datac (549:549:549) (549:549:549)) + (PORT datad (1118:1118:1118) (1118:1118:1118)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1173:1173:1173) (1173:1173:1173)) + (PORT datab (1101:1101:1101) (1101:1101:1101)) + (PORT datac (1118:1118:1118) (1118:1118:1118)) + (PORT datad (1129:1129:1129) (1129:1129:1129)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (448:448:448) (448:448:448)) + (PORT datab (1108:1108:1108) (1108:1108:1108)) + (PORT datac (1146:1146:1146) (1146:1146:1146)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1153:1153:1153) (1153:1153:1153)) + (PORT datab (417:417:417) (417:417:417)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1224:1224:1224) (1224:1224:1224)) + (PORT datab (422:422:422) (422:422:422)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (1106:1106:1106) (1106:1106:1106)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2252:2252:2252) (2252:2252:2252)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2428:2428:2428) (2428:2428:2428)) + (PORT datab (1196:1196:1196) (1196:1196:1196)) + (PORT datac (1094:1094:1094) (1094:1094:1094)) + (PORT datad (1196:1196:1196) (1196:1196:1196)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1469:1469:1469) (1469:1469:1469)) + (PORT datac (1105:1105:1105) (1105:1105:1105)) + (PORT datad (354:354:354) (354:354:354)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (367:367:367) (367:367:367)) + (PORT datad (1154:1154:1154) (1154:1154:1154)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2266:2266:2266) (2266:2266:2266)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2311:2311:2311) (2311:2311:2311)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelt2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (450:450:450) (450:450:450)) + (PORT datab (630:630:630) (630:630:630)) + (PORT datad (639:639:639) (639:639:639)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1075:1075:1075) (1075:1075:1075)) + (PORT datab (1222:1222:1222) (1222:1222:1222)) + (PORT datac (1189:1189:1189) (1189:1189:1189)) + (PORT datad (1403:1403:1403) (1403:1403:1403)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1917:1917:1917) (1917:1917:1917)) + (PORT datac (1598:1598:1598) (1598:1598:1598)) + (PORT datad (1966:1966:1966) (1966:1966:1966)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (443:443:443) (443:443:443)) + (PORT datad (1589:1589:1589) (1589:1589:1589)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2747:2747:2747) (2747:2747:2747)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (PORT ena (1082:1082:1082) (1082:1082:1082)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_sqmuxa_7_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1512:1512:1512) (1512:1512:1512)) + (PORT datab (424:424:424) (424:424:424)) + (PORT datac (1409:1409:1409) (1409:1409:1409)) + (PORT datad (1197:1197:1197) (1197:1197:1197)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1178:1178:1178) (1178:1178:1178)) + (PORT datac (1169:1169:1169) (1169:1169:1169)) + (PORT datad (1226:1226:1226) (1226:1226:1226)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1141:1141:1141) (1141:1141:1141)) + (PORT datab (1168:1168:1168) (1168:1168:1168)) + (PORT datad (354:354:354) (354:354:354)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_sqmuxa_7_3_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1206:1206:1206) (1206:1206:1206)) + (PORT datab (347:347:347) (347:347:347)) + (PORT datac (1216:1216:1216) (1216:1216:1216)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_sqmuxa_7_5_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1143:1143:1143) (1143:1143:1143)) + (PORT datab (1204:1204:1204) (1204:1204:1204)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|r_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1451:1451:1451) (1451:1451:1451)) + (PORT datab (438:438:438) (438:438:438)) + (PORT datac (1358:1358:1358) (1358:1358:1358)) + (PORT datad (925:925:925) (925:925:925)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|r_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1450:1450:1450) (1450:1450:1450)) + (PORT datab (438:438:438) (438:438:438)) + (PORT datac (1359:1359:1359) (1359:1359:1359)) + (PORT datad (927:927:927) (927:927:927)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|b_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5095:5095:5095) (5095:5095:5095)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_hsync_state_3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (954:954:954) (954:954:954)) + (PORT datac (447:447:447) (447:447:447)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_sync_1_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (443:443:443) (443:443:443)) + (PORT datab (435:435:435) (435:435:435)) + (PORT datac (865:865:865) (865:865:865)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1463:1463:1463) (1463:1463:1463)) + (PORT datab (4923:4923:4923) (4923:4923:4923)) + (PORT datac (1265:1265:1265) (1265:1265:1265)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2394:2394:2394) (2394:2394:2394)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_vsync_state_2_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (620:620:620) (620:620:620)) + (PORT datad (1246:1246:1246) (1246:1246:1246)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_sync_1_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1374:1374:1374) (1374:1374:1374)) + (PORT datab (430:430:430) (430:430:430)) + (PORT datac (378:378:378) (378:378:378)) + (PORT datad (430:430:430) (430:430:430)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1106:1106:1106) (1106:1106:1106)) + (PORT datab (550:550:550) (550:550:550)) + (PORT datac (5013:5013:5013) (5013:5013:5013)) + (PORT datad (2733:2733:2733) (2733:2733:2733)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2379:2379:2379) (2379:2379:2379)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2578:2578:2578) (2578:2578:2578)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2886:2886:2886) (2886:2886:2886)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r2_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2578:2578:2578) (2578:2578:2578)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1963:1963:1963) (1963:1963:1963)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2024:2024:2024) (2024:2024:2024)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g2_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2680:2680:2680) (2680:2680:2680)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE b0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3590:3590:3590) (3590:3590:3590)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE b1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3525:3525:3525) (3525:3525:3525)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE hsync_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2183:2183:2183) (2183:2183:2183)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE vsync_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2772:2772:2772) (2772:2772:2772)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2024:2024:2024) (2024:2024:2024)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3263:3263:3263) (3263:3263:3263)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2952:2952:2952) (2952:2952:2952)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1963:1963:1963) (1963:1963:1963)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2024:2024:2024) (2024:2024:2024)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2412:2412:2412) (2412:2412:2412)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1963:1963:1963) (1963:1963:1963)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3263:3263:3263) (3263:3263:3263)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2952:2952:2952) (2952:2952:2952)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3263:3263:3263) (3263:3263:3263)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_10_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3227:3227:3227) (3227:3227:3227)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_11_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3099:3099:3099) (3099:3099:3099)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_12_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3260:3260:3260) (3260:3260:3260)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_13_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2412:2412:2412) (2412:2412:2412)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_hsync_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2183:2183:2183) (2183:2183:2183)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_vsync_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2772:2772:2772) (2772:2772:2772)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2437:2437:2437) (2437:2437:2437)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2426:2426:2426) (2426:2426:2426)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2127:2127:2127) (2127:2127:2127)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2378:2378:2378) (2378:2378:2378)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1826:1826:1826) (1826:1826:1826)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3015:3015:3015) (3015:3015:3015)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2573:2573:2573) (2573:2573:2573)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2118:2118:2118) (2118:2118:2118)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2545:2545:2545) (2545:2545:2545)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2104:2104:2104) (2104:2104:2104)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1897:1897:1897) (1897:1897:1897)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1941:1941:1941) (1941:1941:1941)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2564:2564:2564) (2564:2564:2564)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1937:1937:1937) (1937:1937:1937)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2373:2373:2373) (2373:2373:2373)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2612:2612:2612) (2612:2612:2612)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2307:2307:2307) (2307:2307:2307)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2234:2234:2234) (2234:2234:2234)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2444:2444:2444) (2444:2444:2444)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_column_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3234:3234:3234) (3234:3234:3234)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_line_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3161:3161:3161) (3161:3161:3161)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2393:2393:2393) (2393:2393:2393)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3712:3712:3712) (3712:3712:3712)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1994:1994:1994) (1994:1994:1994)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2855:2855:2855) (2855:2855:2855)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3074:3074:3074) (3074:3074:3074)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2173:2173:2173) (2173:2173:2173)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3292:3292:3292) (3292:3292:3292)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2319:2319:2319) (2319:2319:2319)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3000:3000:3000) (3000:3000:3000)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3135:3135:3135) (3135:3135:3135)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1986:1986:1986) (1986:1986:1986)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2411:2411:2411) (2411:2411:2411)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2518:2518:2518) (2518:2518:2518)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2074:2074:2074) (2074:2074:2074)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2588:2588:2588) (2588:2588:2588)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2923:2923:2923) (2923:2923:2923)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2434:2434:2434) (2434:2434:2434)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2473:2473:2473) (2473:2473:2473)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2581:2581:2581) (2581:2581:2581)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1951:1951:1951) (1951:1951:1951)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_hsync_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2415:2415:2415) (2415:2415:2415)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_vsync_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3291:3291:3291) (3291:3291:3291)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_h_enable_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2923:2923:2923) (2923:2923:2923)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_v_enable_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2505:2505:2505) (2505:2505:2505)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_r_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2886:2886:2886) (2886:2886:2886)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_g_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2412:2412:2412) (2412:2412:2412)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_b_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3590:3590:3590) (3590:3590:3590)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2928:2928:2928) (2928:2928:2928)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2651:2651:2651) (2651:2651:2651)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2862:2862:2862) (2862:2862:2862)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2213:2213:2213) (2213:2213:2213)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2164:2164:2164) (2164:2164:2164)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3234:3234:3234) (3234:3234:3234)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1944:1944:1944) (1944:1944:1944)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2658:2658:2658) (2658:2658:2658)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2697:2697:2697) (2697:2697:2697)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3588:3588:3588) (3588:3588:3588)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2596:2596:2596) (2596:2596:2596)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3079:3079:3079) (3079:3079:3079)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3161:3161:3161) (3161:3161:3161)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2936:2936:2936) (2936:2936:2936)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_state_clk_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2635:2635:2635) (2635:2635:2635)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_toggle_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2984:2984:2984) (2984:2984:2984)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1954:1954:1954) (1954:1954:1954)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2344:2344:2344) (2344:2344:2344)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2071:2071:2071) (2071:2071:2071)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3103:3103:3103) (3103:3103:3103)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2140:2140:2140) (2140:2140:2140)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2117:2117:2117) (2117:2117:2117)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2137:2137:2137) (2137:2137:2137)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2366:2366:2366) (2366:2366:2366)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2395:2395:2395) (2395:2395:2395)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2410:2410:2410) (2410:2410:2410)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_10_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2098:2098:2098) (2098:2098:2098)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_11_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3664:3664:3664) (3664:3664:3664)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_12_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2134:2134:2134) (2134:2134:2134)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_13_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2805:2805:2805) (2805:2805:2805)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_14_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2158:2158:2158) (2158:2158:2158)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_15_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1677:1677:1677) (1677:1677:1677)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_16_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2162:2162:2162) (2162:2162:2162)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_17_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2526:2526:2526) (2526:2526:2526)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_18_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2189:2189:2189) (2189:2189:2189)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_19_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2650:2650:2650) (2650:2650:2650)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_20_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2503:2503:2503) (2503:2503:2503)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_21_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2412:2412:2412) (2412:2412:2412)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_22_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1963:1963:1963) (1963:1963:1963)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_23_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2680:2680:2680) (2680:2680:2680)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_24_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2024:2024:2024) (2024:2024:2024)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) +) diff --git a/bsp2/Designflow/ppr/sim/vga.asm.rpt b/bsp2/Designflow/ppr/sim/vga.asm.rpt new file mode 100644 index 0000000..dbd6166 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for vga +Wed Oct 28 14:19:47 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: vga.sof + 6. Assembler Device Options: vga.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Wed Oct 28 14:19:47 2009 ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Auto-increment JTAG user code for multiple configuration devices ; On ; On ; +; Disable CONF_DONE and nSTATUS pull-ups on configuration device ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Use Checkered Pattern as Uninitialized RAM Content ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; vga.sof ; +; vga.pof ; ++---------------------------+ + + ++-----------------------------------+ +; Assembler Device Options: vga.sof ; ++----------------+------------------+ +; Option ; Setting ; ++----------------+------------------+ +; Device ; EP1S25F672C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x002E5931 ; ++----------------+------------------+ + + ++-----------------------------------+ +; Assembler Device Options: vga.pof ; ++--------------------+--------------+ +; Option ; Setting ; ++--------------------+--------------+ +; Device ; EPC8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x0BFD1BE5 ; +; Compression Ratio ; 1 ; ++--------------------+--------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:19:28 2009 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Wed Oct 28 14:19:47 2009 + Info: Elapsed time: 00:00:19 + Info: Total CPU time (on all processors): 00:00:18 + + diff --git a/bsp2/Designflow/ppr/sim/vga.done b/bsp2/Designflow/ppr/sim/vga.done new file mode 100644 index 0000000..7b72c43 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.done @@ -0,0 +1 @@ +Wed Oct 28 14:19:56 2009 diff --git a/bsp2/Designflow/ppr/sim/vga.eda.rpt b/bsp2/Designflow/ppr/sim/vga.eda.rpt new file mode 100644 index 0000000..52cd6bc --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for vga +Wed Oct 28 14:19:55 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Wed Oct 28 14:19:55 2009 ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+-----------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+-----------------+ +; Tool Name ; ModelSim (VHDL) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+-----------------+ + + ++--------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++--------------------------------------------------------------------------------------+ +; Generated Files ; ++--------------------------------------------------------------------------------------+ +; /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/simulation/modelsim/vga.vho ; +; /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo ; ++--------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:19:54 2009 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga +Info: Generated files "vga.vho" and "vga_vhd.sdo" in directory "/homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/simulation/modelsim/" for EDA simulation tool +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 163 megabytes + Info: Processing ended: Wed Oct 28 14:19:55 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp2/Designflow/ppr/sim/vga.fit.rpt b/bsp2/Designflow/ppr/sim/vga.fit.rpt new file mode 100644 index 0000000..cb1208e --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.fit.rpt @@ -0,0 +1,1775 @@ +Fitter report for vga +Wed Oct 28 14:19:24 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Control Signals + 19. Global & Other Fast Signals + 20. Non-Global High Fan-Out Signals + 21. Interconnect Usage Summary + 22. LAB Logic Elements + 23. LAB-wide Signals + 24. LAB Signals Sourced + 25. LAB Signals Sourced Out + 26. LAB Distinct Inputs + 27. Fitter Device Options + 28. Estimated Delay Added for Hold Timing + 29. Fitter Messages + 30. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Fitter Summary ; ++--------------------------+------------------------------------------+ +; Fitter Status ; Successful - Wed Oct 28 14:19:24 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP1S25F672C6 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 292 ( 0.00 % ) ; +; -- Achieved ; 0 / 292 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 292 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/vga.pin. + + ++------------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+--------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+--------------------------------------------------+ +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; -- Combinational with no register ; 90 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 83 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 60 ; +; -- 3 input functions ; 52 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 120 ; +; -- arithmetic mode ; 53 ; +; -- qfbk mode ; 3 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 70 ; +; -- asynchronous clear/load mode ; 24 ; +; ; ; +; Total registers ; 83 / 28,424 ( < 1 % ) ; +; Total LABs ; 24 / 2,566 ( < 1 % ) ; +; Logic elements in carry chains ; 61 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 117 / 474 ( 25 % ) ; +; -- Clock pins ; 2 / 16 ( 13 % ) ; +; Global signals ; 2 ; +; M512s ; 0 / 224 ( 0 % ) ; +; M4Ks ; 0 / 138 ( 0 % ) ; +; M-RAMs ; 0 / 2 ( 0 % ) ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; Total RAM block bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; PLLs ; 0 / 6 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; SERDES transmitters ; 0 / 78 ( 0 % ) ; +; SERDES receivers ; 0 / 78 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 1% / 2% / 1% ; +; Maximum fan-out node ; clk_pin ; +; Maximum fan-out ; 84 ; +; Highest non-global fan-out signal ; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; +; Highest non-global fan-out ; 22 ; +; Total fan-out ; 871 ; +; Average fan-out ; 2.99 ; ++---------------------------------------------+--------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; clk_pin ; R3 ; 1 ; 0 ; 21 ; 0 ; 84 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; reset_pin ; N2 ; 2 ; 0 ; 27 ; 2 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; b0_pin ; AC15 ; 7 ; 50 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; b1_pin ; W15 ; 7 ; 46 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_b ; Y16 ; 7 ; 50 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[0] ; B16 ; 4 ; 52 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[1] ; C15 ; 4 ; 50 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[2] ; H16 ; 4 ; 50 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[3] ; F12 ; 9 ; 37 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[4] ; E13 ; 9 ; 37 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[5] ; C16 ; 4 ; 54 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[6] ; D17 ; 4 ; 56 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[7] ; E16 ; 4 ; 54 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[8] ; E14 ; 9 ; 37 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[9] ; H18 ; 4 ; 56 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_g ; T4 ; 1 ; 0 ; 15 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_h_enable ; AA13 ; 11 ; 37 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync ; AF12 ; 8 ; 33 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[0] ; P8 ; 2 ; 0 ; 28 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[1] ; AB14 ; 11 ; 37 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[2] ; N8 ; 2 ; 0 ; 28 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[3] ; M8 ; 2 ; 0 ; 29 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[4] ; N6 ; 2 ; 0 ; 29 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[5] ; AB12 ; 11 ; 37 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[6] ; P6 ; 1 ; 0 ; 20 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[7] ; N21 ; 5 ; 79 ; 28 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[8] ; D16 ; 4 ; 54 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[9] ; AB13 ; 11 ; 37 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[0] ; M9 ; 2 ; 0 ; 29 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[1] ; B10 ; 3 ; 21 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[2] ; AA12 ; 11 ; 37 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[3] ; F14 ; 9 ; 37 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[4] ; R9 ; 1 ; 0 ; 19 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[5] ; B17 ; 4 ; 58 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[6] ; C17 ; 4 ; 58 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[0] ; M7 ; 2 ; 0 ; 31 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[1] ; H10 ; 3 ; 27 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[2] ; G10 ; 3 ; 23 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[3] ; AC11 ; 8 ; 27 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[4] ; L4 ; 2 ; 0 ; 33 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[5] ; L7 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[6] ; L6 ; 2 ; 0 ; 32 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[7] ; A9 ; 3 ; 21 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[8] ; F9 ; 3 ; 21 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_r ; B8 ; 3 ; 14 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_column_counter ; D10 ; 3 ; 21 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_hsync_counter ; M6 ; 2 ; 0 ; 31 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_line_counter ; AA16 ; 7 ; 52 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_vsync_counter ; Y11 ; 8 ; 29 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_state_clk ; P7 ; 1 ; 0 ; 20 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle ; K4 ; 2 ; 0 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[0] ; J8 ; 2 ; 0 ; 35 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[10] ; E11 ; 3 ; 31 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[11] ; AA11 ; 8 ; 31 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[12] ; K5 ; 2 ; 0 ; 34 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[13] ; K7 ; 2 ; 0 ; 34 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[14] ; G9 ; 3 ; 23 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[15] ; G11 ; 3 ; 29 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[16] ; D11 ; 3 ; 25 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[17] ; K21 ; 5 ; 79 ; 34 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[18] ; C11 ; 3 ; 25 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[19] ; AD11 ; 8 ; 29 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[1] ; K25 ; 5 ; 79 ; 35 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[20] ; G7 ; 3 ; 17 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[21] ; U5 ; 1 ; 0 ; 15 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[22] ; U7 ; 1 ; 0 ; 14 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[23] ; T25 ; 6 ; 79 ; 15 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[24] ; U22 ; 6 ; 79 ; 14 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[2] ; E9 ; 3 ; 17 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[3] ; F10 ; 3 ; 23 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[4] ; K9 ; 2 ; 0 ; 35 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[5] ; B11 ; 3 ; 29 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[6] ; A10 ; 3 ; 23 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[7] ; K2 ; 2 ; 0 ; 35 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[8] ; K1 ; 2 ; 0 ; 35 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[9] ; E10 ; 3 ; 23 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_v_enable ; C10 ; 3 ; 21 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync ; L23 ; 5 ; 79 ; 31 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[0] ; M5 ; 2 ; 0 ; 30 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[1] ; E12 ; 9 ; 37 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[2] ; C12 ; 3 ; 33 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[3] ; M22 ; 5 ; 79 ; 30 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[4] ; M23 ; 5 ; 79 ; 30 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[5] ; N7 ; 2 ; 0 ; 29 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[6] ; A12 ; 3 ; 33 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[7] ; L2 ; 2 ; 0 ; 33 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[8] ; F13 ; 9 ; 37 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[9] ; M4 ; 2 ; 0 ; 30 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[0] ; F17 ; 4 ; 56 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[1] ; AD15 ; 7 ; 52 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[2] ; G18 ; 4 ; 58 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[3] ; AA14 ; 11 ; 37 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[4] ; A17 ; 4 ; 56 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[5] ; F15 ; 4 ; 46 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[6] ; G17 ; 4 ; 56 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g0_pin ; U8 ; 1 ; 0 ; 14 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g1_pin ; U18 ; 6 ; 79 ; 14 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g2_pin ; T20 ; 6 ; 79 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; hsync_pin ; AD12 ; 8 ; 33 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r0_pin ; B9 ; 3 ; 17 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r1_pin ; E8 ; 3 ; 14 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r2_pin ; A8 ; 3 ; 17 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[0] ; U19 ; 6 ; 79 ; 14 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[10] ; C2 ; 3 ; 3 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[11] ; H6 ; 2 ; 0 ; 41 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[12] ; E7 ; 3 ; 12 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[13] ; U6 ; 1 ; 0 ; 15 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[1] ; A6 ; 3 ; 9 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[2] ; L5 ; 2 ; 0 ; 33 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[3] ; U2 ; 1 ; 0 ; 14 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[4] ; U21 ; 6 ; 79 ; 14 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[5] ; T5 ; 1 ; 0 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[6] ; U1 ; 1 ; 0 ; 14 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[7] ; F5 ; 3 ; 9 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[8] ; L3 ; 2 ; 0 ; 33 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[9] ; A7 ; 3 ; 14 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; vsync_pin ; L22 ; 5 ; 79 ; 31 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 12 / 61 ( 20 % ) ; 3.3V ; -- ; +; 2 ; 25 / 59 ( 42 % ) ; 3.3V ; -- ; +; 3 ; 29 / 54 ( 54 % ) ; 3.3V ; -- ; +; 4 ; 16 / 56 ( 29 % ) ; 3.3V ; -- ; +; 5 ; 7 / 59 ( 12 % ) ; 3.3V ; -- ; +; 6 ; 6 / 61 ( 10 % ) ; 3.3V ; -- ; +; 7 ; 5 / 57 ( 9 % ) ; 3.3V ; -- ; +; 8 ; 6 / 54 ( 11 % ) ; 3.3V ; -- ; +; 9 ; 6 / 6 ( 100 % ) ; 3.3V ; -- ; +; 11 ; 6 / 6 ( 100 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; A2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A3 ; 733 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A4 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A5 ; 725 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A6 ; 717 ; 3 ; seven_seg_pin[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A7 ; 703 ; 3 ; seven_seg_pin[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A8 ; 702 ; 3 ; r2_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A9 ; 695 ; 3 ; d_line_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A10 ; 684 ; 3 ; d_toggle_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A12 ; 656 ; 3 ; d_vsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A15 ; 640 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; A16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A17 ; 602 ; 4 ; d_vsync_state[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A18 ; 589 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A19 ; 579 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A20 ; 571 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A21 ; 564 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A22 ; 554 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A23 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A24 ; 552 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AA1 ; 158 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA2 ; 157 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA3 ; 160 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA4 ; 159 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA5 ; 155 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA6 ; 154 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA7 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA8 ; 214 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA9 ; 223 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA10 ; 227 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA11 ; 251 ; 8 ; d_toggle_counter[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA12 ; 269 ; 11 ; d_hsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA13 ; 273 ; 11 ; d_h_enable ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA14 ; 271 ; 11 ; d_vsync_state[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA15 ; 283 ; 7 ; ^nIO_PULLUP ; ; ; ; -- ; -- ; ; -- ; -- ; +; AA16 ; 304 ; 7 ; d_set_line_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA17 ; 316 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA18 ; 324 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA19 ; 334 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA20 ; 344 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA21 ; 350 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA22 ; 386 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA23 ; 382 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA24 ; 381 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA25 ; 384 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA26 ; 383 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB1 ; 162 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB2 ; 161 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB3 ; 164 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB4 ; 163 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB5 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB6 ; 184 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB7 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB8 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB9 ; 217 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB10 ; 229 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB11 ; 231 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB12 ; 268 ; 11 ; d_hsync_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB13 ; 272 ; 11 ; d_hsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB14 ; 270 ; 11 ; d_hsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB15 ; 292 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB16 ; 309 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB17 ; 322 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB18 ; 323 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB19 ; 336 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB20 ; 346 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB21 ; 351 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB22 ; 365 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB23 ; 378 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB24 ; 377 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB25 ; 380 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB26 ; 379 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AC2 ; 165 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC3 ; 168 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC4 ; 167 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC5 ; 171 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC6 ; 185 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC7 ; 186 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC8 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC9 ; 215 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC10 ; 224 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC11 ; 239 ; 8 ; d_line_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC12 ; 257 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC14 ; ; ; GNDA_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC15 ; 293 ; 7 ; b0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC16 ; 307 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC17 ; 328 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC18 ; 338 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC19 ; 339 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC20 ; 349 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC21 ; 355 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC22 ; 369 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC23 ; 368 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC24 ; 374 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC25 ; 376 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AD1 ; 166 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD2 ; 172 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD3 ; 174 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD4 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD5 ; 170 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD6 ; 188 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD7 ; 192 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD8 ; 204 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD9 ; 216 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD10 ; 220 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD11 ; 247 ; 8 ; d_toggle_counter[19] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD12 ; 256 ; 8 ; hsync_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD13 ; ; ; VCCG_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD14 ; ; ; VCCA_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD15 ; 302 ; 7 ; d_vsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD16 ; 310 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD17 ; 329 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD18 ; 335 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD19 ; 337 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD20 ; 353 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD21 ; 354 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AD22 ; 370 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD23 ; 364 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD24 ; 367 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD25 ; 373 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD26 ; 375 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AE1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE2 ; 173 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE3 ; 179 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE4 ; 176 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE5 ; 187 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE6 ; 194 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE7 ; 189 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE8 ; 206 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE9 ; 218 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE10 ; 222 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE11 ; 232 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE12 ; 259 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE13 ; ; 11 ; VCC_PLL6_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AE14 ; ; ; GNDG_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE15 ; 274 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE16 ; 313 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE17 ; 319 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE18 ; 330 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE19 ; 340 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE20 ; 343 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE21 ; 352 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE22 ; 363 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE23 ; 366 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE24 ; 371 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE25 ; 358 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF3 ; 183 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF4 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF5 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF6 ; 198 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF7 ; 197 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF8 ; 207 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF9 ; 219 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF10 ; 230 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF12 ; 258 ; 8 ; d_hsync ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF15 ; 276 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AF16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF17 ; 315 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF18 ; 327 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF19 ; 331 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF20 ; 342 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF21 ; 347 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF22 ; 360 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF23 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF24 ; 362 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B3 ; 740 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B4 ; 736 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B5 ; 730 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B6 ; 716 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B7 ; 709 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B8 ; 704 ; 3 ; d_r ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B9 ; 698 ; 3 ; r0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B10 ; 694 ; 3 ; d_hsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B11 ; 667 ; 3 ; d_toggle_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B12 ; 655 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B13 ; ; ; GNDG_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B14 ; ; ; GNDA_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B15 ; 638 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B16 ; 610 ; 4 ; d_column_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B17 ; 596 ; 4 ; d_hsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B18 ; 582 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B19 ; 577 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B20 ; 567 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B21 ; 563 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B22 ; 551 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B23 ; 548 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B24 ; 543 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B25 ; 544 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C1 ; 0 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C2 ; 738 ; 3 ; seven_seg_pin[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C3 ; 731 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C4 ; 742 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C5 ; 743 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C6 ; 729 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C7 ; 728 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C8 ; 710 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C9 ; 699 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C10 ; 692 ; 3 ; d_v_enable ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C11 ; 682 ; 3 ; d_toggle_counter[18] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C12 ; 658 ; 3 ; d_vsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C14 ; ; ; VCCG_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; C15 ; 617 ; 4 ; d_column_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C16 ; 605 ; 4 ; d_column_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C17 ; 592 ; 4 ; d_hsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C18 ; 581 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C19 ; 573 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C20 ; 559 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C21 ; 566 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C22 ; 556 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C23 ; 550 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C24 ; 547 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C25 ; 539 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C26 ; 541 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D2 ; 1 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D3 ; 744 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D4 ; 741 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D5 ; 735 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D6 ; 722 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D7 ; 727 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D8 ; 712 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D9 ; 696 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D10 ; 691 ; 3 ; d_set_column_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D11 ; 683 ; 3 ; d_toggle_counter[16] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D12 ; 657 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; D13 ; ; 9 ; VCC_PLL5_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D14 ; ; ; VCCA_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; D15 ; 630 ; 4 ; #TRST ; input ; ; ; -- ; -- ; ; -- ; -- ; +; D16 ; 604 ; 4 ; d_hsync_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D17 ; 600 ; 4 ; d_column_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D18 ; 583 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D19 ; 575 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D20 ; 562 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D21 ; 561 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D22 ; 546 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D23 ; 545 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D24 ; 538 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D25 ; 540 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; E1 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E2 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E5 ; 726 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E6 ; 723 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E7 ; 713 ; 3 ; seven_seg_pin[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E8 ; 706 ; 3 ; r1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E9 ; 697 ; 3 ; d_toggle_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E10 ; 685 ; 3 ; d_toggle_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E11 ; 662 ; 3 ; d_toggle_counter[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E12 ; 646 ; 9 ; d_vsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E13 ; 642 ; 9 ; d_column_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E14 ; 644 ; 9 ; d_column_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E15 ; 629 ; 4 ; #TMS ; input ; ; ; -- ; -- ; ; -- ; -- ; +; E16 ; 607 ; 4 ; d_column_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E17 ; 597 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E18 ; 586 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E19 ; 578 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E20 ; 576 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E21 ; 569 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E22 ; 549 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E23 ; 534 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E24 ; 535 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E25 ; 536 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E26 ; 537 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F5 ; 720 ; 3 ; seven_seg_pin[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F6 ; 719 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F7 ; 707 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; F9 ; 690 ; 3 ; d_line_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F10 ; 687 ; 3 ; d_toggle_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F11 ; 659 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F12 ; 645 ; 9 ; d_column_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F13 ; 641 ; 9 ; d_vsync_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F14 ; 643 ; 9 ; d_hsync_state[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F15 ; 632 ; 4 ; d_vsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F16 ; 612 ; 4 ; ~DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F17 ; 599 ; 4 ; d_vsync_state[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F18 ; 591 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F19 ; 590 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F20 ; 584 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F21 ; 572 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F22 ; 560 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F23 ; 530 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F24 ; 531 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F25 ; 532 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F26 ; 533 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G1 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G2 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G3 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G4 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G5 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G7 ; 700 ; 3 ; d_toggle_counter[20] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; G9 ; 688 ; 3 ; d_toggle_counter[14] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G10 ; 686 ; 3 ; d_line_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G11 ; 670 ; 3 ; d_toggle_counter[15] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G12 ; 653 ; 3 ; ^DCLK ; ; ; ; -- ; -- ; ; -- ; -- ; +; G13 ; ; ; TEMPDIODEn ; ; ; ; -- ; -- ; ; -- ; -- ; +; G14 ; 636 ; 4 ; #TDO ; output ; ; ; -- ; -- ; ; -- ; -- ; +; G15 ; 631 ; 4 ; #TCK ; input ; ; ; -- ; -- ; ; -- ; -- ; +; G16 ; 622 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; G17 ; 601 ; 4 ; d_vsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G18 ; 594 ; 4 ; d_vsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G19 ; 585 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G20 ; 587 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G21 ; 522 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G22 ; 523 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G23 ; 526 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G24 ; 527 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G25 ; 528 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G26 ; 529 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H1 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H2 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H3 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H4 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H5 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H6 ; 23 ; 2 ; seven_seg_pin[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H7 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H8 ; 20 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; H9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H10 ; 675 ; 3 ; d_line_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H11 ; 654 ; 3 ; ^CONF_DONE ; ; ; ; -- ; -- ; ; -- ; -- ; +; H12 ; 652 ; 3 ; ^nCONFIG ; ; ; ; -- ; -- ; ; -- ; -- ; +; H13 ; 651 ; 3 ; ^nSTATUS ; ; ; ; -- ; -- ; ; -- ; -- ; +; H14 ; ; ; TEMPDIODEp ; ; ; ; -- ; -- ; ; -- ; -- ; +; H15 ; 635 ; 4 ; #TDI ; input ; ; ; -- ; -- ; ; -- ; -- ; +; H16 ; 621 ; 4 ; d_column_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H18 ; 603 ; 4 ; d_column_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H19 ; 506 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H20 ; 505 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H21 ; 514 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H22 ; 513 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H23 ; 518 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H24 ; 517 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H25 ; 524 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H26 ; 525 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J1 ; 34 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J2 ; 33 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J3 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J4 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J5 ; 36 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J6 ; 35 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J7 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J8 ; 48 ; 2 ; d_toggle_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J12 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J15 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J18 ; 521 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; J19 ; 494 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J20 ; 493 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J21 ; 504 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J22 ; 503 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J23 ; 512 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J24 ; 511 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J25 ; 508 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J26 ; 507 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K1 ; 46 ; 2 ; d_toggle_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K2 ; 45 ; 2 ; d_toggle_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K3 ; 38 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K4 ; 37 ; 2 ; d_toggle ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K5 ; 50 ; 2 ; d_toggle_counter[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K6 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K7 ; 52 ; 2 ; d_toggle_counter[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K8 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K9 ; 47 ; 2 ; d_toggle_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K19 ; 486 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K20 ; 485 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K21 ; 490 ; 5 ; d_toggle_counter[17] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K22 ; 489 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K23 ; 492 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K24 ; 491 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K25 ; 496 ; 5 ; d_toggle_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K26 ; 495 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L2 ; 54 ; 2 ; d_vsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L3 ; 53 ; 2 ; seven_seg_pin[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L4 ; 56 ; 2 ; d_line_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L5 ; 55 ; 2 ; seven_seg_pin[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L6 ; 60 ; 2 ; d_line_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L7 ; 59 ; 2 ; d_line_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L8 ; 61 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L9 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L18 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L19 ; 480 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L20 ; 482 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L21 ; 481 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L22 ; 478 ; 5 ; vsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L23 ; 479 ; 5 ; d_vsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L24 ; 488 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L25 ; 487 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; M1 ; 81 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M2 ; ; ; VCCG_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M3 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M4 ; 66 ; 2 ; d_vsync_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M5 ; 67 ; 2 ; d_vsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M6 ; 62 ; 2 ; d_set_hsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M7 ; 63 ; 2 ; d_line_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M8 ; 72 ; 2 ; d_hsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M9 ; 73 ; 2 ; d_hsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M18 ; 468 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M19 ; 469 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M20 ; 470 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M21 ; 471 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M22 ; 474 ; 5 ; d_vsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M23 ; 475 ; 5 ; d_vsync_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M24 ; 462 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M25 ; 463 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M26 ; 460 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N2 ; 78 ; 2 ; reset_pin ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N3 ; 79 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N4 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N5 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N6 ; 70 ; 2 ; d_hsync_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N7 ; 71 ; 2 ; d_vsync_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N8 ; 77 ; 2 ; d_hsync_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N19 ; 453 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N20 ; 464 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N21 ; 465 ; 5 ; d_hsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N22 ; ; ; GNDG_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N23 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N24 ; ; ; VCCG_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N25 ; ; ; VCCA_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P2 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P3 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P4 ; ; ; VCCG_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P5 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P6 ; 88 ; 1 ; d_hsync_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P7 ; 89 ; 1 ; d_state_clk ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P8 ; 76 ; 2 ; d_hsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P19 ; 452 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P20 ; 448 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P21 ; 449 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P22 ; ; ; VCCA_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P23 ; ; ; VCCG_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P24 ; 457 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P25 ; 458 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R1 ; 82 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R2 ; 83 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R3 ; 84 ; 1 ; clk_pin ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R4 ; 94 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R5 ; 95 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R6 ; 90 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R7 ; 91 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R8 ; 92 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R9 ; 93 ; 1 ; d_hsync_state[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R18 ; 443 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; R19 ; 436 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R20 ; 450 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R21 ; 451 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R22 ; 446 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R23 ; 447 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R24 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R25 ; ; ; GNDG_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R26 ; 459 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; T1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T2 ; 100 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T3 ; 99 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T4 ; 108 ; 1 ; d_g ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T5 ; 107 ; 1 ; seven_seg_pin[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T6 ; 106 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T7 ; 105 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T8 ; 98 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; T9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T18 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T19 ; 435 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T20 ; 432 ; 6 ; g2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T21 ; 431 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T22 ; 442 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T23 ; 441 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T24 ; 434 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T25 ; 433 ; 6 ; d_toggle_counter[23] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; U1 ; 112 ; 1 ; seven_seg_pin[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U2 ; 111 ; 1 ; seven_seg_pin[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U3 ; 116 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U4 ; 115 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U5 ; 110 ; 1 ; d_toggle_counter[21] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U6 ; 109 ; 1 ; seven_seg_pin[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U7 ; 114 ; 1 ; d_toggle_counter[22] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U8 ; 113 ; 1 ; g0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U9 ; 117 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U18 ; 428 ; 6 ; g1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U19 ; 427 ; 6 ; seven_seg_pin[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U20 ; 424 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U21 ; 430 ; 6 ; seven_seg_pin[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U22 ; 429 ; 6 ; d_toggle_counter[24] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U23 ; 418 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U24 ; 417 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U25 ; 426 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U26 ; 425 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V1 ; 132 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V2 ; 133 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V3 ; 136 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V4 ; 137 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V5 ; 124 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V6 ; 123 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V7 ; 127 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V8 ; 118 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V12 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V15 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V19 ; 423 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V20 ; 414 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V21 ; 406 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V22 ; 407 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V23 ; 404 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V24 ; 405 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V25 ; 408 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V26 ; 409 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W1 ; 140 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W2 ; 141 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W3 ; 148 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W4 ; 149 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W5 ; 134 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W6 ; 135 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W7 ; 138 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W8 ; 139 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W9 ; 212 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W10 ; 228 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W11 ; 255 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; W12 ; 260 ; 8 ; PLL_ENA ; ; ; ; -- ; -- ; ; -- ; -- ; +; W13 ; 263 ; 8 ; ^MSEL2 ; ; ; ; -- ; -- ; ; -- ; -- ; +; W14 ; 279 ; 7 ; ^nCEO ; ; ; ; -- ; -- ; ; -- ; -- ; +; W15 ; 282 ; 7 ; b1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; W16 ; 285 ; 7 ; ^PORSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; W17 ; 311 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W18 ; 321 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W19 ; 402 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W20 ; 403 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W21 ; 394 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W22 ; 395 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W23 ; 392 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W24 ; 393 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W25 ; 400 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W26 ; 401 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y1 ; 153 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y2 ; 152 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y3 ; 146 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y4 ; 147 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y5 ; 151 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y6 ; 150 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y7 ; 156 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y8 ; 210 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y9 ; 209 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y10 ; 226 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y11 ; 244 ; 8 ; d_set_vsync_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y12 ; 261 ; 8 ; ^MSEL0 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y13 ; 262 ; 8 ; ^MSEL1 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y14 ; 278 ; 7 ; ^nCE ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y15 ; 284 ; 7 ; ^VCCSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y16 ; 297 ; 7 ; d_b ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y17 ; 314 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y18 ; 317 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y19 ; 325 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y20 ; 333 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y21 ; 385 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y22 ; 387 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y23 ; 391 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y24 ; 390 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y25 ; 389 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y26 ; 388 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; 2.5 V ; 10 pF ; Not Available ; +; 1.8 V ; 10 pF ; Not Available ; +; 1.5 V ; 10 pF ; Not Available ; +; GTL ; 30 pF ; 25 Ohm (Parallel) ; +; GTL+ ; 30 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 8 pF ; 25 Ohm (Parallel) ; +; Compact PCI ; 10 pF ; 25 Ohm (Parallel) ; +; AGP 1X ; 10 pF ; Not Available ; +; AGP 2X ; 10 pF ; Not Available ; +; CTT ; 30 pF ; 50 Ohm (Parallel) ; +; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; LVDS ; 4 pF ; 100 Ohm (Differential) ; +; Differential LVPECL ; 4 pF ; 100 Ohm (Differential) ; +; 3.3-V PCML ; 4 pF ; 50 Ohm (Parallel) ; +; HyperTransport ; 4 pF ; 100 Ohm (Differential) ; +; Differential 1.5-V HSTL Class I ; 20 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.8-V HSTL Class I ; 20 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II) ; +; Differential SSTL-2 ; 30 pF ; (See SSTL-2) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; |vga ; 173 (3) ; 83 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 90 (1) ; 0 (0) ; 83 (2) ; 61 (0) ; 3 (0) ; |vga ; work ; +; |vga_control:vga_control_unit| ; 43 (43) ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 24 (24) ; 21 (21) ; 0 (0) ; |vga|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 127 (127) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 70 (70) ; 0 (0) ; 57 (57) ; 40 (40) ; 3 (3) ; |vga|vga_driver:vga_driver_unit ; work ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; r0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; hsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; vsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_column_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_line_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_hsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_vsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_h_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_v_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_r ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_g ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_b ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_state_clk ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[14] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[15] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[16] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[17] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[18] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[19] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[20] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[21] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[22] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[23] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[24] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; clk_pin ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; reset_pin ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------------------------------------------+-------------------+---------+ +; clk_pin_in ; ; ; +; reset_pin_in ; ; ; +; - vga_driver:vga_driver_unit|vsync_state_6_ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|h_sync_Z ; 0 ; ON ; +; - vga_driver:vga_driver_unit|v_sync_Z ; 0 ; ON ; +; - dly_counter_0_ ; 0 ; ON ; +; - dly_counter_1_ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; ++-----------------------------------------------------------------------+-------------------+---------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; clk_pin ; PIN_R3 ; 84 ; Clock ; yes ; Global Clock ; GCLK3 ; +; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; LC_X32_Y35_N4 ; 22 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|G_16_i ; LC_X35_Y30_N1 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|G_2_i ; LC_X37_Y29_N5 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X35_Y30_N5 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; LC_X37_Y35_N2 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; LC_X37_Y28_N4 ; 6 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; LC_X36_Y31_N2 ; 9 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X38_Y30_N2 ; 53 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK12 ; +; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; LC_X37_Y28_N9 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; LC_X35_Y30_N8 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; LC_X36_Y28_N6 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; LC_X37_Y30_N3 ; 5 ; Clock enable ; no ; -- ; -- ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ +; clk_pin ; PIN_R3 ; 84 ; Global Clock ; GCLK3 ; +; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X38_Y30_N2 ; 53 ; Global Clock ; GCLK12 ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ + + ++-----------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++-------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++-------------------------------------------------------------+---------+ +; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; 22 ; +; ~STRATIX_FITTER_CREATED_GND~I ; 14 ; +; vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; 11 ; +; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; 11 ; +; vga_driver:vga_driver_unit|G_16_i ; 10 ; +; vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa ; 10 ; +; vga_driver:vga_driver_unit|G_2_i ; 10 ; +; vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa ; 10 ; +; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10 ; +; vga_driver:vga_driver_unit|un10_column_counter_siglto9 ; 10 ; +; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; 9 ; +; vga_driver:vga_driver_unit|un10_line_counter_siglto8 ; 9 ; +; dly_counter[1] ; 9 ; +; dly_counter[0] ; 9 ; +; reset_pin ; 9 ; +; vga_driver:vga_driver_unit|vsync_counter_9 ; 9 ; +; vga_driver:vga_driver_unit|vsync_counter_0 ; 9 ; +; vga_driver:vga_driver_unit|hsync_counter_7 ; 7 ; +; vga_driver:vga_driver_unit|hsync_counter_6 ; 7 ; +; vga_driver:vga_driver_unit|hsync_counter_4 ; 7 ; +; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_9 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_8 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_5 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_3 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_2 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_1 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_0 ; 6 ; +; vga_driver:vga_driver_unit|vsync_state_1 ; 6 ; +; vga_driver:vga_driver_unit|hsync_state_1 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_6 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_5 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_4 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_3 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_2 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_7 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_6 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_5 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_4 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_3 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_2 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_0 ; 6 ; +; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; 5 ; +; vga_control:vga_control_unit|toggle_counter_sig_cout[9] ; 5 ; +; vga_control:vga_control_unit|toggle_counter_sig_cout[8] ; 5 ; +; vga_driver:vga_driver_unit|vsync_state_0 ; 5 ; +; vga_driver:vga_driver_unit|vsync_state_4 ; 5 ; +; vga_driver:vga_driver_unit|hsync_state_4 ; 5 ; +; vga_driver:vga_driver_unit|d_set_hsync_counter ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_8 ; 5 ; ++-------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Interconnect Usage Summary ; ++-----------------------------+-------------------------+ +; Interconnect Resource Type ; Usage ; ++-----------------------------+-------------------------+ +; C16 interconnects ; 51 / 4,620 ( 1 % ) ; +; C4 interconnects ; 114 / 69,840 ( < 1 % ) ; +; C8 interconnects ; 48 / 15,568 ( < 1 % ) ; +; DIFFIOCLKs ; 0 / 16 ( 0 % ) ; +; DQS bus muxes ; 0 / 102 ( 0 % ) ; +; DQS-16 I/O buses ; 0 / 8 ( 0 % ) ; +; DQS-32 I/O buses ; 0 / 4 ( 0 % ) ; +; DQS-8 I/O buses ; 0 / 20 ( 0 % ) ; +; Direct links ; 85 / 104,060 ( < 1 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; I/O buses ; 13 / 320 ( 4 % ) ; +; LUT chains ; 13 / 23,094 ( < 1 % ) ; +; Local routing interconnects ; 103 / 25,660 ( < 1 % ) ; +; R24 interconnects ; 64 / 4,692 ( 1 % ) ; +; R4 interconnects ; 207 / 141,520 ( < 1 % ) ; +; R8 interconnects ; 45 / 22,956 ( < 1 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; ++-----------------------------+-------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+------------------------------+ +; Number of Logic Elements (Average = 7.21) ; Number of LABs (Total = 24) ; ++--------------------------------------------+------------------------------+ +; 1 ; 3 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 2 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 14 ; ++--------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+------------------------------+ +; LAB-wide Signals (Average = 1.79) ; Number of LABs (Total = 24) ; ++------------------------------------+------------------------------+ +; 1 Async. clear ; 4 ; +; 1 Clock ; 20 ; +; 1 Clock enable ; 4 ; +; 1 Sync. clear ; 13 ; +; 1 Sync. load ; 2 ; ++------------------------------------+------------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 7.25) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 2 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 9 ; +; 11 ; 3 ; ++---------------------------------------------+------------------------------+ + + ++--------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+------------------------------+ +; Number of Signals Sourced Out (Average = 5.42) ; Number of LABs (Total = 24) ; ++-------------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 2 ; +; 5 ; 3 ; +; 6 ; 6 ; +; 7 ; 3 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 3 ; ++-------------------------------------------------+------------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 10.12) ; Number of LABs (Total = 24) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 3 ; +; 6 ; 0 ; +; 7 ; 2 ; +; 8 ; 1 ; +; 9 ; 4 ; +; 10 ; 1 ; +; 11 ; 2 ; +; 12 ; 1 ; +; 13 ; 1 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; +; 17 ; 3 ; +; 18 ; 1 ; +; 19 ; 0 ; +; 20 ; 0 ; +; 21 ; 1 ; ++----------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Data[0] ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:18:53 2009 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Info: Selected device EP1S25F672C6 for design "vga" +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP1S10F672C6 is compatible + Info: Device EP1S20F672C6 is compatible + Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible +Info: Fitter converted 1 user pins into dedicated programming pins + Info: Pin ~DATA0~ is reserved at location F16 +Warning: No exact pin location assignment(s) for 117 pins of 117 total pins + Info: Pin r0_pin not assigned to an exact location on the device + Info: Pin r1_pin not assigned to an exact location on the device + Info: Pin r2_pin not assigned to an exact location on the device + Info: Pin g0_pin not assigned to an exact location on the device + Info: Pin g1_pin not assigned to an exact location on the device + Info: Pin g2_pin not assigned to an exact location on the device + Info: Pin b0_pin not assigned to an exact location on the device + Info: Pin b1_pin not assigned to an exact location on the device + Info: Pin hsync_pin not assigned to an exact location on the device + Info: Pin vsync_pin not assigned to an exact location on the device + Info: Pin seven_seg_pin[0] not assigned to an exact location on the device + Info: Pin seven_seg_pin[1] not assigned to an exact location on the device + Info: Pin seven_seg_pin[2] not assigned to an exact location on the device + Info: Pin seven_seg_pin[3] not assigned to an exact location on the device + Info: Pin seven_seg_pin[4] not assigned to an exact location on the device + Info: Pin seven_seg_pin[5] not assigned to an exact location on the device + Info: Pin seven_seg_pin[6] not assigned to an exact location on the device + Info: Pin seven_seg_pin[7] not assigned to an exact location on the device + Info: Pin seven_seg_pin[8] not assigned to an exact location on the device + Info: Pin seven_seg_pin[9] not assigned to an exact location on the device + Info: Pin seven_seg_pin[10] not assigned to an exact location on the device + Info: Pin seven_seg_pin[11] not assigned to an exact location on the device + Info: Pin seven_seg_pin[12] not assigned to an exact location on the device + Info: Pin seven_seg_pin[13] not assigned to an exact location on the device + Info: Pin d_hsync not assigned to an exact location on the device + Info: Pin d_vsync not assigned to an exact location on the device + Info: Pin d_column_counter[0] not assigned to an exact location on the device + Info: Pin d_column_counter[1] not assigned to an exact location on the device + Info: Pin d_column_counter[2] not assigned to an exact location on the device + Info: Pin d_column_counter[3] not assigned to an exact location on the device + Info: Pin d_column_counter[4] not assigned to an exact location on the device + Info: Pin d_column_counter[5] not assigned to an exact location on the device + Info: Pin d_column_counter[6] not assigned to an exact location on the device + Info: Pin d_column_counter[7] not assigned to an exact location on the device + Info: Pin d_column_counter[8] not assigned to an exact location on the device + Info: Pin d_column_counter[9] not assigned to an exact location on the device + Info: Pin d_line_counter[0] not assigned to an exact location on the device + Info: Pin d_line_counter[1] not assigned to an exact location on the device + Info: Pin d_line_counter[2] not assigned to an exact location on the device + Info: Pin d_line_counter[3] not assigned to an exact location on the device + Info: Pin d_line_counter[4] not assigned to an exact location on the device + Info: Pin d_line_counter[5] not assigned to an exact location on the device + Info: Pin d_line_counter[6] not assigned to an exact location on the device + Info: Pin d_line_counter[7] not assigned to an exact location on the device + Info: Pin d_line_counter[8] not assigned to an exact location on the device + Info: Pin d_set_column_counter not assigned to an exact location on the device + Info: Pin d_set_line_counter not assigned to an exact location on the device + Info: Pin d_hsync_counter[0] not assigned to an exact location on the device + Info: Pin d_hsync_counter[1] not assigned to an exact location on the device + Info: Pin d_hsync_counter[2] not assigned to an exact location on the device + Info: Pin d_hsync_counter[3] not assigned to an exact location on the device + Info: Pin d_hsync_counter[4] not assigned to an exact location on the device + Info: Pin d_hsync_counter[5] not assigned to an exact location on the device + Info: Pin d_hsync_counter[6] not assigned to an exact location on the device + Info: Pin d_hsync_counter[7] not assigned to an exact location on the device + Info: Pin d_hsync_counter[8] not assigned to an exact location on the device + Info: Pin d_hsync_counter[9] not assigned to an exact location on the device + Info: Pin d_vsync_counter[0] not assigned to an exact location on the device + Info: Pin d_vsync_counter[1] not assigned to an exact location on the device + Info: Pin d_vsync_counter[2] not assigned to an exact location on the device + Info: Pin d_vsync_counter[3] not assigned to an exact location on the device + Info: Pin d_vsync_counter[4] not assigned to an exact location on the device + Info: Pin d_vsync_counter[5] not assigned to an exact location on the device + Info: Pin d_vsync_counter[6] not assigned to an exact location on the device + Info: Pin d_vsync_counter[7] not assigned to an exact location on the device + Info: Pin d_vsync_counter[8] not assigned to an exact location on the device + Info: Pin d_vsync_counter[9] not assigned to an exact location on the device + Info: Pin d_set_hsync_counter not assigned to an exact location on the device + Info: Pin d_set_vsync_counter not assigned to an exact location on the device + Info: Pin d_h_enable not assigned to an exact location on the device + Info: Pin d_v_enable not assigned to an exact location on the device + Info: Pin d_r not assigned to an exact location on the device + Info: Pin d_g not assigned to an exact location on the device + Info: Pin d_b not assigned to an exact location on the device + Info: Pin d_hsync_state[6] not assigned to an exact location on the device + Info: Pin d_hsync_state[5] not assigned to an exact location on the device + Info: Pin d_hsync_state[4] not assigned to an exact location on the device + Info: Pin d_hsync_state[3] not assigned to an exact location on the device + Info: Pin d_hsync_state[2] not assigned to an exact location on the device + Info: Pin d_hsync_state[1] not assigned to an exact location on the device + Info: Pin d_hsync_state[0] not assigned to an exact location on the device + Info: Pin d_vsync_state[6] not assigned to an exact location on the device + Info: Pin d_vsync_state[5] not assigned to an exact location on the device + Info: Pin d_vsync_state[4] not assigned to an exact location on the device + Info: Pin d_vsync_state[3] not assigned to an exact location on the device + Info: Pin d_vsync_state[2] not assigned to an exact location on the device + Info: Pin d_vsync_state[1] not assigned to an exact location on the device + Info: Pin d_vsync_state[0] not assigned to an exact location on the device + Info: Pin d_state_clk not assigned to an exact location on the device + Info: Pin d_toggle not assigned to an exact location on the device + Info: Pin d_toggle_counter[0] not assigned to an exact location on the device + Info: Pin d_toggle_counter[1] not assigned to an exact location on the device + Info: Pin d_toggle_counter[2] not assigned to an exact location on the device + Info: Pin d_toggle_counter[3] not assigned to an exact location on the device + Info: Pin d_toggle_counter[4] not assigned to an exact location on the device + Info: Pin d_toggle_counter[5] not assigned to an exact location on the device + Info: Pin d_toggle_counter[6] not assigned to an exact location on the device + Info: Pin d_toggle_counter[7] not assigned to an exact location on the device + Info: Pin d_toggle_counter[8] not assigned to an exact location on the device + Info: Pin d_toggle_counter[9] not assigned to an exact location on the device + Info: Pin d_toggle_counter[10] not assigned to an exact location on the device + Info: Pin d_toggle_counter[11] not assigned to an exact location on the device + Info: Pin d_toggle_counter[12] not assigned to an exact location on the device + Info: Pin d_toggle_counter[13] not assigned to an exact location on the device + Info: Pin d_toggle_counter[14] not assigned to an exact location on the device + Info: Pin d_toggle_counter[15] not assigned to an exact location on the device + Info: Pin d_toggle_counter[16] not assigned to an exact location on the device + Info: Pin d_toggle_counter[17] not assigned to an exact location on the device + Info: Pin d_toggle_counter[18] not assigned to an exact location on the device + Info: Pin d_toggle_counter[19] not assigned to an exact location on the device + Info: Pin d_toggle_counter[20] not assigned to an exact location on the device + Info: Pin d_toggle_counter[21] not assigned to an exact location on the device + Info: Pin d_toggle_counter[22] not assigned to an exact location on the device + Info: Pin d_toggle_counter[23] not assigned to an exact location on the device + Info: Pin d_toggle_counter[24] not assigned to an exact location on the device + Info: Pin clk_pin not assigned to an exact location on the device + Info: Pin reset_pin not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Completed User Assigned Global Signals Promotion Operation +Info: Automatically promoted some destinations of signal "clk_pin" to use Global clock in PIN R3 + Info: Destination "d_state_clk_out" may be non-global or may not use global clock +Info: Automatically promoted some destinations of signal "vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock + Info: Destination "seven_seg_pin_out_12_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_11_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_10_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_9_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_8_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_7_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_2_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_1_" may be non-global or may not use global clock + Info: Destination "vga_driver:vga_driver_unit|hsync_state_1_" may be non-global or may not use global clock + Info: Destination "vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock + Info: Limited to 10 non-global destinations +Info: Completed Auto Global Promotion Operation +Info: Starting register packing +Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option +Info: Finished register packing +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 116 (unused VREF, 3.3V VCCIO, 1 input, 115 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 60 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 59 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 54 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 55 pins available + Info: I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 59 pins available + Info: I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 61 pins available + Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 57 pins available + Info: I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 54 pins available + Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available + Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:04 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:03 +Info: Slack time is -4.354 ns between source register "vga_control:vga_control_unit|toggle_counter_sig_5" and destination register "vga_control:vga_control_unit|toggle_counter_sig_10" + Info: + Largest register to register requirement is 0.814 ns + Info: Shortest clock path from clock "clk_pin" to destination register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_10' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Longest clock path from clock "clk_pin" to destination register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_10' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Shortest clock path from clock "clk_pin" to source register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 7; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_5' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Longest clock path from clock "clk_pin" to source register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 7; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_5' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Micro clock to output delay of source is 0.176 ns + Info: Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 5.168 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 7; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_5' + Info: 2: + IC(0.433 ns) + CELL(0.332 ns) = 0.765 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglt6' + Info: 3: + IC(0.427 ns) + CELL(0.087 ns) = 1.279 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto9' + Info: 4: + IC(0.427 ns) + CELL(0.087 ns) = 1.793 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto12' + Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 2.307 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto15' + Info: 6: + IC(0.427 ns) + CELL(0.087 ns) = 2.821 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto18' + Info: 7: + IC(0.427 ns) + CELL(0.087 ns) = 3.335 ns; Loc. = Unassigned; Fanout = 22; COMB Node = 'vga_control:vga_control_unit|toggle_sig_0_0_0_g1' + Info: 8: + IC(1.051 ns) + CELL(0.782 ns) = 5.168 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_10' + Info: Total cell delay = 1.549 ns ( 29.97 % ) + Info: Total interconnect delay = 3.619 ns ( 70.03 % ) +Info: Estimated most critical path is register to register delay of 5.168 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X33_Y35; Fanout = 7; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_5' + Info: 2: + IC(0.433 ns) + CELL(0.332 ns) = 0.765 ns; Loc. = LAB_X32_Y35; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglt6' + Info: 3: + IC(0.427 ns) + CELL(0.087 ns) = 1.279 ns; Loc. = LAB_X32_Y35; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto9' + Info: 4: + IC(0.427 ns) + CELL(0.087 ns) = 1.793 ns; Loc. = LAB_X32_Y35; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto12' + Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 2.307 ns; Loc. = LAB_X32_Y35; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto15' + Info: 6: + IC(0.427 ns) + CELL(0.087 ns) = 2.821 ns; Loc. = LAB_X32_Y35; Fanout = 1; COMB Node = 'vga_control:vga_control_unit|un1_toggle_counter_siglto18' + Info: 7: + IC(0.427 ns) + CELL(0.087 ns) = 3.335 ns; Loc. = LAB_X32_Y35; Fanout = 22; COMB Node = 'vga_control:vga_control_unit|toggle_sig_0_0_0_g1' + Info: 8: + IC(1.051 ns) + CELL(0.782 ns) = 5.168 ns; Loc. = LAB_X31_Y34; Fanout = 8; REG Node = 'vga_control:vga_control_unit|toggle_counter_sig_10' + Info: Total cell delay = 1.549 ns ( 29.97 % ) + Info: Total interconnect delay = 3.619 ns ( 70.03 % ) +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X34_Y24 to location X44_Y35 +Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Completed Fixed Delay Chain Operation +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Info: Completed Auto Delay Chain Operation +Warning: Following 14 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results + Info: Pin g0_pin has GND driving its datain port + Info: Pin g1_pin has GND driving its datain port + Info: Pin g2_pin has GND driving its datain port + Info: Pin seven_seg_pin[0] has GND driving its datain port + Info: Pin seven_seg_pin[3] has GND driving its datain port + Info: Pin seven_seg_pin[4] has GND driving its datain port + Info: Pin seven_seg_pin[5] has GND driving its datain port + Info: Pin seven_seg_pin[6] has GND driving its datain port + Info: Pin seven_seg_pin[13] has GND driving its datain port + Info: Pin d_g has GND driving its datain port + Info: Pin d_toggle_counter[21] has GND driving its datain port + Info: Pin d_toggle_counter[22] has GND driving its datain port + Info: Pin d_toggle_counter[23] has GND driving its datain port + Info: Pin d_toggle_counter[24] has GND driving its datain port +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/vga.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 320 megabytes + Info: Processing ended: Wed Oct 28 14:19:25 2009 + Info: Elapsed time: 00:00:32 + Info: Total CPU time (on all processors): 00:00:30 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/sim/vga.fit.smsg. + + diff --git a/bsp2/Designflow/ppr/sim/vga.fit.smsg b/bsp2/Designflow/ppr/sim/vga.fit.smsg new file mode 100644 index 0000000..38de4e4 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.fit.smsg @@ -0,0 +1,8 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Start inferring scan chains for DSP blocks +Extra Info: Inferring scan chains for DSP blocks is complete +Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density +Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks diff --git a/bsp2/Designflow/ppr/sim/vga.fit.summary b/bsp2/Designflow/ppr/sim/vga.fit.summary new file mode 100644 index 0000000..e4f5669 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.fit.summary @@ -0,0 +1,14 @@ +Fitter Status : Successful - Wed Oct 28 14:19:24 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga +Top-level Entity Name : vga +Family : Stratix +Device : EP1S25F672C6 +Timing Models : Final +Total logic elements : 173 / 25,660 ( < 1 % ) +Total pins : 117 / 474 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,944,576 ( 0 % ) +DSP block 9-bit elements : 0 / 80 ( 0 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) diff --git a/bsp2/Designflow/ppr/sim/vga.flow.rpt b/bsp2/Designflow/ppr/sim/vga.flow.rpt new file mode 100644 index 0000000..46666e7 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.flow.rpt @@ -0,0 +1,126 @@ +Flow report for vga +Wed Oct 28 14:19:55 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Wed Oct 28 14:19:55 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 10/28/2009 14:18:47 ; +; Main task ; Compilation ; +; Revision Name ; vga ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815332912.125673592628073 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim (VHDL) ; ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; -- ; 00:00:02 ; +; Fitter ; 00:00:31 ; 1.0 ; -- ; 00:00:29 ; +; Assembler ; 00:00:19 ; 1.0 ; -- ; 00:00:18 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:56 ; -- ; -- ; 00:00:50 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti15 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti15 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti15 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti15 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti15 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga + + + diff --git a/bsp2/Designflow/ppr/sim/vga.map.rpt b/bsp2/Designflow/ppr/sim/vga.map.rpt new file mode 100644 index 0000000..df78d4b --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.map.rpt @@ -0,0 +1,245 @@ +Analysis & Synthesis report for vga +Wed Oct 28 14:18:49 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. Registers Removed During Synthesis + 8. General Register Statistics + 9. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Wed Oct 28 14:18:49 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Total logic elements ; 175 ; +; Total pins ; 117 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; DSP block 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; Total DLLs ; 0 ; ++-----------------------------+------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP1S25F672C6 ; ; +; Top-level entity name ; vga ; vga ; +; Family name ; Stratix ; Stratix II ; +; Type of Retiming Performed During Resynthesis ; Full ; ; +; Resynthesis Optimization Effort ; Normal ; ; +; Physical Synthesis Level for Resynthesis ; Normal ; ; +; Use Generated Physical Constraints File ; On ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Allows Asynchronous Clear Usage For Shift Register Replacement ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++----------------------------------------------------------------+--------------------+--------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; ../../syn/rev_1/vga.vqm ; yes ; User Verilog Quartus Mapping File ; /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ + + ++-------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------+ +; Resource ; Usage ; ++---------------------------------------------+---------+ +; Total logic elements ; 175 ; +; -- Combinational with no register ; 92 ; +; -- Register only ; 3 ; +; -- Combinational with a register ; 80 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 60 ; +; -- 3 input functions ; 52 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 122 ; +; -- arithmetic mode ; 53 ; +; -- qfbk mode ; 0 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 69 ; +; -- asynchronous clear/load mode ; 24 ; +; ; ; +; Total registers ; 83 ; +; Total logic cells in carry chains ; 61 ; +; I/O pins ; 117 ; +; Maximum fan-out node ; clk_pin ; +; Maximum fan-out ; 84 ; +; Total fan-out ; 844 ; +; Average fan-out ; 2.89 ; ++---------------------------------------------+---------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; |vga ; 175 (2) ; 83 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 92 (0) ; 3 (0) ; 80 (2) ; 61 (0) ; 0 (0) ; |vga ; work ; +; |vga_control:vga_control_unit| ; 43 (43) ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 0 (0) ; 24 (24) ; 21 (21) ; 0 (0) ; |vga|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 130 (130) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 73 (73) ; 3 (3) ; 54 (54) ; 40 (40) ; 0 (0) ; |vga|vga_driver:vga_driver_unit ; work ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++---------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++----------------------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++----------------------------------------------------+----------------------------------------+ +; vga_control:vga_control_unit|toggle_counter_sig_24 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_23 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_22 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_21 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|g ; Stuck at GND due to stuck port reg_out ; +; Total Number of Removed Registers = 5 ; ; ++----------------------------------------------------+----------------------------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 83 ; +; Number of registers using Synchronous Clear ; 69 ; +; Number of registers using Synchronous Load ; 20 ; +; Number of registers using Asynchronous Clear ; 24 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 12 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:18:43 2009 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm + Info: Found entity 1: vga_driver + Info: Found entity 2: vga_control + Info: Found entity 3: vga +Info: Elaborating entity "vga" for the top level hierarchy +Info: Elaborating entity "vga_driver" for hierarchy "vga_driver:vga_driver_unit" +Info: Elaborating entity "vga_control" for hierarchy "vga_control:vga_control_unit" +Info: Implemented 292 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins + Info: Implemented 115 output pins + Info: Implemented 175 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 185 megabytes + Info: Processing ended: Wed Oct 28 14:18:49 2009 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/bsp2/Designflow/ppr/sim/vga.map.summary b/bsp2/Designflow/ppr/sim/vga.map.summary new file mode 100644 index 0000000..5a8715d --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.map.summary @@ -0,0 +1,12 @@ +Analysis & Synthesis Status : Successful - Wed Oct 28 14:18:49 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga +Top-level Entity Name : vga +Family : Stratix +Total logic elements : 175 +Total pins : 117 +Total virtual pins : 0 +Total memory bits : 0 +DSP block 9-bit elements : 0 +Total PLLs : 0 +Total DLLs : 0 diff --git a/bsp2/Designflow/ppr/sim/vga.pin b/bsp2/Designflow/ppr/sim/vga.pin new file mode 100644 index 0000000..c88de7e --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.pin @@ -0,0 +1,748 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- Bank 9: 3.3V + -- Bank 11: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version +CHIP "vga" ASSIGNED TO AN: EP1S25F672C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A2 : gnd : : : : +GND* : A3 : : : : 3 : +VCCIO3 : A4 : power : : 3.3V : 3 : +GND* : A5 : : : : 3 : +seven_seg_pin[1] : A6 : output : 3.3-V LVTTL : : 3 : N +seven_seg_pin[9] : A7 : output : 3.3-V LVTTL : : 3 : N +r2_pin : A8 : output : 3.3-V LVTTL : : 3 : N +d_line_counter[7] : A9 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[6] : A10 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : A11 : power : : 3.3V : 3 : +d_vsync_counter[6] : A12 : output : 3.3-V LVTTL : : 3 : N +GND : A13 : gnd : : : : +GND : A14 : gnd : : : : +GND+ : A15 : : : : 4 : +VCCIO4 : A16 : power : : 3.3V : 4 : +d_vsync_state[4] : A17 : output : 3.3-V LVTTL : : 4 : N +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +GND* : A21 : : : : 4 : +GND* : A22 : : : : 4 : +VCCIO4 : A23 : power : : 3.3V : 4 : +GND* : A24 : : : : 4 : +GND : A25 : gnd : : : : +GND* : AA1 : : : : 1 : +GND* : AA2 : : : : 1 : +GND* : AA3 : : : : 1 : +GND* : AA4 : : : : 1 : +GND* : AA5 : : : : 1 : +GND* : AA6 : : : : 1 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +d_toggle_counter[11] : AA11 : output : 3.3-V LVTTL : : 8 : N +d_hsync_state[2] : AA12 : output : 3.3-V LVTTL : : 11 : N +d_h_enable : AA13 : output : 3.3-V LVTTL : : 11 : N +d_vsync_state[3] : AA14 : output : 3.3-V LVTTL : : 11 : N +nIO_PULLUP : AA15 : : : : 7 : +d_set_line_counter : AA16 : output : 3.3-V LVTTL : : 7 : N +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND* : AA21 : : : : 7 : +GND* : AA22 : : : : 6 : +GND* : AA23 : : : : 6 : +GND* : AA24 : : : : 6 : +GND* : AA25 : : : : 6 : +GND* : AA26 : : : : 6 : +GND* : AB1 : : : : 1 : +GND* : AB2 : : : : 1 : +GND* : AB3 : : : : 1 : +GND* : AB4 : : : : 1 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +d_hsync_counter[5] : AB12 : output : 3.3-V LVTTL : : 11 : N +d_hsync_counter[9] : AB13 : output : 3.3-V LVTTL : : 11 : N +d_hsync_counter[1] : AB14 : output : 3.3-V LVTTL : : 11 : N +GND : AB15 : gnd : : : : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND : AB18 : gnd : : : : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +GND* : AB21 : : : : 7 : +GND* : AB22 : : : : 7 : +GND* : AB23 : : : : 6 : +GND* : AB24 : : : : 6 : +GND* : AB25 : : : : 6 : +GND* : AB26 : : : : 6 : +VCCIO1 : AC1 : power : : 3.3V : 1 : +GND* : AC2 : : : : 1 : +GND* : AC3 : : : : 1 : +GND* : AC4 : : : : 1 : +GND* : AC5 : : : : 8 : +GND* : AC6 : : : : 8 : +GND* : AC7 : : : : 8 : +GND* : AC8 : : : : 8 : +GND* : AC9 : : : : 8 : +GND* : AC10 : : : : 8 : +d_line_counter[3] : AC11 : output : 3.3-V LVTTL : : 8 : N +GND+ : AC12 : : : : 8 : +GND : AC13 : gnd : : : : +GNDA_PLL6 : AC14 : gnd : : : : +b0_pin : AC15 : output : 3.3-V LVTTL : : 7 : N +GND* : AC16 : : : : 7 : +GND* : AC17 : : : : 7 : +GND* : AC18 : : : : 7 : +GND* : AC19 : : : : 7 : +GND* : AC20 : : : : 7 : +GND* : AC21 : : : : 7 : +GND* : AC22 : : : : 7 : +GND* : AC23 : : : : 7 : +GND* : AC24 : : : : 6 : +GND* : AC25 : : : : 6 : +VCCIO6 : AC26 : power : : 3.3V : 6 : +GND* : AD1 : : : : 1 : +GND* : AD2 : : : : 8 : +GND* : AD3 : : : : 8 : +GND* : AD4 : : : : 8 : +GND* : AD5 : : : : 8 : +GND* : AD6 : : : : 8 : +GND* : AD7 : : : : 8 : +GND* : AD8 : : : : 8 : +GND* : AD9 : : : : 8 : +GND* : AD10 : : : : 8 : +d_toggle_counter[19] : AD11 : output : 3.3-V LVTTL : : 8 : N +hsync_pin : AD12 : output : 3.3-V LVTTL : : 8 : N +VCCG_PLL6 : AD13 : power : : 1.5V : : +VCCA_PLL6 : AD14 : power : : 1.5V : : +d_vsync_state[1] : AD15 : output : 3.3-V LVTTL : : 7 : N +GND* : AD16 : : : : 7 : +GND* : AD17 : : : : 7 : +GND* : AD18 : : : : 7 : +GND* : AD19 : : : : 7 : +GND* : AD20 : : : : 7 : +GND : AD21 : gnd : : : : +GND* : AD22 : : : : 7 : +GND* : AD23 : : : : 7 : +GND* : AD24 : : : : 7 : +GND* : AD25 : : : : 6 : +GND* : AD26 : : : : 6 : +GND : AE1 : gnd : : : : +GND* : AE2 : : : : 8 : +GND* : AE3 : : : : 8 : +GND* : AE4 : : : : 8 : +GND : AE5 : gnd : : : : +GND* : AE6 : : : : 8 : +GND* : AE7 : : : : 8 : +GND* : AE8 : : : : 8 : +GND : AE9 : gnd : : : : +GND* : AE10 : : : : 8 : +GND* : AE11 : : : : 8 : +GND+ : AE12 : : : : 8 : +VCC_PLL6_OUTA : AE13 : power : : 3.3V : 11 : +GNDG_PLL6 : AE14 : gnd : : : : +GND+ : AE15 : : : : 7 : +GND* : AE16 : : : : 7 : +GND* : AE17 : : : : 7 : +GND* : AE18 : : : : 7 : +GND* : AE19 : : : : 7 : +GND* : AE20 : : : : 7 : +GND* : AE21 : : : : 7 : +GND* : AE22 : : : : 7 : +GND* : AE23 : : : : 7 : +GND* : AE24 : : : : 7 : +GND* : AE25 : : : : 7 : +GND : AE26 : gnd : : : : +GND : AF2 : gnd : : : : +GND* : AF3 : : : : 8 : +VCCIO8 : AF4 : power : : 3.3V : 8 : +GND* : AF5 : : : : 8 : +GND* : AF6 : : : : 8 : +GND* : AF7 : : : : 8 : +GND* : AF8 : : : : 8 : +GND* : AF9 : : : : 8 : +GND* : AF10 : : : : 8 : +VCCIO8 : AF11 : power : : 3.3V : 8 : +d_hsync : AF12 : output : 3.3-V LVTTL : : 8 : N +GND : AF13 : gnd : : : : +GND : AF14 : gnd : : : : +GND+ : AF15 : : : : 7 : +VCCIO7 : AF16 : power : : 3.3V : 7 : +GND* : AF17 : : : : 7 : +GND* : AF18 : : : : 7 : +GND* : AF19 : : : : 7 : +GND* : AF20 : : : : 7 : +GND* : AF21 : : : : 7 : +GND* : AF22 : : : : 7 : +VCCIO7 : AF23 : power : : 3.3V : 7 : +GND* : AF24 : : : : 7 : +GND : AF25 : gnd : : : : +GND : B1 : gnd : : : : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +d_r : B8 : output : 3.3-V LVTTL : : 3 : N +r0_pin : B9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_state[1] : B10 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[5] : B11 : output : 3.3-V LVTTL : : 3 : N +GND+ : B12 : : : : 3 : +GNDG_PLL5 : B13 : gnd : : : : +GNDA_PLL5 : B14 : gnd : : : : +GND+ : B15 : : : : 4 : +d_column_counter[0] : B16 : output : 3.3-V LVTTL : : 4 : N +d_hsync_state[5] : B17 : output : 3.3-V LVTTL : : 4 : N +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND* : B21 : : : : 4 : +GND* : B22 : : : : 4 : +GND* : B23 : : : : 4 : +GND* : B24 : : : : 4 : +GND* : B25 : : : : 4 : +GND : B26 : gnd : : : : +GND* : C1 : : : : 2 : +seven_seg_pin[10] : C2 : output : 3.3-V LVTTL : : 3 : N +GND* : C3 : : : : 3 : +GND* : C4 : : : : 3 : +GND* : C5 : : : : 3 : +GND* : C6 : : : : 3 : +GND* : C7 : : : : 3 : +GND* : C8 : : : : 3 : +GND* : C9 : : : : 3 : +d_v_enable : C10 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[18] : C11 : output : 3.3-V LVTTL : : 3 : N +d_vsync_counter[2] : C12 : output : 3.3-V LVTTL : : 3 : N +GND : C13 : gnd : : : : +VCCG_PLL5 : C14 : power : : 1.5V : : +d_column_counter[1] : C15 : output : 3.3-V LVTTL : : 4 : N +d_column_counter[5] : C16 : output : 3.3-V LVTTL : : 4 : N +d_hsync_state[6] : C17 : output : 3.3-V LVTTL : : 4 : N +GND* : C18 : : : : 4 : +GND* : C19 : : : : 4 : +GND* : C20 : : : : 4 : +GND* : C21 : : : : 4 : +GND* : C22 : : : : 4 : +GND* : C23 : : : : 4 : +GND* : C24 : : : : 4 : +GND* : C25 : : : : 5 : +GND* : C26 : : : : 5 : +VCCIO2 : D1 : power : : 3.3V : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 3 : +GND* : D4 : : : : 3 : +GND* : D5 : : : : 3 : +GND* : D6 : : : : 3 : +GND : D7 : gnd : : : : +GND* : D8 : : : : 3 : +GND : D9 : gnd : : : : +d_set_column_counter : D10 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[16] : D11 : output : 3.3-V LVTTL : : 3 : N +GND+ : D12 : : : : 3 : +VCC_PLL5_OUTA : D13 : power : : 3.3V : 9 : +VCCA_PLL5 : D14 : power : : 1.5V : : +TRST : D15 : input : : : 4 : +d_hsync_counter[8] : D16 : output : 3.3-V LVTTL : : 4 : N +d_column_counter[6] : D17 : output : 3.3-V LVTTL : : 4 : N +GND* : D18 : : : : 4 : +GND* : D19 : : : : 4 : +GND* : D20 : : : : 4 : +GND* : D21 : : : : 4 : +GND* : D22 : : : : 4 : +GND* : D23 : : : : 4 : +GND* : D24 : : : : 5 : +GND* : D25 : : : : 5 : +VCCIO5 : D26 : power : : 3.3V : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +GND* : E5 : : : : 3 : +GND* : E6 : : : : 3 : +seven_seg_pin[12] : E7 : output : 3.3-V LVTTL : : 3 : N +r1_pin : E8 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[2] : E9 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[9] : E10 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[10] : E11 : output : 3.3-V LVTTL : : 3 : N +d_vsync_counter[1] : E12 : output : 3.3-V LVTTL : : 9 : N +d_column_counter[4] : E13 : output : 3.3-V LVTTL : : 9 : N +d_column_counter[8] : E14 : output : 3.3-V LVTTL : : 9 : N +TMS : E15 : input : : : 4 : +d_column_counter[7] : E16 : output : 3.3-V LVTTL : : 4 : N +GND* : E17 : : : : 4 : +GND* : E18 : : : : 4 : +GND* : E19 : : : : 4 : +GND* : E20 : : : : 4 : +GND* : E21 : : : : 4 : +GND* : E22 : : : : 4 : +GND* : E23 : : : : 5 : +GND* : E24 : : : : 5 : +GND* : E25 : : : : 5 : +GND* : E26 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +seven_seg_pin[7] : F5 : output : 3.3-V LVTTL : : 3 : N +GND* : F6 : : : : 3 : +GND* : F7 : : : : 3 : +GND : F8 : gnd : : : : +d_line_counter[8] : F9 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[3] : F10 : output : 3.3-V LVTTL : : 3 : N +GND : F11 : gnd : : : : +d_column_counter[3] : F12 : output : 3.3-V LVTTL : : 9 : N +d_vsync_counter[8] : F13 : output : 3.3-V LVTTL : : 9 : N +d_hsync_state[3] : F14 : output : 3.3-V LVTTL : : 9 : N +d_vsync_state[5] : F15 : output : 3.3-V LVTTL : : 4 : N +~DATA0~ / RESERVED_INPUT : F16 : input : 3.3-V LVTTL : : 4 : N +d_vsync_state[0] : F17 : output : 3.3-V LVTTL : : 4 : N +GND : F18 : gnd : : : : +GND* : F19 : : : : 4 : +GND* : F20 : : : : 4 : +GND* : F21 : : : : 4 : +GND : F22 : gnd : : : : +GND* : F23 : : : : 5 : +GND* : F24 : : : : 5 : +GND* : F25 : : : : 5 : +GND* : F26 : : : : 5 : +GND* : G1 : : : : 2 : +GND* : G2 : : : : 2 : +GND* : G3 : : : : 2 : +GND* : G4 : : : : 2 : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +d_toggle_counter[20] : G7 : output : 3.3-V LVTTL : : 3 : N +GND : G8 : gnd : : : : +d_toggle_counter[14] : G9 : output : 3.3-V LVTTL : : 3 : N +d_line_counter[2] : G10 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[15] : G11 : output : 3.3-V LVTTL : : 3 : N +DCLK : G12 : : : : 3 : +TEMPDIODEn : G13 : : : : : +TDO : G14 : output : : : 4 : +TCK : G15 : input : : : 4 : +GND : G16 : gnd : : : : +d_vsync_state[6] : G17 : output : 3.3-V LVTTL : : 4 : N +d_vsync_state[2] : G18 : output : 3.3-V LVTTL : : 4 : N +GND* : G19 : : : : 4 : +GND* : G20 : : : : 4 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : G23 : : : : 5 : +GND* : G24 : : : : 5 : +GND* : G25 : : : : 5 : +GND* : G26 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +seven_seg_pin[11] : H6 : output : 3.3-V LVTTL : : 2 : N +GND* : H7 : : : : 2 : +GND : H8 : gnd : : : : +GND : H9 : gnd : : : : +d_line_counter[1] : H10 : output : 3.3-V LVTTL : : 3 : N +CONF_DONE : H11 : : : : 3 : +nCONFIG : H12 : : : : 3 : +nSTATUS : H13 : : : : 3 : +TEMPDIODEp : H14 : : : : : +TDI : H15 : input : : : 4 : +d_column_counter[2] : H16 : output : 3.3-V LVTTL : : 4 : N +GND : H17 : gnd : : : : +d_column_counter[9] : H18 : output : 3.3-V LVTTL : : 4 : N +GND* : H19 : : : : 5 : +GND* : H20 : : : : 5 : +GND* : H21 : : : : 5 : +GND* : H22 : : : : 5 : +GND* : H23 : : : : 5 : +GND* : H24 : : : : 5 : +GND* : H25 : : : : 5 : +GND* : H26 : : : : 5 : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +GND* : J3 : : : : 2 : +GND* : J4 : : : : 2 : +GND* : J5 : : : : 2 : +GND* : J6 : : : : 2 : +GND* : J7 : : : : 2 : +d_toggle_counter[0] : J8 : output : 3.3-V LVTTL : : 2 : N +GND : J9 : gnd : : : : +GND : J10 : gnd : : : : +VCCIO3 : J11 : power : : 3.3V : 3 : +VCCIO3 : J12 : power : : 3.3V : 3 : +GND : J13 : gnd : : : : +GND : J14 : gnd : : : : +VCCIO4 : J15 : power : : 3.3V : 4 : +VCCIO4 : J16 : power : : 3.3V : 4 : +GND : J17 : gnd : : : : +GND : J18 : gnd : : : : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +GND* : J23 : : : : 5 : +GND* : J24 : : : : 5 : +GND* : J25 : : : : 5 : +GND* : J26 : : : : 5 : +d_toggle_counter[8] : K1 : output : 3.3-V LVTTL : : 2 : N +d_toggle_counter[7] : K2 : output : 3.3-V LVTTL : : 2 : N +GND* : K3 : : : : 2 : +d_toggle : K4 : output : 3.3-V LVTTL : : 2 : N +d_toggle_counter[12] : K5 : output : 3.3-V LVTTL : : 2 : N +GND* : K6 : : : : 2 : +d_toggle_counter[13] : K7 : output : 3.3-V LVTTL : : 2 : N +GND* : K8 : : : : 2 : +d_toggle_counter[4] : K9 : output : 3.3-V LVTTL : : 2 : N +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.5V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.5V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.5V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.5V : : +GND : K18 : gnd : : : : +GND* : K19 : : : : 5 : +GND* : K20 : : : : 5 : +d_toggle_counter[17] : K21 : output : 3.3-V LVTTL : : 5 : N +GND* : K22 : : : : 5 : +GND* : K23 : : : : 5 : +GND* : K24 : : : : 5 : +d_toggle_counter[1] : K25 : output : 3.3-V LVTTL : : 5 : N +GND* : K26 : : : : 5 : +VCCIO2 : L1 : power : : 3.3V : 2 : +d_vsync_counter[7] : L2 : output : 3.3-V LVTTL : : 2 : N +seven_seg_pin[8] : L3 : output : 3.3-V LVTTL : : 2 : N +d_line_counter[4] : L4 : output : 3.3-V LVTTL : : 2 : N +seven_seg_pin[2] : L5 : output : 3.3-V LVTTL : : 2 : N +d_line_counter[6] : L6 : output : 3.3-V LVTTL : : 2 : N +d_line_counter[5] : L7 : output : 3.3-V LVTTL : : 2 : N +GND : L8 : gnd : : : : +VCCIO2 : L9 : power : : 3.3V : 2 : +VCCINT : L10 : power : : 1.5V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.5V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.5V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.5V : : +GND : L17 : gnd : : : : +VCCIO5 : L18 : power : : 3.3V : 5 : +GND : L19 : gnd : : : : +GND* : L20 : : : : 5 : +GND* : L21 : : : : 5 : +vsync_pin : L22 : output : 3.3-V LVTTL : : 5 : N +d_vsync : L23 : output : 3.3-V LVTTL : : 5 : N +GND* : L24 : : : : 5 : +GND* : L25 : : : : 5 : +VCCIO5 : L26 : power : : 3.3V : 5 : +GND+ : M1 : : : : 2 : +VCCG_PLL1 : M2 : power : : 1.5V : : +VCCA_PLL1 : M3 : power : : 1.5V : : +d_vsync_counter[9] : M4 : output : 3.3-V LVTTL : : 2 : N +d_vsync_counter[0] : M5 : output : 3.3-V LVTTL : : 2 : N +d_set_hsync_counter : M6 : output : 3.3-V LVTTL : : 2 : N +d_line_counter[0] : M7 : output : 3.3-V LVTTL : : 2 : N +d_hsync_counter[3] : M8 : output : 3.3-V LVTTL : : 2 : N +d_hsync_state[0] : M9 : output : 3.3-V LVTTL : : 2 : N +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.5V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.5V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.5V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.5V : : +GND* : M18 : : : : 5 : +GND* : M19 : : : : 5 : +GND* : M20 : : : : 5 : +GND* : M21 : : : : 5 : +d_vsync_counter[3] : M22 : output : 3.3-V LVTTL : : 5 : N +d_vsync_counter[4] : M23 : output : 3.3-V LVTTL : : 5 : N +GND+ : M24 : : : : 5 : +GND+ : M25 : : : : 5 : +GND+ : M26 : : : : 5 : +GND : N1 : gnd : : : : +reset_pin : N2 : input : 3.3-V LVTTL : : 2 : N +GND+ : N3 : : : : 2 : +GNDG_PLL1 : N4 : gnd : : : : +GNDA_PLL1 : N5 : gnd : : : : +d_hsync_counter[4] : N6 : output : 3.3-V LVTTL : : 2 : N +d_vsync_counter[5] : N7 : output : 3.3-V LVTTL : : 2 : N +d_hsync_counter[2] : N8 : output : 3.3-V LVTTL : : 2 : N +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.5V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.5V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.5V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.5V : : +GND : N17 : gnd : : : : +GND : N18 : gnd : : : : +GND* : N19 : : : : 6 : +GND* : N20 : : : : 5 : +d_hsync_counter[7] : N21 : output : 3.3-V LVTTL : : 5 : N +GNDG_PLL4 : N22 : gnd : : : : +GNDA_PLL4 : N23 : gnd : : : : +VCCG_PLL4 : N24 : power : : 1.5V : : +VCCA_PLL4 : N25 : power : : 1.5V : : +GND : N26 : gnd : : : : +GND : P1 : gnd : : : : +GNDG_PLL2 : P2 : gnd : : : : +GNDA_PLL2 : P3 : gnd : : : : +VCCG_PLL2 : P4 : power : : 1.5V : : +VCCA_PLL2 : P5 : power : : 1.5V : : +d_hsync_counter[6] : P6 : output : 3.3-V LVTTL : : 1 : N +d_state_clk : P7 : output : 3.3-V LVTTL : : 1 : N +d_hsync_counter[0] : P8 : output : 3.3-V LVTTL : : 2 : N +GND : P9 : gnd : : : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.5V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.5V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.5V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.5V : : +GND : P18 : gnd : : : : +GND* : P19 : : : : 6 : +GND* : P20 : : : : 6 : +GND* : P21 : : : : 6 : +VCCA_PLL3 : P22 : power : : 1.5V : : +VCCG_PLL3 : P23 : power : : 1.5V : : +GND+ : P24 : : : : 6 : +GND+ : P25 : : : : 6 : +GND : P26 : gnd : : : : +GND+ : R1 : : : : 1 : +GND+ : R2 : : : : 1 : +clk_pin : R3 : input : 3.3-V LVTTL : : 1 : N +GND* : R4 : : : : 1 : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +GND* : R7 : : : : 1 : +GND* : R8 : : : : 1 : +d_hsync_state[4] : R9 : output : 3.3-V LVTTL : : 1 : N +VCCINT : R10 : power : : 1.5V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.5V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.5V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.5V : : +GND : R17 : gnd : : : : +GND : R18 : gnd : : : : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : R23 : : : : 6 : +GNDA_PLL3 : R24 : gnd : : : : +GNDG_PLL3 : R25 : gnd : : : : +GND+ : R26 : : : : 6 : +VCCIO1 : T1 : power : : 3.3V : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +d_g : T4 : output : 3.3-V LVTTL : : 1 : N +seven_seg_pin[5] : T5 : output : 3.3-V LVTTL : : 1 : N +GND* : T6 : : : : 1 : +GND* : T7 : : : : 1 : +GND : T8 : gnd : : : : +VCCIO1 : T9 : power : : 3.3V : 1 : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.5V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.5V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.5V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.5V : : +VCCIO6 : T18 : power : : 3.3V : 6 : +GND* : T19 : : : : 6 : +g2_pin : T20 : output : 3.3-V LVTTL : : 6 : N +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : T23 : : : : 6 : +GND* : T24 : : : : 6 : +d_toggle_counter[23] : T25 : output : 3.3-V LVTTL : : 6 : N +VCCIO6 : T26 : power : : 3.3V : 6 : +seven_seg_pin[6] : U1 : output : 3.3-V LVTTL : : 1 : N +seven_seg_pin[3] : U2 : output : 3.3-V LVTTL : : 1 : N +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +d_toggle_counter[21] : U5 : output : 3.3-V LVTTL : : 1 : N +seven_seg_pin[13] : U6 : output : 3.3-V LVTTL : : 1 : N +d_toggle_counter[22] : U7 : output : 3.3-V LVTTL : : 1 : N +g0_pin : U8 : output : 3.3-V LVTTL : : 1 : N +GND* : U9 : : : : 1 : +VCCINT : U10 : power : : 1.5V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.5V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.5V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.5V : : +GND : U17 : gnd : : : : +g1_pin : U18 : output : 3.3-V LVTTL : : 6 : N +seven_seg_pin[0] : U19 : output : 3.3-V LVTTL : : 6 : N +GND* : U20 : : : : 6 : +seven_seg_pin[4] : U21 : output : 3.3-V LVTTL : : 6 : N +d_toggle_counter[24] : U22 : output : 3.3-V LVTTL : : 6 : N +GND* : U23 : : : : 6 : +GND* : U24 : : : : 6 : +GND* : U25 : : : : 6 : +GND* : U26 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND* : V3 : : : : 1 : +GND* : V4 : : : : 1 : +GND* : V5 : : : : 1 : +GND* : V6 : : : : 1 : +GND : V7 : gnd : : : : +GND* : V8 : : : : 1 : +GND : V9 : gnd : : : : +GND : V10 : gnd : : : : +VCCIO8 : V11 : power : : 3.3V : 8 : +VCCIO8 : V12 : power : : 3.3V : 8 : +GND : V13 : gnd : : : : +GND : V14 : gnd : : : : +VCCIO7 : V15 : power : : 3.3V : 7 : +VCCIO7 : V16 : power : : 3.3V : 7 : +GND : V17 : gnd : : : : +GND : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND : V20 : gnd : : : : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : V23 : : : : 6 : +GND* : V24 : : : : 6 : +GND* : V25 : : : : 6 : +GND* : V26 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +GND* : W6 : : : : 1 : +GND* : W7 : : : : 1 : +GND* : W8 : : : : 1 : +GND* : W9 : : : : 8 : +GND* : W10 : : : : 8 : +GND : W11 : gnd : : : : +PLL_ENA : W12 : : : : 8 : +MSEL2 : W13 : : : : 8 : +nCEO : W14 : : : : 7 : +b1_pin : W15 : output : 3.3-V LVTTL : : 7 : N +PORSEL : W16 : : : : 7 : +GND* : W17 : : : : 7 : +GND* : W18 : : : : 7 : +GND* : W19 : : : : 6 : +GND* : W20 : : : : 6 : +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : W23 : : : : 6 : +GND* : W24 : : : : 6 : +GND* : W25 : : : : 6 : +GND* : W26 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 1 : +GND* : Y6 : : : : 1 : +GND : Y7 : gnd : : : : +GND* : Y8 : : : : 8 : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +d_set_vsync_counter : Y11 : output : 3.3-V LVTTL : : 8 : N +MSEL0 : Y12 : : : : 8 : +MSEL1 : Y13 : : : : 8 : +nCE : Y14 : : : : 7 : +VCCSEL : Y15 : : : : 7 : +d_b : Y16 : output : 3.3-V LVTTL : : 7 : N +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 7 : +GND* : Y19 : : : : 7 : +GND* : Y20 : : : : 7 : +GND : Y21 : gnd : : : : +GND* : Y22 : : : : 6 : +GND* : Y23 : : : : 6 : +GND* : Y24 : : : : 6 : +GND* : Y25 : : : : 6 : +GND* : Y26 : : : : 6 : diff --git a/bsp2/Designflow/ppr/sim/vga.pof b/bsp2/Designflow/ppr/sim/vga.pof new file mode 100644 index 0000000000000000000000000000000000000000..d8a78b3aca860abe8a42299a9d9dc6b0a3df809c GIT binary patch literal 1048717 zcmeFa4PX@2xi>x=S4bze!K&-Tl zlHF{`Y9okZ+uPE&;@7qJ^1mqPzusP27ZM?@c?Hz=a&K)hK)qOa>qgMV7p}g~IrF_c zvpcghv4MLgFgtV3bDr~@-}!vbbIzH%+G>iTEY#Kbz5wp^_cm{6y?0~5!i5EO8`gb& zL-V)3wQ57b?W;CyyldUv1@orgSP;1PuC*-%#j|D>+&FW_%-J($-gx7@f+e>URNuRH zEl)^gVU|^Om8H~G)aQWj(z{#lYF)dkg|bs)C>uDiS35-hDY*y&1Ob8oL4Y7Y5FiK; z1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8o zL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq z06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx z2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+AP5iy2m%BF zf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I0fGQQfFM8+ zAP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y5FiK;1PB5I z0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~BtKoB4Z5CjMU1Ob8oL4Y7Y z5FiK;1PB5I0fGQQfFM8+AP5iy2m%BFf&f8)AV3fx2oMAa0t5kq06~Bt00`VuaP>X! zY=(zzEF1;x)O}4>_Swukv$TO(y0rD)JMI(yt-5{ns@vzxUhFA)WAFOE*4uL~pMQ4h zGQQe(*{|DWcc`QQeAT>ELWs_>Uu^!~#b5mN#DC2Dsp!Z(`5TxjrGK;^XS*7r;H&19 z6a{jCXlO-`1ji&0+Mi}_QTi7^0Wm0S5CmQ7r-Yc2UNJN5%06-LNC1pI?=Se~@(2ko zIERwna=-i!KaFmSmPRpX;LQbO5uRwzw-?*F=bWbc;vDuFs+~QFm0}TyVGbZU2AO|D zVNrzWKg$RCthcin!Bg?S6vaRBzvjC@em%|Ld_ySvp@G5w-1rf&TsimzjP_I zO;9#zKWdOxue#&D^{BAI6Zk+#zSJ&!nyKb#QBjsYvoul{{7i!&i=4G|u``Adr(*Cj z=!ECN9PEtbtG7?Yu8+?6;>VAMXZVJ~9Tlae9aIdSOT*~CDZF%PJpvtQb9ixR6w;3J z;x?ck>cAm!5FBz-82DnhlG@5yB{6)bVlUR-dhtbkV;w*MRwglakq5+n-{$-Nc3=Ld zgT?tskfE@Q8N8e?;cv4_5U5BTOvv9#!?J{+M4*xZH?}#TQD(6Bx~!FX7uCPyF$}|9GLcw&DU; zdT`WiEy9t%_R9WCufeVCHA&=`dSn2?i>$!O>oSjnEXz|tpbbKq1Y!}86j=d4#X`{5 zv#kGsAO2IZ{v-XD`r+2rk6t+dU+=B0xzr1{vR-8nQ^&~zTy{hqH<1HQ3}0|G7hExX z`TM6fmP1#NN$!b-L4q9?`cjW#Dn#S}zE|OBJ7DPN|kQNcH*hgEOX; z9ZXEOVwFkYBcdlscl(o`>8C2nKRLCeV)lnipfN6?V~QZCGQ&dQZU=;#fCfP+S|Sn3 zJGb+618wP)vRTfEjWTK@{6VROAo{X*yzY=z--9%04GHrB6`V9 zU|Rgd9Mch_YOdpSAIQ%u!-D|r=@zBnOW&n~$G1KF-^ZV>z2&B-aXH{2(AN^+L;-3r z^3x8ZSO|5GpOrz>Qg~zqSsaQ8S+6hM04VMQP$S~ungPYaqnech2LLWbMv8?`H);w^ zo{k1f#0w;4b+lA+rcEbI47mA}YRNCL$cmL6cziJp-XdNxX^)#cXuj0D^T=;L-pQ3+ zXa@C?WPO_T|kPdU^5cSAoMzlS&%r|V5{4goDGHOe_@#qTlvycGEm9|CJ8I`sXM92?Bxj>3$i;ly!XNms0?3y^wc@8R zUWVB^e*UT?i05t51Ru^=er`^zHh8Fj^+aioX+bY_+7i|#SeWK*X*i?Z>{7jtjv+aWu9zJor^kIa6GI+8n zT7~)E)LpK|(27~D3Ryy-Us*Bdqm>!L#&)+DxY|!Iz%<;a7fNa+z>B~ocehYo9#o!f zNttSCAE}aVS$KHirp4#1TX}v?Qh`eXO#k{qtdkXBu&r5bO1l0tTao-+BR5I20H_aO&M@|!6}m&3l0fK zO#?i)9a!wS0lUdKG}Kmo*nn>;9B^_mhf4?kvgcpQ{~`jwY9LT8$OQ->d(&Xf4>x~j z-Vbl1SA20rrOQG4cT`tDx^nXNVu#D`WHy9*JI1~3^lrg^(Jea;NjyIYd-FC8eBh-6 zU-WdiEeqEK?^^4fx7smbm%DU%fNuGcudMXIbUNUh9C6o29u&M^a2@o4zjsOy{2^lB zWNWDEM*?%V-xbVb=7hmBuVssGvNN0aT;cJ7Uqb{!zJIK?wIQ&cjQj!bcP+F zSA)KXP6l^5t0%AcN-p@WSX@=?bT?0Mf$xt)jhyeI$)0DwPA{0^o#H^=Zq9oX^1fx4 zbCJjMY*ou-?_|vRe}(FU?&l`_2>GtKC4e|H3%s2hd`q3Qdw>fX40b>1+v06`dh(jD zFx6l2buF&4=Q*~zBF^wb(MT_Z>)uUElH7C>(@>NEQ z1GhzH9qa|)QMVP-u2o)F^V;QeqH{vt(9DRd@Paoo?u`|fioMgAgW&Dn;>6y$yH+@S zxB6TwpAJLd>pjz~x49v3OVoa7)as5k_Nlqiy^*ZKx0uqxnq~B~+~ZCM(^$QQP^N#tzb8&ZF^_FZ18uo?3FVa~WxUIYPg=Bue*eI0ETe6Mq7UALHV-4Lz>|C+tDb?UgM?M&x$(W+-_Fl)P~E9`sKdPA75 zaMip>=RW7D%9i-MvpTb@oW~~zhdK^CFZX?Naslhy z!anQvO?kRw>Mxy7*MHOV+?S6r;~(`bMS&M_fj0!cAD;SSCivc?&(+_?Jaas}xGJYc z@C_i}AJqhZ{zmk=#|7VOw^*yQo(%Jz?|rcEr>?5vmG1GC_S~$hkaJ6J$GBb2cLLdy zs^2LM5BA!hU*TTww&sQ#oqf$~iXY-VeOn7%wRwem1I_gK!1CsW!BF0o+^1X@!sOfnE_^eCHObGaPaN!)!Ea#J=2&A-G%q`)<>pnVa6W}wea4K=EysN z;PExqZKaM)z4WFP!B%%(@CT6)1a?1^Rh>OO5_vel9EsY;np4J1;6l~R{-z3>_AD3j zDagW$Cw!omSt-iH{_yt@4jZz854xI~J`Z;WKT|aPID{8l7V9ed3-8hgTQ+_RF|pc> z6D(36@wot4K^*OvBYJ_D&ykC5|xds$!U|IwwU1eUvK_ z@c2FUpoMl*cB;Ty;IRZlw9n5LbY4l!)_lF^m^!k-DR~oECtyG-mIu26!g(< zSH$jTviz1PuquesYa*UbhGRw*_<&g`>KvL_BgAjuL1VT`Q>zqP{Ga){rR1yGO`|ka zBsIr-K3T{&AzV|zWpKL%1;kB*nB@s&Nc4a-4K#MVlspO7^3$RWwroC1OoKxBucppb+$#o=J>j&8E+D7COXy=bZyfsr zc#W1A03?t|CE*77D{vCYp)@i1?O8%%!A7jkq7%EQ0Le!lx<8r zwS9f-pPoIu(a#9;@nK)~o zRqmM4n|W=!472pI*s?u;!8@q8dIFMiQ9@L+!X33H^ft8B2*@) zJ%_drc09uoiggt6P=B6mYOz0m#GkGl0Z@MH=0z@(cuAQY<>6mp0;tl<(@_Pj@9{V?xVZrx(|<^ zP+H!1J~U}t{^6lneQo1TpD8?f$=&=(=%ewiL*q8IZ4aI5D9b-HIJCaIqN9H!ReDu=1y-~)6wL8t;>D3=lMym|Kij&6cq@1 zd=6JALNji!9n@N?AnJ>F!=8{m=wh4!2aQU1IBE`A78URLo{sXNN=h21%NrW4<9$Ar z-~1i_B>NSHZ8BHYm)PAB1yPkMt;c6J_7Df?DP)SjP@BRxrI!>w`ngkINJ*^C^E1On zlQ`*ztZD^O3ccUj&@o0+e5r{>95$e#3iCLrMOXK&1Km64z@w+0MH4x4eOEn@nh$0+ zIN(^jiBxId{WO}O+8lssQ^OZKv0##_kub`KQT^BcY*<2IfNCBXFos1v z@>{4^{$xf+1xV%|-c$_A!@0tf7u?Msg}yz$b<^KPw@9biOme(CY0WyiYlge03-qE> zp---xaI|am{I>ArsC$6+Gv@5TrP7~(sxfSehLYMsQ5E||>vrY^otxZiH_+MPDT8?D zD>CCprV^n^-DB%#dNU0#4OjcpmMhfsAx&kb&*_JgS~x@b*&-M|v;44 zZ-g-QwTrrhS0Og7svAucl5gacVI&QK2BDyMVQ7ZUoIp$-#N=VR&E)TD@;K<`jVcti z`HKcr1)(;Qrc!_+@qs{-h=}lR7#ckCpr~m^R@d;-Xcb1X;wK`Vi0AoG`6tYn0-~1{ z|C7Mdz{6)dO8jiV@mrV8{;B~?grJ`-I6}0_51{Kjf#zI>X>t-KV(RVv^VgVRFrYBA z{7L4`8Y@Gh!<9!b8i|C%Avzdj7})=W3;@w+FzEBST#*RFc)d>4X0%65B14k_-6dQz zq(j;yQYRH>{uub)#zcT;%gUaq)ka?YOyh5M}u8=Fo!s8UlH0zVszzz6IludrP0B_lO$ zzA0OWYG0}z-8DDfMn7L3elb6E`?gK@_HLSeuq|ic%vG=FFaKou=J-$tct_6`_Fc4} z`7qkFpu4HC{|@`s@^>y--W~hV=xr6*N5)59E)Va|58b(K=-%F;;R$}$e&)~7rt0n{ zPyc9${G8>l*F8qh-Bi-Ep!k8{#Y*4BX4l6Hj(071tLN*!iRFh5 zKM;MfqI+-t*6p80?jK_2w{3Z2U}fGV_o5lKbB9WLj)cy31uypaE)KXpu07sWJ4_79 zyZ27oy8V;Lw}zM-+O`}lKX}gl$uEA5Cem)nnrg|-?zC2A6w>Z>fFj zAkvJG-m&c`|AX}oykKG3yo)1nQ{sqQX`rPpr=uBZ16#aw$>>s2ysT*2JX3ZJnFf%l zo5!zQn9LXinm4>nSADejn^uAdG|F(BJLXr8L%S=nN~vOX#X^(mg31KzM&fEsih6st zDW?^nCTQ4XjJ)?g5Q<>ph#UIx^mRwlH|nzUIT|9Sgee_`6s$(%Dibh3?!s zw5oTg=wRDBV2PRZ&c75-Xzf@qtL?JXI?fgLPdd5zES!@zY?cirJBb^<)wIuyvkFLW zuUg3nGz1z|1XMkgOO0etj%rBkLG#}G=X{5MH1c~{jGu)>r%tH=;-xu%N=>QF*EDQQ zF;VcxP?%v9pb)cT_Hws2AW~C}Oqf#3tj*WlA+>?!#dz!*>pTy`2*VdNK+yu>g9#b4 zvpbI#n{!GU92ta;5j0{BLaDH!L;NRBsWi=mH*8~i6ZMYhFxg~mme|=h&DbIfrR_3T zJodE(FUMt8Zww&KX9v;GFs3Ao-y?1YGqS%! zR{WA)OqfZ>%U+uP)r@n}OppepP+6T#N_#p7<0EZ6L_W%P8R=q6<8K%mJoEe+A9<1{ zO(Q0su$-o;j8~}DZkR^(#`kxKC41PkBVzF&77y)PJ_5VA-6F8RWB;s3npRMg_lRwr z{8ml!b3{@s;48tqHkvjuqDKm4TJ`RpYhaXvCAz-TY=&)A?Cy;K46?oQtTA!8wD;e% zBQc@eJ0AY2_$4_X{hJw`qXFnNig~Cga5Kw;G6k_ zGq!Z22?sUiSmJBGi=;3xc}Iap=eNW@tTaR0g-Uj%8a;CC$95H;&OFP7koNe}9||uS zKR}i(eaa*|6>R>^SPm|roqBuDdq$a{@FR9qXdrRw!!TV;2{fv3A!rPr;^d@aYftGK z`>V)f?oh)>+m462NA`9OkKq@u7g75#p9(gtv(5U--y-A#HmVqjRbAtn90g7gGIsXV z!-`+9VHD_7II(+x^LLu`^*A#WCN$DO=0>AE7r#Qs3}*aRX*1GC6hhVVXc!t!zyIkc zs!{0h7%`nYZtEM&P%B1`wF&2g*cj@_mLyWcrH18bZr`3HrA~({78>#e8=WOVfgD<< z_1)up+Q#*kdluz8R_Cv|kM5f5CSR}_hA-IUpLE|n@ImLCp{l)YuD$5PnPue*sLT7q znF>WpmlJ6!RpBxW4gMrBMHQMbiAZ{T)odcr5NOm9Q1yY@ZR*(uW~>?%j+j=JTDymN zLupfBLTdN9*)z4vuSUszct*`zt2=yQrhDF8L~U&94h%DK_iI{XO+^PI!I8EMda@~B z0?2`^cdpxN!eP30s;1S{)*fgcWmmoqvW-&q8G~ZUdX@p_Hdf3^?%Tz`{{;$g-$-j|pe~`Ym?mDO61i z?NNi8YNH2BCYW@%U%fr&*bBw2Li3uDy@L%M?|y5fS;tM7s&?Aw61)gOfJk`2QrJYu$p0F(MppRd{FUEW~j)`sbDI;3C^)+%`(=TVW<%HC7Z#FSUnQ* zI`P%$BrOa@P+5!BkF0Iv#+nYl1%|h<}(oTcK$0uKe6EDM_$yKK_QWPmXYg-Pk`p zHtF!D;{Lhxxx$kd+|3__zCFHm)3^<7+e4>1%JR<)4z2I5=m77n<(}8`9q;C^*-Up; z{9OzqlN|3(TCK%~MC}_)=6^ z#mG9Q*VxdE1(S?ER14J=3r)K>GC5p$z!mDHTihjh+6cbL9a!Tjb#&W(213Id(1X&>$9MA4Q4S{LrJbyS zGZ^*-T%L%;DcbIhdLr(Ck9Gzfj2#&X+p{wwgIKwc>wd4Qn;_XVUgqT##oKc!vqv27 zyim~+p&7RqkDVx!%xj8TFhY&9-|gui+v#lZx{K^~H1GICFmQ|KNrxlX)fl9!9E%-x zT;jqHd7t)rrn;L$AqjQ6?>VF75T(Fn(yCdtqJ6P__)Lxtlv2*7Ir!U4;)hHj`A-bf zw@vFD5GVif)ZJy5OkXDeQ(%xNwCLc|`^-26&BQ8$Br|wjQ8Ne}7FVn)XfUrKIDtkL zLjnzfMil{74^nCfG^z-wdXQ2>pixCY)q|880*xvHsve}&5NK2pQ1u|ChCri=fT{;6 zH3S+}1XMjpsUgs)BB1I)N)3TV6#-QbQfde^stBlhkWxdSQAI%2gOnNqjVc1F9;DO| zXjBnU^&q8&K%Uds~kFpKFwebh??j_9(0~?nH7}NZUVW zQKD-m??(4>qd0A}#lGVyw60gS!d$;Nu%7$ah3}hjws)N!mZjz#+cp1X{Zb@{fGHrUJpRYpniB9-<@A}fnXL8VmcCjd`^pqKgsb6`WD(skQIAwGr2R?V^ zYZns>LniNLlxGmK%+L(vGG3+d z*f(~US;q|uSQ&UQo>4gRkv1|j>-2+q`?Ps?lQX_k7O)X}NQBX&YW{rRo&`gtSN`;cCy34FWfGc!lqL5PEP6QfN$Otq98dU^T zJxHk`(5NDy>Oo4)a!nuTh$SMwN&CRa4s zZRmrZd`YxlEf<~mVT3-Td9y1-sdUzvb+_m++THc+=i3$ z-S}PqE|fF_52lrMGgjIt_a2JNs8c5S{hyAs#nUOp)#Ry=QTlN%F9a17} zXf3dU6Mq;ieB6_wq(^$fk^KO}=XH?4 zDfaF{Gb~{^XWLQEGX5-V4UeL?h~MhPD@EW?VpubhtOD$(xgMHoZK`lr?ZpyT5!zCNd<3F|7}M7JZ4_=-9E& z4qMp<2i&GEI!sJ*Chw-fWQKBhWsR?}&j0 zVPLcfbA<-JfoqyFok`Zn!x+sdthj>?{-~U7W^YD?;bO(5CI~NvCKeK=Bq%Cxen)1> zl7~XlnK%hz#}g)y1AQBfeV7JM$WYX@g`=yls&!hMgX`h%-bh1XO@n>Qs6(UC-?p;s zBhH9xYu>hH+xqV9?VEkDCa3w#@=Ng77Vqnb*U-^{zQMi^qsta_FDvYafY%-x3xB2r z+}gLQx3B16&GIwL&ph$m`CFzivl!>f$c_b%;6G+Qvt@DfA$m&9l$yDHB|ZJ2vytG( zW8kmmcvsC^JuSYRyYns_yl}9!qh?lr-ph-kJB~a8f06t9nE5qZ77rYvXVlCvCFpz0 z?C*_vujcrcnzs(N_)dpUhfgemIv*^6*)FEeTjxMcL3{Q?)&NX*by};kiY%z@jXr@W zBH|a5bdtEK*pgXe<)tm7H=`{b7pa-mS&|M$@|@<;j|X??n{RN%c06Tp4hXXuA>fRJ zhBtx%5pVIY{akzzo@n7ov<$KDSjK6Ld=)Ix^QKNGnl-+r>*qq&Ha3s}8J0}ZmwTnRC(T)JuXN^oy z8gN4^7&+1LV*M_a#$8uVqA^H&)MW8sqSs$BSCoTbl@y?mqH?#&y zZjFpkEnCY#71nQ>&XA;ez~G~7_IZ_z)#l6&FYM0v{~baB!rDS9 zQaO>O*?E+{>CdLvA80sBuipL&t;zntjj&m{SWQAEW32GhjA*uz)M0j}ZtRr_n9&O~ zQn!6qYe;TNONkshzXOYUQVdk(fuzIMklYJe;}h>{m|bU!ojk1k4UQ0sJ+)G^O!C08 zH}jN0gQgV*i-m0v12hMq)tjg+mYF1bU z!Swxef0mjwoiCdwN8dAJu10xzWI73w{0--n4S(UB+F3d|iFXDL3R#r_myv=EE4ood znQLsxp?t|Dfv?7Uer$$m#mr)3whm$La>pP*X^xS^Z$ZmAf!(>XTaxofhYQz>$N%ZQ z*5xH}hPG{Sb~(Em76fM>WOACne+EAESBGN+-b>0-1MzAHKz<^>8H5R_MaNzhUV@plge1Mup zOc7~{Br!##0Vtg}F-4fM777dz;(Dgb$rOQ#2aHwXU&f!DFxd3jEV0MWPk9a&jT@cx zcjmwpt8?8}lV&Tf#C;Hao~5hcsr_N;SNA*#Lt~!X8pxFH5FxH6LAto=*r}3uG40> zs(Gbzmujf-|6G@jT#LWaISnq3L~OJ~olG-gRAnq0fhIYJI2QuVutCGmsF9rzsu1%Y z5~$3xK(HrAfPG}n5;G2Z0qv9+APjG!c&}#r>yNx1`BoouL(P@|TEmocJ#{&(Vd9GF zi0&T!PQ@8XzLxR7c;Nzif$5d}0R! z3SPRMGOJlq^jK*cHX5|`u*Q?0J)5G_Pz6U)6<7db2YU=muL>Z_j1YpW?uU^!+QU=p z51~fO#8uTup?2C|`9rrEU)#mZCJu}iN`e*{Lz3KT}iz%4ieMaD%15@rfsp5Miy7DA3i} zZLrxg_D_{2H5=a_X&=-^XIdEE-~p?oo%V_Ec3ew6!8`$-Sshcix^5Q!1m{E9za4Xn zmFmoXb1k6_pk6AP znk2n1%o~5}O4{?!r8u)5JQ1#uH~34GFoSmjjVfp(4;mxA2}&l6L;L3}QcbW94}^_T zX+WIV?<+^4M#~5*q^;QF{YRqFhrr;aBMnNVHrRG!KUQB_rR1sC4K@spv@VxQG%R8@ zg^_7->5>U2f6e~}W%x8I3i{{;cO+&#tEYfc30=A7%NcWbbojEPMv4)N49@+s7w^>^ zZ3;ru^chpu`0%W{i(d6W6tAq53&g)+!T6W7zp?*3 zWyX??q?rL08Qu7_-TbhE$U4|?6I-<(TcmvaRvL9(2x6O6<0LZ|KK2QB7I(X`X|Tic zBUfxz8Wlt&i;mPGnabw9oH!FvRl-nJ4UFC+*YQ{78z_0u@ZFE^xN=%_IG z!=B?>@JV5zL*rZf#%-wC9zsWj4fd_?F6-zoq2&Q5=n8o4p-F4j(p}TsJ#aReYwM8r z_m3<%T4|kFy}UKR{#ET`8Xb4#Z9n|T;iFw+=J(V2XS{3RFtEy+8Ot_xEGu~}QndZ` z9CRQUbAR8_o-v#^oEFwOx36knO{3$zyzStN1II&)!qw=kFiBWDI%!N}(CIbsw`@(v zGVf~<_j}pz^=)_)_9O>_kViD*_S#Xgpu^EX5b9rJFY5%wpDBp?A~3@jbTQ6=gGN;r z5kWDD2*Fa5P}J+k`GSCdp?U*DRI}i}o@?4TS&M;!>S~XbKcO&q66BNm9#Kg9PZez}OlSZ8jXn`=s{5{5ji5E?`YB_uz# z?I~zIHD1!NiO)ZK{c7MM?P>VlTuPZDB5Xl|6$6bcEp8c^hEyrlnIm6Sv9M|4CrI#| zl1U3?J)b;*l6y!xFpP~azV@FIDKmM8((HwBTrY4aH{qsH% zo!BP;2cnQfC?k;Pt>|^+6BCRbl-AZqj92+-b9Z*)%1NO~)l+`(Sr7+qeQZzS;no5- zO}WPj$)0X~UVb1S>~pfxfOZPZmD5O_D|t%hLvxwtM;(!#>%gxy6ucS9>!hA&lgSGe)oEpD$aRXbF*>VSTj}2Q!Qz?{x@CDQ`lGAIZD6*Cn)}wH zKZ#b+6y#NoPEa`(e)jsv4NH(d;yvDMt)9Z*zXmvUtZ4fo`*+5C2Wt&A7djVhX=T>c zRIRIFb&m5jNF~vuR+yxb6m8%7$ksChP-;QhprG(8=o|?{9oQ zDlHMvNaBA|H%-s>X*ZojBNQ1oO(7*jXgb8XrzG^8876dl4xBbNaosKn|BN4#Y#ykE zl(mvUO}z23jsKBA3Kbe%GIp#}s{8xnzmSNvuHKCsKidv`x=YEzpuNpzh4kA8*X1V) z#HC4J%8nkJQ$xYnB3n#7>P_TKptVkYAuQksG)sKh7ZV6MxD#B{yv7E`CG<(aA1+NW zg(S@5_s`aPh-^whvAtqK@uV*%8b3sdY)%V~ScY7Zs>0E;XQiGjl8CA?b+QonCH9B& z@_{`Y2g(weEXg6EP)f3$y61OUG8)83sgSF16#$H#PM$7d8RH394gxMJzdTN<0JaMa zHPUy45EocQ1Ua?v=AS!Vc+T(vNgjWkTV#V;UiRYBtaI3WZtep-1D+4d2x^`Z;0eUy zYy55bR0kU3av}-2AyTV6p&@hZ{UvB}K{mU9$#sbX5N&--9t)peFfh}VXFKQomz)v2 zu?#dy#7#EGC$`A=FfdBy3z5tt-OJh=tcfIOB#SBtn!DC<{kvFv#8CQ_02HpQ^rvEQ z{G?M3F7%yi8{qKDJx|Nb*{rk`qOpILEOPx;UE^ z^-Ay`a!*%5I#=?pTqCi08<~KufvIB-fR2$)e(a_+>)*WD5b*GF^4Hz4*lhJ{i3xOuGXQuKs|c zq=#Wy`G-p6Ndq!Wgt|ca1#K7Sc=UToo^n!6Z%WgqngYs+1XH@uFv|TkzQt}@qCV_O zGyYDy9t3|Yz*LvoHX zahiUVNySP^cEi5JGMGe?N+jNI^K>-(Z1gg(nwxU^20m6|+Pu3HYzLX8l0Wbwv(U0o z=E5XnoxuxI?w6H4B{w}}zz6jhCw>5XNQ^bku>HhN`DZ@J5uTLhZtgtBoXNhQc|tyRVBvCv={zS`!$WTeqU@#C>q?I+uyc`&{; z5bPTEW1*Wn#e|{3S7sM;Vp&(vV|ceB`W%(L*wC3;Y#Lz9eCJ&$y1i5bDF_SRa7Pym z0B<|K(wbSv$19p36OJ(c?oauGlu7`FEC8FTFcd|Ld1KAzcZS35Qrm_sl%|{n8S3zK z{fEJ+vd}tSP(*dY^jzNT_bFB7Mwu%I1WnZifj~&)>p?~9h8r@*qRdF<%H4MiHqi40f0EYXO%a^)f+Yu3geODj#gF;;SFOJ& zm>>W||aA z5)DV>02{U_#lNI9-IYonHXM=z34@C^YXv!1{uT`GP`S$BR}q5ojDKw`$CZ;oXJUYO18{?MT! zZE<>m2~t?upZf70ey4*61C4p(21Twrzrn%;1-{*(WG3_RxD{89^w-h>HLGFM1gy(BncH zv!BUW5XiB&t+mC7fC1cQwj6{5#wv4HEK1%Oee3Ut$EPT)Apf70eWaNuZcR#dLzGw#A1 zqH;cBObPDnE0#knLdengf+A&FfkbTt$NBuvG*ng!mySe{`S@{{727P^QO#Otc#2fN zC~4AVOW#}JO+}v850_t1xqsPnRfer18wEle@!A&poFS_PpKHi!QJ*(I_)v6>&&Y8T zta?HNyQTK`@2X7FNz($v6Tn&%RyIiGxw3!boiq9M!%}sC3fR$mCXNBPUJmoMNk2PQ zC_2YdXFpdw*XvHvQ>10iYA1fHJ^st%M)+{m({I0e;mjvdu~MrGX(d7g&<@ly@gu)5 zP(GoWqfrIo7Mu3i7nOI1AYW}4tmdHLnoJ?C;V-cjYzzVM!=qri4M(6+x`QaaP zz-BFi9qyL+u8nmbw< zv;67Gn(|&wO*sOa>CwLRy^p~JKp=<;FTZa+6Fnt)%@*u_ua3YB5!O+vK}UJ!tk;k1 z1YuC_GilMG48t=`GRcAWoQv>-bg&1`yJs5P*UE&jnp+mq;sEWt6ehdT6KdjBji zOyR3NvHat~gaYdb>*kYtRc05MVN;1c{HAPiBt(*aR411Nzn!>yG-R=Y2dD2T`bZsd z>fyum?ZrBQ3ezEkSHr(c{; zw1wwWfYe0v?5~FbS^OFKF&5fb0TsuDr|&N>;vaQE%m!j7)R9)sP!)?oHr*F0L2#kC zVSIt6p6T}TgdLqMiOxG6Un)O9M`w7fjYF8&o%<#w7gWv6Q2J1&f#sqN7J?YR(1Hzj z#%%aJ264XrZ+-*mLi+*OyxV>AxBLMkoVdjN`%uQILIH8gU@uT?sgYxih0R1%eEhEr z$pht?K@4vD^P3hUj98EkSXwoyjP z5Nw06<838Wtf}(z?#x*sOA5?#fF&Gua8P@iKbN_7BDRnIw_4}F?{<5=1y)ai1=$z) zHCP2%4%t3+9o`JGR!nw!Ew6%2VHN!MUzju1Ta@KRsAtyAz$hQ>D50Udf{{O1SeV}Y zC13G)-QIu!K|!yQg|2L5s+kgRm6vwr!6taXnW5jgDX`d+d9Kxg&|neDL0k2a$_7fy zZM%A|KfI~9e=dEl@Z<$|^GBg?k8j;HZbRGl(5a5H{4;|?>$@vDzFW9MIrhaLzo zsyH}3|77lrrcE79C9icAy-=R_LjJWMkG+5BXwTUEGgHo7C_MLp`|iOHI_D0V%%JwI zo+hxn+!r~0dSmbDlj~2n3{*DxI+|Ku>ss|<`7-A0z->#HjPm-k0zr?@;Yv7J%NzED z>Az5SV#?hJgN&JWlrijn&NY@^w z_Gdd>rmVP;UwqImkqb|agVcN@FXt6vUwzy!uPXfPAehDsU()5)VtUoss#C;2KB*Qc zg?kb||7>Bj#(}|2TPIN}a)mJL{31pD+W`d%jwkZrhd>Hcyi*AE+--$uHogFI3-{y5 z4;#Z+a|U{qUIJH--`XiENG!>^>jf7)?Ii@%lsI6GyEjy{*UtM9XZXr!4gex%VU7Q? z2c9LU2qK{e)$S7mAdsRo?=1a^-r*>_Q~|hzSo;TO35wsvFQrq~lZ9wgKg3opxkgp~ z)Imd>Hw#gIquy#JBn%}@xMF)N?WoENrAY9B2fw5-kh&-BXF?_A)8y^OvM}0Sq!nLV z2t_Ki>kO6#3I$t{D7_=tPAp|J``Y0Qh4jR!DG;m8+vQfq)FIS-O@v4-3LWlvua^#b z6;j>nuoU^Q&_vNJWX2b+@D;y97V}J7F(K|{vtAOO4Lq0$jsY>)pu&buW1MigF;jVw z=mfAGf2k&8b($pL;uMyv8nEkLhejn43inQ8XlO&@XEWKfnItnHxxRaRPusZOa?hfC z$Ljnw_t9N*|1N4r8l~r)yZNu7k8)dw95dUZpfXK5vuC^I{!K@FrW_v_y{IBEJ%2^+ zj5{`U-0>MJ9a`0%(}m|wy6+zNpmWYp)n2SOJwNI7ZTq097-nq*8Er(}2Fxuz-%Bb6 z`W)~mB6inC)XW*_+x&L;SQiy<{5DKO8)4P!Vi%AXb~=dG5iDkN}ok%U?-I+gpT^$JOQOn zfj({WB)kCa*q!2u0fPP`MxEkGDiW0Kxv!@iNw!;+x%p(yIrR3y!mT_~$SZw{WDJBC z2Mi_$&&(0t6~Gew(mcd!_vuw6SdI}n<2_T^!lVyrIS+VSJM&@AO@&b)DF}A(NSxa( z@KRfZzU|7+-$Dzb1!ZyC}0SO zmh#$N`hS2Cta*W@{@@9gH~5$KLVPfnP>B8ILcH<`+9ZHmS-3H2`2Yc*KpAc9f%B*{ zVK12I*2Ia5M3S47`1+-gBDMg)VLz}WN2M_EvEg6fqrfZnpXXs=J9piLsgS+F%AE)!3D>7V;DI<*t*xM=+gBuxt|zbjjQ^c~CZ`ZsYaf>MPr(v&(; z67lKW+{e)i#u=t_fK_H!yz7@>dZ~kBq^vN3j`4!*(~v+YnW2!hkhAgcyy+vHD8vCu z0Xn8i(-n$@lIS*nXay4tvJAp%DJT)>k>5m-L@aUe2Lb!!>+PE!Kwl}TYGJBMyx0WO zTvrABu&qEG=i`Bvx*CAJJ2yqM1D%_EjnNK8Qx4eIreB2?PT_wb3P_I*4u*FPctko~ z*^$o1Xwt+V73)}X4cItZ!9uejPzB$$7=w=Nszakw1y8P_I zuv=y3MzPUn@|jD`p_EpiWCdtj+cls79Zq4DVLk(^%h+G>cAssj?KvJ(dL;+6mCP<_hqHh7GpHR-sueD+tfA`SD}L%1r~&4M zLatt|JY;m2W|qP`jnk~NYmg=k7Es+J&VS<7G_q*qFJbN#$BLgNc{XkC|H4ivOq zpHkgy*>Yhp?Y4_BX0ZjVEE6nKO8tk80JlQ6Y*8Ro_+qPjV8{tyt&$2n!!TL9udVh9Ol{WqS=bwurZ8#|&C8v|B@TKpL^|aG^7q%UGmh{miiITL zGcTDX&U-YlOBhgh-?URmspJ6~Imw%b$G^@{Ad-q9eP&77Y>$A_!50MN?mPu!X!c4D z!uurrM&KQIAXegaq#A9JNhp(?wXBaEKWGAr4@kmkapUomqj_{4f7VsmAKSangT$tcPEl{a?>|0wyD29`ME zM?dhvG9{=)_uORRF-r(8K`fCOBoIkc7qubj_zR(SJvJN*=@59Rmo9%}6d3p=%^k;Y z+n2@WoR0$ia(XFxg8w{t$Om)50(GL+Sj+;-UvdKPQ;9`T=Cf=m;uYa@(J$*QF3oxxt&hg*?|>%*BC?l4N#(uJ zFBA*7B*}yqdhq85z!42tP-4Uj-e`8hf=!~_7c^zeDP#*aM&VJsrj>4hgT-Lrg@;45 zjX@VEytsL0$?*PPc*GdU`9eknU9KP$UMR^>1|^CU93(XO!3GK3FwwyB15FH+S4jk- zH1GsXS|}8;Au&Vf1sIF|v4%IVfi3G^tEURqnSlaRS6$__pw(6kBdAH(4ei5$BEYF3 z3$7!!b%8Mr&z5@k`=Mlm1AwNW1PX-R6T=EK+duw zwpQ5RjZQ%37OgF2>{t_m1jbQIR(CWGIk;K(?|FEe%nz;HddHvf@4;<}U@K(=#k+%l!U=~#@V5a9U zsLriueHGTg{i3b$_Om@pU(COg`M610-vSbLncUIZ+P4m?8jP0FS{Ks2CM={YJ_9T5 zhS-&M|60B*baCM5HgTz)qRr{2*UsQn7z;RW=XxFut$VAqJfzj$I8_WMZpal<(#zz|(XR>eykqi_u@%%$KjSLJMl zW7OcEq}4$U7iNfi1VJ+40Y?C0g%AqT*zmreoMUwHAm`eiB@2Y-Z;;m$ZfP*dGmvvj ziCD+Ye+>i95(2(al{%$=h7;B5XEk6tgb)a><6<*H2(Wp*B?`w*z+5YKDzd_&DI2#( zMHPM_wDiI5v>ljL*#Sll7@4s_=_0Ewi(MQJlrS%B%Tj|1{AmhCbpD{22kc2^>npY( z&_F(Jpbi4^iYKrTbfKqQ^f0OQ^ zgc3r%KQI~%SlHg&>vvXX*@PVjc#1cPh14M=>m8}suR$gT*Cs?&=M@)W*|OB~0(x7K zC6ol7F8<16;`wOch!tH-$y1;g{#n8UkDo9haAPlYM%poV$;29*wJ7j0_ zRaTK+QY3hth~^0DeGn+ieZONZr5TK(?%QsJaM6H}@Zrr@oNlgD$qohw*D~sB#?CpfE*2 zO9X)Xt!yp8H6Cs#M-(q87&xUiuKhsZN1!hGFD!eJ@duoN9btIeVuh&Smw`j11WuBA zmdqNLJ*f9ATL=s!uUgCI{3rbKvu)6^0zYA$>HS*)Ov4n!s^PA+1}s(`3f19?D$ig_!?pu*@zdIQMk4_N&;v2GOJ>s4cj z)96Qo9@I;N{^kT}*;ef9l02!;Qbfk`nUwjLUMvDUs9BIJ7$blUTLS7=6y$Bjy znDIlx4HS$>1d;%FMEszGhM*%0MS8&`#WVna$lf}p7nZtz)#F~Z*i+S74R)#(tG?~D zcEXtqs~Q-)8@A}Ix*hbZDL!{0v%=$ccsm`AQFt#DoP>~CxeC=qyP}<&K-1|8-{^u8 z=dODYnaPl)td0qTSI*4KaPEn2){ zRW2m@_Q^${Zsxx0a|PhHp@nWnqEzLMf|3Q10~k=S05)_}DPvF!00vH{rjXX@cVQ!%s@5AbR3QT_6Prk5_-Dx%6o2VWT#w<6UQJ!yn>%_p;hkRRnrVJ7RtL8itLICw7wc%x__qcY zoV>8oJ3W8(iotKcv8fdA#+r$DV|hQWeWR=P4Yb|r>%JKmR!*Cq|L%&xj}~(~vi6nd z4d$PGi&wb4_7W)joNJoxThP>O ziLQwRCr3ToVWZapW|tQ>V?kpPLWfVZES~6g{Kn2|w0@U0|996gsP{mvCTd;jBCwBV zp}oJjKVtjS}iL4-Qm>_NW)yeP}&vdSCCkng$}^8HSD-^#)v{hKC`T zw<1@GBMKja4#Rfg^j3csgM1257l2eOXdr<#y4a?YeU{%N;%f-n-W=S2(6-(mti)@s zK&EzRYT3C?krY4qB=0z4PE$lpuH=g6ptHHXI7!gk*&J4Q^(S|`qF%rTma_3%uv_du zHY%=8lprPT+YvU>xpK@8s3R-EO@Xg8!1D=i%A zvQ%bFDa#fH176G*MUwUcX>eKNGJ-81v1j0@I|CP+K!$q$eT)jE(M=(tGQDDfjra&} zFV9#9qmY#L=zopkj@|>nAPbV~h?H7<=Fkxx&;sO~1NlpK!Tc4>9|9wP7952fKn5t0 zO2-psIGFRVs2EfQvS2I;MFXC(oN4&5HasAbrFB`^H=tOtlA*fkO~Xaqds{ENU=tNm z*q+beR$rZ5^Z_fG_=28dt^1~kC(EJpm@`8Q9WD35l&jIXfDxB73zSPYaQ8Dxl~68$ z;v1WrYdc1Vqc=wA_H5Y8kF;w@uZG;@hXbg^!2j4N>_|q-k$nK28!{37LuNtvO?R=` z$>t@j!4{deP=ev}s4%XB6_ju)oThAHIidbfEHwUlbedMqU~M@v zBtY%=H+W&N1%(F2ZK~o49$-MgLp8i&6m&+BG33m|XC8x@;(H=UYgrH|s9(`(g=wUv z@ZsB4x38|Us;Gp|d!O_uouC1e3O-nx>C5VZcv$NqC}TmG2oeo-s60&kF1EU1!<*NQ zwKimP12wTaIYGXup2Pwjf;55V2QwO=2O|XtDZ@g7scH5xRCtPad7!F=uB!pY?kZTO z3FgezFkddS7>bs`se3)_=UC+gV3yqyL`tuxa}&%O)J1HIqmMU6!O{qWV38DUPiy%J zB+9$W3h|-#0V`s4Eui&@f^kpDDMChNoRSFiM4-$e{T7ruF{`K;)04xl+`J9%TU+o^ zXaToz?aQF0{f!>k=V|0@I@&dQe%sN3@gFg0=UV0J!Ik{hwU^45(VxksBT< zD*t5*bc*b>Cu##Uw9QEc5Ie-~!W*MBwROrISl4yGglR*rNr&lOc5sp^fSO-hS()Gk z;|Tc2U2dVj@LQ7G2cAm!1zl)CCI1HS$R!fh37!Q1N|hPWW7*A*iZ7WzNp~a*3Gl-B zRY;;X-eotV(3peY8L(;##8Sz#IsU?XTwpXoE5HkeIgkhh!V=#KrEI~1jYiqSJJOEDM_BlVVWJ5M!;wti-Q@$jg^gMPvO~;#IRTs zKqCoJC15D(o^o+611v^~f#6+smO1tp`)#4Ki_lc;Or=tA1&%45n5Ir)DP~H-)Sh@_ zd%HI>Ib3+a73!s1+$H!6713~CV2!8L(QOarF}ZlY2wRsQz8_=@$d2z4 zhaI>Usf^LmpK(S}Z{PeBMFrclTwr;ZMXW`EfK%fi(AC@>OIFsQSFh) zmT<=bSEQF|@s>DI6wzp3aE-6j)lCO$nCd_!MlBlcHjOZgiuFDQB{3NE`CP6@gkij1 z9CLdV3@G7nhz*HU+|AtbtY_*| z_DRDW5RIvX_@>`gKs$pD#*TIUc5l=ZaR+>&6LfcBU%=&wIG7Nej)~_uqM4B)2yn>3 z)%+%Xwg6;!H?LpdM+Wen22;-F5l11DE>>2<%6haC7NIUZg++%hthCrRVOgyM@#mE7 z!QWo03({4N#SS|*xIN^3+UuF>ZidC@&IYf$$Zkg^&i7m}aEs?jha=Yo%LN2#n71}a zjwIo^4Ajp#&#f6oxty@JSQOcWQ#TQRZfamp|JY7zRaOx?T85$?vfh$4)smYnIz^ud zKH+`Bu{=~4tn=16Pc@&eqly`UhCri=fT{;6H3S+}1XMjpsUgs)BB1I)N)3TV6#-QbQfde^ zstBlhkWxdSQAI%2gOnNqjVc1F9;DO|XjBnU^&q8&K%L|#;;gd68ABQX^i_8h%cExT^87|$y2LNi3f_nK^wpR1{(wp zMamo+ih8<-{cM&I9v}^T?Kb&R&TpW@YZ{a3(e9bABslBb&&O?%dVdD`q*O+iwB8xPSK{MeG=xh7f})hh2PgoE1um?2vqv)3Q%2o4dIulMgm0G~C>Y*Zb%H6_up0tT^d=ar&W2h)+jn z7wfnZ8U!w6>`NW|fns!eW{fIH{9n$g`swg6y$M&`@~>5(wZ%WzN}7sJ>`>s0l+3T# z$;Y%0%2QnVzyasMXgW}xer*01)7!>5JG1=jFpdLh;jrz(k&e3JHgzc&M5 zBf>~hXrRzYxKLM2K1mWqppk$feZs`)96xB!3Ti&vpws8a>7LkdJn$etj$Nh5Tzj7C)VJq3~Sl zuNhYyoX#G2&VGFBg>t&q}>$48eCtyl~LicVvoihDB#&cCbc9y#U1X zzXpAWy)qomBZY~Ieb@s>z8b#FY%2{5LkYSCnpWnfmNVcQdob}k!x=D9Gh=9Hh4Tq= zYImjaoB|D~X~6L8B!YC=O;2l2f;y#;Rq7-fd`zj~CSb#5)s9mp=miXXt;@7S@ibtV zhGc}+@HA4V_BN&17_Z=*kRws^DlpLh;>r-8_SMvRpv`wAVxvuRbt*G!)Ub`+iGFc- zJo>uQc@w^_bXfellDqknkoa{az19&`{bI`Tfstmvc&)1_NdZy(`0qCK?Saoq!Qj66 z8}Ip^di~Vy!?sTC2HHw?@k(vel`#n|MUJ%GQZ!`GS1L5{of9Kf0bvB6tFg2d&!dd9 zLf_-1D#CCKx8aKn7gZr7&=6=;5m5CYrRH*ihUgfoxuw|yx_n~8I5XwU{{S1taHtrX z%b6PC2%2u?=FPZ8>s|$k@q$VP_e7`I$FmGiX#-C)=VKB@)c-EYDJ5*QGHiSd*@sm) zaMRTqc*Tp#HBhA`xl%Qrvv12E5a~&P3az4RVJmD00+RL4iV4l?(Tfi($@TQ}G}8HO4c zJSy~CV&5M(7B!fL8co=SZL`$=GV6)xL(y#Q#a59LeOx(qaG%Q33Vq9bYEOR-KGDoY zle8N+h2kOKFan=Q-hET4qO>|4(Qx0$_7@x-WZLg5M?GOA$5^p*EcditEh$9uoyBR? z20xE0@bX*Q%AbUf`beB`40@NWIqg*Z^iNpfjNQ!}DLoO58qG0eoQREo>?#vjA&W`8 zu+JDcY-sZ!GC>-Cj<`Ls2OD(Fq7B?wqGJ2&Gl3#8jM0qZ&P3_IDYHHI3E~))hGi!dn*{DUU(Kvh!>@Q` z*llqAZj5!( z!zF42jy`y}Gyg@<7@h;Dvs3yOoD&wJ@Ga3C)5BIBuzo--sSRQ`OUg&HA(YgyQA>7YmZZ?nD>y*^l6Q$n{aZ$*D}^|%ep_E2-*di2*_*3n-= zZ@Ko+*hBei+UTw__hIJ**Vepk@OQXxR$tAymNUyQEx!~xG`_WO+=iO%p@F_Z_%k8k zwTC9HSxa|KbN57CTU}d+?!4iV1xG8r$D6IyQyBc$P;zUeX!{}icgB2Y%>8{wd&cCS z@vgaW5dC$|?W@{X)983FZ~Ku)jvVb8GrvC`S`@CHQ3HR=Hgzm3c@2WTZvS}9$EE~5 zeGvV1&grY#i(<`wukSk>p@|Lzp#Y$b*LE~hfKHCGQnm!+1C&hh!|6InKu1_|9u+d9 z7dN6Y(!$`mkRy9Lqp%_%YET|qJs9#QPSJZ>q++blA4EmVgd&L+N4xWA@vK|5P^P1~ zLI+x~e8ccA1vGo_w9j~NWPMuQjFh4M7xXcEx!Vk8BNL`{b$k41fNzEJ|Ye{@+ z8Ul?fJ_H&9jVc1F9=OyLSizsRW#I)1aMY}RJwYB47Cj%+e^$1Fj95CewFZ!G&PxiB z>2?C?E+?(QIW$+Q&3H?#kPK_>sfIuNS1&MyA)m-?B9w%e=2e+(>Dl3t?^f z<)$>~E<6bVuF&ytLMk(QCD5qCMxY_ks3M^1G15v6TBc@v>YN6(dD^_YLH{qARU4f&bdI0dZS{5z9g{7mBKw4ciwCPwiW52M)dvhNbyNZL3boSuy^>=b!^M-0Rgr15t0E zc*l7&y1kKTa4;+1qT%G72l7gu_RVj%IPHbs&o-3(I2JoK@y+vJFuX8>g0%;*IU}v$ z=?Tmm@R(sGASQ?OIR+HCN7qD|l87G>8f+iCD=Jb(qtTO>MkBcjnR(?IM_{nV$A-?E zF$ytteUJ)p+MW4~Y8Up-X%8!*jO~8Yj1l+9#72@%U60%X$r~Tl6-tGe&nOk|w9ecP z$lW)=0;~~ebl}7*{2VJ>rO#!8NyKl#Yp^KlopC0u^SZ3`hod8YsgmnaP#^M2PJUME zL)u!Bp1|ZjDSd<~Ddd9(cS_n6p7ha){E51O+?7s?M>&1!sy16t3zIbg=DBHJnkAn`i) zG04{^;RZ|G9zixakWCJn_eWeIn;cAN^uA9vIjFY6tcMOAA_b=rHxx8uUVdb*-Zvv< zDi#OXX<(X1%oK~$`^_rHlcgv&$zCI_APFMM4+2BydkJ1?AO84ST9PybXwz2gakUfR z(gc=!n>#X<^Va`1V%i_PE$(YZ^=k}(d0LHrJd;9<+!~c6s&%;XXmMb3 z1(#{_wVL7D=5T0)Y>pnAusM3pVYE5=vfJj+?i$twORxN4B+f?~T(Gix=C`yrXvviP zIic|--%R$@??U<{Rm_Y+gGLSv{IC5_G;x^9oS-U#)Z^{BT1Sg1ql(}CvXpD4&ql%F z;?>?^r<`4EU5S=|r6kdk&{s;bwUDKuxl%_~*y3PzSC6+V?7#Vcn_!0=`Uc%~6T z_^c+t8y;kgBz%}^)<*dV$zo45HNi?ND(}r>c!$NrxH)atc8}y^Ep4;4N%Ux2+wx@` zl^V1;dvdm}1`=J|aNHr%6dRiCy>DhSuHJagLA4~# zs2w;byuSq#Zg?oVpW@KV&x7UyAN|`Hn7T*!>+e7-S{Bnq3tQyOe{4j=u7_Uxl1L;| z|8M(S;`pu(Mn2vBdR$$rB2KKL#X;sXj`KQ|Ux;I4y=|##uaQtiwEaY`nQx79;$Quj z)z&ALvoyEeUuDr^cZ2)on_|j{RGDnLpPFCz?J7?y%v%NTjXCe=CmB?{sM>T>zD|im zjZDtbeZ3Rq{vQ=noU=l;Hr*SH=W8R@imG$YwBiM2nxKRsQB`@vStS*T#Dp8G8`dk# zI)^_q?y5_~UO19S+%qedalMv*CFqxb$@0|5wQU~_{(Y=?Tl2Epk{y>mGb;S|;0>dn zO$DRzPI&N+2RlEuzjMysW#iVC$NyckxvR6iYgx7w4*&a4r8{ogaYyrkV8w9Y;LGD# z@qx~}_IFO%yKK#oHAi;t`uWY%aN=8RcoKgPFpr@*}Tr#`wrw^ zF1Cfk`~G6t^V^m^w{LxB+(#ya!*OkFUpDK&gs#v__NOzKiQePaaqTzL(gQx z!GYsg^{1Bw|CT-9vFy3M>oYGEUMe)U1SJt|lYftbhuf>}r|*+4H~dWpUZi(a z9L*_v8;W*>BdS+h1YO?P#6x4FN(L&qi1FQAaWN%k9F1dafP*W4?awNKh=`j?-tx|f zph^~x$H|E`D<*s1b2ftN${{ ziN~I87?YpBIAV_#Ee@ZOrPz-ynK*ub9JftyK{u|M-IdFZ=}2u$ZM*HJwmExq_I;>27=`)cJh)OXXIwO4{-P0KOcS8p$_NI_&#{zs47tJFr;f( zWzM2p0vP;f(cI}n+T9?Lsyv#Wtyh}`98=eQ|8{-;T&kXft@hE=U9*$e{lwy`>UMfIllao-hxE1>M7r8! z9Ft9$^unKD)T`=$$`>mBlKtJ^n^oN#tmlP&?SF`~+96qPzZvu$?5r{a(Q^f!z4=|W zS?}<`9ewK^UN|!6?HgNXFV59y1|oS@#lsi=F{o-dZyAU&b>-TMpaIXuN2?ct{FnaL8Dx(H4kCIV)A=W-k2FwV9*GuF?FlM!RO;H z+z&3|4{qE$=EGVW@&phBle|&UXY2z59aKt!J+?Zs-I!p zQzi`I88kqY_{x%oTIVpp!31-O^i0M!V5A`i#`cXG>j146FH@C`=*w5N`dm(VGyP|d zhnQ~4(aO2et`EhMS*rGEdTQyqo_M&DGw3gFSYEhjPL;!HbstVw@sIo4L#4~AwdvVk zZ1?&_m59qYXd=^OnI`V6L-m~<`lRXjYee*QsHMIR%N{!2XsLC2+w>FTt`2%P1brKV z{x@-TZ8+UQB3IYlWg^Hp{Mnw!yP|@C+I_ZUPoKr2py$Y`pFYb^Eel3h>G{38K5h4& zI|puw(`U^Aeyx#jnVuTVu0Q?h0LMDypnl)iX9)MZsYPek!v46YB0OrTa#&!>*BZ)6-V1>%abUg_!+D?>F7_8*{*g)nBM^^YLYR2Rzsz z1_m@?y~D1!KSY8O?QHVcU;lj^l^UnUrXJ!s4Hzx9i`ZSo(i*%i6WMG!oeUq}lQWr? zk%=)44XIRpeK@*OqEHB9S?p`q~ z7^Wi_Yi{(nFS~hUV(aK{Tz1!`b1n&sM0b{U9tdKsD6Ke9RkSIsLCfAB{dM(SRD*Pw zeE6|A+M$Ins;US730jf;U~Vj*COwaphO5GxzKWkenqLanuCH&XVk5z-UH@F=2D*3e z%^7(a(4dJFhzyWw&_oJE23(}6=IEPFUb?xx^Xks$j;#OM>>W$?9Nqou?oaIgo83R$ zeedphrLX6geP(ILyZ1exI`GzeF8}(dAH4bKTY6X65Uy}bwJSW4U-n09*I)VW@8{n# zG2Hj>?*2ggH$Hf3=eGtszx}-JJo5Ce>fC?j(%*ZsW#aP(_XH>Y#HdN@uKVD1_g*)z z@N{~@+b2!Ede-g9eQ&+<@~21r!<%1x%g-;pzj^-Ed%w4C?Nc+`C(b;2-K*Dq;<~@N z?uVyV7$2`O5c2g&v@Ua_V>;H&bBE}E|~CS<6D1m`6o{t+;@57k!eScHy?c^{gGp@ zY@K&v$MNlU(DvxEkOh1AW|t4Pqaj0 z;n!nwnR1@ii22G?uWI&v$=6rp)%UoPgnTx{2PrY!w z`K4FVcOScN>%2|Bq|C#h*_Q=-r%yUOe){SS?W7 z{kiomvs2-&N~O-&A_juXZup(xQWcAZLO$EpmdmxahI)IgYTJ&Z>Mush_jyWoQ+qyAV@YlR+hHjkz4ZKrYY|U#tsc0#=A<}pUB<( z#inZ>OHOLodVA-R+tyE6b$!rZG}w4)`>xKLA76jXqt{<`D1UxeEH}#O-QVHQU0R>Z zw`5c4@;kDvkZEmbD)w1a+R{>LDyCaA*;HG7E*Z9}+%kGt(Soz=S@YiiSw$7jsVfm< zBJOQ1n&|yPh^z7F3r{Xwf4aS1qSV@UzMAlLw|4E`!IutPzBP4QOL|JO+`)ZkTkFkD zkJi_ZZ@9fJyQF?qeYqoi(CxqF@s_4*(rfeiKC!oCc2yfaywJT{nRM5z<)_;)Zi%VA zVt=h4!>^m329d|Uee(1~zloh~J6m?vugRZY6iyF(^N4He#-BMs@GzokphtcQVp6& zfye-<22G?uWPntICQ=|WK&n9#DG(VT)u4$KhzyWw&_oJE21qskCN$Nw!VV8GXh(Zs z?yZrBT0uapZBEP6N>s4(Gops=|GJJ(F|frMD(C(YV|(s%H(v3Q*wRld!#~vIhz+rv znSm{ak~F=(B9+jfi4=$okZJ~@iMzi=_fX#k>o!>Tc^K{6VBP)Q%@^qhbsT+4(DavJ z%FEE8i4=$osJT=V=V%9C49jk+?HJoV?8KpTBw+;s@%7J(ld1L|%+-|JmAmb(KJn%X zE=yF@AhTgmh$x(%<=q*w3n14qITO#kMQ3*?4vN12?uTy~L}@6&f#d~0o}OX6PmfJ7 z_*_CUPZCtk%;4oz-L>`a)OHMVtJd5H(;&w?l;GgW6HA?d+K!=UCu))@j*r0ZZ-F5h z3>4`iy~W}XMiZHE(EK~3xd8GVv5$b&TO(s?Qd5%-XZW+2a~+XSogoHzZbw3)K@%wu z86eeMI5cq<c+AHBO1a|+I zEWWDN{3Z<@1r^SDDtF8OZbn%CES zy6Z%H5L|k>x%0K;k$)H(L=_qJ z!q0YmXItvUZC_qke5$eIp-&e-x#^dctr#q0^YXsT%ZD0%y8QW$<SKAT!NC^Vs`=B0ZFGCEwSo0b~JpF;9 zp^4N%bK%g$S&Xl?Bl5b30lk$%wO89Q;9(COST2lSH0SpRjy1GUl^ugST_~9jl^yXl z(TZwgrLrTxqHRUXiuwz+k=0an9Rok{F4ix}IBEoHv&%vpXQr-W;JczHRH=0&6B;y; z0+9hy&A>G3IwF0B9B|<`vC^~S!p?NWQT!BNGvXoNI0HoV+>XRSgC}M|aDv(S|OH)%i+0c+m)z>G(hUkStYim;UJ_;_~Py!1D(xN`o2&Y$Ne zJ=plo6SF!ukA3M#^Wl@}wXf%2y<+2uvG;9$DF0&n4UI>Ro%raEh3yCCWgl43^xek# zpEPdxbavaq^RoKU^xB{0Umd^kME&)fOTo@39r@Bjb)VdHaNnqjpL*eV^GmO!?>=_l z)_I$jJiYn$`j_`5Upw^KHx6#Q{Kk`A`A-#YUAT987qSL>rOl+84{{XdX@jKb#^%2MZX}n(0d_2AO)%%7?&iVb)LLFKmX<{|Iq!TdXVyDNZXv7@EDUyW z2MMo9rZ_$VyFUg7Is3tbFVb(xIE2weCLc8a4rwlcj7Q>p9b;m~zn&`bi@fxM2V4yK zj)R9r-MX-KdgHqBGv2qU{e8cr>WslER9k9^wzyAI>iu{5rwKFVm8NA#kq6J*< zQJ3c`y>`yxa^=ZcXI94Oza?im=-lY#dV!fU6N#R>#WQ16w5dem!1Z5`yd0+j2H0j# zoUZq-9DwS~BRsX)KELF9Po{iw*&FZa6E^H$W3A+@s`ze2xo1?@2lfp6T>7jSlub34 z-ZekJC^sS~-QH3hu_c>nY0Why+v>9EU^E4Km#itDgV$cfP%ktuSoN z{x6LEmHr}~xvb|25%C-h<96M3@99+re;}NWd**Mu-)O%4%q7G6>)e-c&q)t@WQ)#t z8|?nj{lmO3@_mmx*Y#^}1b+{Hy|#=fua@b%aw5_9f+bEaSbr+CdxJgii8ErIiW2!t z*U$beGOU7t*h?5fcT1QV>`ojDX%O}(|UwXyjHR;ll z{DhA2kIhfsTsOV=!rJ2DFs%9d)^N4L?WxYS8)j~QDE*s3VAEMEextl%!#@lj}P6Je%q?-#8gX5sv`*e zVmdSB@xnDd(7y^o-&XkixKrD`dF}0MTGm`uxUcm;7q0nyuI*1g zw`=9QZY#V!Gw!x*%j3NpZuxR%SK(X5ho^sL*UDRRQ=TuZ%8tvm{z}Klr6V22Gh6kR?jx4XX{r2_WF0Xf0x+%Zvj1^aO_6b~7%6ubOwX1zk zxWf^}K7mauN-J{tw-=V2Xy20y_Sv*(^wuZJ`+Rijz zJDy#9%lauT(^f5+nM$usu53$ZlDD^IwjXYLB(-$vy7!OYcw6hb?!YS>wx$Z7&-aGj zI<@JGcV%yy)-rWvYH_mubNOK1U00U26(7y~dFtjvElum*pS!ztU46LWM^3G~eRc2fLl4PoWdqXi5^vo~r&um}U*1Gg( zt7h!Wu6x_M#SQh@MGr4s`nfx&J~XqwVNoh~Utxdy*dL@?I?7?Cj)$M=6ZlG@<%aot zme;owo7&TL@7mDzp?g|>?e6+3A4$(&)0$oXtC=8ldbTyw)KFji)~V3uY(rZrH@6T3 zPH5?98j^+l1h7j;G)Dh93e12oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ d009C72oNAZfB*pk1kSU-=){ObBJsnA|38Na?Qj49 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/vga.qpf b/bsp2/Designflow/ppr/sim/vga.qpf new file mode 100644 index 0000000..ec76629 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 14:18:28 October 28, 2009 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "14:18:28 October 28, 2009" + +# Revisions + +PROJECT_REVISION = "vga" diff --git a/bsp2/Designflow/ppr/sim/vga.qsf b/bsp2/Designflow/ppr/sim/vga.qsf new file mode 100644 index 0000000..4c2fd45 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.qsf @@ -0,0 +1,58 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 14:18:28 October 28, 2009 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# vga_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY Stratix +set_global_assignment -name DEVICE EP1S25F672C6 +set_global_assignment -name TOP_LEVEL_ENTITY vga +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:18:28 OCTOBER 28, 2009" +set_global_assignment -name LAST_QUARTUS_VERSION 9.0 +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" +set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis +set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" +set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file diff --git a/bsp2/Designflow/ppr/sim/vga.qws b/bsp2/Designflow/ppr/sim/vga.qws new file mode 100644 index 0000000..169d329 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.qws @@ -0,0 +1,7 @@ + + +[ProjectWorkspace] +ptn_Child1=Frames + +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames \ No newline at end of file diff --git a/bsp2/Designflow/ppr/sim/vga.sof b/bsp2/Designflow/ppr/sim/vga.sof new file mode 100644 index 0000000000000000000000000000000000000000..cdcd46f30866e43475b5d572087c0627971774db GIT binary patch literal 966463 zcmeFaO{{H6RwlOZ$&-)!iY)59tm@VSBZ!-1w;vXAzpAF&V3kDF%}42iO6rGcTa2vJ zqL9EUcN@Bp5MmHnT(XkxGD_PFYT&^Y?Eyn9F|kJ%WJ(NS=79$=Lmt%tOUSZ>*SFT* zXT@Hz_U}DspL_2+E0tfw`qqjSYe(!IvG+OW?)UTm;>#7}oc=$newy&-e*Itl+Sh;m z--e(0nef5C`EUQbU-{ZE{aX01e(Bf#?XUcse;t1EKYbZ4fBjd!_SNwDfBJ>+@(cgu z3;*n&eBtHGpA0|$v*F9X{aFT2QUB$? z{`#+c{cFGU)#}}9P3qtOef9UBtu|DH1o{tY{5{FD>bL&*`#_SU|M2U?R#iL$R@LfL zTC1v0A@{170Hh;?OEzQuMOKr1ixXr3c zn_a{@_D7rkUfO+jYUlroP22Oc%F9c+y4Lm!Z*l9-R`FbFADn9~@3YCDvM$BX;S22e zlIFpyWz&*P5Snb{B8cmi)~Xa~U`gKFc(p19nT==XT4Vnh(J|_Mg1y?WGmGNBOhB#?7}@US7&IwU%Gl(7@7pe7=71L~7g5X^jKd z(B%IoFLJi7yE&|$vGwCvc{P86xW(v;G+R$^lB9C>w$_Acp{}|){SPJ6a8ot4KRElG zoTA|m&Z_UqsZ`~$%U+&+ddKCl`|AJxoUNO`%=Q4@)9Cd5%c`+@JH%gBRkMnwm9BYB zt-T*KdC}TmN;4vP4$TVN+I@6Ks@w^Z(4#NX>~j5cZknxm>oQF`M|W#&ZoPcY+PAB! zu06l3s_$nGwU>X&)pl&{Pa@sY%35@ukHq6|yXh&ndS3ftO!@_opiAjBShk!I^@v(o9Bx8hZ#ovW_3IUhfN&g!es)Yku@ z`cOEkRp0m$o3r)Q=_NdWyU8Js-B-VPE~nQwelE|e;To&ALww`ss;XHRdtZz43E7Gj0SG#-H z-1z799oqVHP3_f>f4sYYt2E-S_6J{b`rBFa*nRb{K9jTSy&sV2g72E|v1v>H0nN4X zTYXBoDm3fqU+!wJcQsm1Rd#vN+Fyu9)LyDTNaFYG(@pK{ZLL+G?C!X;36d7OOY=-? zdaHB3yXnbm_4@X0I(Av6> z?zh<-Uw$fcOAV*FHh!%;?q)sx#?Lji_cl!p>uDxUUbOZX;`LJL3ft6LJA}8M7J-jL z1n+u1Tq@2oc8Jcrhq^=b^9IFS>O5KK3|VgGGTt9-0V-!#x#%r8+i%UZS^)r~ooz)Gws6e+8RtS;45Fdam>ST~1`2CLL= zwyHnftl2zS96+T1+9IE*RaI!yzLxh~e=3lt*9=kC7zs43Ld`pFS&OXNG)NiOUu|Z4 z*Twtr=NywHva`St0JSttMQsKyHs)5@Z_QlWLG`ng%%xRr{3*+3)L?HVO?m*y-lUYeK45#kNz-)Z1M>XLsa7 zuka#&{|};XI_vC1aTK2v%wr{Vcy=r2oPBf3@FdUqPTNNGB0g$PCkuzUzx_f_2Y>jy zo@P#+H1h)bUANfw@wZI(R(Xlch6Z?C_N~KjDV^=hyP!_j+R)u1utz?1&12nA%$9vW zW6=tEAm#l!?qw4{hK6bwQ)YK_#xh6!ADy{Kp^S7RFS)PP}ftuaxVGU zjD2b++!rr4@8OAcjQ-4i`KvJ~r_R^h`YyG{Mb4*mUx+(&u# zs#u`>Ne@{DMaMCOFA>Qtzfu3BrtNfn9@<2vtzS5&PiB8e)o}KZvOVQGe*}&{({XE+o+ok+rXDnKLQtHuK{^SzpakOc-XJCHv$ zsHzCo1Mnmoc6v=B6d1tF1T-b#+bIv&`ow0h+H&P(el}qVNEGe#r;cJD|1JrDk~UQ5THp!jJ2QvcOSwE+EFo*Yu z6W#tG?;`ROI(TSotx&dF1*iUxY*Q%M=^E9O$Cqs!jE;=U1!FLKaId?1P(g_3E>r>kqqod ztxZf?I2gd~;Ph=>HW)H27@uMVZgy2M=5v!8sxDbqsM!Nd-Rax9eNWv>OqPP&S0>z2 zcpx)-i$VcfA+M^6A3hYw1!n|beICe>-6GOVK+{6XL^P!lHMuIb2?LN~131%~huahd<}5s|(gkq}aJZrWKS0F; zqUrCoHSF{nA{SC5qA882QMg1$`wn&x4~YI8{cmz5rj#NPak<4(;{nl;y4AViS{YZF zb=3MDYTi3aB5!uxL06&MHrwJQGAYSNRrP>y5jR21{LJni`Ve>K%%@r zp&(=%qnxdL$_kJ@z=w~(1NmY_!%nXuyp>oaf~zRYt6wEgM8uWlLj4?Na)q~*Sad2L zxU%^2vOp|6bZ)o?sunb?6ofrT;enWW^HdPBzz9YG8cFH`@FW^`dQBn}7{Sa0G$p}o zy8xcVm6XWsoHDW`oJBGg^oPzM%3hW-et%)T!|S_cmSRx zwgH+aP0w`);xe!~*$Ltp+|rE}VDbQb$8?J+M}PgFmZ?d+U(Gwu>n4#&s>B&M-~0<8 zPeJOYXC@QS1Mnoy1f6pnF)x7I1^PHv7YjeuT_1AloKKpsjxw%JA_vH0#ADq)6S=sQ zWALuwJ3rR(q8QPE0yL7;1>i}{`Y=hA2swI*L^zBE_>yoO55#Pl9_Qh;_qN#AsW?{md)!n>54$j*~TbEn;*k_IF7Cvu0b_uVwaGGgMDujCKP zc!?aK!!S5?3ng;*V@o5p?Ys9fB7#X|HKNI3TudT|>0}>Kzq^kh`-t(l6X7#aK?baI z=N7ZsSUUzef#1Ov8iW@Y55#O@x7E;A?TxTRC@_JU31~{ftGx$oeLgP{Zd*kZ9)Ks& zx#1e9Yk+GhJ}uFils0Ang)A_E*})Ywh&OB5USVQSQl$fn@&nfddODyLi8pJ^vGv_$ zr|$Badwr82J&uFL>l7c!p*)TW8G96=O1ubGRX`I3Xe9lMT7ruLG*8-VUGrF{i?69k zh=gJ$ps6SAMC{_$Z8`!lZLV+7IVv|&f7EyQrz6!^gI_~=frx7@fz!D>HEuA<|4TFoCS#dhD zP2d%vLHgI?X&ce3L=v(X3-BdbcL7_Azlf??TO<$)(EMdMvPGbrpysE~rG;AP24rdy zZPti2*_@`1Mj|z%F=y&7wDGC-utp$#{$b^N%C;GS^sBt;RRM$oG?M;BEx|v;jkw8oWH1MQ-4o$lel6Vn? z2jG`}tlRMI3HN-0(+HeKV9^m+>e*o19992XJZ&{ID`C@xDHHUWfTkq8+Izs(rN6D? zPLKUNyyB`B(**yTO<%O0ZmD~S!0f^3x8Y3edaHcyR8{q6?`}7f%v?ILP5wj zZqA&y$>Ek{3qKF)(bce1YXOl9DHC}KpDtJ%gWQ}X42lOt^Ri`fMGscWM8v~;KVkh_ z>Oda1@KS)*B`I}TCh?f1LiY7~yCQH8;U?1KUzkEDs1|X?J3xzal^KZ~LnHPP3<{(qT3oz0+c8IWdI^_?onAwKg_MbC zN+W6%kKW4Vy`PXdGQXz(O|C@}Aj(9rIk=G<2gfpr;#Z;B7W;a= zosHY4yNUEQu(oxyOiK^4*|j)VnUTmUxRGj-uCey}^>9T+EZ-i$xvFm30Id*;P`php z!HbW;1G$a6fzM1p(?ZHbOkVw}+=k%F>Uc>ZZ(%pED?lrxOhjDh%JSb-D4%H+6SNDw zMomHZfWiat#Yb5}mPongpQzOi<~dSTvGYjGgIM=a6ef{gb#1H?4Ciy@LEV>0xMqvO zi=E)*0eBLf8?Fh-=tXmre?VCePqO+WtonaGl3mIV_m~qe4GZRf!F@%b z0yGk{DyS;vao4cF{s)Bc06d9_JxP@a1)4-AsS+%q@Blo-q$y;9rf0ExJ7{)BM|dfa z()TBZ@L#sYjjF^No4C0;<`0eF(w256p`p2cN_!UHjv z6BK`9*#$cBc`4aEHry_IKrfe_Ir<)X+2cE8Z+wYl9b9GgC93t8bkbno^@9krq zBtco#Ga%|gaS<>lT-&6cF9m?H`W zXtlV}5{w>z&jUr)JHTvHwU?%5YGRKlW&)aeViK98O1yx=1MnoV4bVI>J&Oxp5*~=z znV`UNybcb+B|a|?68h*tx65X*d2cOW4;-Rf=ac4el&NzPIl%E=4aeMHBCD!-ouYdU z2jt=b_z{nFycAYESqjp&@|FhX#BSDyNow6gR=A01N+W6#%&hII)_s{YvkdC0n+V$y z&;#%!zfM#Ga%|gdDv@A{@p7d`Sk*H=DII z%F#;Z)b;7QEsCFH^Ihq9}v>12N^MiX&F=_N9v*;JR|j}#Y5WNPAtf08q$&HLZh zwSD%jxryw%6Iw|4lIn}QP$GRPjI{miNWXd^8tpyVaeN|^Lr-gPZxR_u`<8#hJ>ay2 z`A~`Q_A})G4w8s_8xoP02jGi6Rmc)sTYG8_xAVS30t6H@0Zlz=CDL=t;rU5Ca|5X= zo3}P$F9~p_199Vl@j%SZ1l3>pI2M1_qC^6QHbC>Fm1yAP$n6nM-PNoSX@<<3wPvu$ zr<|bkXFRe^`y-X`AIbC@_GT31~{f3z!FNePXj_wuAsm0b23Nl-WkJxk>CE83Cyc&`1t2bIHRfPUYnwG#M*=tnXp^T-8ofz4{CUTlG#aO4 zJ<KF=|*-T`i+L)$1voCzIy*p0~K)vroSGhwRMU7CbPCL(U$tT89%a)PeA!k_qi zKLX#m_ZglN^he-37tAN10yL6={m3ja(x$)wW(P-ptV_E@G^H^$90SQ&7qE57$2#ip z@{)T^Km}+d2bj7eKh}+zrhr2?0E(n{>e8~0b&+>J$?Jrt5jc&&k|R*wdQ$HZ8F&D` zYfTYbhOHej@sdNPg;pg{G?6d>BE4jv3Y9~_L2Z+5)rrFwb=X0r-{DQ z)P+Ypbqjx6*GwJ%5^| z?*jNLU9^BX3p8TR+vMm?Tm!GSGqESBHV4+rL`+`&D#ds~tL=tL4~UMmpqezfV+&;> z;xX+dg*Ixg$7sftM5}`PI*G#2Ja4r@pW^ zFe+440MYZFIIH_d2CiWy%A`}?G%mg$g8Cd0Q z*3ziJ2u1;#zb^&02ow|4{0mwUb_Hl81DO`lq?JK`{SWZ+06d9_JxP@a1)4-AsS+%q z@Blo-q$y;9rf0DaY@-og3ef&~;RTvM1VqP=PZCeuV#js6@XRG%`4&68MLP=1PF)pc z?{crx);qv#Q?=K$^?^GW3mtjbjmYHHuS(2-!UOOmu?^5XF*eyjdQ*N+E4xX4qCaDrHq3{4a@fUynG+7ejh4isvaY3oBoD+lD;c4L5VTLP<^!}OZO<2W9O*=AU?S;1}n z9dQLW52SfHLCv#)IT&#dC_Dh)G2LPklndHl|Ggxc%bJ>W$EtY>Sv0#5nY{W{iBq0$ z=kjb>&=L*J=ULL}S-5#$|=Rnf|mT@Kl&#$!2& z7g2Zsp8kd+aj^qbJTaY$i@6O^Rm=f}0<`)oA0@d43(5YcE^$f}dN)_L#vPBCwM~Mj z2jD$1BQ{BucoBsM;OSonODGhed187N7akZ7#2ip4KzYS+z@KElBXG0da7DEH@jAsK ztrIak0_VIY7Ekfga9~k$ulu6Q1ZD?YXpsK3c*bs|*+>TkCNMJrO-a1o8L7xCAb4F7V zo+g6gizv3fyWrF%?(qbt5jc&&>=8&aT1H-lvb)ZZ1?k_9xI2ZcU8`!Ax!N|c7Hin) zwN~$$ViK98N|=bk1MnoN4bVI>J+q7aEpxLV>(bn`52z@R(){#UNv@Fva+*3C1RiJ} z4tLg~m>3gK0h%Y?)a|y>eAaj-B|<=ve=W9m*=C99SDP+OnV`=EG$rAg_kgWa9_wO469!cL9W=5< zpq!xQr_ZH@S`6Gum;ucC$*T#<4z8dZDG^X#^g? z2&B8_$g9y^gY2t)Kp+KZB>jt8f{Ow)Puk1s(6@DUgoJV?ps6SAM5gVg5-+0g0Q}pJ|vHk#lz6VTL?aZ|T(=TzcF6dr(I`rA70lc0E;9ENras|Voe zHBoI~&cZYAZE`px`0DdO9)V5lNvh3(^)eBDC?bgVeRqu^PhD;<`3GMf)aSlQb7Ugy zmM|zD5RF^NVuHAp3+H@}x~3^4U$>?~N`^4%*w@>cX8mfGNa*+MunxSWaI@)XXXz{v zYwFU^X0+*wHJXn&VeW%G-bBi`$t4=}zW2n@e0+pCQSfGnMr@1AR{>rx{5RX()+cVH zlT5=-uZ>GYQyNjDkT>-I2MFx}(QniLCRcb{@keCpOA2k&mVP#N0cf?d3TB~@eZAhU z2;4)siS+mvrdTyMScQp+Rq%Ps&PXIweQOu4vFw#{_03(+d*YB0z0fiTXjW+cZ@?*# zg3FMPzymUo*~T_q^{Z%arA*`oE@Ppp0^D(BxlrGXo4nv{rA$OTUZe0pKGP~DXcsr4 zrT~qEC))!t^A)8aWPy}x{)t*`4=6nVPoiO`*CaxLCXt;QceSAp{t{w(03Kp;6|z9n zpV;}X&;v0?6bjI4aib*|Jpi8vimZ14uQLj@mzN}SVvi_h0-AcFIZUrfynw<3@FcMf z&^$5Si;H)KFeherg1q1d;}V~j2Md4Hz}utte(=u2Bc8gegO6UjgZfKy_Zkjx^#FXn zfAQ5UWQjak3bn(u^}#F`{c4$lFq*^knnV~_SnHQ)I z|JCOLNgSw)CkKk#5q9C3tA_vTvm?>_PbXd)7R>X4`-(sXXe0ycLwOBLvo@N2sWt|b z9)Kq?u_vh#p+J+!BvpbX6dr(wm^6hf(DW?!fz2txOM#T;A4YbgC35J=G{UR^%@eat z)h^RAjc7F%;OmJ=WRfcJ0*VIKyBb)Q2vmUPiRoEfRwz6Wb2&kghfu61JdMC<1eP2D z_Zkk!zyt7a{9|4I2V^oJ%RbhjPb{$R{yMN9xzk-AgccE11!yFx3&4|@*ppO=P+$Zz z6VQ~z+lrVIv(36@Z4p5zK=ZGVz!m{BL5bMQ%)CJL^jNpILB2TP)FnPs+NmDpvCd0G z)5k3p#WGcoGwPk}450 zOk6jKOj0En$MHbSMb-_yE4i(|BMxj%5it~?)XxUyIN&$9A4T{mKx<26)ofF>%d|{6 z(pac_$O>+0H=iS8rfWiatB(V+9+7ekcU5Sf#g)k>(cY?%p0Q{?qGUz^6 zj52x$<0V2r%G|eX2LpPE3^qE3!#STR?Vba#d~NRFnwQ7{I=vc$-@WL?Y zc6f(VB2&lsi&GmM%KuR(k}zrt&`8X7s;ZdH#wuii0n84X$GQmC1Mnm!_9Rsz6d1tF z1T-b#)!qZPKCxLdTS5S(0Im3BO7BXw6^0TrN; zn59frF}v5jm@*8gD?lTOT>zfM#Ga%|gaQMYnSiDw-g?HIm~GZIYl{Fv0osgbgX;2< z8z!IvG!mUFQxmfpPaz8oU=*N{#4Z3&Vq#BHB|?D#%uGO25}qax#B8&!Sz81U3eaXu zUGtTXG(X`(8i6gp(BUCve9BcuVEco-6!G5&XCTIi!UOR6B_CMtfYw@ul`Ss_bo zB^o$6a?-gnH3<+<%mg&`q?Jf9fc;j9r*ma$Y+m@W?%F%X6L%Vc(+C`X1QNPXfJS1L zGF8QFmKY%m3}ANfD88+uqcNKjj*;GYHfKb*>5ONCx?MJFsiCOUxiU5JnUm;!{JlE&FXx=K*I#nZ5yB!QYIoU{O7P9xGyOz!e&GWnKHkMSt?{-ueU1#_YiI( zJ^qDh;3b7I7A<^YMj{7!Nui%z#y-vJN3A~IL_Wf}Dv&~Nx_XH-!~;0fnuonkfjJ9L zt8_t}0vvAW{|`{{fM|LNmxi5QL*zn=L^P!lH42yLX!~ALh*znZT#F~ z;fi_EgtrolL~s>ldG)L0iHJQfDde-! zYp19@uTezphOUlBX zF4SFEcoM#rkyainXbNKM+_}YUHdY}E zOkj4fg$6Mjx~gI}u_vh#p}+)YCZH(^ul63W^|`$xXSRd{N&#Aa2NK&VG!mT~t`YO( znhlPH_ybJcm2~$gr|#Wlr|!%3)HNe|Dj&)S;B|`Wp(K0CQ%4|FiPyxc3Lq7rk?00w zYHUqF1!$hMx2fi_ZeT4=h%^(>)RT51c5&;sO1y}|1Mu`$h>43GpyG+?N?g_`JP>n0 zp#ZIxfKmY(iJ34}*_wa~&^&1+nm9Rfdx%qa9&vmgfUm-FrjGkQ!9yB>7Y`}fQ?B_4 zymKvD`P4xCz@pY#3G<+>dV;0^4Wf&$sfpRdo}@~I0uz{-fTkq8+It{oyM5NIEfNR? zX#O%B*&^Uf&{H0{#UAT+$(RXKRXirmm2uwn(XJ$@GXYIWyjf#T%u7yPIuCWHr{ckl zK>GZHOZk*Ak3jlWUTmrWO#vE-u41Of)&x|5=1F@sJ3ZDFe|CQMbfCZGZ|Pg+x#nw??b4k#hgOh8jlT8R_`*l(415rqffmwv3<@Qn-ie1g*m zoJL^L5pbRw4)R#HZH`J8UsDr@{^hIR+H_&c1brr;DG9Ik97 zn5yE?KRNx@rVCRh=raLLNxWHOj;#xSTgQERZE+o!vU^fj=y5G>Qy&v@NvbDlOMk@ z1zn$*Z4YFGx7cwM++n8?`v?XFQW7mL1FyH!u+wWJ6Va4L)F|e_OA23;i^+9lBI5P| z3J-{;x2Tm9B+VS$$m6(UnMCoc&}@r+z245o?bF>v`Wjf< zHA&Z4`~7;jq9T@W58zx?H*J7c2t_E~CYRtf@Orx&_{;<}Eu>7uK=;1Br-{rU^t&E59+>5!ZlkIrf0S%pai|c>`AIbC@_MV31~{9Czz>;*=Aj{wum4Up!ruwV2gk|L7DyV)rJ4+^Y90h_3$LC zKf>yL`SXzj3~l12;Q)cVLSC>T0u`WxoHZ zk}B~63J<`O#5O?l#PlpKD-<4xxtyT*6U#2piO);P=CR>+*#mmH?99>k$jctzlD%Cm z*cmR|`b%>68V<L)H#V9;CM$o*6lBmRn@#s z(Y=NPj?V+|BOdE`QLK2f6r}CYHfq*~Now6gR=A01N+W6#Y+#iieynp7;n)f20eF&f zg098jkMBUHWwbh2Q?NsXrT~p3bpd!16MK>>5pwhriEtPT@Ff}8k8IY`C`T_5u?G|$ zfG07hmyid;AL1izhu`0d(T>}zd5QEkm}eaRNO7S==B1ytN^+)T3{{WuZJnD)JFR_a zi7%m%>Qf&yMsvxZ5d_i9>wLzu}(P=n(FYI}vk3A_EvvcmTfGQ-v(CwY4YS zZON(gzC!{86f*%$J!vJKOb6m-ZbMWRvok^US3Zu#pS38F zfT0c0JZU8wI3;p>gj07lYebqM)44J=aVRI~{27mI)BaRGoDsOZemJ?FGHs2(C24el zCXfoyNCpfupWRXF|jA95~08VW+tF1iCM~2W$P20HM1oIPzunB zPo{KN6>~zNAVUW(BiYQ{L8h*Gtc&Qv1Mno1=E^urgaQMYnSiDwI#;F!V_Ms+!8#Ja zDL|V%b<*e!!p-pK9dFQRoDTI^Be3wZ!R{~zw$T8`nSiFAm}Pa6D)Dp!GPSz9fKmaP zC#Gj{F)OgDVlJNznx8(G7HSdE$^-Cuj)Cw+dSt%hSDIqL$pF8NqT9bR5? zuL-CCjpP7RcY3VL&SN=!WUS47kE&u$C=^JEy!(MZ$!P>mBe3iUl((MLe?$%*fbY2O z#gt=fM@+n1ka=J&4u~)l(A1MwA`?GplX$v{nHrn7HeoLba3&FP^*+G4mChh6$TQtG#_c^T>Vfi(`hSdTcPjg2u~|73cT!?bw3iEOdg zDUfnQ|9?RLy8ymQ7cF4U0*#pSHaU6|*GrtF9uWPyh;6#+S8Wcgmx-9X`c;bYf|l0} zl^zfsX+br4amNS;vygn82G|M(m^NCNd@Pz)K3# z*uS`$k;r-KOY{atg{lf5dfpR9)`ZMN3efz0DX>MLn4sog(2B4tKqDE*w1_6H4EpPTfR_j0NlffXszfNzBr-{r zU;2|bWAqzA;i+x}ljqp-{_SXw9(EK4FI(~eTc;Xg2uG@uYF7e8@*x@bOQCN2B znlH)SYdGP^Jpi9yzLE6~Fxyn^HEn(14#q-99(E%#dG)IjGobJQJV|T=G*3)d;xe!~ zl@oMc|HH^`w1A)=CJ7AeN98r_R8SX%#_L{anM6?1s4L;I#;B8x7M)MLmK+nS;DK3=A-H&a>XG%NHRa;#~ z^Ab5g&%@u=EtJUJkL?AoL3fXH=Ln}nrVim}rZzZ~|D#SMVAK?#k#z3dY)wD~Xr8pU z_U5s!^Oi$GBos3NO+9HRl6`bM!XWV?3J<{3pHCz%c7Tc}ray5pw;`&EIiOI07CBBv zvKbbV{ZC!ulqmEgoVu{=)P+|vbv#oict|5~dY$4!nypr@=e#BsPx0b2u&B99b}KrR z)PgNENdHnNHm-~ zB9o`C`O2q>dMY2z2s|Hrjl;t^mIGuO4iIxsA)kL!0xk7yux;}0UyJQswpn8O)uszm zCg?K(O-cF}wS^z+nx8%|HFZ}q21DEEgPgi0AM0osFPfn|m8TJS14e)!Z0^f(1|*^Y zjii4+N^ntt=1F^BI(=JLyt6_Et$!QM<|ddyW?iAS?tkhMXGq~6z!Uj-w&BWvL=+x? zuNMBcj{77i-X@2k-NNbtczQ!r8+MXle$`8)zlE_5 zyrgimG5%fZj6}|6wCU=8G#~kyW3>C>93O8Y<=f;E4SL^u;%JmV!bmH4<4z;C#pSC2 zuNVHC?QZK6H_}O_VW-!|C88;fs8Prp`u_uj_JC--O3ma7Z!7+Y`0pp=cNS8B*R+&g zP^n;}w)C^H3qY%tRWJ*M?CbS*Mc^L7O{B-aFvY65!75Bltb)&5c19wh>RY>Tjb*Qt zqdL0mc~2b7^g_!Vpjn~$zX7K}3NAxF0uRVUW*ggd)vuzxl`@eVxQvCW3UJ4jpA>MzONYdFBw1MvC&#aFYCCGun`)DF|u2eVxCt7QtpXb#hB5@BGK&-TX2 zBa+aA2jEG{3A(%#i#Zq}2Qn?ANwYRas0z?XQWttD>w3si^y>T`i4_SZ$oLp{lr!`By{xoY^YJ`aD)2kIg5(r}>Q zUf2sJBTxYv$-w$hUc=I?jb>k}jRB*{2Uc&(ycmV$NScgy1>z_c#fGqo1hd!~uy8G+Ee&kMf zeGpniR286+q%HtYVq#BHB|?D_%uGO25^pPFPRusznzcm)p#aUlLIPU^%mgK3FEjH3 z)kpSN*BY^fAL}j-ICY87l-AK5=dsR9q@C72w8Tq8Z=`)D(!5SFqDKX2B&#l9Yh|us zAu~)|FYjtWhb{n5Vq#BHB|?UY>n4#&ss!UW9*DWfx}kR^xAk|#fz2r*h60rO*}xnJ z{08@<2pE|kb%9)k|&e5SPB!ZD`KOQfCFUbL&hC<`Sr zn8%>Q4KECXZijamnMgQzCL^571~5Bl z9_u1l55SX{*ppO=P+$Pl2dUeNrX;-Dd%)HwHfv@}2%r?86`xG$U5S_z3I%A@1!Dp# zKqJw)GBq)q@f5Pa0A>fxw{;P$2jEFe>`AIbC@_GT31~`k)&<~G{qIz0u3#Mr;1rU;Sdk?_pmwaHo19bhMTH+;#Jk1KM zszeDWW&)ae(n@6Fk}UCb12Q!>Z*9U}65vcC;>H8xftbxF7(z0-mI#vv;ERzJvcy)R zfs-RAohwt500G5JKvPdzi4+6aZZyVt##G7P9IKqHA=0G`Ceo}@~I0t1+tfTkq8fO#Nhn|00F zB7jhUHsjf#y1e9u38(;#MCZ!X#B9b>$N~cx1!yF(3&4|@*ppO=P+$Nv6VQ~zn>FUd zY_qOeTLcga&}K|s^OcV@KjA|ffi1t#;UQ&w%2h^S`-8j``L~`CJ|2M2FZsZF2ej6@ z)GRM%1y)s}aKxF=k%wK)T6qnv&=ON022733+iVf!)JG;FZagp^h`D?=Xny)!TBt=t zD-XcWo4W9br*7fLx@(z*6P`xkGy+SHKthKK&`5LxGBq)q%|gfm1DGA$=Wpw>G&NzV z;TTAGwfBInOMhF(oo2I^gkUrirmFbNnYz=rb;SuHgK6%2R26eVp#ZIxmnaqF<|N_# z@<1BhqELWV$Sdz{aySL}>hnO3>=uz`0-6?5CSu-l*RRSG^A=$}s7Lh{b_HmKl!=H7 z|8Cj?`QTaShHFLGj0ho9=2tOGh3xC~c17SG!cD~ZC!@F2ftM7<*z)dH#w21|ALJ#4 zrjJs6T2jYh9&aKaVL%l~p*LOeJK;Q#(SMG;PC-b6PqP=vrHk5lL*)mkdq6b3giFIt zuOV_FMIxNdSfFr;j<)Y5g?N>k$+buVM3IPi-EOG#fapjYm(C5>q>+#7=<^d#@>lPj87iAAU4fh)^>Qz5bNC?*Ib z3mR4mLY|}WK+K%2f{+D9FbdE}QWto4)dE!W?|JtbaJ7VdPR?!v-TSAR+FUc(8; z>;d@v@{O!_fWQ7vTOYWCvCxr+-H1$H{i?(aC_Dg9659aH6VsKr3~Wy21U3JHmK!Y~ zs0ZNl{fpxYSt6f{3bn(uOikkbYMFvCn#1&(L>M^VvK@W$h$Qsj0eF&ff;PX$hau(# zaJxVs$LgZ_PGq6}zqRblRaXlg*D<?IvRDnKJiT>zfM z#Ga%|gaRX&nSiDw1FO8vS{fA?!6-oU_ocuVfntK1e;7H!t^kc>Ak!k6v@&S+rP>-$ zdH|lp#Ga%|gaS<>lT-2QHHcF9m29fRmg?;4}iujsUJ-_Zm*f z!vpa7;JS&P2&A(-g#a(iA+)@&cONRUjTUuQa3#_nSdUECvhg| zoa2aj0o*Ro$FaIt__6N#kW=S;(tLH4adi?oKprC=>-L#Q^EyTE8g{>^<26H$+qs6@ z1Hy}91g8LvBy|CJ5)*rpDiLz@5{Yma3-Bf3I39@EW?i#c;UyyGfWiatB;^EMh#{g- zfJWja$()$WXu|K19n8K|8v{xYz>}ERlT?Y2qnC)ut6!C1355sXAtp^BbMz7s`@l9D z;iUlWfReuH1mEY1;e>Z7H<6tukLFIhLnRGH>QCejUGKYTh-JjYD__YUl<^WdK!;&) z>K01m?#Gr!Y}^57CNMkLLWA();(?e=>`AIbDDWF#(noZViEC2;z9hWbd%)J` z_Kuv{5)vo{X!#vTY^%^nbZ)pt%-(DiK<^I>Cd1W-$eH*3tX z_1$Hs?oaEfmksKvnr)Y5GfFL|6~N(_bMbE_Q&5C#EZL zS)=ek%mIZ0v|0j61!yGupSr}!QRwBT?hGRq|3CcsqZzvqDm5aBT>!oc$C*0r`|-nh z)!rcLHRoX-IRBx|!%Tfn)-j!L(wv6(uTAM*`ePysfC&0bti0xCY9R1Wp0k&{~|&4bKw&r0Bf z&`A3CqXZWPXr8p!{{aLm&k2H0+=rV<395j$=%ir4w1J755(s! z6beGNadVcv>F;H2!x^zf-Gh2eHSF{nLR;CKopbkX@Hm@ropq#$iRaw`vhf*jaa;BZ|VOGpmj+~U6x5aW~q?Y>+N{4Yq`YOQl~0zms20Zv!$o*KZO27 z&M>1R#80oc;bumg-hiWw?~n3Y!0GWOQoc>@1zn$*Z4YFqx42*w-0`Oo`v?XFQWBlh z1FyH!u+wV;iO|)d*^I4G%z>8_z9tuw>p&u8=I+Jq0~8(*O<(Sm6C}+X+{i7UW0^$p ztI%wVzSr9YdR|Vp!5;J`k+X6s92b2Zd;NlJcD+7qY!Vsv+B)eX5E@N+v^3mD>5jWQp(ks=c)z?hV!}dK+JM4q*>Q&QD|7Mu{{Aj08gTG!!;opy=ZQdoVYaXj+O<+ zNlqiS15`*-7l0=*u_vh#!D5VH;`h>#N{!W#05_6V7qGR+x@K(=K`21;uaLkN0e6Bj z`{An#|J7%9Ks@_Z`jL$Cs>vhAaZkp%Jyfq_|M|$~312yf7``MY$00)dF)x^oKm}+d z1M9=MYgm_lu1Vx7p!5JdiHSW)l?VlL_kW8>CZ!Ro1WPD901q)~3R$4(Pwd_fnr%)I zUJ9f%|ALkqEs;Y{rV(ZZXrA!7s8G9fWg5lK#Vn`;NSGFK4qpeQ*^I48pn$>y@FcMf z&^$4nip#)dVkU?}#GhDpflhp0ddIU2mwx$h32c`+gr=95%g!AAJh9MH}K@WqZHWQjak3bn(u^}#F`{c4$lFv#KR?TUKVY{u3kLf0za z^l0*GXj__2*_?nLfF~&@=<-r5UbM&cSXV_H>6a3A3DhP58j!5IfUVy6)`nIQp(#M~ zuaLkNfpUVbGwX4xJRFO4o!(olt5Zi)MHApSTQMCe8!} zj^lN35H9g~d63ZKg8ny$OJF-LgME2z-doFkY5%9} zRTH|q)I_>{##vTX^EyTM8V-o!0r+Ca5Hd%(Vc^M9s2!%Q4`#XOSMwC4u8C~;`%KCv zRGQL=S{BD!>e)iTRGkM~f%OJrL9i+kU`wRo3)hj}8m=T&spalhfd**uZG z#Wpu25;vmo0DQ5r3Rxl#R0FA5u6S|rKmr65GXYIK;T*n!UlummjIT*Ny>~PPUba35}Ew;HxJ#Yi3IbpcJ6xAHnD{%r!A56bdqQ;4+fU%=xp%(Nz z1Q0uD9_u1l55SX9?3EpfR)4YEDiI0{G(M}B=j)ATGrCp>J45LK__VeufpsK+Q-C&k z>ZH+|f;Vqhw?}@gdsBv5j*~C7y&Upi;Ci{OR6RnOgbwBm2I;(y=5}1@msB&yNSEg1UdO)cF%@fm~xJ;h9YcKTUwbHUjppaL|Kfkn-|?xkgh0ree>f2@lGd(gS* zUR8AH2p{Q9q{9rbP69L_IqL$pF8NqT9bR5?uL-CCjpP7RH{r2vVCuRzEJ?n=04C7q ze&u|}5rqffi;)$w#8#qVzf<=rpg#kkO8F2Yc7w;dV(eNO`c{djb7g95UijNO zNhyD|Uj3T{Torsb=z+AtzpYX!2-(KCrVpM-6iA8mf5H@cKr}{D!%nYJ^Frb}+=845 z)Dj6lq5oZ|uL_eZysfw+LQY#adO*Vj6Hki*s4n5pi@J=X`RI9u;IYc(ueVD|->DYe zdy{O18H%0;GFkHuFchU;#D?8+j~H`psrn~Nbu`q^<4t6X^G|`48~XnP`rifcRk~;a za~5dCoVUr*&w5_sB=vyk*F|j8RljO;V4V}8gM7ZCj!jAze6Xqf?X7HIzIY|Vib+=lQGcp%>vC-}?+YFP>;@}J;V zgTbUULY3PPTv;72DU9gSBn+w$cSOj`dp{v_@*H)8Knl=m*`QPqHlgqUJRL(Dpm{>M z=1+083!nTRh&`g1325rc8t3ExO#fFVr4g#cLt8niO$|IrYy&h;On>5nbG7n7%sMw* z6Oz%57GUxKd_L1Qn%HZ^nM$X71E`RANirv9lj$T?B9zEEd>XuFGqxttyi<+2x-*kz z%@&2}lMQogLa3zU3lgauYBG49MbZq6nyj5_YXFAVcDsxq8y2P4JQnw2jKI|H?rOVJXs30 z7kBG{*dvOWfTo_Tu~7a4Y~Y&B*qX!(C_Dg9659aH6Vs`K%sWMl*1BNJh_1oQwrNjX9FFQ3Ky zCg2y|goAv1#~7>C)sNDb7LQBJ5+VmTus)R6us0?VqyjXO)CJ&4OzaLdx_%O&zzF8? zJk~YG$A_g*(*ygFJKashUFom?0d^0-lbG0(REbdF26ricaY4LEX@n}l5(*E%Lrj`N z7HIkt`@m%q;iUjAZG=F52|40p9UtE9z*mKL7=y$UcZUE|n$om=%%{MVM&o_ArEB7q zujCKQXd;`hzE^j(|KkqBQ>TgC{eba0MTmP1Cs;fHpI^R_^#TGj+<`*vHEn%}Xf+n# z+m^uUllQ7i`aW~T8)>REr4coW$8kJhbFdjoqa3-d?O7Zst!a;S&9i~YUZMpQ9)Rzd zZZQeUiS4id(=s(@)=rJPT6Xf~DddTK3CcwvFe!~tsS!#4`8MKpirpiU5Z?pvB<=)> z=>Yf}e5CEb+qSxlRwsggfhokpbt&`G7vCF^KL4ZH@XISJSC&{pmqY^n`gB!AC#gN< zFg$hMi0rBJ{f2qL3(%7~GY{5y0 zG!xL&6Herp@tgg2O4a$rnz$?K{(EsdV67S}qUr&7`tymz#ST#M#B?AoltOVY!km}` z3I$TOU*k9#$!4-Z_CIy0$!77XJC`Z>-!EU8sryv*V->v$`X}+b5;Lf(@^HAb7R5vu z7M{AV$kgrnf8>?)6V9E&|8!30=x<=Pd`R*Jy-ty*W%I>n<+=LDSYCcB)brM?3!;|Z zwcF7PoNo|5V(-7A;r)KjH{0uz|@Q}p+5QezeH$@dX_ z{9n@#JrdLwL{Y($th<1%&u!MsmXJUxK=XId$QA*e8`K&L>TQd7XP-})Nff&owz`om z5{MmKL4zD+>QZ(4FFww)L0;$8?PuulH{ToAoTmI?1{soOjf5fVE@11s%S~N%O+E6T zgK*D}8+g=lh?m82{?R))aWCkF9597?9V*=Fn;3^b3YGo~+$-N_;o;n0f=@n)MX)dN z>ASv?spItrKD$cMV3^o*)?SCV&(Cq`RiO>gNctDG1Q!Kpp72p9)GlTPR#of?#Y{j` zPmn|U8%&dCBQ^AXe&ra55@%HE9VR+8P$a1h&^$5Si_02?2jJ6gg6bOOawhxP&QeJY z?j(Z9fvSb~>5~QO!LF?1&_BNEj3kh{h6LOprA55dVPi z9)z!36DK7@7&c@?VgG2onD!)~msQllIC{{#Bp1)}k(w#gOVR(6T( zhDAKQ_Y*Ql=GU~8UQnrEqqg+3)&kHnO;9ikh3xC~c17SGVwcFPs<`g>g&e%#(bHX* z#42CLORrTZIgi;T;u(D|RDEk#f>D9$h|=?(IGFiwLlr<-q4~c7r$7qEhmXJmGLhNF z)?P-`(B4Xu$RD25kBV+!RrtemdLzdl;zoo)4O*+{k|cS%3+kJ3lN`LQG>P26z<|os zmlR4H#t2k^M#7WrftdMNxcj8?k%!!9PLA%CFR1%+;OZ3$4gHH{n%7>m$DjK4*zawk-cHSyN;UzL>yX)I- z(_^rNBTZdBc285isAXfBKH;}xVtYvcYkg5==JD05@veLEtp6_3y@msN@Bn%SFFhrXURGfWIxMG#k{?+lg)%ekv0DFsa76W@qsJR;1VSokk=fz6an* z$_cu>6ifSHgmX8|EYmVt-L6$cXbR9sQWt%ucv;csb`S#Vp!5JdiHSW)l?Vk=B4^d_|1|MPDQaGScSgIw|N9nQ zj=z9LYS0?^;Z@#dEv*XFuv`;6Z$uu5IigUY`dN@u#f_H8yYc{h9w@Tj0o;K??d2uO zoY*6ZnSiFANDhBOCe-A>nfp3=`rH43IDQh)T(u_g0tyenlf*Va^F+gPOm+ zL2LFE2EO8p@u8O%EsQL@Bd!n{+PN< z|LC*j#2zY@JH@5*>-X!+&b@{MqI&?o*b{^-kxHYOD&--x)-FCl zu&TDk_c)fcdUj=4(?tEkOkJATzRx{5X&&XVP7_((ezu&t=lGKC_AP>MKRu@#mO<%o z8J;>#q_Yoa%nD!rc|E^Qkxr%vvjQ{{J^?)tv$=+a%rJ4Ck5i$x2b3OwCo!=nsS+W> z#C6IctRt$L4cGn-zX$kDr1Kg!aFGoPXr-%ss#30^PLhhOna+V+NHi?hnA>em_4`3| zhZ1u{(EyjtKXBkiOXO{nWDdLrs%t{#7+LYxe@)HQBmg&^2@)Yk4pd1ZXLKr>l)g^C zNU46Dz9+A)Z>d>heY&ePu>vX{fG0ylp#ZHdk=3Syx+`&^wESyD%;f~VJmAM}e{~_f z=9tcMZJ~Oe$ZwSgoc;!5_#CTHnvfBXb?zT?#jR5!JZ-LV7MWePv)XqwI(OoRV@zF^ zNc+bdH|lp#Ga%|gaQMYKA@lYy+wAhp{Vr~r+`n>FUdY{pZ_0t1*GG>>%=tOwvpOzcUjL?|$T>4)#WMLBscXi9R{1>n=# zW)0Sn08RngjHyeT3mHIZ|AyoGTR)nenfH1yHdT0a=k@zuAK}F0Uh>sFvC)y-Lw%M_ zdn}e@0}2Ibp0w7w#7hpj4Xnihk!Av#deTb7EXnm>Uv;`jJl%jyjm=w|u$Kfl(~G$A zz<3~LcY@gDS|UsyfG;L|DAJ8al`GpP-DB**qia*@uE33a3UXA))4s5-oUqSsKFE+bx zXzL#mNyia|2jKHdKCs>at<@|w12eD|bHtg@k;k|-nDT2ST&oh(Jj|)e=54kJa_S=! z5jP$f55!zPyoLAW3@R8eyqcn=*9ej8rqK*eyqEeoZbN3 z_8z00z_(usG4%SKjQ4c}zFbxB-PhbY@=D+pppgtLYGz@PHU$PSJGiIc)`dF5S1HR? zv!)|pIRkpY)}_C#<4&_#OF}RnVCwF}w{w2(3p^On0V^{mdC?k3N- z2lfL2JJh`eb}T%MrtK<@dA2`U2bh!A$wyHqesh0yEm`lS7OyFSkeHaC$m z?fDub$MSt0t=2KN)NW-)BCVGcc1PZ`rTE?4p5u=WH!hK8o<@hA?l>-y32{GyuL3Fb zBYb`*oCgy4IW8UrAq~>|lrM-EL@uOAL{rLYA*3uJA_)*hBI0$6$9oTmj&w%p+;B}A`M8cgKautRd?Hr;i^eKU>|~6) zmq^hr_Vg^@vKCDm;yg9AfpSzLtr$r@>S}SBfog1zR35;!kMlz6TXEbSDBi3*_mm5HZBy<6I5)*rp zDiI3Q99p%2S|7sdu|hY;@#y|qxnvxC;VPb*AmSvAwrr}K~*uA z*RYpa1gQXxBy|CJ5)*rpDiI2dVEQ0*ThWw+S9A}=Y_qOeTSO2F(ENQVutlJlpl6Af z=PXdn+`S~3>xh;$)vCY#2RL{Dp2TE2NtFl%nnW5k>>lB;XNO`7mjU8v3FrZMh)Gk( z0-K&&_Xv7jn3^n4#&s>IO~%+%^`OqzM; zdENBPrUdi=Jc%E(9?UU1O7s2$Z4M4dyn|_A*asyr1@%I z>UV7AByz`yUh@%Cx6eeH*C~3}u>C6pT_hxN=NfJw5ud>koB}kG)CJ&4OzcUjM99%g zB*MYK7QekR9IKTG$MJy8E1PxQDl52c1fc-U-d zvV*_=2RL~Ep2WnSq)LPwy+oS4LUxPx7jMxy)fn>4T!JMO9)O3zq{(y(yhOx7uM1NX zb3~y)O7l8J81^mcn@+@it{6^umvR%?d1mKgwat~C$Jh$bOP_OpB7H3vwuM-Bn0VzY z`GYcEB7TS8;VaI!4YPw&H$IW!&JOC4mi{!h>u64ibd5|G|J^Rb(){C2gx7cl8C-9_ z&Q=t6J1wRqf!VfSxh)HNe|Dj&)S;B|`Wp(K0CQ%4|FsouH*NCjvl zx&fIQTN6+LnkVgTs(Gv%Sc?-P%>*>{q@9Re-1@B&FQV`OJpC17;$jD=cw)K|mo*9x z#2ip4K&vI7RDeceCQMbfCZGZ|Pg;p4PLA9j;naoWOr1C8C+=R4zzhCEn|qz&DLH=x zzV$o8mro7E4=ie}mCz4QY=T7r8blXgQxmg^JxP@a1tu^v0ZmDGwf8{GcKfVZTO<$) z(EJ@VvPGbnpf6SUwvME*fILkd4MM_5)Z)z=bG6-^VNEkrCg=*#rcYhszNGC5AJ_;a z`3DXjSk|X>>9YM*x$Xi6Ljf9z*@aYPYXT}j^Q66qoF41s6)4%ZD1$bz76*7Kkdi*( z{VXHdOcsdFm8r2c0TrNm(we%&8Is$;HkuG=CZMS&twf3e?6*q1h{6N#)xwW;8@@f^ zo=}m z!R&}Sk!(rXnZ{K@==VFrw2lyWMEctu+Lk`^xDWoG^G(WiaGF-!q?;`rPXoZxChzng= z{+kNrj2pJ^sgJ?z~s^_Y@1wvDJzzkv#$9@>Z;0IwaHU@i+Ks00UL)pxO zA4_H)8b8&;JP09!*SA*gy)sv<$iKZa_dTa_QT0WvZ>?CdG9n`~bMJlfLL=$fAKGhJ zn&jy3{{fC3fG07rN2wAaLzBoTRe~iH9)O3KG=wgD?szaT&8N5ahXQ68Vd0B#3V9Gm3RS#2jEF!8=!e&dKQ;03J=7bPf+}^WjE-= z=cQ!x&~Ufx0X*rQa5kYNNf5zv&xyA&}e zW}9`*+9HBbfab4|z!rh_1SS0QB&*!V5umACe}j1+CysNDe_c|KC={TP^z0AqH7reX z%r3=~-} zfVoW7UgI+54u(P@4|^dpdFAR=qlLr_C_Dg9659aH6VsKr^ehu+f&$0!I+%q^d|u8H z`qV+w*nqpS4Tk%_@3>yQeId8Fj_qj5`J_3VW$K(njy||Nz<|fP(!N88!RED7EgPQMid{N}aU}wo0&`UB3UZ&P{}4C!h!5N%9HW z{{sgmdvWi{G><0D4#*A>ssc2UR08lMCiW;*A~exUB*I}Rz?Y=wdStVfMosh*5qm)4 z0eF&pf__O1v)~W%k+wshZpUcH?bN(PIvY$g_J5?fP$JXPZ?sBsrZgC;p5xm(H<5N) zr_d5Fsjf&1CDN6`K-*6b^qbx7kjP36TaA_-|Ce7AGHtTd4m z=3s6}ByvRI0r>2iDrAnWOMBYiuJQTrfdmLBCIXsz(n_S`mc#Rtc*Xl%Ht%f0UJ~F; z2jXUKLsS)WK0#0FuY7U~wTNit0r+fWh0L*)XyBB{$(yyqc9z8s2s;$u>q#>a>Z+-d zd$%g_x~J~OX6PjW&U7ViI#;G9=6r&lyvcFIyyATtf#)ypQ?_fajS+ZGby}balmavo z+Esi2Us(5IYG6S90Q<+f2-XAeBxX+;rAmYh1DJ_`rX*%7Qw}^JjzV9l3Tnma2+q>VTTWGZUt2-CaPb0L>HApSb8;nVOih33@+? zVa0nm0^h!eIj<>W1ipRE+7KOd{gexfh#PMg(ahxYX2z^G{vKE=gu6_v*GW#xhD~!c=AR!r#{I zr;mS$pdhP)?*=`PR&StC*oSJC@uIqeu0Tqp|9SO!KsF4Wh83RqmJ8T70oY1BaY6Sh!2;W&<+lho(`|1qd zPNdUym~n?|odPMh^#6PGzYE~2bln2xEKs7(+vMm?T+eWldO-AxEVk*YTeUf`-cAIR z$*Wr>|EILuUQy`*(UCS(lNYhMv=h;-ZQM%=^SH{yYTyQ-O}rY-LM|6E=Ne3qyMqRE zf@(VvT(?F_&r1r^(7(88Co(9B%hcs|3!_3+1rV>706f3YvH{Flp!uh>wF#u)RO2J? zK)x+b@ROs@#TPWffjh7}2Fk7*r;$M7UFN@!n5Zzj_gi=oVfI z&}z9tsUU1Z;Q@F$hBiR+1RYljwaX<+55yi(OawIbL~|HllXwAz2jEF!8=!e&x)&Fm ztCa_0*16%Dkc@7$0Fwvcn=@^riM>XgsdSR>0TmK2N#?|CG99H#gd8=8@imF&oodY0 zotZTA6ofI|Gns%MfG2S$NK6aiZlQk8(arulk#qFDK%X}Y^l`2(_TPyt)&EQB$Xmni zrKqt#3|qqy9VkE}NhJVJVq%X{B|?S~%tSy_lAc}OW-W~}j9?U?`PZeu7J+Pn_Wy!b zgk1p|Nl&I(G-+kf-~R)=JOEE(VvkZKLWU-hQK|$>C_DfUF=+~!q3K!dJ(tl4F9m3S zw(thc9|EG|hartUNIsQa?y&9_p1H(3-*U%yL{DjK+rVpXY^&-1QO+B84Ri7yNOuv1 z0yIy|WvX@=w?9O*8Vd0B#3V9Gm3RS#2jEF!8=!e&dKQ;03J=7bP0)+#v79Pyw18F~ zfWMEAbt$_V@zD|2`}0f)IWg`v(36@ zZ4p5zK=ZFlfh_{=1i=*B0F9)TWK$J$F`Afb%&xD@2cQSwNlfffszk_86XDO}4{AEp z?6pb_5-g$c06fH`DP)EkmTO`kciVnV zzMP+zaT1XZ!zud>{Zm&=WI`Tzog&0t!wD7-z?U{zu`vcl?m(fokJ}$2S`7vGwk5E7 z$RQkR)zF&6<2W9$IoJ%PQ4_hX?Nl6kE>8IbJ>wSHU4ca7jyw=^9!>ZI%7XUy|8}PG z$C-65Xm4VVQV;zfDsCbsuWnUBb)RpSHtR$Ghl-mBTNBU&@FeCOBZhxA$hOnNZ}O40 zd z_FuMV>e+;CCvsW+d=-pGu6{R8vTORke?s!cC8nK7$?Fy1zwip=z$w^7zL=s-?M*}M z9%Fhh`Q+Qmg~oVHQEehqVjSAk&msYY0yGj%+yk~IpaL{c+L!kIV;!H%9!Q9Uq8nb8 zT)=y>Pb5~qg6dv%Yw2=xT~#;l(~T25OT37}1Mu{1UE<;ZsCZ&}78ky_cp&D0LIK*Z zahwLpW>`qPS!0f^38(>3^3Kw_WE(g0})Re;bZ$5#W77p|*ffl51k|X034+n(?fo*&=~BzzsBrH*1ZP z*~A{DN(UJxFboxL^te_Aa!I#8aG4^xT#!2pR|qbJ+N-totp%qpQJ?#->+0?wY|%L7 z-b(+@MrK1#SR8k19DMj98vHeKxRTSlVS7cYFM9v9^%Y6<*fgRB*!-DXR8P=!j zQr+Q{-u)24o!($J?5{xe$tC}TkpZbFKqKki)Dm12pn0Oh!?oI_XD?20n+Ry?$v%;* z>N~H|k?qw|jedjvt=DOAe&zD8U?akM0G=eZ0h%YKXK}ee;enU~3I$5z8}-LLN^*_d zAoERKJmb`DF8*TEJ9S^d@n61~s_MI`!b9rMS|syc5-JMNJUMFWE<+via6)-qJWwvu zaozPCTYBpoNJ~j?G{gdx9`zlBxGC5 z1xgRtzT{&aC3amW^_eQt_tZCM<)aQC!X@B5{!9*0d1TIOiLX6!%hs%%Yj~iFsHq4xu0}2IbwFHz3&`3@{b!nfCcLilmdQK=N0-AbKk65~@ z{_>i3Lo%my4u_KQ+Ht}Q5*sa1!%Qo zZ`|)CfpvPe)*SY=2+lJ&S<}#N z`EN+uAY47FCQUyP6FZ7m!ujC=(YWAd6C}+%yyR2Evy9>nCv2ltN)5%S4tu>Fj%S2O zB5_0uaXqx4mlSq&d37}~v^OF>O?BD#IG)lRyN>ph9reX}xQV1|I(7vpH0WLLi6fT} zF~7h0=vcPz_4y% zD{hv~^s$LhfKYYqT?s}7s-w@2_r$?WFSKj|G%Ga!x8M{=!CA~l-~pM)Y-5|Qx>dBd z(n=)Z6OmiE6yc99=x54o{UiDKB#<|+EEnpVag!ICSZO74tJW8&dLTcY)4AcAwv*wC zAQ(^~!F;*^K6`W!GDFI>`NO^19#DD!o`hHHM34v>nnZfk8*0xFbZ_Ywun93e01q(< z3z?zmS?s(kdm!eBLIGMWZnOlW2jH85BI^a=DI8KvPdNhXJ*hZ&QeW zL;ojsmUsb$2jEF!8=!e&x)+x%3J=8WPLP-u!o=t0LYDl}&0H9!}f58ny5$Ox=*7_y?EtQ|2G8Z&8Lcs4i~?xt+p^??Ed0gT%^R!vWoR z0KR$s>O7TrvJ|APyEX83A$GGrj8f|!vO;s{ucdcs_YPl5v|-ZcK~%8x?DDcgHP^aT zR+#SDo`4>JC&?%1`E#*&(fCf#1!w09fhPNXToB}j|g#@+;v?nMM`SO<<<^`$?zerAxC}~yB#)h6% zV^#em{U5$Jkcob{&Z~{8-SVj0d}`~$Gbgv(r#^@w@rI3l9qDt`cfS_tkCWe|bN%uW zueJU@5^oLtekbz?94P`7ppo?K5A8K9O>)$&pKG;4KgwxT?i?Xf3Bbc@(qy^`>$<{K<7YZ)jq2dvQMZ`rmw+}V!i7WyxKH5_sE1qdLqtbzHMv=Gj%a6tRxa?U4-z5)Fl4=7wOXS z2g4el((9EOk-mfC6SvQ43Hv^g=ke|8OE0L$?|V+S3g7+F5`DM&*eB8=Cn>lJ%C#<` zy#h26UNs(wnJ=OWLS`7i9AN)g7r}Y}oe7YHS{h{-z$ic)J9Ttxo}OLH z080A@jsNWN_G zAdBsv1kJ0jCh_zFWNK{Q*{r2ej{Cu=I~50{RCyp~og2H>TuVeN55Q-`D`bwXL<1*B zPN$waT1zAhsN@o>e-W#2_oMhN^WUb!ird#V^`FbNGV~`=;+Y9kmCZNOeSpG%iYKN& zap8gSK+Mhr$xku84jvX4E^#k?ag{djUf%skqJw+qhKDda%*NW!Y_Ad#@$#$BhQ#px z2ejJ4H!iBj+v@!)+-}o-J#wtUU3iJ~QyZX>^lWPGx|fy>45%wWBY6&p4uB#tu}7&A z!Qy835mA5i_-*>``#+{yxQvy&B`v@e@IIiCtE%8hHYH$dmUYeAB7jhUHsRUebK8h^ zi%eY#+?7B?Vc=bGwTzl8CV%O9>b|Hl{UxbJ?V9%ny8efjRkFKL;7KYg0B-39Xe^G*H!V5|)g_e^#_z?+SJL)(1cM#RB*0KPul zaHyf;NozMt`%|OmG8zzR3?y|%(_F4@zy1OJkoliqQa*ocvklePABBWVY5@2@71Got zp4rM&W%JG^oF^fShUJ=&8x$UhIe#_?@9-Gv)JaX;BMJ||rly+MCZ!X*t+Cn9rgbboi^s4b9j(&^LE%?hO1wo zgS-1#y1lG#%{qVJ^{NhpEVoxRyGeQNm$chBz4Jj8(wCl-@i9HnrBHkA%UZo(#buR{ zumUs^o?H*aY&IJqGYnu3Fz>f@^d6|P+ml>j*8AW+L3FoNXgtQkaEp z5Fs>_=~c{9CbX>`PSwmvBfxiWj-iX{Yf~pe?<7SO8m_JkypEa=O^!WAhx90SL_A03 zF0wW<-Rdz%jsMM(IRcN3Nr?n*!&8>VHb0&J$w%Zv)Hf{+Fu zH{SG@u505hmG7bM0nzje1PwdBhRB&vA|Ft`X%h&L$S8n6weEUJA)XgauEgZxjtFhD z*fu;MI@0N;bHlYft}+)<>qpdR7BY!dwgI$!=!1^a3nhXdHdKj1^9YUYqUR-rmk2yW zybFnlHkWr}P&fJpf<-i&IYzHRcRNIa}qgFLB;N0)UUe1Gx%m*zq-l zw-T%Bc1s_I1i^j2-0)PP zNiAqtDF}Os!UHjLwhBUK7{Mq&BS|FyPoiPR*CaxQpM3dpQ+@oil+5m*(X71)&zpHg z_!j|2l5Gjtnq^(Hwum4Upw;JdaB84PbZ)pNBrvuC8c9#4#c0yHM!xk^sM&zh1Mnm! z_9#^%WccZ?(96DF{O%R~#^Lj1y!wcOYF^zn36@ZJ03Kq}6f#58mDs%%!km~R3We$p z`m*w9uI_kob_S1hQxrc5G;q6EU0qZ+q0@b|G4aHWZgyW9r2Y{;{!nD$qb?!Z$2@6kMl>FT_oRGc;;G4@gvR(k5EQQ)@-2T8F4241-)uT7*pX~fC z+!_5_^ndytXmoKMjHU(j-+1+?-2e0H4>GDkia z6>0}BN#?|EG99JnDKHcNA${6h{TAxKi=KWLUsP&d-8GKj-mx{8u1QlGsougsTwn3$8a&*7lacKHJCTvirn>*$ zvNK1+b|wkii--w(qq>N@pF$E5a7x*KCvwV|U9plFy*1poKiD40wUzY+~1hNTAbdwA+ z!ma>~q$krXnzSQx3|3<1lrk(QT$KSiDaBturxX?dB{`woS`t%>tM__l64)#<0o8o!{FvN-a{DJ&)!Wsl+v>H=FVi=um!FPlJzompe2mxp3xjOqka#`&gR_R)dGMG-a0<{!QVGD5nAoFKiO@t&1yPTNLPb%*+R^FPQ0b${HzQoLi2>F`d>CQz<(3-_z8cCbjpr!71LDIt%ye}v=i9?LoX^ftgeujSu7HD9fJWk7ikM?-0xCfBqUzEVppP?i!4uFa$rYmvbeb)mq2NVj>Y6&P6ppl$@ z>JleMq0cvU)VUeE0V+nrpS8#qKv;0<1ANqA+7)L0z|a3k?9 zMf)oN?3zQ@`H|qN0IhE?b{^}lD|}l=@>!X>JN#9D!V!-GG$7$=@<7bTOx?Q(5gh7SrA?;e4w(;aP>#-@sX$BkaZVu3<}Ul_#i!CYXT}j^Q681 zpY5^k%I;>AS8}-Qg4NiVB96}k@Jm0|rH|y1JfLp{Xe8dOF~`;fRDkA5YwFVeQE57=1&z}|8pFE$H<6V#Ql^p?l>&c1sY-(nA zDI8k@e}Ek{NcUbm_!?w#U{yNEFoBr}XiCBh)&sUK{B0fgNwCz^-RwI&)6{(n{io4@ z1|;6BF~`;gzpdl`1ny8Mkbp&49(V9kfL4-}N|sUl zmFIn`*V}oqOPnUMk+oBDEb$?fEW+bwWUl}w z3vw~J5|fKNBINcSTsz~I@x{(HjUnBCpiBM)xEN;e>+OmW9K$}5m+Z+$4H4V72NK{Gg#xrpC_?tSzXUHn0uSUi z?iM~10ZlWh6G@+|VwRH0&{O3$1S_lXl0v?O-NLQ_Efa4<;6y9S2f1U+CJ62<7eECe z0}2noXJ6(CnIq-ee2S|b_>$v+*m)!-Lfu1FAQAb7%cL|wm0-9+R33=ge!W?_#$R{^C5;7LsEQL0495Q$uvY=){5ETQlKJjA3aWQL|wv3pn0 z#>FYZOM#UAzo6wtOXSdzX@pq;nkVKmRlAJKG@{i|fUhSekx{C|3n)AQPZHYz%@fnJ zxNK2)Am)66;*Tx6K_@;hC7Xway9E!3=J?sNGe_SeFL-=;S0SfP$K0GQ)SyW9m*nmm z4v63Z`1|-+m$Iu7pGgCN54i7PY0<~Jr`2;w%49z%Q+K+hWdkGX3eZSW3BZ$>*rQa5 zkYNNf5zv%`wkaRLlbAGx%rJscfab4|z!rgQg5GWz*Xq3*fzQluFlAQay_%ekWD_mh zzb^KOLID~{&;F3DVQFPBzpI5qC;@m96MK{@5i;aN{s}&UG${>GC0Ih?0eFZ>Q^*WW ze_|i^u+)g@MoVz;0DN<%BkKk5_y2L3atA}9kcV7|egZG)HYp8IC1yb30eF(w256p` z{=}tcnK%;^IF8rBEL`IAa+c78f`-6jFvxeT({5~oS*A`C zNiY0VPr(j&tkXn}?C|kcUZ+T5+%+5!-vjUi9_x5hta!2%q;212)a(zV)Vha8(MaT* zsB1PuYZ8p(c);fD8rsO9t~L_Up4paw9)KswCusi<9B3e}iMyAibY6%i%?`-jMW_nU zNKy&FlbB3LsS=@yjYR%C;v;OM01ZgCC8(_?O=errNCbRTV?fmd@Fe*J{gN1F!5`!! zZHGSDj?oU=tu+$4qn(C_;hpX#Q>;8Moedj_bo!m!nB+`pr*V#N>zaw&y{u9@qtj`< zQ`b!7G~G7#z|#b*qx|$qqa6_piEOKXy`g{5;r1tJSK6i{f*sTJl^KzqgSdOG$6+b+ zK9P6mUi#m>@lKWg^sP%=X68C&i^sK3r06i*fZXvX@!4;jn3IcWMBMG&MHg*bMzNvaZC9(vth9%GAVcC+MPj z@+KdFm=D+(!vl9;DtzF1vaz~*ghT!Dt60UqMdxqGeeM&psh+>2eEAf*PkB$sHC5GD zw;xs2-wUbQ{`=o3N5K5vve`SS%k37oJf|M%JWc5M0Q;%D0P6wx!nzkz0|V*@*gw`q zupWRX;bEHy5+TFe$JF8N7j6KQMu0;OR|igO@MPHlJz(o2n>DjJ1W*dlvQMTC8?sn+ zZloqd$F>AifJWlY8gpVcBP(Qv0n7pRk985O2jEFe>|Bjy7m1MJr?1gA`D@?1{bKd$ z&yg{}VJN_tWK#n0DZAeR>qr2n0B!Wtp~+LgXF|UE8eQr?`JIrySY6!kMIsG2?l{2b zEH1azeg`{C_ltJ=Q3%!MJ8$Av?S1a}hVgTUB*LL~A1cr4%NmZS{nS8u1nB|z=8_Mr z7r^XwwO7wx%n>I-A&=_6MxS5bY{KTzH-6|NXTRMzT)lNmOuYb^THR$psQ}FrcSJVu z^gtpw6ZB4EcgNz-T9in@&<1FpxQSk!Vd_3l+5O3nzjjf@&%YKiCA8j5az#}R%uJZ7 z^>GK33JIzsrWf{*f8&Jb!hi(GCg>Z9zLf4Su|+H1S59^pght5Bu%eY{?(>Q~vZ*u)F->Tut_=3Ej`0UAlqrsl1C zY1qU7Qg12J`9)| zn|C&0X_TYsSsaj8<$;*p31X9Li7by;kK017clhgyEUu3aOSKX@3fpt!V`h0RpbN3_>XY%S+$^R*B za#vJ(Ky;)H)#OENF8+v&eMw;+SD9E1+yJzRSEE_Tg9&`GYY?ZXQX+5gTH{N1 z{p>s@aL-E$Q)>_I2<(nXhd-GvQKS}BNTLeon;?Z0YBG znpbyC;sq2QfG3Gocp6kBq6>B;7QyG64OGso4cQm zF?&RZpFY^o9R0#eda(S@cr;F!&;C1+6NY@1LfxD%nH@jM#y}g%UwuYteBsoo?7tH^ zb=*?Cmtrv6g^=FXz?_(et>Fk~1!yFx1mH`|&j$Z)r*uF}U}pd7zJo_I+XxCNj5?Ie?cq4ssN3oC(|sNv@*y$m_lt0C_MmA zVq%X{B|?TDy%VeIU;SeW^{;-Fj30lV23qs#u1TMFnEg6f#58mDqchNraaI zv_D&TgXWL^(DCvT-lduPxp!?@XVzX4_AJ8}0w>^#t%TC??OLBJ&Cmf0g;G4@gvR(ihujWOd_8PZ8M6?BN@JOED;+W^fI)0MdNT%58Ax~U$^sp3Wp2^dQ2 zj@*Gl?JzD=lX$n9rvPSK{ijuR^W?vbRR8<&Dn&{uR+?9L4G~_Y$2trj46g#^-jus9P|ygk0RT6WZ3)<# zWnHtjh#(Z8(N0hT@Fea8!4TU3jie{jVl-)8qq#2CY(VJ&coGwPpc>gvA{Y$S)%#b~ z#mC=8F8|lhQBog&lcvrjS5zffLg4{;h)Gk(3{5X$A9ve^Ht9;HEsH-BamEdL_dXu$ z9$&=ja!a%J=k$Q5616(DmdfYN)R6mjS6OJ9wr}w%uy;9axy!>P8nfkP$6eB;uDbm6 z1KMJEAU@t)Q~?GCRF0IuVLOBDI<3QvSfY5HBE((82^J5)mo{0kF$PBNK%use+aDrY z4FYz8cT)l@zfJg!e{_+y)o;B^)eHQKteRJMjRQ1hI)G4sMruT%0Ie;7RnwKYY*Bb1 z=6r&lv2%6^;vP_V0KPEYViJ@Ey@8@oJ9tUfxxm9@I$TY4{8k}}{DGD6D4fg_8ZY;5cE{W`3+k|&+>D!)d^`%*Q?VmbtM5d$? zrZgJU<|*$%V_MAQyCyPrV81Z7!Cd|iI+1`;Q-DTNymGTO0TrNm(!R9sAM1E?@jyZ( z6cYhWJ!vN*KbjoMNxX={1Mv7(f{O#7;)&^0T=?SRftUjd1!(opK9%Ge3?!$Yy2Qy* zXm8dU*NTMhCjy#!Vn%F~D)Ax;55UvE4wg_TK=Z`(EH2)x?FWlI0=yJh;W*$|xnCo2 z-~TB0evS4?v)!*bei&AeK6*Aacim^%B`^orL4$Pf#p5nSQxegXhSVhIiZ?J1*#5+3 zUAMAD0-*rS--aVw1axk=R$EYaEi+8r%|4q4ICXC=ICW`1j%&G&z&Zj8j(}Y30(w+{ zM$)~hCAcU+^TfXp_3Xt7ktPC~dSVh8rAoYr!UOOmsSVIPF+GdR1qu(u98f5blH~cS zL9&@Fkag;45O|<@INVu_Vq#1{1!#_h(@b6CA=v3;rC#L`c*1}C@+xPz=H`#Ulb6CT z^=z=)r_sF^+q-PD#dNFtE=-xAPXsh2xhMf!7k;d}tbh8v)YRSZK@vQ`sax`~jz;i$ zKa^{^j=(ws3ynaKez;H&vS(9E@KS*0N&C9Aep^>RZRoJbpmkqHs{k(rXdIzQvKbbV z?(0#4ivl!HG)Jl>&XC+5;1l`6-`4HNy8Sk}dT4jBdH|l@5Y-0eEYM3X_~Ao=Ja9(v z>hnO(CKG#T}_vxP{Cn$PLcqWxX8B z9)m2rZjEP3hA`^T*V~z9-Kv*JcMD_fc}d~bmGLjB6B5CzLKc_E&m4<)n|Jtd6G_)} zvD9$=(6KIaWK=1(wYFx3eEp5I0aI$4EYE=AQPEw zY|~Y@iuP9WL~h|S6sjt~9V^R)`exkZ1#c^PBI5A^g$Hsou5-h+M%0M90yGkyY!AfD zD@sAg3@O*7q4nW5=f?0i?~ftVu-1!%Rn z(GrXvfNuthtQUay8HL)*OOiRUM-&qQO+C>Z#@8fXK;Z#+lGp}lo|x{%#akiFiP@bX zFZgU+;`4I0@MjIYJ8SQ=cOD+_)ZNTJdhHIbWKp_nIKb5d@XhNNuVx{099LCoqLeDO5KinIb2)iYq2jEHa33~oqEaqT@?8!8bCe7Lyp(;QlNhJVJ zVq%X{B|?S~%tSy_lAiO;W-W~}j9?U?`70!_MIfJ`m%r37FHl|hMRE%qk#_M&t4q`t zp1Er2ceFZV7#;2uRN}4S6p=>7i11c`M$)rCGBk;d zQYBbI;Q@GvNmIxSP0wQQxj035DM(M?{OfCi#RKroK#}zVn9Ee{H7--`U?>#wuoohe zSGOuL0}2nolf*Va^Tc!|Eun!$%?6o zJW>j^Y25x`wu^4H*?F_BIgGDKoSt3Yzw9(qkh zOx0fFGUZ4^q3)qka7%k3GI@2Y5-gzb06a--1GKh8R!vvp(z8t532FyFq4vpj9&C;s zBk#p9ULwZj6zZ-w$U=$q=F#hL$!AL2E%46Q=4RKtL}uvprZ?t7iS*{t>u}2(L$BNX z4r?M~$M|bw8_ebZpc6?LH3euS=5ng4n9YS%$P5FR1MDB`B3KW=lbG0}REdyb05cKL zl!SMC57_$1X3cC40h9u??2{?ID-m--p#ZIxgi-+-iO!X&iP?;&kQoLr2iQN>MX(-# zCo!={sS+W>0A?bfDTz00%)yv0Y}Q~M3E&ig*@zT*2=4IhnARn0Wvi(dapQsU zK+Nt0vB|YWm^=VKZR)}Up1Pa!Or1=|it7lhBe2*AB=n>JjYKa%rY2@{u@ExD07d~C zNh|?)5)*rrDiJaaU?u{ZlJIWtftYR9HEW9iLIK*uX9F}$ZGc8%CQMZ^oADGf!vIDB z8c8excoGwPlqwN23}7Y#nv!_4#+;aK)-`L3073!U5mP74S6oM69f9RWV5w(=wBKHI z^EEX&=73@%ps6RLHeKT^@yx@Vs%+lbguNudxv_a*Jdh~v1hL7rM3_7PpN*`LIkplF zoE$ls2~$-91QZhiO+9HPk_}+DRpRMfnHrnd$2xD+S8g4Fhc^NVhok_FM0YV$6SLW3 zgv>C2Il%gDU1Kn0STH_EJR2mmR)9ugCQMZ^Pno*)+qzRv-7`#vg4~=W+){WTGk1qV z0a_;SdvBA&DZs1G139ugM4AX_nn|9BdCOh5Dyw3LupZQ>{T=KI&@#yr5f}b*SP$Hn z6lP%?LpgMg@dVd81yXW(+<4R9;caraJEC~=|31-^`@FXTzAv26%6rjm%wVc$UtwJNw zx#60Sz}N<8Bt4lHqe<%;v4=PQCaGovN)N!3nAoFKiIAa5WRxnw5(*E%Lrj`NW@vgA zySGA^6LUnN0Bvb`v~-8d^_O_!mg{ffo)T{<3wOFuci}u!=dR&|WA*@ibNNQr3*hhn z#wuooheSGOuL0}2nolf*Va^Tc!|E3 zwZphfP2$~Zo`Nu%!}yv+=sDjSSM<>%lF)+(;7QyG64OGsTd1FNbhH0XAh!v7`BEZVktl)NhJVJVq%X{B|?S~%tSy_lAc}OW-W~}j9?U? z`PZeu76EsHV2W*kM$(gMF`Bfl(Oj2mHlXwXJc)@tN|gv1nnXsa5-g$c06fH`DP)GG zXR-GzlL#*bXsfIvu#UhPMgZ&AUBd~vT&5=RZnfEYvu+X@rAnNh z^UYrX%@m|=dS)^KJpfPQPLP-u!remsoTHnE^jPP7(tLA{F|C|LX2@f}W8EBycx%}G zqK@|rIqu#y%$s6_vjQ}dR08lMCiW;*A~exUB*I}Rz?X#Mcpzq*b(+KiIE=>(r+$8fr7QEnnFkCP~g=cOyuLWy*xFw7QW?w5Gy%lTOuFOeBK z482pgP$I`4TN-fLKE9U$5!OTo3{uPG)0TEdV}4hfV3wB{X1Y^%^nbZ)pNWZ5#q)ZOg+ z)VVS>aTqmM#(Cd`DHHUGfTkqgtTD&dx6U(l-1iFiYy{Fh%XdweMj#wUfolpiZ?-a3 z*`9z3&^&4HX8Xswp1n9B(nLU0Puhvt&8^!i@gfQjz|&tLCN2(uiYKNkap~TRWvT*P z8BnPupj3cHqH|?xY)wD~Xr8nZO`IXQJ;138=b1Wh%vWw5fprAt90B*Kp=VQT?S#eT z5-bYPAZ7*#C{-e4n7~W~G$rBP-UBh)%V*8nB7snV=3hZ0TLjz*Vv}oGr;f%Z z$%K~>0ZXzB2nJI*fY7raW_gj>!Oi1KvLL0a5QRF+Lyk~TNxQXO% zlY2_*6SM7s4Dk+E90hmWX~aH+L4g!}jMMXaI}JO&HZT!QX+Vu)_PnI<1-Y192PPtJ z@1gL3XnKoUK0(sV!7IMlIfii*zY5JZIo0d!Y}_v0O{A-VwOvHZwDcesyB6mv6B5}5 zH&RW~8f(8_4=XBS`}P3NRdw42Xqixi>}_%hUOlh3yM@n0K+{a}L`+`Ys@#TPWffjh z$d{vA*cG5xHv_4DL|{mjh0~a0DLo0WW509GF5wx%al793WYrEg~;U9txC** z!UOOmu?^5XF&;#%!?gX(#196SHMr!8DB<6B7u?t2N3eZSW3BZ$> z*rQa5kYNNf5zv&xT!mB>v(36@Z4p5zK=W5fV2gk|K@Iy_T}NOYfyG83q9+AtB)TD( znwW>JVgIf+AcP0tNlfffszk`pBr-~sU;2|bWAu}{Ri``t3R26eXp#ZHGH(G+x z1Mtm2k@W(Y%T(>9shOJCBZ`TDrkL$Xr1oQwri911TG1)VB^kW@& z7ZFPV8c8YvcoLK8C{-df(Mu%4VJN_t#GGZSirHpevyH+_M9cw&2jEHE2@=x`_=9|C z?ZBtoG1_rEH7}9Q2GflF-_|XZ$h7nut&*H64Th@c__oeXq@C6&w8TrQE7C%VbfqxR z_R|CXW_Mc?89Bsv{2T6(jplHF*om0CCKfpr8H906LO3Ee3`BjKA}55(-QdoeXIpnibU zJl2_>jfxH(3E+wVn1l(KCh=?A5&-NZ6a~!st0)-$4i z55O1Jy_lNVx)V-~+uf$qxO#wl5n%MBl}O=>7q8t=Zf8>}H8hEc8xM>JVs?1ap*m1yAPa;I@jfO`>O^rV%@3{!WeZ|nG%2nuqC!gqrn$iTdTLctrYcv0Pf zSAcf3Xs1q%XUKMuCvpcD1!zahA)5`?ym)yc;bA-g znLn?AiHO^{mlV38Ycf{+INs$l#P(u{(-J=SIg!iMHA1&AD%7`c9q)-F_k{Za1yXXM z`F{#lA@>>2Xs2Gyjh82)DRIqhHIg*hxUKU<#BJP53LBxXP*)I3Lg4}Us)}uZ<_YE6 z{6x)j8Oud9C<2V0m_&@~wHV7qG*E!%iQ6;QLFIu&(7EASBdi-Oz`+CX&6&2*#9kvm z$SJgJ6BTnDMlA)^9K2eo)fj$SBHTj)dH|lpoggtSgu8|MIY&3A`A*~d{QkMlCdMC$ep;g*GGUDT&lwcq@cCu}2gN&>B{# zvrGS9ea@c3Tpc8yxVfUAJ#OMHjfCL{}&qjbOc=l zxh%k?EhZ78wtc5*5zQ2!dE)l$U6+`X2<`;2$&D6Z@&Nq$SSO><#Pawyz2wx=%Ocwn z&;#%!?gWWx#dQSM5ugzW3yy*=U#>~dJ@>1_)^J2D1!zZ$>Dj1-@DY3wU_4qTB_h2B z6dr&laVH3d*am1Me3kS-%*AM8u5sZ0-)hsRn4XPVXl)WPYN63MdQu|NMb9#c@KS(A z5*ZhQ^|9_W1I;JiX+n8Xb~$;T$2upGJhh{lz-z8E%&8LLjk)g0-8~YF9)NEy-^h9f zftg|Zf7ZB*h%gob#1{OL zq5s>ug%TN`x^1y-F6-KOW1W`Jy+mf{xBuI^g%Uab*q-)ZwvX>*NQ5d zFD@R4IiOI0R!cys0F6ZF%GB7JfC|t&X(gICInQkjm*89k7(HnvlKpJMYcY-s=b5^3 zNv_`O2&^M;{0Nw*cnN5pbX66z*?%+9|dU5 zk6>b3g+}5|5VNjD=gQP1+LRHyk)}tY>Dj1-;0b&SQbUFDNO^0)sY^%Zx;9@&U>$+! zBM{J30UC*UB2bmB38(yYtMQ+IRZ@JMqrS4J&#k-!%L z#v^6P$GRg^U7N2Xu#UhHBM{J50UC*UB2bmB38(*Z0nM4dN!)tDoA>L;+ofJG-tB$+UJRg*E8G%ctG?E+DfmfRFF0W+*}n5qv&{!rS4^TpA!jH z*WQ(2RG>PJzvDe|Fn_KM(5%q>--1(scC?tDjp~KZ6S;+(0<@!LQXEwviMPfLV*NI(z3leiPa7WX)MuAJp)9I}RpQUTi0VtO`eA$$a11Q?H&Nr_0W z0fh(PN!$q%(?Zz)SDy3MANfT3qedGMlCcNM^8#by6Cw$MR+MdtHnKz1fvJwn}H(h1sJyf7aIF? z1YHCeJu!(G)oU@9i)f$#%@en0Z-p=?5!?y#g0I{<0_zCOJ_7C<4(Qec@atopj6xF! z?rPo@F(Hlz;7QyGs<5Ma(W;&+ryq?wYCuF?0ou`GdNyhyd<0(v7>|}oiAb*jg$LkC z%mgJS7lrk)ZqebM+F9Z=rQP~0k9A%m?X*sz4S1}ZBaw*Q6`+w|Cv^dQwuXglVB-3~ z{lC$!ziM_5qh{?BGbRzEW{sv0lM<1RdM-{8UJB4^agQUx=mGdcL_k%UoGfJS02 zNx;y$CRR(n@58sk^Z$c}ajXiHMswYmLEjC#clH%C94^j=-EF zkPut}8i{!#P*u$Cx))Oe1L_LUjuz9iQ48S%_#(h~v`k7wde!GRQxkJSp#ZIxgi-+- ziO!X&iTQ{`CBS4fJsY(UHh?bzj7Q6)M5I?UVXBHbp-_Of$~pq;2%KpI+*{9xWIO<$ z?L9)~*xE!=<90W99m)ha76C?2T8R|y(7kp;xt&d^)X*d%Zagp^h}oSWHo2AvlLz3l zu@f@KR-%EE%bmtC0q#YB(UVppGfdt3Sm%xU%B>^t@J1lvkQAVim?r{N#cVbpAu|kM z4zPY(*BA^L7L3pOZJm5LC}WXf08<1QkCU|gw{=MPSsUc$ByEWG0M1o)*9K^ryg%w~ za#`~NaR@fjO z)%HFoa&eaAAD?RIkB#$i6ZsHBqd*G1>B@UW9CI>`PjH)}Af&;^jTg!BE9H!RnyQ_8 zH8*CK2xp_xLkk9EQjTKUZkhQTgoC`E#65u&(xVML=HQl@Bn;s`9{_YFl_%XH1_ET zx(G0OViGZ`*J3Oe(Le#3CvML@7bkauIJg@vz~lk==Jku?3Yp`u{XcKl!Izcqu?zWgUTa1kNx5*g4$YBOw_Nz&Dp~WW4~x_Wwd- zpN^o50HY@+5uP=QOE1d9SRPfQ}>a)H7F zF$WY1&}s=N6`+ymT$vhM6Hoz~C#^&iC+E41;S!vS0HY_ZM6zGwdo9Ls;XG3}F3Ht< z9f5TOjvoQ{slhxEs4D%L4XBVr06`&n0W{8Yh2%o?g0mh?cQXJV9vnRCV$(hL7?+5@?-yn~klw34J$ zvW((+j~&%wCg=N{$kkbre`ltd7#;TECX&BR?y3KZIDT!M4BQTv7zKAUX~aH+LBVw! zNouEF%?*|(qA79BZ8efK*|@FqM8xeq6dn*wZ>7s8NSZl#C6D8dWfb+rQQk8;-{(ZO zp=)4hjkVvehZPlZtKtEitLnB5&@!P2<+sUY-b0C7I2HlMO!7qL&nrs;M4pJa(aPfG zm4VzbW)mc>2rp1m5I&&r0Q~xAkunNR?%9`A-c2xp)A6eXNsFXkxyr zHLZubcSTIFdH|jz-(*^n(U}4?5_6ZTs+jXNEPNXW?*HvZJ(}s+sD;KR5u+9wjiVC23K^`$xC&PZk!&#=zNo0oO9q?FpxD*VcrTF%Hw9=%%cMl4*MPzU@Fea8iD?G>LB8I$1D|fkXvgi; zyhJ)1Of&X>q_|Kb)6#FWO7&jcpsMN|-`2T_w6Z#hl6cK^g<2?)t`r8^etMwa>~3o! zBZv5of5Sbp(H!m%I}vj)A_EvvcmO`TrV5!OU-0&jPI5CB&`S|u^kkod{~?jrVjQ=# zDV3U=M8wV9hNvp$e1e|Te;1iss6|9855Q+*CuEMTL<6UkJB?!k+=~FCC#^)X`SV(g z<8Ew9rRF9PanrdnH8JNC^yE#BQ|e^pU)>RS{_@q$ZOyE61fG+X7HEQ#0yGl7+4Vro z?z$IK0|V*@IL%|7>Dj30(2)SH2rwQgkE%(DNU!E|7*)lbP$*OpI!gj7KqK*HjX5z- zN%S<2b*5*dN_H6rFhzjzI7t@^PTg^-uC32H0=H-FeeL{+5qP%nv%wLmHkt<1ivXi1 zW?MC?*J3Oe&_Ds2CvMLhczPfa@@Ipp{^_%0@t^3TL;{93K=Z^+)N>rp1-KRgMo&y4 zM)g{Za^p_*m9sP3REKs#ErQ?KTQ&l9#bo2f%M%f=9SRTXn-iTI zuEDz`TRuli)}eeH>NvMwGm*32nKcs`c+hb|n}MM_+&=2CEoyqWiR^GDDv)wZ|G!87 zy8ymQ*DYYq0*%;+x5?SoCEfIFRJT>0$ozQ?Ohnw?z)gS$MAKX8bZ)rjhOWt2@#A=x z%Mja(Ax=y9+~-6tQ#L}4J^vO)h5Gib<2`ZYo^Ul(ASD-?|EFLTa-Z>xcIwsKczGh4 z64%^TBT18u+d5A~-1vQu9>^WzExZ(<)pCVWLD+=C1MpQ9+W^fI%C-54n&&c>i)c^; z7(FqG7}aYrmWybh0L>G(XRL$D1Bsw>!?hqR(2d4+5744H(;Q969Qi>`A$J(hnBy>N zDW2xw)k>|#@Y53E9um+4@Fea8iD@C+E!59Bx;f2vBIn3_P@gx0yzZydX}%K~e(W#B z)odX`UZU_o%){1jgtG#)qs8=W)I#_Oz6dZLEt3+FUIPjbz>{PXwEq{hBCHC~NW6_#a60GNb)mg(%5)qsNw4=rJY}7*d2)+m~9xam+ zkzNA|55SYS69hwS12hueOg#{DF`Afb9Jv3t+Vm-=XQLKcn?#ISXf%$Vl!$cEvrHnq z6as1KN(ibhA`f_jPCRjoj3RCkIVJXN|jv2xAdoY)fF(Bx2Ndi)mU!GX-dE39Pz3Z(;I)n>4;j z-LJK0?Cd@@_!rF}k$~=WtGRY4UtgQ9*NQ5n0p#Uv%oCe8eSV(lPOpUDxr~u8AR-%cM z^W4U83C=};(UVpp+0Qn-7UQ_E;MCD`L3m%LZd{V9_bV_0m+R{kUx8Vel)@$NgxOQP z#4-<q9WAD3qZYy^@Cq;6Dzqcz$-v8JLO%-7njgW$whE2Je9R&w zv#v$w%G9Lclo7kB`#i2NJsY(UJAp3(j7Q2_3r<}+GS{{FIs)qmOc;TMpA9Bt=rl>- ziU6Z0W?MC?*J3P}&_Ds2CvMLd@bo|;1QZIS?0@>KB-h9SF%NU9vNZt}pn2jZ>NyVQ z5?qS_qbDX2qk1jIatRF-pn2ll8<$i z>h+Q7uFcmGSVv&u2n4iKfJUMZ1E$8-1XO_LNqaY2zpX2L*~HHQ9>|ym6bjI42`Cky zk(dcnm8}V=0L_!u)Fn>Na~s1YI2Qp%Pg;o-2GDCalw0!Kx<*df+vM~u1%!RpX{3nZ3cwxc8UDV(e1N{_2DLxzt%3%pnI=~V@^ieak(c_Nw;*StofIg^doK2Jovp5Z3I1EOEhR(egPg0v~%=Bi*A zMaOe2buYvFoJgp;_O1k@0@ZQ+-YepmgR!bU*9PVc(EQ(mQh;`}n4XR5h0hbYg_{Dj zqh(Se(krbjUS1i<-)-pJaIKMPL|p+I2~V~MV&)a4AY_KTdKEJJ6hb@oYK4fJgI6mw z8b_OK3XM(o;#GWd5pzVL0Ie1`T7uC7@XbJx^#WiIuM`Siqv#0lBEaa0=HS)57Gt@H z1`5zTG2M%cw?dc`vpYdv@Yy(iaG5Roc?0jx+x^_V2i{WV?&Uo3Zx-&h{*v5X!vVQ? z0Di!qMT*<7{a<_sqS-x+S_)zkF>0yR7=BtJ+(QC-0G=eDpy$uU;vPrOl{1efvDz4+ zDnL70OwUFwgpc5h0OQdzDG}*4pzr`ZNj^a@e@QG$VgFx!E|tmY`+0QwkX;cMp1G?3 zuRgo7eWh)Q*W7|BUUOd&r~r**TLQK^YgqU;4&49Sje0b*dl>fvgy9eN#fg|j8ciW4B_bX5T%01j6iB(NpAFpONaRp?0KOS0vYvs^!}kBI zaTmdXi;%ZuS9_tKKd*s_h+EGx$tUPp9{yy^C)atXQ|=gf^aA50QgA(n-t{(KD3RVg zdL1tLOli9X-cs7!?3$Oz44vNe##|_o-aL98Zu>9Wy)jPWuqHBg7{4~Q!Cd|iI+27? zQ-DTdF663;*<4tK%rJmCz-b=qOwUF|hmHhrMS$^0d1UX%3-JPY1!&nPQ+ih-=7d54 zT6N8sfC|t^bgoQI%u`0}G>>(rXQN7X83r&#fblp<7mH3^y1K67aT%|z&oKg5>+2NH zG3=-5Gw@DW_#459K0E-Q?L9)~*xJp~CU+Rm1T-lEjGnX-$v!FaT8!g%HlI%?~7Spp)3*iI!BEWdGOiDz0 z)#o@<6LUhL0PXp6V1rDo3+P;#nwXDBw9(0ws_EINg@^%s5nw!8CM6=hnh8@?%n5}8 zDUq*!pjWwXBe0wEA7Z+1gK@9&-TeTsar^TjIfjVB1Mu12BV>-PO(bn{hw)56lOn+A zNh^`U9lFl%Y0!-Dad z^lhCqOehqfk?35RnwY0d-TH0asi*E4CPP7PP7-b@Jdl~YL!kgIllPss$??Nx<~fGA zgL4sJ%p^}_#9QvNXgLu<-L(N)CV3*_!oQpLK)%$`x#3zCwn2o@P^MRTwVLL~Pn`)k zMD-FG(r&2F;Defw$i;*bfgQH z&JEYx&^37xyR3t4uMhT|+3_AHlH{YV(g0;|lf#O70dEf^%2N~yLbfr|Z4M$SP`&Lk zMbl2bninxPbI@$G<~16_@!ht`#%rG^B3@Woyu2(B3y*AqK(e4=r6A-b3J=807f%Ht zGmKyqpdBsRsaGq6kKl^{}U$EkxBEyjr2rIC@ed(uKD|m=kkEp#W`ZIsO)9>5K>Wm&|e)-0*%lCf-u+ zN3LVD3=2i!UOQl6@L<0qAp13{t zT%7U=+W!k$ZnS`YJpiA5UMggc!}kBYT?b>1!>FZ(CK02ST8-hSCBi)4hXnKhJc%PJF9m2bO8TY~{FFO}6W*oV zL|o6K$iyee|IgkV>qxRB*<#r>wauEv09!MBLK9dFyaofJ0V;O@3L~uGsCz*a7EidO zL@|Nk53IJLKcI1Egymuc3KScZsqha96bA1TDDM8jegf^7MU+LDnMZ_2W<+(>gaP-s z+c9_ZlkOSr8JX2_r&8=BWJb%7P4s zkOh-8YVsc=?-iZ*E#w9>t041i4svxRYz|f-9TqSzaE5oWnURfKNngNM0l^FHll{}V zO1=VK0p9QvNFKZJ$aHPE2I>nSjJR)0uCa7aGTen|*2=h*ZAMaGl0h_mfc4^2E2F%(y8z!=k7uH0)ml^g)H3M zqC^$0d?<)0z>CZXktVk&B9L%Gp#ZP5gi-+>nXZ+oakKyx;6*kPT3B4vtc5FRTm=Lp z8wqiLjUV-B=1qrHv;KgQiRq_?^ z3h>t4*7bk-d@P;J;AOb$(mh+WB^g7Fi}9_jvVF5S+*)^#)aj8cIdd@kWngAq`S>}O+O&cOYL!IS>JGr{$)8QHi|UN3~z zC`6r_xm7}B6#l#E2;_6+Gg>LYt63?vNE6@vqSVx9lg4jZ$o;E`|LrF0up;UE72=;J z_c?w<96vTrrtXXrMGX9B@SIVG`qSiG z>!rk3G^_%G&b*NAbvY3rybx(dR~BDh4&)P~TOjF0c#E2X@Cii(;N6dTLV6^v!*A4l zgLa0G?JCsr86n24^=jdbq*~W#gJ)6V@GYSQG7n>Q` zxRvx7d=(J9*j5RVQ4@*?z>|dwB%z&f=YRFN)2otUKJGf&?t^_R|9oVF7hd?9+aPf* z zsKF@$@WVuz{R%AG|0|tiI)knPf{_^^#*KQkav2>I;6)aWtk;F9Nd~__`S<&7(1qWZ z(d&8p+7Mpox>NTfd3X&cXo&#)aa$*|Fhswr4Wlph=tL}tMgX47 zFVN$oM51ir9UY&h(#K~LevBEe0=$dOjBMOW`V77b2wrTfgvh7~MFil<`~rQEz=6jM z95b-@3}g(b0FUgZ1{@8pVd2NPaQ`27n(@r;Vcbe*BgD9sPHprmAu>esZC$S{d>sYR z2*3|lI5!N__I@s~tVk2daXCDpncA=1oz3{h9Y!2)$Z`2>-8 z)w1eIs4BpVY$Pg-L;uf_DFHMN(-7Pz#}s^nCeP6yzV75z=Zk>T<5mVjBH#C7+3-q!2<#` z376W((<&h{tocGnT?rQy3a1R8tpF9^k?C5QnuON~z0Pf&8QHj^&S3&Gf;?~$yv)k& zj!So$)T8$?1IG-knt`3~4OVG%of4QTAQ+k1R*f6=Xyp<*D8P#>9QgxUMj#pdy+Qw{ z&ptvuGI~V-eyB0AUx83)rR_pGp8F<|p@E<)%Pll!l zzz;W@#C`=v+iDqn+^w`5!N^8JDiav>TgvVHYh5pk{}Mq#KB4fZ!3d<+FHk7-sfJ~~ zJw3x$fOoO!piwPK?}a?0nF73v&8Ug;c_Gs3j3R=Qe0tQi;Tl@k?1tZ?wdhhlFKxJW zy9pt>;cP7e`6qC_$GX+$&%QQOB(Ib<}C}k zD^oM{6+wmW4Y)C?WuVk^?ut)KH0xv<|;fi<-1@86B#CU}Q#!aibosTt){4 zc#(x8<2pD+AQ^ORxHd=|W=m)g0r=rc+iVi=5PSGZVH8{GnAc(4T2j>z)oQ(3_;o^f zgaV8JJXyFv650vRJB{-i!(8V#k=IbZXw0WYt=?v%>-;9N{I!3Pre+Hf@(x7=5?*!< zXEauTcd?m~jax~d!B+vni*1z<88xAZ06dvnp#J}$l@V2dM;0BL%t_c~6WZXy{onMO zcFoAft@JfQj9cl{Mz0bgLqt~ya}v%d6yW{)&KvYc4EjE;@WSo(+Mah_xx!n@ZZB`w zfzrN9cgk{6hPy|>D~|yD@bb;d}c<5RCvlnO~se{}w4TbKo%p`_Djh4TpDh zgU_q}8jf^lkP7fFHZ!tuE9o=%Dj;~Vtr8-mCKM5XCkqz{fjkCyWYM9?oP?{{B;4V` z{eRSF&M_k!x6<1PF>a+(8@)=14AFjDSMFum+9a-TecaZqpSxjCTDCv&n{P;7uYUHa zUgx$h5aOj>%q+OAyQUC4P7xOF9tDvIz}Gfei7_U|W&8i2+k7>nNfi(rTVmG;G48m> zIxnM}0=%&$cEgeRAc{aT_yy|k4Z>_ZPz1GX!oQ$g(98D!mrhG6=5-j?X9$ICuWO+Y zZdQO1fF}zVNJ1OnKMy{P_UX@kWzRAavcdTCUn%Y+vj@y`D^>kThiruF3xZWX`RBqYwfUA`*$kjJD#ruRDefj4svxlT7U}hA{*aoUgfr~ zV4Nx-7};2e{iZ1D(Z-bz1sxRNMP`IZ6FyubkZ?kwK+gF;^FMDGkQ}B6OxMcPI9h-T z@FE)tEi5i-*1{Dut^$IQjfA*A+lYF!acS?R;}_JX@o4=m%)s$D#cyF1R%y87ozQ*H zEV;~`uDTL78&GBZ0{#Wg@GdqpvT-Zv3-~G^c%gk-czhO&qX2LC6-*wx@W{;9EW}{x z!1@T0x>lw}F|su?_9R^|L^HB+E6EG^Dj;~F{c6>Wbc1G;5r8K%3si{uO8*`924|rB zFXuO?`jAXB@ch5ZG$a%X@W{*^fw~+mKm~Y_E!)kao>i_OQUwGfGeV3T^=Rb^Iw-)4 zEFAe3EhCT&355dH=}zQfOmY|$nXZ+oakKyx;6)Y+jT$cJ3Yt~{!N`md<3>GNxq=P~ z@FELGj#iC1$&gSe(B}|7{J|-3>+)Mf^5&Y?o@nd3cB{Z zts}V)SCD+9IA-9OffX~5&_@9t8SkYLaI^pw;6*mx&5mE|D&HU8V(uc4IZY@O;B}Tz zD!?O)R*gB17N7#W$VN+7SX|Vsg)3-W1q34-38_qA)Nd)b^RIQiEcY}yd@ea78Ugsz z>ANv7XW^Hp6W@F&*cNMiGqQ2Rj9!RJ;#%0Nkz6N)2PwEDA|M){{@ns`uMqC|JsO5u zNWDf|dTr45EerYZ8shV}@@38Y72+RjS7_3sN5nBFSH({#6rxLoX6%g1S0QTE%;}&} zElTHws3fjMotinLChG5nNUQJgAs_;xf26JSfl3AGQ^61Sl|U1nU*l4b6uxC4=`^)> z6$BNij@KVOB91u(Pp7{f19Jvw{l9`zfOoN(k&PRr_d>p+nF73vZIuuim98wlyd21% zD(Kp9ZJ;!xt^kjW7drw8^A)8aq(i=X6jxbaek8?E4Qc3|EteE)m(L~ z!q?nYQ%)yK9L_)mcw~GvMj&B-4GTZUh5P@w(~M_EHg2V}5n|j*r#5<(5E)|TaLQ<< zKzr-$>GlES_;vgiRD5U_jxc1P0=&qU?f+5FDwh$d0)mklA;yh*v~n386yQY`j(mfb z5lDvd0ulG;(^(=1zPTCr^gobfUh6j}{jXi{|Isa5cnv4aP6XiXCM#Tk%l7}EW1TX) zhjDBDj1c42dbRNDgzyLj7y)>)aDn)u3tz{~$r)#pkrXqk3h*vAGqQ0j=`;8$Ab7E@ z5+b7}6cK!d~D>cahByDg}hk&WvT6lRPN!|3>?6!>`@`?ZIom z=8oaBSC~jhrRgQ~dA9RTLS}W$Hn`(=%D7qLEoIDY?nOd281!j2=T1Uqb<8&SI)7}> z=D11&6;iH}Z*>wCvO2{+9sgmUVdh6sA+P*qQX%uA=Ue=Rn-rh|JTh~Tt1Dr1unOrg zfq8-J+}4?qjf(*TOW>-2;Dz?lei*OhOW+mYxzCCEsYJpBg#w)a=Xc-+WMN-G*UHo+ zyk^F(b6aOdHtygR466xF6%f44%I&^O_q&HvzD)7A*PGvG-=3_iD!M%&uQSVkINjm$Ey$(-kBr}) z5lA?^?j-N7)Jr#irc!sq4oJd9SeFZz$1%R zjX4Q73N1;xT>;I=#;pwSZ}^w~3Bd@!Uu;GQpHDt%0;K@2ed$Uixnz4+IC#swlh<{Y z|G~jKc{LL+<9)qJ6aCZtzvpZG6nymDbL({Z=*-AE6!@y&?eb=1xeDBcbUXFWru}b%mz+i=XO)`Q~Tf{hiz2 z{FHAYupp@dJThL~2qZk(355zUfqQ}D*Sf)E$h2U7+V2gd7hyq70UlYjYRpM^&C(se z)?H!gvdq8WcPJF(!%4wMiU_37GYSQG&VCp?P44Duw;|6Mrv@28XI@CdQ|?^03h@m7 z1u{HmLm~2{J!$e0MFjHTM_n7PxwHc!rGc})I=DvHC%HyX5mK&^>arXwX5@B7pUXs| z_s=g+ah(kBSIAG8AO&*hNmtP$;+T`!{DiYkK}dr?A3R8oA1Ps%c)s)ae75LTlQ zc4{S!ny|YQBCVe9sf>W=Ob3^)4cEfd9eJClqKjkn?e@1}Z&*l?uexgU=$?!)dfYob;~yKWK&75}HH+et3RyULid$+y8yP3C6q*o!1E4cyw(uc`AuZ8`hVGXcbEx@^+R;KKdi^qO|f$i}VoHA0MA>C{HA5+XxHR|s`l$U`TrjLZ$f(IsjnR6H#`GB(wCapIl|qeKzIb;hnH_=zXHql|4QeW&Y-J+U}Q#! zaibosTt){4c#(x8&m2y6fsli@=ish^LJ8wW0KT%^5*p&N{eReFwr*aBackX;5aZT* zweahN@CXGM0eG@tx&M}40WY|;*c#7U5AcflcfPIra<}2q1>ZD3y~@-ELN?H`;I{6X zLLR!uh z+%Zh*sv8Qawq6}5{05oozLStC3Cm(B`*wx56whzUL_#(gFwHLAPC_n!ZDql+efcO0 zG8{q{Owy>ye~i3Wbl$g+8_cYN%(FSj)s?V0ScP<0z`Vd2-o<7{Hf|+-0bd0KFSJkg zPv5lA?pP=ME2La6|cOxMcPI9h-T@FE)tEi5i-*1{Du zt^$IQjfA+r#*ccmap`rIu1)08`j~-Z1}>O^FVZ)-YuM!8-~y$CuB*1bf&^7S@M1H% zD)|a{1$b+2>-s-^K9){q@G{(W=^ifAdh~w1894ru@Ac;XvXRR_@ijiUEl7ie=8iyJ zZc~5?@FE*;BFAlA<*wuwa~FZkX+ohuPWiLkk}JtIiokTOOpT)jr~ogr(b5$b7d30) z3K~}d!N^8JDiav>TgvTxTPF|vUn=fscg(;s1J|2@z3vUpSCPDG>nj+#3J6|kd;eP3 z$=z$|o-Ujo>Hcjl-Oj((bu;;lQh^+NF5ypu5m1Z_Pn{nCJZtwK22c9?&PG@JW@O_= zX}u6uqY!m!=2i)jQTXrjB9PCO&uFCpuV$sxB2Bz}d~NEoP2;yL&XwUk7 zh4`n*eWvRZs~v&N+!-g1LO7i?V?QCF5H@Qhb^=NCx21$ZyF<3Xf}3jWb$Q~#?qe#=5`($qB2H8y^}99MR<#vg767N1mN9|c|v+5 zt;28Be1mp|kL@bd@);q(h2*8tt3nZbPaKnF9w9_l75A(4edJmCr<)4piFqeg| zxeXH6Qa*4X0~O$rnJ>rGmGH7_c;WspDHoy{*|?P?Mu>4Mo!aPCLS%@U!zrVc0y+Kv zK`VS6g&Le906$EW*{{H|{lC&VrZea&AQ+huV%(@lE0@th0bXR`$a-Cvnq=?`lz+eP z23`1l8NHsj&z<*u3E%Cza;Nl;m+oobr8{*$l84uDf|dxtAGdWf3q$m~+A#W3k50sb zXawNN`~p2bN+ik_-qG=CDt&x5;m4TaD!{wg%*e*Aq|e~1fZ)ZpN{Eb_P(%Qp%rDRv z2^@ILz%c`R&p^h23h>BoYQWLp8Ww(x3-|wVry0-e9>%S7HbRVB>C{HA5+Xx1-`4fY z!q-s{jR5>`r8D~#ShoLHI>&SdT?GUqGeV3T^=RcXIw-)4EF5{}G6@zaah_;^O}N7E z%S}?hdeZa03hsY%E1x5`7&iE(Ilao#1wuA>y$f#Zt|#Q?#E(;?ml0mW39l~#@C$D1 zyv~+w%4^#2sbOh2*8v11^Oa^_!Gy>$?3Co$@+pDWOxejE;ci= zaeewoNJcXSco*9$Au?)05dnCz4c=&yIK*G`$vX~RZ^szto%A9hlO5It=f6_iNys|+ zgI-16DFcS8ukmYLC}b?_8eZXRZYtAGLZ&1vjQ!)ncAGalgfvd^Gye^DW2Y^ezi%Pt z1BpyvMiBvcccu#Ikq^8c3ZJ&VQ5%!cyb1_L)@z8rB#L^pac3*3*4+q^X69pvx)Kf+ zsQbw$h{UUwRZl`y0bXPyp@}6$&04sG##KNtvXKzCeo>D$?#W83bvHt!8Ba_E67~yp z_e;)G8|2`>-5EF@r}*uz&5W`~iqlnE&`bdynYqDKSHj_SFQEY@)L-B_w{>P@<6^+T z61ayKV3&b8nuJU3)h6vk&P?r z940VTK=3jvw>vJ~Wm1pc#|#`ZuxbW&zBgE<(RE5-s(@f*W?MCG)T5P4=%4^EvT$TR zYa@^h{@$Sf(`O%{9vQtN06)~2*snk+w9jv-R;hRQp!A(u(x}Z=XC-dnC`mkdLjv3f@ z2K+})8b3or1mG*zy@ZB1+E&YlVQ6hVp<@*gjBF%igP(lPR#GGaoDm|;cw!=uaJWDm z3L_bz2*A6!6Vl^IXku}`Q5%!cyb1_LHWE^qz^LC+Zs%X?dRhFJ2nzBEg+C2OAiaKp zLZMGJEc5N@8NLF%i%kcOYEgPGD; zl+Q~WZr!dEqMM5j7;zfCcC8cAk~XjC(~>`K`{$V6pMAeV&Uou7kn@%P`=0&_0sN^v zjDR@{G-C}X$nw|zMVgu|M94c7 z5lDF1HJs5{0p7)CMmBCGeFk3z1TVH#LS)p0A_DMaZh`v$gH}dV0UlX&Xfh{ZmrZDc z3-^E1YuYs<8@JNe2r+J@QyaZXhzt>3AleMA2I0rw89Iw+iQE?dF2Xk zDZ9PAT?b10E?xg4dANHNyz&UZ4=>-$eg&58|CP=$ok3Rt!N`md<3>GNxr`19@FELG z=7T5#$>0~L{~sfV*%Eq10RFhGlUW#|pWk8hr5>G#1TB1 z*Kl}8H~75jui;2{2B`q=VlyKfw~{`CuL6P>+bSV4YC;hKc(QPT5XfVIM;0BL%t^SK zO~M^6-2X>?<{UG!aVx!z5aU)lwb84D$Pn$fb>&``txe+k*2it#`nenSq-FaPzxjsb z_3CGz>UD1G0wG@7#ms`+x@!u-;}l`x?okkl0DNtel^A1UT(uyVed{wSU(_5@t;S9+^4F)#YdbD!_|ue5={t z)|pQh>Pm`&VkMw!WMd)qZ;GOJk}so(0Q{+ZC|tY%Dv_B1q>1?$qOOD!3I%wX^9)E1 zBSNNYWojHPKm~Y_jf57KM9p-qOifZ06e|H;BO3{c|7@e$MDk@65rC)vJ6J)X0538# ziZtPgi9o^$g#w%(I1l*4-qs8pk5hbG>orh&$2+0>o>^L$txR1Bhu8few^R#?7dXR% znH^YN37gzau4Hgnz^nvxC5!em<~aJv9-qv5D4-PJ#oKV^h=BQ;rHgcJeaPPsY%%6ZgM4q!vba{peq?KQv@9S)s9P7c*Fya88~KO*BRLP-r(i6 znH^YNX;DzD1ayt8Y11{0C0|An0eG@926&N$xub2EImwVvD3H_t>9dktqX^8LLh5p~ z02Sax77Bfjw{^qGDA7#xTsR}mOV|J8(`P-(w=)BuW*_75c3#V0m(ovvl-Il0y}`Mk zUfq06O&XXzrOEAwFlB+i63~@wdQ;o^wyyuv=e?HhN#>WYVUO(ZSjzGs)~LbWvR^Q{#96 zD!_|uv~-0PQZu~;H#JF7P^<)Wjcg>uP2hA?@?{hefZzMqIv$h2JxvZ1dPXz?@Tb#v zV_?q0FHa}F`B0!1cpvc97lF*F$=&2y4eWa%DrrGYK3wu2d_~ahSVrbTA>6EBQX(K4 zA0gcWajy{W_+1!=T1dWHO@~qpVcgsP$~62%ZX~2B;mkt{@9!68TOs7biara~)SG3# z=cvbbi@aYU{;_t2COvvY9CI?`KcP^FmVsvMjLTO6tv=J!L-8h!fapwbGELiF!w}ZI z5S6r`M(MuNf8Qf*1VsN0{b#hIwKXq9T6~ACCIX^=q^%~$FLneH=4(emNQb0#cq`Dw6Uqp{lWE%anq+WjgfzL5te}VhJj`en z(xDky;=I#DAmNNc0bXZewt`>;;D?Da`xW4QMxkpJiDXXV8O2IK*T_^udrk5s6cKFa_(W%=^u%mQD^c7zKFo6_Pk2;1}r29|qwa zs`LNqa|aO{4e@cKyp^;&uiR<=Uwu}De*1Lctzk!<4?I=|D!?O~*&qBhEZxRv&ZRDx zP(}cr%;auzC4)mFq{)?J1w{nlVMeBq4$a6CpE;Z|S}Bmz|BsQwY=s(3D9s2fz>CZr zQ(cobr5U}J0@_AqgfzL5FQJG4JXszCyvWSR(&Pq31QPZOlz9pzdf+hw#|-Q_1K~BC zpdbS9$8DYbzA@ak{H`W5WiUDs3)(~go-AA--e99_18L@Dn#v|I#f+)~JhD;)@MK15 zlPeh>N}9}ECgB2&lV8#Mw+ElB@a5zA zO_@l@1_PdFbM7Q$R>y3EJAS8(o2A!Sx=6@a)>XWx*(^H=nbk4d;45zov(2_|a0qFg z<9}%FuvPnaEu>)96yTAW<5XP)FNCU>3QHW1IG;PH3JVkPys5yBQsl>x)KhrdkGCNp{@XrEY|=$naSPc zN(P4s%t}C4GTy)oKxfJdflWoi;O^C_gm1V#ZKS*`(i zGLyT>l?)COn3aI8WYMZICt<6)V{MT@D8O5>bp200(*3}{8~4d44D?p&x(5k={8)o(oZ3^?>{510MD5hB2D<8 z!$u%qJnPzU&7~a>DGi+URjiV;Ydzl1C7vKcArtLgXyze>IlCS)u|miu4=Ie*4cMO# z>aSXTze0Y(yeg1GPr8a85yzY?!Y7<{3PKwE`QSlv<)I6HrSf~!BOsa{!lh~3Ysl=( z31Kx$fzlOvwNno%#ADNpRwn|46C$mm=MyqV;YQZG z)xAktzgjI4(v*DWA%%BkVYYT5MZW5)<#$h$!xi--T1OyRKBG_&a*SEtt)s{Nof6vc zD~Le8o!7MOHKezeoe*3_16|WDwM52=hZOP=X0)Pw1l0`rkzM8vBb3WVR3?XZ8nw4M(CgNCkLgr3T>1OztLEGC0g& zRsy<`&Fu14wRCcr!6?9s&!xl>0k=T?{}?$Vt^kj0Mybmty$qUjsf#9*5r8K%xtmieMF76C+!7jM zy#Kc;HNvOe;o!r%5z^#Jo|*Ssd;tt4NZpLgWC2D1o-A0PJI*8F9q_!v7_T+N&bM`6 zwp_a4o93rinb$zb209kp)?HIb|2W0y8V-M`<2^%OcW@1lCxkb}3{C+aS*ZbdGLyT> zl?+3Sgk&^W3TR8lc_NUoRo$_JA`&9ugdzg)WPX7jB#==kz$1%9GACi5P52k&2IgGq zf(c~=;K@wxCRZ{HF%n{QO}mn;pojoG%*YgSh>;M9&m5x}trXyGXyuPV@@wuGLHdvi zg@i3HA`7p}REnL1Oi5T4OWC(8yz_Z}QzjC!!GLLY>2?xw`D-f+j_u1wS&-onvS5-% zP5xu#y`uBJh1_6f6=a^PC%1&n!78M~0_FwI@L=XZS69L&catj_92PJu0bR*>w~v6M zpX?`cW<3;83h;)XK=RmyN2Y7THBeswVZ?n~qAMwV%zO&zuz-1iCwMSjD^ruO$=&2i z28RXAN6E}Iz#}tTnYtV; zKm~Y_jrY3#woW%+QKUq;OSo>7A{@@mB`Fc(&QFJ1QJdt z6ySB1P%6M9)3q`+juxN-yvRmE3yY&>X2H~z6a~dfK-b7dLgK&1uQri<8ASx(Pw91* zj>kUWn1N#k_LzY$GDvXOFuSRl-KCMzd-$;KKlsu&;!WSB%7Jf!8pTefujHqrfX$t5;nP;T*=_DfLRIX zO7=}H-GgX4@a@e&`Q6*od?>~Ylz-x_=#=0nz#}tTnYtV;Km~Y_jrY3awyts_&g{hr zQ>#Eu|EJGNa*ZM|T`N=LXaOp~i)^%Xg%wgWb9kyNDGG{}fUc2^gt!Tuj!M3aA_DMx z-`3sp#}gj;fMW)Z8Q66O_PRGX_pi$A!0Jka>FsMe+7DsM0)Hi-D;e+h5pZGHSK08S~cc4zVokjou+4$3gqB2;7@}QP>k$nV_?p}{fEJm{=Vyi_(*a_J%aAS zFu9vtq_*aTu$rYHA5ID;B?6*(+cGk(2>4!zw2Gcj*!?Yaq886+r2wyHrPLx#JXXos zwH|Nh5>F7Jkcsv#l#-)H#0TE;M^xyn5OTkwPdIiH`P-(}8#aEwLj2R@KGXGy)s8@> z_>2z=3gNudjQxaw0y%{?mzl@gY1;PMLLn+?L5*_GJf!ePYGSl56e7*OM-c(h^b|F} zK+-M1dp_)fKpVx6LbF}2^>{m*cghciOm(oa+iaPUpX9I`aiOz9$W00}btGM5FXHaIin2jX>tXvW*%?%6>U}mx;pbhjIL={K8E1Rsyw8SkE5@ME5LK+ zg-8>+vf?Kd$|pv*Kre}JQBx2;p@;yy`zlLFkEC_@jat{h+()V_ah{2l(2d{v$(3XUMFiksMy8Ms z&BzjuPSC;Ol+j9ooc{ly6=o~cU_xm|SOH#S=9uc5v?}HWO|E2cn8Bj z+06dnuVLvnM*pc6@l*rwWF~i$D;XRbAx*9%D<~oW4>K}_bZADF_{`yy(MkbcXJNL2 zU_KF7O}mmap@;xHSsnwt$jngEWacsn7ASF^Xn;+) z!tcvXQonlA^S%o1e{(Azakm&Y_@+6%%F+cwHh8@YZtJcmdcyi~u~DU!X4%i05}ksn6CW z>kDp>;VHl)D>VR5W^y;Vl3|FEkcSCMzhfT8MZ{8|?Z8OyqcSNM{e%CwV^DG3W> z|G2Q-=FJWvjZ^&0f5Y9_X^ZCXTZs8UA`_TVL;&8MsX}@j9qolrTWVDO+#v}Pij{z_ zk&T2*eB_AQNxtgmTn?YD;79~GGk`QRA4AlYaIiq#Pd-5;UbQTlfN2cyA{z-!EQy+Z z6H9k%XK|!;ILGqBrkCz%)r|C0rfX$t(!ej!-7i^f-~TAz&I}xnQ+zvXWt2XWPZwwb ztpJZ~W>Yh}i}Z1rz`Q_zTbIE`0G`a`ZgM4q!vtm}pevc#%GBlPN2{7y4+)e4Jon9% zZp{)dC=_Jszzs+aGq=go^|y5yLqq_ctZA)GW69t!ff>Qg*fr6U{1XlCfv#k_R;Gqv zaVIC(z!EqGc+E>Eoen%^;JwWNZ(85(i`x0#;AJ9aj?sjMD*;_2GuvvDEBW*QGPQ2F zgi--sWM*V(Vs>D4CG77F`aga45$ciAD+2ICjfwpVghEfp(qX!IZe-qctxQeAeu2J7 z;J`OH1Alpgnh(jCfxkTPJQSb;JhGWhE#3*Gt71a+1&-Ug!DPs^V1Cxz8$3R8#{yJ< zN4CMzeN(q}ZWa?>bp+taKGXnw+4r_C^XZ3y4?AYyn1Ov~z<>0l@iR0;0N!mZLV6sX zh=sQtYBaMKC*)ZP=o;BbNaHtclF!`CsmtNB6GXM{+z$-US;GGdT=ucb@xcAw)VtHNkSYinMJv^b-PfaVGzT^p`}s@V-+)wSqSK1U5xidf~AKHg4y%-8aK zlj#syS&+T2d>G6JroN?AEkn@%P`=0&_0sN^vjDR@{ zG-JWjtO zLYsBy=hMOsVA#pmX%)QPX3q_n#E0F0xJES;(vo=QA%$h>zqnZ;6AcB zJSUE#1*f3`Iyj^Cr+4cR$U#4T1rf+MLYlU{hVh(vAx77+)b`zaA<@yxst4)hyXmy$Q06{8Cl{p$7n_? z1$h6y^9Idd0%G9zXN4DTx7YQ&^U4+8`F4AGyAG80UAj}2vn#xY3to8y;D?uQX1@aF znCe=!?GHS_QmE*#2a(Y=?MlvsA_DMac?|F(Geb#}nZwC1Q2#$h4zndBjR5>{TQ~R= zClfOGN`q&*V_m^lrvk?dFaq#oeu0kvTcpg)fyWH&KLdLCa!mxxoJal|j&x^`3h>BE z4ZxF`+)b`zaG1fY1au{vIghMr>EtkjQGgepONk=_;Q}F)#{iFPMrk#h^zP7~uLz_X zfG0D#n_S7@&h3$NjVNCe=Amv3g@L1KmnQ0Q8A^=}mZV3s>1s(7I zkx1qSU5(tO?oh%Yn>~n(u4z~D%)H;?3t%XxJ|hLqA^=YoE|7#az<=gfI!=5ZyZd;N zkPSwj|4MNuA(y|l8-AyZ^E{2+eY{A>1|!e^TDOys%U|1P-h(dBb8&`4Nb3~-U2BJ} z+P`Zd3A3gEkF0ufbF=^z;6*k*+WXtO>PHSqQBbS|bd78*WboDTf`H`9C?Wt)|AwM) z@dBtsX2z2y=3|Ju5>6--;B`OwD9JTM$gaP1g(Xqycer$E-=#}G@LPZv>VP*j1IObO z-_&vq#oqCr=EIKzWY@5OBg(HbEtX-Q2qpLn;Afa9O6>aLe9 z3J3*w@d=taB4ED3=ptal$OcRI)T?=iOZTfCm#*~lc$CKs95b-r4D5Vw@bbcD_Tqwu zD*;_2Gbdt`EBP{t2*8t-F~Ez=j4Vx}6O=g#Clm_g^nd!SB-bbcn?8>Unkc}FEEM`4 zZ|jDWQKFgXxo}3Bm#+WGr_XwnZ)XNR%|6EA?Yx%1E~TITD6e;~dxLX7z0-TK{giFC zm}$2k!juL6Ns4aR37Cq1IG;PI|B*B zDZnF}K935TD8P$sd@dco*12a^$fQjlql2|cW|G-g=%UwOy21)6{X4uP-}%?NZjJ0S z@MLPwh(-XOo)9$#<}Ca&dYT;G5q$MUAa6>OyUDd0*!M#Crf4b1hfDs0uL!yw%g9_P zgqsyiN(4mXBjkd|{c#?GJKpDdZ*|Gnt?6BgA&k59@ph)$v>OST?qQ-c4=KFAH|=Zg z3Lzg>^r;QMn9qFAvAFqm4d1U2|1`NmlRovFIGW|3Fw+Xrywi-Garr8s)#v!hcF)}# zH`AL;)3(=^2~kN4YLxOT{r5dmM?f?lrDn9EwY7Lg;^!0cGYcufkF=FOP^ntGd}UF-37F7X5r3Ylo{LiyBxz;YobZc@x!c7>31n%cW?jSU_tM|BK2@tin> z>4BC*fM<=?|0_5Ja&Q^)D~NzX6t=m|P}45@Tk}G`qRCP?of4Yk$_k;ok21Q@+L{+4 zEq+81fqY_g3-ppVqox3lj2Als3G)@DAf!XmI=mI=;t6F0;K?*?drdMpG(wtONmfuq z03K$v3hB^{EOGu+7=eT{3I%wbh1m*%5r7{i%IsHw_Zfw*RV0!*iDwim0bL_g4ed3_ zmrz6io-B_6USwu?X%bx_%t<(0peXrfT;ccSX6auw@%dFp-+b`&j+gFf^Vu5@(EUgr zUc(7ZBLF`ee=ys{wCg1ZqZ-<4l3`|-w_olp6vE94Faq#oet{kz zC1PHTkTXhsHt9CT3|9djS*ZbdGLyT>l?)Cun3aI8WHawKt6Dla%wQDY#aBq;h=5<9 zFMk+>cc{+)tIr)oY&68jjq+B~?!0oR`G56U5&G@Zg|~(sbw2P|8K?k{Y-WG(*RXUO zqdAwlU_u!Icruf_$(0NajgTf+k`)vYfQK2GLOL`fOMK>V%4nrPPX9kf4zm?%FrhRf ztN<@Eb4+zj+LUJWS_)_znGw?DO1^|50`O#c4Dcc|BTJJT6cI?+FHq(wl<0xS3>-7C z=M03`aDsvez#q4D{4KhGOvt{sbr=&HYpn6}^9Z@W~2aKAzu{iG*x0;CVLZ zPC{mN%r>~=cgna~dX1%vgp6ff#e15~vXhWm9kUI-^2RXRZ2Ja>kk&c=ht>{TwSU(_ z3T90K9+^2#)s?V0ScP<$z`Q_zTbIE`0G`a`ZgM4q!vtm}peq^g_7QOOqgBnUhXhIi zp8IA>Kb1(hpiqE!dSEO-1$bn-R;DIlGoL~_OkiH1zpcw)BLGikayPk>!C?Zk63~_G zLk+-}TdNvuU9%Wd`m~$Kw>=%33*{iFd-vKeEhd9s&5_C7;-@ zz-X^4yyZ|!vjeLuSrUqsfUc2^gfwo+l1~pHQ{(X23XVj8GeV>pPfP?7Hs4?f$rwg5 zLJ@#>Gb^OWk&0ht^s&5le@{43=R{Rm4L2f zyn#g^VXL}hZIM7Iz*})|aC&^?js>Uyk4)Ff)Ff=?Q%Hvii~>BeTm$fACU=u785|}s zD*;`}qE%x~!d7+1+9H8afVX1l`k#EH`+;w12G0CIhqt8o&?+-<{(Ihv;*XvgZ6W|a zyyO%66&USxrQcr64y>+Z;fyPxqQmZHBVBVlwB*#A0aN4fd9Vqx^o2sC8Ba_E68857 z{hvPj2=&P56#@8lOPAj9((Qa(_eECWz+(oE8Q6OU3I&754_xZMbTsr>HawrmnPEvvkL=b=O|H@30sO^5LZ5BSi$Va?dCf;5qvt zdYT;G0(|vFAg}BhnN|Y2I`cxzQ|_i+xhl>`8$tKpe@0vZo-;2*n(#k|jX=J5*0tf9 zOFJM^8aV5#SS4rIdc2)WJVAs)Cfd8u%tH!uc0FQZg^*1iQW&cnusEP0};hJ=c@ixbNBg>CMGkvmr{4uP$H%aSPt3^VZ zlFvM(@UAS()-I&TS6#LI?rCzkqJBi{2qepA6beF)G0VGk^tiuMLK}Vs5y-dmnzp@$ z^wzQyf~#ntYucrj$T;zkLO#NbR&=qJ-JsF}S629>LSoUvEf7dHbUY~td50nb3A0)S zAsuEg3h>BE4ZxFW+V+}caG1fY1au|CYKH)x%xD$TVFsfBPd--5n>vkMcx1XZToV$Q z#{iFPMrk#h^zQH;r9#Ihlo5a@Gr5~w$>2~mwAUnAK@kCXn2{-@Lo>X@qbr0t31<`v z@b>2*3}|FU~8ZM}99VbPd{+ z8sXEfmmrL4Xs=0znfKe^L~ovvf)OGBPv#fs{$KfJNO%W4?=Z$|4blH5veWqgvhT{B zo_2a&uQ5#jo5)^cf02RA79!-#{@}0SNOT6N0FSKH06dw=-Q-FJhZ)RDKv%MvUEZpe zP7X5|1$gnflsF>b7O4LpBWJ`F;E~NJb=jnsL31v3(S$Mr@MI=;lPeh<8X--tBr7N) z01q=Vg>+~}miWwNlF>>5-UHyUV+M{H*mnkS{f5_YfsP2k4=>-$eg(`i)wOEdA9#SJ zP|;xzBBN{Cm7EDh1mMZ?7~n-_hLR>Thm&0(&cQvyYzd(Vz*m-ALPL!A|2CyY__RA5 zd{{R^nq0{<^L~pjfT0Abn~|9;zzD#T1q*b?c_h38o_84IwT9UFw(iT8OBa08{PZgG z8VK1y$Aa6sYYOQfrx;zs;SY7ZXUOXguHo^7@TQo-DZnEuH2_a$ayPk>VTh5Cj0Q^q zZOJ%K1QNEYJ9bb+LL{6}L;#-5FVKSoG71HFWRXbbBF|c0=x~a{4q#=%^f31A5x)^u;oQ$;dPlx zv6GM~3Cm(B`*wwQKF@E;L_#(gFwHLAPC_n!ZDql+efcO0G8{q{Owy>ye~i3Wbl$g+ z8_cYN%yaeRmasWkg>+cJyucYA%pB>I)!@xNl2zC8dvE>%{lA@qk3FsQxScu)+rlXQCqlf@J{VT-6#S5SknHfr&+@gp;!U=@}yv`Cz1$bn- zR;I?$0#tw(*+^(%an#H#n7WdppjZj$8reul{MY!^CXz3shyeU4z0T6{*asXlaLm9S zGw?+Q3GNzZH#M`nRMZym3h-cN2Ub_YCU=u785|ZcD*;`}Zfn3%w+DBuEeZ$)c=0xz zIU?W}sQ=SvAE6$40GXO(GxIqZXIL$86yU*htxQeACU=u785|ZcD*;`}zNw{q5KRZZ zy%{LKdwZG>#h8KePrMbK5*!71WM(T1AeN9LEAxv4|uLN`@|y9CjlvbXEwtNnxgrq-$*ad_7!I8QXURXnZ<- z9RoaPl)*hsuAtS-wp z#ON02CGjn43c@E85rB7JWeMq#v<|;f>l&E*NOdL7GqDo75nN$}G`W%t@8?ql-B?C& z$GXCd%<%$@06dwl4cCNZjIxCyS-5npXB#9wWjG4($Vv^slbPI2u4HhS!K?&yCDS*U zsY%$X?pRx75DM_(D~*}HWO|E2cXoNJmlB}SJ06fgd6w;v?S>n+NIyjs% zS}Bmz{~xr%Y=s(3D9s2fz>CZrQ(cobr5U}J0@_AqgfzL5FQJG4JXszCyvWSR(&Pq3 z1QPZOlz+eP23`1lDQeyup7(u0-|f3{^gHstuW!%Mp7tD!#_E0~53k__EfIh}ZtDj3 zPBJ0(Z#FvC75w&9;CKN>0G`Y*(Bq>-G!fT?oH>ttHt9CT3|9djS*ZbdGLyT>l?)Cu zn3aI8WYJN?oP@3Fjv$(3XUMFiksMy8Ms&Bzj;Ih-WgjS8^s45r8MlV}KW#8A_VWTqeN+CC(EKunAZAeYr{MS5JE0 zSHb;nZsjBH7Q+VLG^bZtxx%&Vg4;UY6i>WZ3etD( z7&ZGtliQ6jC_*7BX+cer&Fu2?w{@Wq&Ru{JfG6_{^hE;k{LU!#*}7zX!3{Dz1$bno z2H?p|?j~0<3^5Xt(O@Z{E!oU@WK~P2Ax1(Zo=`*pp3J{;!Z-=$shD8@=h5rRDF$K>p~%8S=aCiUs6++)b`zaG1cX1au`cTba5X{b*G)>mh+sfakuM(oC>Vdgejy8gB< zV~7aAlQpfCX)GBWCNO|Iz+mncxadHpYh`K(mRqYDY+wnT0=(v>lTHU7GjPnnt~0Rn zy}`?Cn>j`k8mr)s?WnH|YQL*+-~HMz09K z4>czCD-a4j9ZQGl;<=G|)3q`+3Ht^5B7pBgHnn&s zl&*>i)fYH!>jsk{(}MX~b8qnY$Q=t%0Up@~OZP3@*6AB*aZTpq^Z{iA;LEAp-D~En7lE9G!@TcN+@N?8ONgRsy<4HWJeKO`GI1H*@N8 z_-qA7BET6T(k$AB`^lmf;R12bFp?390KA)7Aw7t zninE1&L|?FX+lWXhHIc|cEcA-ExMG?QNxrXR=K5*x6>Z;wLITsxya(t%k^`6QIh|aX38eKfF1usNev^}KIW*z$Zv~U9$cJg&v z1#h?6a|0&vVK*SIQ4NK(B%XOlVUdr3^BGqNxhqp?zapq`IwcSj&xxaG!D*;~4$f%( z>D@X6a?p=oK?L%Rkfv>~VLWGEh|x9e%Eu5~S(S$rW{haGf^z1CNRzgQ6b{nfqpl#4 zf+7O&^cuzhFEY|Pyo>9a+@Xv>;u*zCK-b8OkS161B@_{WC(C1i7nvDZn&AC>ia^4; zHe3^uG0c__iU9m@rENBecZhfDNugsSk<3ZlC~b1P5nQ1f+G~<5daE(ljb&sy1s!3A zXSM(%08bV!kc4)^^G@Tu#xVVFBCj#_4r4y;Fve>Q(f=m0*Z5y#py(P7kD|f;ul?)Cun3aI8WHYc7-?R7oxymEzizTIBlt^=igm+qA1>#~^_~GT7*{^^(rn**b`vVWK6e>FG zL1c7IyOJ}ZhyXlU9s|6{%uv!~=5X>0)c=o>!)ysjBLIKg)(t+z$%G8P(%_lySXc1X zslf39i~u~DU!ddv7AZ4x;4uUH&wyUOToVB^=aIjLBi$LK0z9%(1Mp-fcatj_9A+>p z0bR*v&LgW@IyuZ>6yU|@QsRg}xIhTyF~B36QCiI=y*u>hD*~wo;K@wxCRZ{zG(wtO zNmfuq03K#!3hB^{Eb*DkB%_rAymBuD>U-F6TX&sVre*sRzXh(7&Sp7Vg5D{-^Lc(- zCJ?g0fQhfU$;8(bg2ySs!fUu75&`((<(t`ekeJ~C6uMSz`$I;rrGU0$OYEwK_L}75 zJP}CPD(u)n!F^9=c!}Sjh(N-Af%e2*6jCTS9_zLC5=lB$By7S0i_+JCrcU zW)C8xYuc4OGw-+f0vO7v&qzVD2*8tt3nZZp@SpjWjuW59?mk{5WP_3Czf#;u$mOr? zhTkdUJWpeHA1@NJ!N~K!*6k$Z^4Ip6_n^!3T%19LELAkvx-TAmkU)hD$ZxUwci)Pr zb|Jx5e~lN@E@XbxgeD5`$f_qdM+;B^US#8=y}zxie&mo81;t80*T_i7-QWx3v96hb zk_!=I=WWc-;;&1@_c z%?11moZ-Qy_hLPH?#w6)Tp7S&0rLXorKa3Xz)6&P!ftE8(NC&cYRq~lpcLT6Curt~ zfUXVKx(MoFWP_!9>eVb=Iy)WLTDrm96=)*354diQ(Sq!@1|0pB)yk}g0!jg1^U@XW z^bNw>-_5?kNj)TeGc%BR13D!LQh-M`y{Q#6QGgej+2Oh-lY4O)q%G*s1n3Z%5z^#J zzKkLQ@ML8S@FFuKOOsm^5lA?pP#~xO(`O~QMiJO+EuEW$0yRqkZ6h-?*5pdQj3NT? zWMvHSA~PdPlW5hLlW;-s-^-fQWeWDe$z(Koqtd*0U3G(PlGd6dTt95b-* z3?vMv0FP|?JSu3S057ufx%9ff)@}Ne&)&b*b(5V?D!?PV{?e8HPG$0reE5zi5Yhzb z5ZU-W)OMOmzKkLQ@O%GS$72$>r^#Vz&xl3Gz0Pi^?ceCB(O#m%>C_r^ywX^r`2>(JcRj znO2DAoo4KeBSrzOKF3eCd+y%2ncifYw!OAYh)P;eqm*CizwePc0;2IKHKP@+t;I7E zKcA4FSx5a$6=F=n_5@W@IHz>}HWO|E2cn8B+)b`zaA<@yxst4)hyXmy$Q06{8Cl{p zhf_u?1#;u#P=g7j8DRx@k(pzvYtp7Pqt{YE+sKTNCRg$$6cKbUe1!O|@y{*HT*kHr+b>=(@m%Dor zT4Yoe;E|OYfG0D#n_S7@FoRhM=t>qHMa)Uqs_s}@WDpAQ;wvO^M8GUiA@*?)-l2Nj z)?ICqA2wXN!ta!^)K|H!i-e42UBfH9HB4r@rjY(|iWws+z$3e<0Y^{f8WwVZh3o!P zE$CDO@MI=;lPehpSh#M4G`W(D^F$zFr@E(KO70t8?$*<`B z+k;P5`10}mrc5Mcg8|R8Id>8=t7Epo9luk?&C+WuT_j{I>nh&UY?hsb%<7nJ@Rc`) z*=E}}IE1v$@jtY7*sA@z7E&;43h>CxajLF_&A}?9!vy99`rEn;HUjWuCU=u785|}s zD*;`}c(;#$qaUqmW<4ZO3h>-FQ~Iey!Ucr_ywd|?0V=>F)3q`+37h#8(qRJg0{v}W z1{(o*GLyT>l?)COn3aI8WFKk(zT8^XU;|6w6yU8`x^n*@Jr8_qGjKdk@vW`ci{8XL zq5FrP63-|i06)Cs6Z;hy?RAB>9BOHHV09%+La`FiHL{VA#w}U$=>cSF96npYkqB@` zh&1Dgi9o{U8w?>C!$?Lb0`P8Th4eTQnphk)(zP-*Nsv&i1aysTB*abNbX4-01yh&9 zPgZaw0-PC2nnkPDPZqTZ7f5;@c+9{t1AEQD0}oVy3h>CxR;I3m!|Pr`15BtZz$42w z08eIeH@T9*VFI%f(3Olgum~hk=`ev& zfJc^V0G`a`ZgM4q!vtm}petFlYRpO4s_s}@BoGSlRxDlrlaF*i@GZ^2nIGuzmJ}aa zWd_cF&s$Oa(KDk>1mK64d}6->qrI;5+l$$O)s-xqaV1oA*xhWTYi@^@oO&~0Y8*Zf zHbIuYP>3|+iHShM{@$Sf(`O%{9vQtN0KabO(mP(dop0;D$SNFo%)l`Nd(S|@fC})) z^Z+t737f-0NQVi`3mm`J4JJdT1@p7w-axtySFQ9Em1e=zl{RaZ?)bIt+DrEx7DGWk zoD_Vdh(K2E8HEBoXFo(wlfzqpuf7Q6l|3WVNB0xAH(uyBQ7y*Sd9bCFLT$4^Q-sYHZWcg8OrcaiS zKZaHJCTaa@wMa-)@|lMe-j#*f+JzMPs;idYJxvZ*)Q@N#fn@oNLP5weW_h=c9`|=j zXv41{0{M1c)3(=;-dc7-a1{-7O}o?*87Cf6$VZsbiZ0f&8&q20$_k%UNGw{o1p>*2 zjwb~n?@&Y_VOFakq{9qG0UlYY0eCV^+g_6l4l|gQfUaa%?GV6|8LdJ(%wQDY$;WDW zQ>U>Dk4)EwYeE9^7~ql3D6M9b-W}efROr}*G6L{qCU=u7862vH_L?LsC?Wt4GctvA zXoi<~bcHY{;fz87-rn|c?*aE4ukga{H{Q-872Z;I9(1SS(#}iQ{YV~O!v(K70`SAj zH?v=Xc>izPA9#SJP|;xzBBN{Cm7EDh1mMZ?7~n-_hLR>Thm&8R{{NsAW=lvK0r=th z#d(GF$nQmku0fkpBYfKR5`<9=?KR0T^L`tg=*=@yFhT_2$@~J{|0}-?3GaaC9maUA zA^P7$b{hX*_FcKt(@wAJHHPVb6WMF*FEWtXLWG>zAN(~OiOwJu;E|OYfG0D#n_S7@ zFoRhM=t?%T%Ujjb$zcYg053k55=R8w0`>o6C4rd`RIP(%QpERO+RWM(L7GIKbE3&cIcYzd(Vz#q4DgYV^K zLiW9_JKgcg+6}#Zao&E2R~upH+qy4XE?w|V^XuH!1wuAh;03pJ*A&t}PLZ!Y1$kjJ z=TW%H4yS~-?+iu(9$BdYcruf_$(0O4jD%z~SPE!M#(5%;uvOi$gCY_l;e;Xr@MO9+ zTqEXzdHWKCoKxzvb^ZE+8^q^pLYoM{lbPI2u4EWuB*f^Nb|qOs5dnCZktyU5BOwx> zxlA%zDZty%${&N|*W59J^dS`r30q!77G9UB6gvr-lCUh6vTs*-=kxrgOeAE30n_Z# z?Ih&#*H#uB+n0~BAj2VK!6c2E{Kv?9Mdy7BxxvgT$UIk1ZV8)%RY->g%nO|1!OVfK zu7pkQCRZ{zEMQgwx{~p39|1={4Q|m{FtZ*CCu(}d9xtmD!_|uv~-0PQZsXSsw*i9ij{z_ zk&T4737n2fzKkLQ@O$6Z-Sfv29{GS{296onbq4mjH#qmN%Iv`EN`vX`YdYEwVafu3 zC7>%A@AeUJbnjp5c+lh5x|ucYW+_@V<~Y9duXUZKXOs%$;4}O+O&cOYL z!IS>J>w@@5az;IZ?!qv+n_Q%}=7q4Dr63y|?1DfW#g9U>U9R*0#Z*uEn`zuJuZSzabLNFe6S}hECl$&kMz=sOiEmL;5I&)Z0KEGuOGuBTb@+{1*TCFI zsw;7xiIvce;0hz8$(3YyKc6D##xjCC))i)Cju&7A;K_7txF#fHlr0p=!lh$9+aU2N z!%=`oR%!sA%;auzC4<8ZW+k92nZChHO~O`n$J!!;P=FU-A&Dab;Q|ff+gEq~SD)Lz zpuq?q2laPY{Z{_@$Ocnecx%`oaV_No2Qp9r9+}w{)RpkEYdGHj6H-I~p3LNKawUU9 zBc#cdWCcY8;9*9lkPgkr5|2*M!QqtAN`ajI|DY9SE7V{@X+~H9US#H&>YB7E&FHlh z&^9t7q{)?h2}K0p$?_QBMP^2pCO0S|kg#8%{QG@3=)&(yQS;vLyzdM8Zr_!o-;wuy zeS41fwC7+nR`(-$cnv3Li2(d@TQ|6Ok_oYYv(d4x;J2>=#|tn5@MM009v>y5iMS@@ z%z5OqNw+a(xC-#dN)5o1ncPjTWN?_ltORr=i;g1ZBy3f8tSvGK1$gllk~kvZ7wF3% zdQ^`YIA&nS8ORt*0Up`R{@|}+={83HsTT261Mp-fcatj_9FmYbdm0&WRacS~6cK=j z8JR*lG^0p-=5Werr2wz9Fk3+|0`S8`nf(fwW2$S_rj!R*3KbppATqk9UCEhHL;#*F zj{#m}W+-VgbD0DSlsHc`z$RSb_vI$3Up?u0Uj_HS*>LHCZ<^DqEL|XEgK1uHTX#(% z{o@qFYdGQcMF4)mZ5?llCtfTC={t9fn*E{4?M4_Bp%9g{peD&?c6ob{4it3NPzc8g zFaq#oeu2J7AfDeDr9NAitS`7hhNl3JtkeKJnaSPcN`@guLNXdG1+*obIghMr=`_Sh zh{O|$2*8t>x0jHc;jj6Xj?-Ur#~5dx@FF1-&DVzJzf#;u$l4e1(yz!n<;Ca9Yy4WL zLef~wb-luu)KpFtGS%vc9W(H*X5fe5)%-T#4(bifKzT!2zip`xFY>N?KBT|7n>~?u z=%*I}N2O^7W={N4nz9WV-~Ej55C;rZ?_NF$e;EhZI~FmRltom^QL~rHj)HaWuH5qd z(YS@@avHZdJo+aOZ)Orov(ow7y5^MMQinCSb-%pY3)u4ip>mqp+m<%;z~;>@WkzVognQ2|grDwSP;o^IHZ@~Q^fsN$eJ4LE)$(TfIgrA+YqE4E zUphoT2*ktncVGPw(yEu=zh_+E?3mOs#YI4*jxAl;P{a!R1UKDsj`jCZHsy1NBP#?-2I`EM~ks)>pPE9ygMho?$Y7&5kDJci-~>O zBI%Lyea=A1vOWCfUTgH(^*8)#@Bd3!JKe~a`G3lTnxCFT4-X_O&r$94ryIF&ZvT_k zB+C%X=frN#yjFeaf6iPhc zqHD;B0_QM7qBt2{L+OHoGM8WQE=dt_Cq!`t#TD+-($m-on(OE&I4RAw`~x_?nc3N$ zeQ%vOPm167zMc7IcK7Ygb}aJ7Q};kWTQPc& zb_4soMdA|r8L>2f=3?zEBg>1=^;~^h+ZwDjnjVM}DlXDgS*cj*@+5KPDy(n2;aTm6 zBQbXMbhMS?d7<0k#Yl(k^qW#%i8GYjl`380d?wfBYTDV;e5$Y|RCwa%Dk?{5xt15{ zcVa*1yXl_5yygj&y`-w^>G*_}p4uFu^MktfxsT_SZiOd3eUkp7=XQgnka7*EW|wMz z2_>EDb8SR<2cD_Ime86i3ct-4XMm_!0#WR)L zpMSYfpPjc$c_q$JZda;wiSyZ{UBI)7P22B7#ofnM-SPwxy-V-)EyC9``D4d&pN{+8 z7j3#Ef%M|Ebva+|PmcQn9lI8ej{8GVSnUH6Lsd}S6^jW}7Pg&BGFyYj!d9TO1=J87 z)&+!Eg2-3J>a4l30e(}{Bt!SR+)c#_B}tcq4bwH}s}HPkVxV@G{clKF^FKfS_a;7T z4Gy>NJk+Z(!&UW2B?KN^?TP`|N??x-9ILQ*L8okB3Sa?y4_n4MH%cr?w5we@+yX1Q z21!_ChIBFmq$9SD1vFOExlz{?28W+)(@XCC;(gj4EMHCeU%m66>P zVZ)94ErCT$w+O(}u>`3%NwXD6|Mcr;;H#?l(Z%>wUhvySKzwOVF`d}A!L>tz9@~&G zOhY1WHYDiVPIb~j5bh=*4-}3tZ?Lr?5jPu>Z5?WM!vtW|b2lDeKLNQL>vQTiy78zL z=Pi$RAmgApWN;9Aq0@cFNy65#Wr)s;t-9598_~=bVg_yaa$7N2h7KK7Cqql;>;$Z4 zb{5zci#c}5gT~V$EYBB@FB=Hl@MZbA8CC{rXHgzg*8KOYf8RX1+kSpVug8=7y_v%5 z=>A-tUnht{Ee2>1c6N94{7#qM<~0a0cB`?lg#rauA+bV)lnge22mvusP>6Igw`gE2 zY$Y>wbFj<#8jE!1qD!RvE;<~yVBR;vZp<4qjDIjj3ojTzEKl-rf5L9``#uRAN|FNAR-y^y9Psaxf`UaO$yeP3!T@5JeTk;2 z<8q3h(t$Ty^_j2PI7fH>dc`Zh)7d)e>`aGv!Cl!>#FyMCM@;82CpnZJ*ZYRdBpqa? z1~MdOyVlM14bb`~ZZ;%5zQ=u^gG?+WbvDxkkU$wpfvgZFAi$08lAv3>x%4A@zkT{Y D`O$=z literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/vga.tan.rpt b/bsp2/Designflow/ppr/sim/vga.tan.rpt new file mode 100644 index 0000000..60ae6f6 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.tan.rpt @@ -0,0 +1,676 @@ +Classic Timing Analyzer report for vga +Wed Oct 28 14:19:50 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. Clock Setup: 'clk_pin' + 7. tsu + 8. tco + 9. tpd + 10. th + 11. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+----------------------------------+--------------------------------------------+------------------------------------------+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+----------------------------------+--------------------------------------------+------------------------------------------+------------+----------+--------------+ +; Worst-case tsu ; N/A ; None ; 6.543 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; -- ; clk_pin ; 0 ; +; Worst-case tco ; N/A ; None ; 10.494 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; clk_pin ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 11.756 ns ; reset_pin ; seven_seg_pin[1] ; -- ; -- ; 0 ; +; Worst-case th ; N/A ; None ; -2.787 ns ; reset_pin ; dly_counter[1] ; -- ; clk_pin ; 0 ; +; Clock Setup: 'clk_pin' ; N/A ; None ; 191.90 MHz ( period = 5.211 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+----------------------------------+--------------------------------------------+------------------------------------------+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP1S25F672C6 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; Off ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; clk_pin ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 0.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Setup: 'clk_pin' ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; N/A ; 191.90 MHz ( period = 5.211 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 5.018 ns ; +; N/A ; 191.90 MHz ( period = 5.211 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 5.018 ns ; +; N/A ; 194.10 MHz ( period = 5.152 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.974 ns ; +; N/A ; 194.10 MHz ( period = 5.152 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.974 ns ; +; N/A ; 195.50 MHz ( period = 5.115 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.922 ns ; +; N/A ; 195.50 MHz ( period = 5.115 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.922 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.61 MHz ( period = 5.035 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.849 ns ; +; N/A ; 198.65 MHz ( period = 5.034 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.841 ns ; +; N/A ; 198.65 MHz ( period = 5.034 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.841 ns ; +; N/A ; 199.04 MHz ( period = 5.024 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.831 ns ; +; N/A ; 199.04 MHz ( period = 5.024 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.831 ns ; +; N/A ; 200.16 MHz ( period = 4.996 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.803 ns ; +; N/A ; 200.16 MHz ( period = 4.996 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.803 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 200.56 MHz ( period = 4.986 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.800 ns ; +; N/A ; 204.00 MHz ( period = 4.902 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.709 ns ; +; N/A ; 204.00 MHz ( period = 4.902 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.709 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 207.21 MHz ( period = 4.826 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; clk_pin ; None ; None ; 4.620 ns ; +; N/A ; 207.73 MHz ( period = 4.814 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.621 ns ; +; N/A ; 207.73 MHz ( period = 4.814 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.621 ns ; +; N/A ; 207.77 MHz ( period = 4.813 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 4.635 ns ; +; N/A ; 207.81 MHz ( period = 4.812 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.634 ns ; +; N/A ; 207.94 MHz ( period = 4.809 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.631 ns ; +; N/A ; 209.21 MHz ( period = 4.780 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.576 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.25 MHz ( period = 4.779 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.593 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.51 MHz ( period = 4.773 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.602 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 209.73 MHz ( period = 4.768 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.582 ns ; +; N/A ; 210.97 MHz ( period = 4.740 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.554 ns ; +; N/A ; 210.97 MHz ( period = 4.740 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.554 ns ; +; N/A ; 211.01 MHz ( period = 4.739 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.553 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.550 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.558 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.558 ns ; +; N/A ; 212.45 MHz ( period = 4.707 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.521 ns ; +; N/A ; 212.45 MHz ( period = 4.707 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.521 ns ; +; N/A ; 212.45 MHz ( period = 4.707 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.521 ns ; +; N/A ; 212.45 MHz ( period = 4.707 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.521 ns ; +; N/A ; 212.59 MHz ( period = 4.704 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.518 ns ; +; N/A ; 212.59 MHz ( period = 4.704 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_3 ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; clk_pin ; None ; None ; 4.518 ns ; +; N/A ; 212.72 MHz ( period = 4.701 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.508 ns ; +; N/A ; 212.72 MHz ( period = 4.701 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.508 ns ; +; N/A ; 214.32 MHz ( period = 4.666 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.473 ns ; +; N/A ; 214.32 MHz ( period = 4.666 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.473 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.73 MHz ( period = 4.657 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.471 ns ; +; N/A ; 214.82 MHz ( period = 4.655 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.462 ns ; +; N/A ; 214.82 MHz ( period = 4.655 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.462 ns ; +; N/A ; 215.15 MHz ( period = 4.648 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.477 ns ; +; N/A ; 215.15 MHz ( period = 4.648 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.477 ns ; +; N/A ; 215.15 MHz ( period = 4.648 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.477 ns ; +; N/A ; 216.73 MHz ( period = 4.614 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 4.436 ns ; +; N/A ; 216.78 MHz ( period = 4.613 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.435 ns ; +; N/A ; 216.87 MHz ( period = 4.611 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.425 ns ; +; N/A ; 216.87 MHz ( period = 4.611 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.425 ns ; +; N/A ; 216.87 MHz ( period = 4.611 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.425 ns ; +; N/A ; 216.92 MHz ( period = 4.610 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.432 ns ; +; N/A ; 218.25 MHz ( period = 4.582 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.378 ns ; +; N/A ; 219.68 MHz ( period = 4.552 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; clk_pin ; None ; None ; 4.310 ns ; +; N/A ; 220.22 MHz ( period = 4.541 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.355 ns ; +; N/A ; 220.22 MHz ( period = 4.541 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.355 ns ; +; N/A ; 220.26 MHz ( period = 4.540 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.354 ns ; +; N/A ; 220.41 MHz ( period = 4.537 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.351 ns ; +; N/A ; 220.51 MHz ( period = 4.535 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 4.357 ns ; +; N/A ; 220.56 MHz ( period = 4.534 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.356 ns ; +; N/A ; 220.70 MHz ( period = 4.531 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.353 ns ; +; N/A ; 220.75 MHz ( period = 4.530 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.344 ns ; +; N/A ; 220.75 MHz ( period = 4.530 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.344 ns ; +; N/A ; 220.75 MHz ( period = 4.530 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.344 ns ; +; N/A ; 221.24 MHz ( period = 4.520 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.334 ns ; +; N/A ; 221.24 MHz ( period = 4.520 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.334 ns ; +; N/A ; 221.24 MHz ( period = 4.520 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.334 ns ; +; N/A ; 221.63 MHz ( period = 4.512 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 4.334 ns ; +; N/A ; 221.68 MHz ( period = 4.511 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.333 ns ; +; N/A ; 221.83 MHz ( period = 4.508 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.322 ns ; +; N/A ; 221.83 MHz ( period = 4.508 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.330 ns ; +; N/A ; 221.98 MHz ( period = 4.505 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.319 ns ; +; N/A ; 221.98 MHz ( period = 4.505 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; clk_pin ; None ; None ; 4.319 ns ; +; N/A ; 222.27 MHz ( period = 4.499 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.313 ns ; +; N/A ; 222.62 MHz ( period = 4.492 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.306 ns ; +; N/A ; 222.62 MHz ( period = 4.492 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.306 ns ; +; N/A ; 222.62 MHz ( period = 4.492 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.306 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 223.06 MHz ( period = 4.483 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 224.11 MHz ( period = 4.462 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.276 ns ; +; N/A ; 224.11 MHz ( period = 4.462 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.276 ns ; +; N/A ; 224.16 MHz ( period = 4.461 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.275 ns ; +; N/A ; 224.32 MHz ( period = 4.458 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.272 ns ; +; N/A ; 225.02 MHz ( period = 4.444 ns ) ; vga_driver:vga_driver_unit|hsync_state_5 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.258 ns ; +; N/A ; 225.02 MHz ( period = 4.444 ns ) ; vga_driver:vga_driver_unit|hsync_state_5 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.258 ns ; +; N/A ; 225.23 MHz ( period = 4.440 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.269 ns ; +; N/A ; 225.28 MHz ( period = 4.439 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.253 ns ; +; N/A ; 225.28 MHz ( period = 4.439 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.253 ns ; +; N/A ; 225.33 MHz ( period = 4.438 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.252 ns ; +; N/A ; 225.48 MHz ( period = 4.435 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.249 ns ; +; N/A ; 225.78 MHz ( period = 4.429 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.243 ns ; +; N/A ; 225.94 MHz ( period = 4.426 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.240 ns ; +; N/A ; 225.94 MHz ( period = 4.426 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_8 ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; clk_pin ; None ; None ; 4.240 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.55 MHz ( period = 4.414 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 226.76 MHz ( period = 4.410 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; clk_pin ; None ; None ; 4.204 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.220 ns ; +; N/A ; 227.07 MHz ( period = 4.404 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_1 ; vga_control:vga_control_unit|b ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 227.12 MHz ( period = 4.403 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.217 ns ; +; N/A ; 227.12 MHz ( period = 4.403 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.217 ns ; +; N/A ; 227.12 MHz ( period = 4.403 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_2 ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; clk_pin ; None ; None ; 4.217 ns ; +; N/A ; 227.17 MHz ( period = 4.402 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_1 ; vga_control:vga_control_unit|r ; clk_pin ; clk_pin ; None ; None ; 4.228 ns ; +; N/A ; 227.38 MHz ( period = 4.398 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.212 ns ; +; N/A ; 227.38 MHz ( period = 4.398 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.212 ns ; +; N/A ; 227.38 MHz ( period = 4.398 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.212 ns ; +; N/A ; 227.43 MHz ( period = 4.397 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_1 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 4.219 ns ; +; N/A ; 227.48 MHz ( period = 4.396 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_1 ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.218 ns ; +; N/A ; 227.53 MHz ( period = 4.395 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_4 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 4.217 ns ; +; N/A ; 227.58 MHz ( period = 4.394 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_4 ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.216 ns ; +; N/A ; 227.63 MHz ( period = 4.393 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_1 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.215 ns ; +; N/A ; 227.74 MHz ( period = 4.391 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_4 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.213 ns ; +; N/A ; 227.95 MHz ( period = 4.387 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.118 ns ; +; N/A ; 227.95 MHz ( period = 4.387 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.118 ns ; +; N/A ; 228.10 MHz ( period = 4.384 ns ) ; vga_driver:vga_driver_unit|line_counter_sig_8 ; vga_control:vga_control_unit|b ; clk_pin ; clk_pin ; None ; None ; 4.152 ns ; +; N/A ; 228.21 MHz ( period = 4.382 ns ) ; vga_driver:vga_driver_unit|line_counter_sig_8 ; vga_control:vga_control_unit|r ; clk_pin ; clk_pin ; None ; None ; 4.150 ns ; +; N/A ; 228.47 MHz ( period = 4.377 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.108 ns ; +; N/A ; 228.47 MHz ( period = 4.377 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.108 ns ; +; N/A ; 228.47 MHz ( period = 4.377 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.108 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ +; N/A ; None ; 6.543 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; +; N/A ; None ; 6.543 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; +; N/A ; None ; 6.217 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; +; N/A ; None ; 6.164 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; +; N/A ; None ; 6.039 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; +; N/A ; None ; 6.039 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; +; N/A ; None ; 6.039 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; +; N/A ; None ; 5.943 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; +; N/A ; None ; 5.831 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; +; N/A ; None ; 5.641 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; +; N/A ; None ; 5.614 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; +; N/A ; None ; 5.614 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; +; N/A ; None ; 5.614 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; +; N/A ; None ; 5.418 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; +; N/A ; None ; 5.351 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; +; N/A ; None ; 5.338 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; +; N/A ; None ; 5.338 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; +; N/A ; None ; 5.328 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; +; N/A ; None ; 5.328 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; +; N/A ; None ; 5.328 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; +; N/A ; None ; 5.328 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; +; N/A ; None ; 5.306 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; +; N/A ; None ; 5.306 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; +; N/A ; None ; 5.262 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; +; N/A ; None ; 5.232 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; +; N/A ; None ; 5.232 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; +; N/A ; None ; 5.232 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; +; N/A ; None ; 5.232 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; +; N/A ; None ; 5.158 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; +; N/A ; None ; 5.012 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; +; N/A ; None ; 4.939 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; +; N/A ; None ; 4.939 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; +; N/A ; None ; 4.939 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; +; N/A ; None ; 4.939 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; +; N/A ; None ; 4.387 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6 ; clk_pin ; +; N/A ; None ; 4.173 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; +; N/A ; None ; 3.151 ns ; reset_pin ; dly_counter[0] ; clk_pin ; +; N/A ; None ; 2.920 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; clk_pin ; +; N/A ; None ; 2.903 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; clk_pin ; +; N/A ; None ; 2.900 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync ; clk_pin ; +; N/A ; None ; 2.897 ns ; reset_pin ; dly_counter[1] ; clk_pin ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ + + ++----------------------------------------------------------------------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+----------------------------------------------------+----------------------+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+----------------------------------------------------+----------------------+------------+ +; N/A ; None ; 10.494 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; clk_pin ; +; N/A ; None ; 10.365 ns ; dly_counter[0] ; seven_seg_pin[9] ; clk_pin ; +; N/A ; None ; 10.365 ns ; dly_counter[0] ; seven_seg_pin[7] ; clk_pin ; +; N/A ; None ; 10.365 ns ; dly_counter[0] ; seven_seg_pin[1] ; clk_pin ; +; N/A ; None ; 10.362 ns ; dly_counter[0] ; seven_seg_pin[12] ; clk_pin ; +; N/A ; None ; 10.329 ns ; dly_counter[0] ; seven_seg_pin[10] ; clk_pin ; +; N/A ; None ; 10.288 ns ; vga_driver:vga_driver_unit|vsync_state_6 ; d_set_vsync_counter ; clk_pin ; +; N/A ; None ; 10.192 ns ; dly_counter[0] ; seven_seg_pin[11] ; clk_pin ; +; N/A ; None ; 10.045 ns ; dly_counter[0] ; seven_seg_pin[8] ; clk_pin ; +; N/A ; None ; 10.045 ns ; dly_counter[0] ; seven_seg_pin[2] ; clk_pin ; +; N/A ; None ; 9.951 ns ; vga_driver:vga_driver_unit|hsync_counter_1 ; d_hsync_counter[1] ; clk_pin ; +; N/A ; None ; 9.949 ns ; dly_counter[1] ; seven_seg_pin[9] ; clk_pin ; +; N/A ; None ; 9.949 ns ; dly_counter[1] ; seven_seg_pin[7] ; clk_pin ; +; N/A ; None ; 9.949 ns ; dly_counter[1] ; seven_seg_pin[1] ; clk_pin ; +; N/A ; None ; 9.946 ns ; dly_counter[1] ; seven_seg_pin[12] ; clk_pin ; +; N/A ; None ; 9.913 ns ; dly_counter[1] ; seven_seg_pin[10] ; clk_pin ; +; N/A ; None ; 9.776 ns ; dly_counter[1] ; seven_seg_pin[11] ; clk_pin ; +; N/A ; None ; 9.629 ns ; dly_counter[1] ; seven_seg_pin[8] ; clk_pin ; +; N/A ; None ; 9.629 ns ; dly_counter[1] ; seven_seg_pin[2] ; clk_pin ; +; N/A ; None ; 9.578 ns ; vga_driver:vga_driver_unit|hsync_state_0 ; d_set_hsync_counter ; clk_pin ; +; N/A ; None ; 9.535 ns ; vga_control:vga_control_unit|toggle_counter_sig_11 ; d_toggle_counter[11] ; clk_pin ; +; N/A ; None ; 9.515 ns ; vga_driver:vga_driver_unit|vsync_state_4 ; d_vsync_state[4] ; clk_pin ; +; N/A ; None ; 9.461 ns ; vga_control:vga_control_unit|b ; d_b ; clk_pin ; +; N/A ; None ; 9.461 ns ; vga_control:vga_control_unit|b ; b0_pin ; clk_pin ; +; N/A ; None ; 9.396 ns ; vga_control:vga_control_unit|b ; b1_pin ; clk_pin ; +; N/A ; None ; 9.374 ns ; vga_driver:vga_driver_unit|hsync_counter_9 ; d_hsync_counter[9] ; clk_pin ; +; N/A ; None ; 9.225 ns ; vga_driver:vga_driver_unit|hsync_counter_6 ; d_hsync_counter[6] ; clk_pin ; +; N/A ; None ; 9.176 ns ; vga_driver:vga_driver_unit|hsync_state_1 ; d_hsync_state[1] ; clk_pin ; +; N/A ; None ; 9.176 ns ; vga_driver:vga_driver_unit|hsync_state_1 ; d_set_column_counter ; clk_pin ; +; N/A ; None ; 9.091 ns ; vga_driver:vga_driver_unit|h_enable_sig ; d_h_enable ; clk_pin ; +; N/A ; None ; 9.032 ns ; vga_driver:vga_driver_unit|vsync_state_1 ; d_vsync_state[1] ; clk_pin ; +; N/A ; None ; 9.032 ns ; vga_driver:vga_driver_unit|vsync_state_1 ; d_set_line_counter ; clk_pin ; +; N/A ; None ; 9.007 ns ; vga_driver:vga_driver_unit|hsync_counter_4 ; d_hsync_counter[4] ; clk_pin ; +; N/A ; None ; 9.006 ns ; vga_driver:vga_driver_unit|vsync_state_2 ; d_vsync_state[2] ; clk_pin ; +; N/A ; None ; 8.974 ns ; vga_control:vga_control_unit|toggle_counter_sig_3 ; d_toggle_counter[3] ; clk_pin ; +; N/A ; None ; 8.942 ns ; vga_driver:vga_driver_unit|hsync_counter_8 ; d_hsync_counter[8] ; clk_pin ; +; N/A ; None ; 8.882 ns ; vga_driver:vga_driver_unit|column_counter_sig_5 ; d_column_counter[5] ; clk_pin ; +; N/A ; None ; 8.863 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_vsync_state[0] ; clk_pin ; +; N/A ; None ; 8.846 ns ; vga_control:vga_control_unit|toggle_sig ; d_toggle ; clk_pin ; +; N/A ; None ; 8.845 ns ; vga_driver:vga_driver_unit|hsync_state_6 ; d_hsync_state[6] ; clk_pin ; +; N/A ; None ; 8.841 ns ; vga_driver:vga_driver_unit|vsync_counter_5 ; d_vsync_counter[5] ; clk_pin ; +; N/A ; None ; 8.820 ns ; vga_driver:vga_driver_unit|vsync_state_3 ; d_vsync_state[3] ; clk_pin ; +; N/A ; None ; 8.805 ns ; vga_driver:vga_driver_unit|vsync_counter_8 ; d_vsync_counter[8] ; clk_pin ; +; N/A ; None ; 8.795 ns ; vga_driver:vga_driver_unit|hsync_state_4 ; d_hsync_state[4] ; clk_pin ; +; N/A ; None ; 8.788 ns ; vga_driver:vga_driver_unit|hsync_counter_3 ; d_hsync_counter[3] ; clk_pin ; +; N/A ; None ; 8.757 ns ; vga_control:vga_control_unit|r ; d_r ; clk_pin ; +; N/A ; None ; 8.757 ns ; vga_control:vga_control_unit|r ; r1_pin ; clk_pin ; +; N/A ; None ; 8.701 ns ; vga_driver:vga_driver_unit|column_counter_sig_8 ; d_column_counter[8] ; clk_pin ; +; N/A ; None ; 8.690 ns ; vga_driver:vga_driver_unit|v_sync ; d_vsync ; clk_pin ; +; N/A ; None ; 8.690 ns ; vga_driver:vga_driver_unit|v_sync ; vsync_pin ; clk_pin ; +; N/A ; None ; 8.667 ns ; vga_control:vga_control_unit|toggle_counter_sig_13 ; d_toggle_counter[13] ; clk_pin ; +; N/A ; None ; 8.624 ns ; vga_driver:vga_driver_unit|vsync_state_5 ; d_vsync_state[5] ; clk_pin ; +; N/A ; None ; 8.614 ns ; vga_driver:vga_driver_unit|hsync_state_6 ; d_set_hsync_counter ; clk_pin ; +; N/A ; None ; 8.586 ns ; vga_driver:vga_driver_unit|hsync_state_5 ; d_hsync_state[5] ; clk_pin ; +; N/A ; None ; 8.585 ns ; vga_driver:vga_driver_unit|vsync_state_6 ; d_vsync_state[6] ; clk_pin ; +; N/A ; None ; 8.521 ns ; vga_control:vga_control_unit|toggle_counter_sig_19 ; d_toggle_counter[19] ; clk_pin ; +; N/A ; None ; 8.520 ns ; vga_driver:vga_driver_unit|line_counter_sig_5 ; d_line_counter[5] ; clk_pin ; +; N/A ; None ; 8.506 ns ; vga_driver:vga_driver_unit|vsync_counter_4 ; d_vsync_counter[4] ; clk_pin ; +; N/A ; None ; 8.481 ns ; vga_driver:vga_driver_unit|line_counter_sig_2 ; d_line_counter[2] ; clk_pin ; +; N/A ; None ; 8.452 ns ; vga_driver:vga_driver_unit|hsync_state_3 ; d_hsync_state[3] ; clk_pin ; +; N/A ; None ; 8.449 ns ; vga_control:vga_control_unit|r ; r2_pin ; clk_pin ; +; N/A ; None ; 8.449 ns ; vga_control:vga_control_unit|r ; r0_pin ; clk_pin ; +; N/A ; None ; 8.445 ns ; vga_driver:vga_driver_unit|vsync_counter_2 ; d_vsync_counter[2] ; clk_pin ; +; N/A ; None ; 8.432 ns ; vga_driver:vga_driver_unit|column_counter_sig_6 ; d_column_counter[6] ; clk_pin ; +; N/A ; None ; 8.412 ns ; vga_driver:vga_driver_unit|v_enable_sig ; d_v_enable ; clk_pin ; +; N/A ; None ; 8.391 ns ; vga_driver:vga_driver_unit|vsync_counter_7 ; d_vsync_counter[7] ; clk_pin ; +; N/A ; None ; 8.388 ns ; vga_control:vga_control_unit|toggle_counter_sig_17 ; d_toggle_counter[17] ; clk_pin ; +; N/A ; None ; 8.387 ns ; vga_control:vga_control_unit|toggle_counter_sig_20 ; d_toggle_counter[20] ; clk_pin ; +; N/A ; None ; 8.361 ns ; vga_driver:vga_driver_unit|vsync_counter_6 ; d_vsync_counter[6] ; clk_pin ; +; N/A ; None ; 8.361 ns ; vga_driver:vga_driver_unit|line_counter_sig_8 ; d_line_counter[8] ; clk_pin ; +; N/A ; None ; 8.338 ns ; vga_driver:vga_driver_unit|vsync_counter_1 ; d_vsync_counter[1] ; clk_pin ; +; N/A ; None ; 8.326 ns ; vga_driver:vga_driver_unit|hsync_counter_0 ; d_hsync_counter[0] ; clk_pin ; +; N/A ; None ; 8.296 ns ; vga_driver:vga_driver_unit|column_counter_sig_0 ; d_column_counter[0] ; clk_pin ; +; N/A ; None ; 8.285 ns ; vga_driver:vga_driver_unit|column_counter_sig_1 ; d_column_counter[1] ; clk_pin ; +; N/A ; None ; 8.281 ns ; vga_control:vga_control_unit|toggle_counter_sig_9 ; d_toggle_counter[9] ; clk_pin ; +; N/A ; None ; 8.281 ns ; vga_driver:vga_driver_unit|line_counter_sig_4 ; d_line_counter[4] ; clk_pin ; +; N/A ; None ; 8.257 ns ; vga_control:vga_control_unit|toggle_counter_sig_8 ; d_toggle_counter[8] ; clk_pin ; +; N/A ; None ; 8.252 ns ; vga_driver:vga_driver_unit|hsync_counter_7 ; d_hsync_counter[7] ; clk_pin ; +; N/A ; None ; 8.237 ns ; vga_driver:vga_driver_unit|column_counter_sig_3 ; d_column_counter[3] ; clk_pin ; +; N/A ; None ; 8.228 ns ; vga_control:vga_control_unit|toggle_counter_sig_7 ; d_toggle_counter[7] ; clk_pin ; +; N/A ; None ; 8.215 ns ; vga_driver:vga_driver_unit|line_counter_sig_6 ; d_line_counter[6] ; clk_pin ; +; N/A ; None ; 8.206 ns ; vga_control:vga_control_unit|toggle_counter_sig_1 ; d_toggle_counter[1] ; clk_pin ; +; N/A ; None ; 8.151 ns ; vga_driver:vga_driver_unit|line_counter_sig_7 ; d_line_counter[7] ; clk_pin ; +; N/A ; None ; 8.125 ns ; vga_driver:vga_driver_unit|h_sync ; d_hsync ; clk_pin ; +; N/A ; None ; 8.125 ns ; vga_driver:vga_driver_unit|h_sync ; hsync_pin ; clk_pin ; +; N/A ; None ; 8.115 ns ; vga_driver:vga_driver_unit|hsync_counter_5 ; d_hsync_counter[5] ; clk_pin ; +; N/A ; None ; 8.106 ns ; vga_driver:vga_driver_unit|hsync_state_2 ; d_hsync_state[2] ; clk_pin ; +; N/A ; None ; 8.073 ns ; vga_control:vga_control_unit|toggle_counter_sig_18 ; d_toggle_counter[18] ; clk_pin ; +; N/A ; None ; 8.046 ns ; vga_control:vga_control_unit|toggle_counter_sig_16 ; d_toggle_counter[16] ; clk_pin ; +; N/A ; None ; 8.042 ns ; vga_control:vga_control_unit|toggle_counter_sig_14 ; d_toggle_counter[14] ; clk_pin ; +; N/A ; None ; 8.009 ns ; vga_control:vga_control_unit|toggle_counter_sig_12 ; d_toggle_counter[12] ; clk_pin ; +; N/A ; None ; 8.008 ns ; vga_control:vga_control_unit|toggle_counter_sig_6 ; d_toggle_counter[6] ; clk_pin ; +; N/A ; None ; 8.002 ns ; vga_control:vga_control_unit|toggle_counter_sig_4 ; d_toggle_counter[4] ; clk_pin ; +; N/A ; None ; 7.992 ns ; vga_driver:vga_driver_unit|vsync_counter_3 ; d_vsync_counter[3] ; clk_pin ; +; N/A ; None ; 7.988 ns ; vga_control:vga_control_unit|toggle_counter_sig_5 ; d_toggle_counter[5] ; clk_pin ; +; N/A ; None ; 7.986 ns ; vga_driver:vga_driver_unit|column_counter_sig_2 ; d_column_counter[2] ; clk_pin ; +; N/A ; None ; 7.985 ns ; vga_driver:vga_driver_unit|column_counter_sig_7 ; d_column_counter[7] ; clk_pin ; +; N/A ; None ; 7.982 ns ; vga_control:vga_control_unit|toggle_counter_sig_10 ; d_toggle_counter[10] ; clk_pin ; +; N/A ; None ; 7.982 ns ; vga_driver:vga_driver_unit|column_counter_sig_4 ; d_column_counter[4] ; clk_pin ; +; N/A ; None ; 7.971 ns ; vga_driver:vga_driver_unit|column_counter_sig_9 ; d_column_counter[9] ; clk_pin ; +; N/A ; None ; 7.942 ns ; vga_control:vga_control_unit|toggle_counter_sig_2 ; d_toggle_counter[2] ; clk_pin ; +; N/A ; None ; 7.927 ns ; vga_driver:vga_driver_unit|hsync_counter_2 ; d_hsync_counter[2] ; clk_pin ; +; N/A ; None ; 7.904 ns ; vga_driver:vga_driver_unit|vsync_counter_0 ; d_vsync_counter[0] ; clk_pin ; +; N/A ; None ; 7.870 ns ; vga_driver:vga_driver_unit|hsync_state_0 ; d_hsync_state[0] ; clk_pin ; +; N/A ; None ; 7.869 ns ; vga_driver:vga_driver_unit|vsync_counter_9 ; d_vsync_counter[9] ; clk_pin ; +; N/A ; None ; 7.858 ns ; vga_driver:vga_driver_unit|line_counter_sig_1 ; d_line_counter[1] ; clk_pin ; +; N/A ; None ; 7.854 ns ; vga_driver:vga_driver_unit|line_counter_sig_3 ; d_line_counter[3] ; clk_pin ; +; N/A ; None ; 7.816 ns ; vga_control:vga_control_unit|toggle_counter_sig_0 ; d_toggle_counter[0] ; clk_pin ; +; N/A ; None ; 7.805 ns ; vga_driver:vga_driver_unit|line_counter_sig_0 ; d_line_counter[0] ; clk_pin ; +; N/A ; None ; 7.548 ns ; vga_control:vga_control_unit|toggle_counter_sig_15 ; d_toggle_counter[15] ; clk_pin ; ++-------+--------------+------------+----------------------------------------------------+----------------------+------------+ + + ++-----------------------------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+-----------+-------------------+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+-----------+-------------------+ +; N/A ; None ; 11.756 ns ; reset_pin ; seven_seg_pin[9] ; +; N/A ; None ; 11.756 ns ; reset_pin ; seven_seg_pin[7] ; +; N/A ; None ; 11.756 ns ; reset_pin ; seven_seg_pin[1] ; +; N/A ; None ; 11.753 ns ; reset_pin ; seven_seg_pin[12] ; +; N/A ; None ; 11.720 ns ; reset_pin ; seven_seg_pin[10] ; +; N/A ; None ; 11.583 ns ; reset_pin ; seven_seg_pin[11] ; +; N/A ; None ; 11.436 ns ; reset_pin ; seven_seg_pin[8] ; +; N/A ; None ; 11.436 ns ; reset_pin ; seven_seg_pin[2] ; +; N/A ; None ; 5.998 ns ; clk_pin ; d_state_clk ; ++-------+-------------------+-----------------+-----------+-------------------+ + + ++------------------------------------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ +; N/A ; None ; -2.787 ns ; reset_pin ; dly_counter[1] ; clk_pin ; +; N/A ; None ; -2.790 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync ; clk_pin ; +; N/A ; None ; -2.793 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; clk_pin ; +; N/A ; None ; -2.810 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; clk_pin ; +; N/A ; None ; -3.041 ns ; reset_pin ; dly_counter[0] ; clk_pin ; +; N/A ; None ; -3.591 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; +; N/A ; None ; -3.672 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; +; N/A ; None ; -3.676 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; +; N/A ; None ; -3.679 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; +; N/A ; None ; -3.682 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; +; N/A ; None ; -3.684 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; +; N/A ; None ; -3.686 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; +; N/A ; None ; -3.688 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; +; N/A ; None ; -3.688 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; +; N/A ; None ; -3.689 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; +; N/A ; None ; -3.689 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; +; N/A ; None ; -3.795 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; +; N/A ; None ; -3.795 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; +; N/A ; None ; -3.795 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; +; N/A ; None ; -4.063 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; +; N/A ; None ; -4.277 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6 ; clk_pin ; +; N/A ; None ; -4.527 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; +; N/A ; None ; -4.699 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; +; N/A ; None ; -4.701 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; +; N/A ; None ; -4.702 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; +; N/A ; None ; -4.702 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; +; N/A ; None ; -4.705 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; +; N/A ; None ; -4.708 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; +; N/A ; None ; -4.709 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; +; N/A ; None ; -4.709 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; +; N/A ; None ; -4.710 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; +; N/A ; None ; -4.712 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; +; N/A ; None ; -4.829 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; +; N/A ; None ; -4.829 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; +; N/A ; None ; -4.829 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; +; N/A ; None ; -4.829 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; +; N/A ; None ; -4.902 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; +; N/A ; None ; -4.933 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; +; N/A ; None ; -5.048 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; +; N/A ; None ; -5.122 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; +; N/A ; None ; -5.122 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; +; N/A ; None ; -5.122 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; +; N/A ; None ; -5.122 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; +; N/A ; None ; -5.189 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; +; N/A ; None ; -5.189 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; +; N/A ; None ; -5.196 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; +; N/A ; None ; -5.196 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; +; N/A ; None ; -5.197 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; +; N/A ; None ; -5.197 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; +; N/A ; None ; -5.197 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; +; N/A ; None ; -5.218 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; +; N/A ; None ; -5.218 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; +; N/A ; None ; -5.218 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; +; N/A ; None ; -5.218 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; +; N/A ; None ; -5.228 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; +; N/A ; None ; -5.228 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; +; N/A ; None ; -5.445 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; +; N/A ; None ; -5.531 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Wed Oct 28 14:19:50 2009 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning: Found pins functioning as undefined clocks and/or memory enables + Info: Assuming node "clk_pin" is an undefined clock +Info: Clock "clk_pin" has Internal fmax of 191.9 MHz between source register "vga_driver:vga_driver_unit|hsync_counter_8" and destination register "vga_driver:vga_driver_unit|hsync_state_5" (period= 5.211 ns) + Info: + Longest register to register delay is 5.018 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X38_Y28_N8; Fanout = 8; REG Node = 'vga_driver:vga_driver_unit|hsync_counter_8' + Info: 2: + IC(0.990 ns) + CELL(0.332 ns) = 1.322 ns; Loc. = LC_X41_Y28_N3; Fanout = 4; COMB Node = 'vga_driver:vga_driver_unit|un10_hsync_counter_1' + Info: 3: + IC(1.038 ns) + CELL(0.087 ns) = 2.447 ns; Loc. = LC_X36_Y28_N3; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1' + Info: 4: + IC(0.562 ns) + CELL(0.213 ns) = 3.222 ns; Loc. = LC_X37_Y28_N4; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 5: + IC(1.070 ns) + CELL(0.726 ns) = 5.018 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_5' + Info: Total cell delay = 1.358 ns ( 27.06 % ) + Info: Total interconnect delay = 3.660 ns ( 72.94 % ) + Info: - Smallest clock skew is -0.007 ns + Info: + Shortest clock path from clock "clk_pin" to destination register is 3.255 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(1.827 ns) + CELL(0.560 ns) = 3.255 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_5' + Info: Total cell delay = 1.428 ns ( 43.87 % ) + Info: Total interconnect delay = 1.827 ns ( 56.13 % ) + Info: - Longest clock path from clock "clk_pin" to source register is 3.262 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(1.834 ns) + CELL(0.560 ns) = 3.262 ns; Loc. = LC_X38_Y28_N8; Fanout = 8; REG Node = 'vga_driver:vga_driver_unit|hsync_counter_8' + Info: Total cell delay = 1.428 ns ( 43.78 % ) + Info: Total interconnect delay = 1.834 ns ( 56.22 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Micro setup delay of destination is 0.010 ns +Info: tsu for register "vga_driver:vga_driver_unit|hsync_state_5" (data pin = "reset_pin", clock pin = "clk_pin") is 6.543 ns + Info: + Longest pin to register delay is 9.788 ns + Info: 1: + IC(0.000 ns) + CELL(0.760 ns) = 0.760 ns; Loc. = PIN_N2; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(5.016 ns) + CELL(0.213 ns) = 5.989 ns; Loc. = LC_X38_Y30_N2; Fanout = 53; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(1.544 ns) + CELL(0.459 ns) = 7.992 ns; Loc. = LC_X37_Y28_N4; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 4: + IC(1.070 ns) + CELL(0.726 ns) = 9.788 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_5' + Info: Total cell delay = 2.158 ns ( 22.05 % ) + Info: Total interconnect delay = 7.630 ns ( 77.95 % ) + Info: + Micro setup delay of destination is 0.010 ns + Info: - Shortest clock path from clock "clk_pin" to destination register is 3.255 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(1.827 ns) + CELL(0.560 ns) = 3.255 ns; Loc. = LC_X37_Y29_N6; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_5' + Info: Total cell delay = 1.428 ns ( 43.87 % ) + Info: Total interconnect delay = 1.827 ns ( 56.13 % ) +Info: tco from clock "clk_pin" to destination pin "d_set_vsync_counter" through register "vga_driver:vga_driver_unit|vsync_state_0" is 10.494 ns + Info: + Longest clock path from clock "clk_pin" to source register is 3.247 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(1.819 ns) + CELL(0.560 ns) = 3.247 ns; Loc. = LC_X38_Y30_N9; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_0' + Info: Total cell delay = 1.428 ns ( 43.98 % ) + Info: Total interconnect delay = 1.819 ns ( 56.02 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Longest register to pin delay is 7.071 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X38_Y30_N9; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_0' + Info: 2: + IC(0.944 ns) + CELL(0.332 ns) = 1.276 ns; Loc. = LC_X35_Y30_N4; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|d_set_vsync_counter' + Info: 3: + IC(3.291 ns) + CELL(2.504 ns) = 7.071 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'd_set_vsync_counter' + Info: Total cell delay = 2.836 ns ( 40.11 % ) + Info: Total interconnect delay = 4.235 ns ( 59.89 % ) +Info: Longest tpd from source pin "reset_pin" to destination pin "seven_seg_pin[9]" is 11.756 ns + Info: 1: + IC(0.000 ns) + CELL(0.760 ns) = 0.760 ns; Loc. = PIN_N2; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(5.016 ns) + CELL(0.213 ns) = 5.989 ns; Loc. = LC_X38_Y30_N2; Fanout = 53; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(3.263 ns) + CELL(2.504 ns) = 11.756 ns; Loc. = PIN_A7; Fanout = 0; PIN Node = 'seven_seg_pin[9]' + Info: Total cell delay = 3.477 ns ( 29.58 % ) + Info: Total interconnect delay = 8.279 ns ( 70.42 % ) +Info: th for register "dly_counter[1]" (data pin = "reset_pin", clock pin = "clk_pin") is -2.787 ns + Info: + Longest clock path from clock "clk_pin" to destination register is 3.247 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 84; CLK Node = 'clk_pin' + Info: 2: + IC(1.819 ns) + CELL(0.560 ns) = 3.247 ns; Loc. = LC_X38_Y30_N6; Fanout = 10; REG Node = 'dly_counter[1]' + Info: Total cell delay = 1.428 ns ( 43.98 % ) + Info: Total interconnect delay = 1.819 ns ( 56.02 % ) + Info: + Micro hold delay of destination is 0.100 ns + Info: - Shortest pin to register delay is 6.134 ns + Info: 1: + IC(0.000 ns) + CELL(0.760 ns) = 0.760 ns; Loc. = PIN_N2; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(5.010 ns) + CELL(0.364 ns) = 6.134 ns; Loc. = LC_X38_Y30_N6; Fanout = 10; REG Node = 'dly_counter[1]' + Info: Total cell delay = 1.124 ns ( 18.32 % ) + Info: Total interconnect delay = 5.010 ns ( 81.68 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 141 megabytes + Info: Processing ended: Wed Oct 28 14:19:50 2009 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp2/Designflow/ppr/sim/vga.tan.summary b/bsp2/Designflow/ppr/sim/vga.tan.summary new file mode 100644 index 0000000..9671632 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/vga.tan.summary @@ -0,0 +1,66 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 6.543 ns +From : reset_pin +To : vga_driver:vga_driver_unit|hsync_state_0 +From Clock : -- +To Clock : clk_pin +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 10.494 ns +From : vga_driver:vga_driver_unit|vsync_state_0 +To : d_set_vsync_counter +From Clock : clk_pin +To Clock : -- +Failed Paths : 0 + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 11.756 ns +From : reset_pin +To : seven_seg_pin[1] +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : -2.787 ns +From : reset_pin +To : dly_counter[1] +From Clock : -- +To Clock : clk_pin +Failed Paths : 0 + +Type : Clock Setup: 'clk_pin' +Slack : N/A +Required Time : None +Actual Time : 191.90 MHz ( period = 5.211 ns ) +From : vga_driver:vga_driver_unit|hsync_counter_8 +To : vga_driver:vga_driver_unit|hsync_state_0 +From Clock : clk_pin +To Clock : clk_pin +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/bsp2/Designflow/sim/beh/vsim.wlf b/bsp2/Designflow/sim/beh/vsim.wlf index 270279b007778af54a0825f675a38ffcd7926484..646c9c8eef4ee126ad90a2a551a48acccbb8a0d6 100644 GIT binary patch literal 638976 zcmeFad011|+b+D5y|Z`1Bp{+FL(l-C40RrG0uc#=AR){{9RLvtRS78~;1C8Ypaq0l zL2R3lGFN3#hNOemDhRD*s4~P~E22!PKu96OIt$<5`+o1azH`3w_jfM2%w|aTcI|tw zbwAH@-%qmjlaNs4D1sn3d>~66F4u?OF!&(DhXs6~2y$XC!R5qp5_lXeF?QW1_{abH z@_6GiiEVb+bQoXQiG;r26`?OY4|hcLD*yoF$O`x)2|n+xk1RrH7@0vQv=B2xfFUG{ zod`lnIvpDyx06glworDGS0RoGpCmfQCmdx&oj{CHDv}s=!x*Ec9It%_NNn%CU6s@k=PSaoI~+ZI}x(JV`BU#jsXbEhwZ=jBOVV4 zcHfCoNsihGZ8d3!)oH6Eh}AKxqgE%ZxQNxKRxB$&D}Thw)rx85Vzn2s3bFFB+Hb{1 ztlX@;tPWUtB340G!B+dM0uU=_D{rentAhr_j-$w)qXvk_X#|b6q7bAdOp+PNgks4* z4F_aH`iqZ@=)Iz@La_B~*3b{^Nl1*^PG3#xLF_kdUANwrj<`mhj*a2aW0BMJ!%?xE z7&?in8yg>cCTh=72L}f&DpI|Y^z{MS(OVE2@<%#HWB2GB4I%3sr4?kOWRe3}x4=lJ z0n2v3ENn#}eYBPCFjvo;!8;5XbRoEVY-)CF7Cn z&TV!)eEO61j_BV=^oazX<7wp75yzyMLy?D(sAG|dhaHlRojd9=;8RG&NsIR+9wu$0 zcM<6^HvI!eKok*FjE)gE4d8@3H< z6J&s(A0fm#14KInK?4mC!VA%McSaW3+h|eukZD0A;%FrO*y&`>5p16UewanEB>#q3 zCgGOnQS@je<)$mXJB+g16+_Ho@bJsH83i$0eV8y?iDRY9Xs`1DX$P%RYZ{{z;rd6B z$i#%0m=jTvdyXVUI|X+hY@GbJhk9^_;y8J(fd9OprsTViS+W>qjNV9?>6bmT8me$d1)fr)}LGw2YTwCN=phL?&g+4H=g4I-l@84&YwkuBC65SQ&gxkAYO#VUg!;TnS z))}~*zYg(3_hAe})93&f3p6|60K&Vl;>_-sRMJIPQVohmXMg((MyQYyY(-gQ`zK7& zx1?uih&ythG=#KL@9)7G>Gzp9)1VP~&UiqICJ`6T^;VSmI@%fMfYiS!Og;@YeHVcd z)O<<-$%2vKPGK0F`y64aNFfNJuQtXRyBQzfqA<1dsH8=sDxYq$J0d_YZBq{Dse{Hnw94$96i+3byGb?yvCW?5>wK0)F75& z5dYDL;U1IKBtirSBGnDjJ;~Qcojq^E9sh+hG@45^Co4)x*)O7NFk;>@)B zdM{TlK^a$ck`1qzPz@<$_nVc!P&l-zmB{>;I$GG5Za7nX;~xV`!BUhd{2aR>v|NTV z=|j1`6vp|L*Adcpf1-Bow@KfioU59&a}=g!o+~xB6}v%g^`vl6b4J1+5ZkApQ}Z4f zWKfIrf3e0HyBHtup)j}U8c^@P!0cXJ@WUAqp64hGOluS&y>YpY7QE@eneJ)ZXkTl! zxSFA+Gde#}XW(_dxrV0YwGAWf;O@e%9y)bo8;O+0-{wKyyUSzyxud6IlcG*VVqS)} zHs+RS_xyc~pqWf)NzppPW@Fn`Eo8pc11%ESiuSuJ%IGw-Fw`O~zDnjhc%Tf2Bt6pA zVUG11+hcoRc-V*?q;5QjGiDIOZ;@~<&y@yf^sZIs$=I%KaFn_V!;dKp7k`9GX6{Ap zm?tf9hW#78YbzNjGZ;I_5BA5I-aW>)jCISA0)8ADO^W*rXI_o}!9mwx7azHo^cTu- z=b0Q@PUvH~cg&M)EK%nRt1;q2I9^aA*h9I;$4qleQI3A@GyL9D*K40p7`AZ2eldhH zt{VhkC}$$`Qv(WP4eBhQtt&xp2;ynTPBMd{>&p98Dn;m@a=@Px7b(Sbo{Ro0hszwtciD(C8M`n7 zOT-yoy?ZVpiw(t_P*eTQpJT+&5Zn_#=y|Q&j5<4$Of3n+Oh z4jIEpXYgtPQ}5-vUC4L*AnagJ9A0p>&k?5!`sk4Y{NDJ=EWM)bXb*#v ziV=D*-3gsfHw)GwE>w$TsWzE$W}Ca=^=!f}R?lmPwgvUG6@KVvhFMfcl;LFz$U`t~cPWv~4R;#tE1FtJIIl44v5Qavhs;y_a+%XM?%D%WmzwJ0sdfjJw-PP>yTO z1LHM&wD0ooVRrXUQh(y31+8X!HdJTrKYq$Hz=-d0#=uHFyubxAL1!68{EFDQpiCto zePl=;Tvd#&e6G{-B4Tegb;aKYOk7cC_Ys4Bsy}0dW=Jl`raHaUBkzMS%wdD`1oLWW zJL!y;7q;ybOmi?Ig=~irHO`a*YDzP0!%D4_`{Dm#=!X$}oRNK_+Bnf2Wl&9>wqj<^ z5!3(_usCQhhA$ z49bYRP^W7{OK~zzr23%FNxcRrlV^Q~#<*b@Fi-o)fJ(lgqjiBsOGXP?%<_LhE*So` zPU=dv$=$1>V!3WJw0^@y)@+@;FDyfCurEvwJEMb2WHp89%0hd-S@+{gYVtQGFV1U` zwU)nwtm2B9e&_U5ANy`>2}R3&`^z8-V@07p_7e|1_!I3Q2W5t|8w5B9pqyVdHrY^Z`=$O_waaCEaQ>XNHWsR7L!lW$Gz_N69DVKOC-sWL z3{cah>rNo<2xTd07-F>j<6RMGv^&Li+bx8_SaZ@3E|Cz=&F9woGANr3`VofV=5-2` zdB)JmvdWMWX^C>2A4A@l(=F2e(q8W+AG_?OKySEvJD)@g+?&nyT1;YABA0_$-(i%X zBya5(i^y`q&zwQbJo zkPTB$F4nTqaozS(?_`v=SN4@F81VoGtKgUu(b^}eH=LeQN@%g^C^NY=e-E;CxqaMi zeTwJ^g<>dzXmGBttcmVFrtM{Thx8q_=#I+_&bfb6uf;W1`=t2%yOq=y@wyk5=)LDx zc5QPxjxrvz;Mth_>CBziD`IRrKvlbT|9~>OagM&O$tk^}6ObtXYJ#h8T{fAcyKUvi zo1es^(Jr%2&XifwMi-P3?1?k^9P(wH?0M-bPF|M{gJklK1IrU&wA5YvGj6C0=M?k0 zq5Xm7CI$!+gDc>2or|a5PC^kO<>7 z*}8Ki>j$UNd-~hIz=$sAB^147Q+h>)Oh?3-dCA~&5;2K0^tZlrtKxpHO%4mrLOAYW zG=Hs@t9n802bLcScSTHN4$=%sMB0~n?w_`vqwZak>dMphI%Qz&f-p~2;vDxcYH2ZR zzIMS(Q+u?Nu{7hq^zN0d{D{I#)-Jkm>7oICX%Bu+|BtHyx6fE=w-jE$2#UK>`eo-(M%np@eT$Zz`kt!iN-j8yI%n5j!iW}Kn>~xRetZsDVMxB`xdnIm z;qo^82j@TF>RJ>8fy+)qnH_tp^zjb8qD72Pcj~R$|;anFLOSM)iD8k;?7i+(?8a28VAANr&{46Tzo7A|vZyYRW__^XTlAz4~z z6;Us0kqOMw^LK)hH_FgodRR-Vh2(q?K?tK;mZ+UkFLjGETozkik`}MjdYOST4XwB7 zAa*vipD#0qp8GTo2H@B=nh)ByDmx#zT;;O#l{I5uzp_sp-kT}lj z)hCVz^cpahp4Kah?J_{-&&2&m7@o21(<(AxZ28#ayX92p4Gu)kOKmM`u0G|SL14a@ z`45&?sIL0O9omk?7c@A7w-a7d#&W+6G(PbStC#pDpqFk?>;MO{;Zvek=goy$^VbGV zbhjP+xV^;vwI5$4?$f%pyyymf26{!8zVe~|@zBZWD)I2(Ym{+SL0)IS{59jyJF42{ z*HD!BHS?yvq4D(QCxw=aDbfLyFnoEwR;$I(aC77pPea1xo#%ey-R8}7!+?(Ob;&D> zP^QZ1I|iX5Ekc>uNo~JS7{w4`DzP?*YDgTsk1~o3Yt4CUeW|X7zoB-EZ;RjxYP_ZQ z@LZq1C)ld7xao;Z=lf|F%Gr~=PWi(go7_Z z8T%};d;28FMoThhF&vWR#h28;BRGQ^Xc>hvTm!su=1r92e)tYX?Ak_OoV07Ju8n2f zF>1W!<*NunBzj{Ba$;h{6f3F3T1M|25y-m?V@L&8wEZy5uhCU zwYu++GtBie13iSvA9T7%9kk@Y5Z7?aWZreVQHA!)>^G2w)%d-uJ^6Y?+Yay%=CpFL zuKUcT9C*I+i{`v_o+}X!IBmg;&{oqpU48q)O>k4^^^gYbK zt~ojcU7~%2m^!BrS7|P>weWl!ESK|4tIi>nm6dA{V<=O6`1*jr2LwJK@Bx7j2z)@` z0|Flq_<+C%1U?|}0f7$){NITH$q9P&6RxJvy59%&J`#2S`qY@dHF%;y{O*WQ170H;S>u!(^Pxi6tkJsYenxiTbA!PDGOQb&$i*sE>mllRhWv(?oK_ z4#e@_CN#qRw+XF(H0s2H3yrM%w+sDmA3XZRo&_uV)DbcZdag&H2Yr-A%E0o;pHz?p zl>Fn{={i0v{0gfWQX?J|OS`fe#3LK;Q!c9}xI}zy}0AAn*Z! z4+wlf-~$355cq(=|IZMhq`?7`um%5LmxLg?WJDK5bm6=8bt#B0j@VVg_bC&)7Kqs% zl!TM$@V9XK2$>E@-4wp-S=X0DrekEYSC*up6|0dzItir%RA2h52kB#7Yh-DJ7#=S)|Sm z>2LFTJ4SVO@A{lh`fEkH#nop<=cNbdjLyF`eITB(BXOB7XZIg_%TUSQ{KHVm8K6(( zr2a@OhXH%V%=h(SL8|>@EpmTMaTu_c@%PP* zhUaE*V|Q$uo}mFVIZK32mSZx>O}bKnj9{cljXGLx=tbL4?=<8lxF`xVDtlz z#u*W%DJQen0R)^@9SCpf($S=)2ynGL2KXIWE(v~AVs)qSdW}Mo^h`a}kU7~7SiyX= zD#y@rB9a|ZojlYjYL~~n`P~{BF0p`miHj|57zSURF?)VOloXHhC;inoy26wO11-g!r}Q z%2{z*`KvnuLT(qn(|&16opoE|kVVko;}Yz@4!DXJePcQo<`%K)pLZ|2D^ zQZXfW6|`CIwT=xIhh4YSv(XCm~WcY5cC`P4{TjB~kr=S3M=bPbmu@Hq|zt z1_9Sq2b`3xJT&6KzQ?n%PxmjWA zp`q+5B}WQ}QIa5#@CBF*Sd;GfW#DU&n-zqW@lb)cp(tFuSm4!k9Y zlt(r0EiJ9%r;<_t>;8lg_fhvm%vdF-XPAPqG%es~6A)F7hmY1J0pH+~yav!YL*bUb zpTtAy6FJ$O9wE*P72vW-St;-x@RH9t)pZbqeQ9bxhlKjfL1~l_53j6hlJNgg`HRwI z4O`SaFDV1CwW!mkuRgL!TDP*KVV z9|=}6c?{Czpw8}MqqCa4Iis^4M(NT9hDzgf2C^dC3ZyS^^c8L!Nb$IEqDjr)zuwP%fSSeDJlaor8rd}_JmUTa+^jrqM zE2{TZrA!NmNRvya_Fv{K-H{{Qaj~vTNaGGhcSyJ*Tz+y^gb78oG3k@aim=_{0R=6* zv=odUhts?GGpo>IuDV=KG#7&~{#9>_>lNL5#T_E5LMX&rCI^O!-C#09gWLqEs_Q-(mdX;;4F`TfI z;UJ(=<>nZdo5=?KLSppz=^1S>BEVWkyCf-(mS@?NUD-F%#5bKPl@oQ!eBi-1YnY!Z zX_)2>Rl8|qDj~&RS_=F}cGiDUT8_6>J$a&BWp<(%_-2_k)Twea{G~Ckf88dXp@laH zDP^+Bc#WS>y%+3k;H#T}uPV#*Y?L%xBvS||(}36dt_#05OWl$##1&c(i7j z_sDW;iY3!R+F73L*_lU^t9%klqRT2|9uHw4uAU24075dx8TT8Q^WO5ut;)tJGR%xcNHBxxfWR$Ws$@q|5`m%Y6zD^t}h z*O}xAFd&^1;8pXT@X&Q)$z)$S)|aedz1>-kOWAWbO=V&A=Xu2f^uE#q>{pqzwdI#6 zQ|G73@ra)IvciWM=AB?x<2kB)3i0PfJf$|vrhtFz&zpcoJ-Br$eSxIqG~S>L#u*^X z1);63k(E=mZXVni>9I$WdvVWI?#(Uma-0oplB5-PXxvku%VKL<#)02(r>JgHk&__> z(qI8rD?SRm#rsOrm6^jVX*=-cn|Jb(_!Sef6LKmya$@{cgLpirr=NQb__nKAaf(p~ zQtb)gmylU77X#<=wfI0!>&2;bF3mt z7Tcsrixc2|eKNqh)9Tt)%6~m9tL~t(xJngExCW@0-1K4rE?0_Q0M?(auEkDqjahvS zlC<6FnP#9@!yHxC3|yK8yrdawr4$5AHP&D6%yjFN$6qPyxl?*OPSIO8GEv((ki9)U zHAdsN)@LSmq>+DL22Zf`wtTWiB$`o2zz8?`LO}5eOuY|$z0~d?v_7k;wjKB#72$QG zy$_s~LdqOSk5jXXe{Pa0C!>Hj-)sVM=@5G)>xy(zEu^Tz+hEw}`n8#zS_D{41F^ly zPl>w8spEJ#WG%HB*HTkF&Y) zlC;}{UXJYe4x(&KDo(4KNdxZl%H$~_-tgqX4>M8x;Yjfq#Nv^WG#m5;noH8_R4i_q zidix5Feb!L@gyMPF$l=7^$pZOdVY~U0waoS{QhkENKQrrklYpG5q-lTtaZSvGc{&B zHhr?Qtni9O!JV$T{|HYKU@tkZf#8p|%>;Kw!U5UGiHM%I9EYAE0lqeT)0Y2yd*?!* zD}XGEZiSoG@PX0&bV;ZkiTs9aMK4+kmWtpo>B50%!Zd#FntB!n{@~2`@HwW*#pS zLB3AZTRM-Ii01TmaCKb3yivN-8n}_0t`wR|WHUx*c{7l*D>q*IedC{{OD{A>G5{#` zQfXvwU`p%n4F+`~le_*!uKan$*{$32DhAU?z|iDsJ9k-4ty}fpmRXOK zO6D`?=DQV(q;=&K&8~GIz^u2p=XLjfr|AwtdcT}n0OHJqFJ9U86iY9^Rfa2QK~4$DHu<`!G8?4d4_V-Z>Lns$mKzn-oMhq&RubrNN=b%u(6M zw16VI+xp9FWLra~ME0bt@aF6Z(Uzvq24&YC4ol)C3-h`)%d8vbFrvw(?&}J9aAVe- zV=OIreeoIGepdLo+9SzYp9d zn;J8_+sg7crDU1Pl|uZjW}KfFUyj#QimL&uO~Wj1mgZ$Rghxoy)=yq-dlXZrOl`_g ztKrqC9qqqzGp$i^du&+Rrb$jyOxCH??67jp!FhX0duo*A+%(@zQL6G#Xe4(BE_nv_^#k^hKtF|7r1FA8 z!FNlatJq`f^RgmKzbuLzuU5{M3W-wLWRv84P}{zdp&~1peDDsO7v`*MzymUF9gOhd z62P{Xw9XAYFQ?RUWMze~@@}#<>T-guPHRm|2IaUaJqZT;(ay4Kms|IxPpXQzPhjTb zF{bfXDeE&dvT*^S0;K|c@c!huip_mqyMMU0Ns`_ls$y0)!ziO-mr9Q>jhu~3Xv}C) zMuGhqW)nj>u+}Q=psL|j9PB-PEoYNj(<#6da+$`z2F{5o?tS2`8tU_x)MtgmbQO{^ zJ3aYI+0)j295`)|nrWx4H_AWdn1Nz*`*VfCuos)5>d?J{RDU7EcfW3!yVIl?Mzm^;vzII#~G} z?Q>7BOfLX_9j6E1wrZH&icldwR(pI71Ppk!Nv6kRyTXUntl>L#ZjnG8P>#Qa;XL$J zwQt{i|9EUl%wm&!Us*MQS$5gHD&p78;%_VB3IhjYgxfo~GOO(ts<;O&Fb+1AGXR+)n| z7}A0`J>cINFVY6tv;eXw4jGjEoJT;x9+89-7xIP01W{&R$MZ)0X6fFW_OOgOvs3Ne6 zVh}uj@wCb-ytpA#2*QDHdG)aPM12^HH#z zfV0GVfk8u|pwKlX!lwiH#bT!`Q#Bnlk+P*jNb9o#QK5?Xk+SHzvDSR+=huFo+Xd@3 zWzo;d<`Xb8lO~JsipeJ$f7Vc2nWm{Bt2f;V1f*w~Mc!9=!N9KtSB(%a)}+8mruKm^ zxD?g{Yn*CWBMhcJE3JNXbQosc0LI~zn=>amH6AQQzmPI%2Lb}JEJhW;ORkO(;^N9D zz*lh-?4N9!CBhn1tOkd;aw4#-(2||z^eBd1R>(@9<$vC@bjDGDmkt~%YuOA}Js2s= zf2fd#1eD~>b;_yJgQ1dh?=-Bw8&^J?bm#THvK@t93gS8KGgF0oCHv zk-UrsSY?YSr`3M~Uz4`@rn7>S)aPwB6S4vjkYQd5>;W@XlA94ZKic)@hLKrOU7bqh zmpC_mWpcd4NL^a|psaGCq+EzTkokP1ku5{7r*pcWapz`;G07cCYI3V<&6>51nLVYF z)TbF{Aqq_^R|8duH9-0fhNs`3cTk0rGb4Zg*r#f!YrXbxmOTReACJd6MF!W`jUBI& znItv7%tw7n#Zw}JEA4L*C?{sAGl8KR){~qyyLm;O zgyxAP{Vxc7C0xe-?CqR43qcN6PAse4VqQ;z0@J`ktGK8I%r*TdSyYInS8gWss{HTY zZOjNyO)D#`*7#2+0OnieLK4YtC@WM?_0ERM8Z%p}yZ~GCuzfOKTSGVgb4JUw8kg4d0;gaO}LX~yU@ zoQVA@k2zS8;k>{ebIIAXr2`+2$nMl3E;PoU`)Ip3X zBziGT2S1UAGo8MmnMKKgBRLq{@fefVvmNdzE8D*oAsV~pmcwjBBfU=&`V1t_7 z)?V*fnHZkLA07rOz`DIG)2y+6Ph?A+Pg7>PM1-f-f}np>GYJ6zs30{HFt=UElx^UH z`rk8UoZNs}tJ`S?8r1{d%^X)C()znpxVUV^1k41p)U=YlFS3_xC~FvpE!aJh8am zYTZ*jgKK1ALdsyB2ycnIU&-qFw$AG*rmpZ&)!m$ieBYm&8QBi6QqUKD-t#+iv%*V3 zq#eIdTykveMd2?~()+VIb+_pw-*o?N@=ecM;hl)`C15Gtc%(qpxUBZ~PJxyjR`={f z%d=8WbeT!|JcLTFkkpq40#+0p|au) z@ryK_Gui|4!)ouPg0$m`9KQ6OywGNLbGeqMd=a;A^VH$cr@Hbc5c+QRq&z@0*DM{( zR+nl5MdQW4^d!tIy04sWOaIQe5DD*Z`mA|Pu_mDDz+-{dAoqFU(&r-DfK<~ePU{j- z!>@hmuyauTHsw`^#;JYivib%HYAsorIH~e+YM1MBZ9wD|RbWSGQ>|wJ4%#%Kk2`#?TRE)ueRQ^6K#K&5$G~^ylisr>j8{8+p{u*Bw+tSzJ||QW{#rn*Jp(nDtlw?gRX)5lxvu!QlawmeUGz)Es5fAzWmq&f z&D1Iuv|%l7@1qlAl`8SYqu`*hcB%vKmkD)`?^cJFKGjLB6>q4`j*%}Kp7&VOl*CuH zObaWdfk_~QBgxZeOBDJQX_1=XxpFNf7#O*bAkq?znW}>gr*p2P^_8?p#z9EENN2RE zT$dYu=f~|eo_&3y@o()rMoJ5l2Q{6&UXB1bfUy48n56#l1f2R>stFKzC-1p*FzU|L z){N*RSx%PtZrfU)z!X(T)%4FRy846y+8L=j7z)|7?OnP_U~EwO`vK4wCD0PprYA!p zXO2kAwYn9ZAec{=@+yTole6lu(h`&6u@|%YEUC37w58A6KXUX{&)T(ho~e=T4XT?pP}_9S6V-FAiKb(gTRx{ZIT zx2tE)v99DcA;oH+;!#H)-*rS2 ze5cf8Rx-P&xb{U&Zd&V-K5v#<+4{rqmb^@^q_thKK*uykX^bXBG+vafNZ$s6!Oi;L zL+hQ&fRnQ6^4Z7gNWtkAF3hj0`Xv|BCi4E2q_@;x?p62nUio@jW$|UxCE+}rfOFkj z-&Tt%`xHtM4H|hMv}Nc@v$QQmc{WNN!p7=BM4qm4n3tITN)C5E#^Yv8}UQtGzEFCtFxGr4B6Vn_y4IjSX=G8n^T@q1H^G z@sqFx+8TOYzB|kDy`hCMb^inW^6rengq*A4-`36=F)x zAogv=BKLY7n%+K5tWs~EdeIk^i*}Sjlc#9eTD{$qSn;PU1`9QD{AleEZ(&*B&8b3syhOv#9T_eiFG7TK%hDk%F)Vs~RCA>nI?O|o}eL6dM z_3-p`b~pPhD-gW5`e%&J^ZcRJ-)#E8G1ZO~?&orG|FLvsFLrF3p{ddz!8*UN z98)UWfxi&A)$I`C@2&nTr(Zo_y*>LN8v0O#Ow4I|5PCu~+OD9^Kv4#3o`1Dq^|x!> zdFtf0pU>QooU^#@r*ivWSp8qR=0c}`qNecY1`uSwVD+a+Kbu!hKxY9ai@ryeH~p)t z&@I04`dFJJDN!P)j)<$vuKjPU{*Lj3njC&D)Nk2uHG9{jKPA+~zz5p&Ly?XbSaCzy zQXZ6>DkLv7tUz&nai@kE!Hyry7D1QYuklgryn2S_A6W{Wu4ZvOJcQaUy!qi?dg^Fqmu z#-6r$9$+Oaq>oGTUhu>Xng2(lU;0NsV7-XX;fwF)WOPB}ryN&mX0P15(VC`Ebp-~5 z_m)OiCeG-L&1_sS`cvxMg#SCEfBE+JMt{yXr(?Q{M`S0;DIlS&aH&&YN)9}s28*;Kh=}>myX!8@mno1ft-g39r?SKM$ zBU5}cziX`r(lwn5+KWE+P{)QLA?BAVfqKkBQQb+DO-eO>0gXRLr?=!}Oarqis1yu9 z8G5YJsTc$-XplQp9-y=_E3g^bIm4Oe6H@86TT%flq4k_-dY0Dtzi|4K2ab=yUIbpT#$QxjPN)?T3z||*<@eDIi<&@< z09QozSp&ACid9-p5<3(CAB|g8+HSrpD6P)~%76R(D}Wzpb>+VI`R_>5kCfa_ot&A` zkwW3VbiPUfy~84Iu=oc&){Q;QYe(3XuV6nuR3asoK=p4-uK4Vx( z9%RX0o!zN#jn2xxg;xKJQF{0oLnZkJ+D3s^Ku-LJKNLcNPJd;Xv!mLd)RS*gu;BD} zr=yDB9OTXtf7Q0VG0JdvRP5V2<*Hl%6&a z(0=n^UzLS8ZCXT44gRd}L{5(!n*F27|1|r@5C50huh0$yl|o9DE?}E}GsoVT$Oc}L zr?eU|>=v;2`p$#nVSQrhB~9Azu!vVfH|TejJ2zN}PY%JE{HkNLJvTF?2Q&(a<`=NG z1=j^=mBti!FOMsGd-KXZsmgu!e{%e5-2z~T3q>?PxdV2PjN6YvWhI<_Q%*e#=X#b| zO#qclB(nHG%-({IjU+W?yExUFLC~R)mJE;4|$Z4{wSr8~t z2LW})z9jB-n1xH;^~i*TP^_qQf8F}7Mp7e-gZ^#nFR;r1`YqBJz&aN6DD zzMuoV%Q6p;@ZxeSiN&YUh@HVNq~w~M^evZ>nx2m z7<{D+b{p)P%j+4LZ+svK;V$?bwH(-5(L2{Ia(@7i_rDE17 z9iTqQ<-(SN-DBc?s!(YErL@#tdd@eW>s0NZ=4n*$W&oWcN|!Vh&WVJE>x$Z;3oTQU zv={vvbfgR#{A`X>{cYaFjLxL^MHk^CZxW|-pQxEUc}i|ZgRHG9@wJLoTnWYYL0+%` zZxN@#p1X*go5P*;nN!fJr&V&Ga*&s#m}|<(@KG$hMk1?f1O9WZuEU)-qnpF~rhspz znL<{Mi)v?EFTysuhDyzX$XMdT8?$T7?1PP76B8x3UzC)E20Gm@)>>3~`iS7|Yg@F|eJfRFdm_028*1#uFlXOOg{}a~f7d$y zKe_^73VrTdxc}UL7dsbj+5_*^1Ut82-z(jH24=w8(9lBZI7%mzozYcv>EGBYSC~r6 z5sE%aM^QU;4@{_G%PeYpY-K0KmOM>fMJCh9wiGI6hcVg^J9rERnT`fvsZ7L|9EsU} zWnE3NW&VwekTWZhFfu8JM5$R_vW!H!L=HfIL&>XL@jeRH?Y`zRVomucAO8)hq|kls z&S3PF_%9eq>8x$+0B~VP3t}O6UWf+ z@{!ltbrj{Tjhm0@K4l;*@^>zDO7~_fjLy_9rM=77dWzUltbMl{Vb=Lbx84t^9iL*o z9mTBuboO4*ws4xdY82wCEq$lc00)nLp=Gpa=fCVJj#MwEUJJ=_X|((9Un#+e>q`CI z%e2z1@J@1{vv!5Ermbtiyu}qJ4&v_~EVNy+Re65!)!*=~{e0BA~t;AM)BlE>SeYK>B zWkcB2>ljeak5e$a3iKso;9$@U=ICiYZk}jJrY;tS%={H( zB=j(SZT`#ZuxEtbCZlg7Jboaob+xo{-;cj*z*13>0DXy7-a1@%PItTe(j}<*UrhS1 z^zF;-(W80SC{CuwdMWF_jZUR->aA94yWKi=;#)npYvxAFj`5eQIUY*bJ#+lYg<^NY z1KxajTNZGdUc)$>=~7wJ0o z8=7Zc^hn37-M*(SIg-J#!d4l7w#l?R%Of1~-sPi(UAyG<>=UCvod?Gm>GhYLD7HJ! z7HNN@9b~j4J@@z;EDYYoXw|JNCi!11wOqmS4%7OaD#UDEm+`H|`3j7lzj)Mi*M-8@ z-(#-@2Z9~83GgYa(1S%i#MXRcLsV{c+3kx5grlub@N&A9_{+Oi#Cq!pc#ox$uir42 z?lxYw__~YL*_)qZs}4@?aPy4VW)|?xt#jB`BOl(^{-5X@F|-y<3LaPxcQ*V;|90or9n#p3z5SnO*f1;YFxzK#b1wGRt5+a&kE36#+i!R%e;29N zLkpv?{nxWqXYDs!a0;!P``C0V!~M6=4Y8ry80%uSk6Oj}-+n{c8nUql+nRf~k8;u@ z0%z))>_vZV_&M8XvnP6ZQ{(C;=(E@_x<|3Dzb~M8d*@`f6nv+%xRBGkX{%e?1&Vdt zqF!566ZW`Xa+0#%VVThusBi6#h;^tSniy!X7tP9QMx?6l7*<&6a znTK8H{O6uq zm@LFqh&;^C47L6CWEmrymZ#JG$`RY-kz`}UU5-1wHgCXAx>f~XC%<{4OA$RY`{(Lg z)h~;-V^h7GZmu31!>nVqx7&w&6`eEj0<$&Raz$47`0pk53Ga%miVHp5-rb{Y@-*JC z*+a`{^R%djVi);0e{HzDIOmz4p4i1cM3I>@Te0zrgL7Pr?vXC%+&ZQ_N{OpsM4Xtp zs%B;PFTcXjr+twTYy9!iyG#?Ak>v(!ci=+Vbbua$RmOkfOd_o#>}>U(UMkt{n}5uL zRUf#n=q9!?lSEl$g*mPW)7|xkglmM@Djgq;{0oUX!OVTk`W2VcY)nX6Ap;a!9kJ&M zSIq7!opy@dH3!4ZnVBTLW+KdQ=h|B&{fc{x_+rFbSJ&6@3vAVP?y1!zqb$tYHhTS0 zJ!fqbz;O;_MMPG?|$C@6aZ2FC~(m;q=Tc5Qg zF_7G*nuK*rH~(rSqu6=iKN=WbDCd)GhOpp}Et{^AY@cR-z5InBNT=>QEcY>KZ7bYw zi_B_qzwTm&eO2zPq?Q)6p{M=^_c<0L1Q@ z#iiv|>6>DN#QJKxEYg;M+}wI>PQ}~CjnT5R z*OoARb{iT}?Di}{T*>sy2@}FO$4>`sJ$aaGw=)TI_2A!GYxt|n-~SXz{7{MOkTc0u z3NM#~i=~;jTPJSI{89eh^JTnzyKO5sn(=(y+X!2~06*8iFRcCEqlQkY5j^o?#9T`| zv-+S>?oT9!R|)R4+`0yH!ltYaezQ^I>>WlraGBy%w`78{;h66kcY8fHJ;`=O*uL4- zB)?d~R>-;IMad%h2O(P-%VoaXnfi>Mojd|uHpl|@XBT;3s}{TaEk>7q^li{)%&x&M za96yMQB_F3Rqh;`i5)I-$TI482{XbRYjof3k3g?cJn+|MqHiLn2cmsBhyMgb?I~kJuKiGTopeE10?;ElQ5`t`Q zfG7dkX;EANK@owl%MuK0tD*=Btpce+h$5SntuYW6AT9~WUX`V?B(=p25UjFX2qCQn zku@zOM6#XFf!BTC&vVVZGw<{M`%am`vD1l3&gFM}kKb_~pO0dA%kMhGjT0&A%yT3b z88t{G*`O^4Q}-|_8aWy2RL=H2)HlZS*@x858z4-B&HdaD5z~**_L6N4T8a=Xo!eUAn0yzR<7-1EG#g0`=5Ip2pfA&g{#{o`4bz!;6#DV(9v|aLl4_AKH;yPB*s;>bF7L z_-OHPf9veLY`Xuo&t|lv%?4|my!ba7@vT*bjwx?f!DF>Cf4JM;9r~zd8f?VSdZJp*@nVDpo4kp;!&nvgyu($?7)>5wn&O~-@RPyP6v}uZAZ4}G!Vab z=_rv^Ax$wgoDEv~F7Ap_l({zu>E<@ZiDp;ttFkX1>dJ+wokQC*zF2QqOm6w11O_{* zX20oAqWN454yz${Z-*fmhx31hff!3Ratwl@_7_Y?Bv%;R_q^B^iU@*X?3tT134t&T zA7g}x-uGB|DGqm3+gfpjnvhA^MBnL#I#e>W0Unz@!L(1j&TTbb6Xb7l7KWPBP!i>t*lgq(E%5q+wMTa zY!*oQ*_(=EP@8xUV0J#^2-D;rd_f@cL~%o4U-#36mY_~jm!qxHm) zT;dv5xE*djUjF`qN8f$eeh-90soh`1KzH{Y2>S)jn*fC49gl5@II`D5mhLgnb$eQy z$c9LF1FW|i+I)rqz5 zQ-7ydr~@r7X1_45Aw10gejV6}x^ikC^T6iH!*%&uPIE5r@4!NCqAiK>=gEI#;Ui{0 zgk3R1leN$XymrCDzeCebx$Q?9Hc(Ffc&%lkwS#Fa*YkFdBqJOeJf3kif6z-Wi9V#} zp!u5UzO^^OEWm_0?+uIHSvX)Ee`=ow^J`PIxn16yL!tMN=^)8Wj{xhhZpXpY^c9@e zBHTCn$|6|kiNBE!3@rnAC(_X~{&%D!R}ZCczaznF0O@EO-m`G`>m7Se^Gej2xSXFa zzl~be&^wgWun}o_ebsE|MdMG*Z^{uSVNVQpaLzKX29-LJc}%+@;%)bo3rL5=&>qXg zFygD`v{OhM1V_i&?7Oo^@+Su`kv5p_esSl57s9lev%$^6J!2QrKQRh*=g^K!Glc)g z`6w?LdR%@Ywxxk7%;hB@%e&ZsNJM?gHp{Dl$b5O?gmy@_-f|C_)yBWN^uF-S)Z5ux7*T<=F^;p` zDQPY)K#~oAA-fn_{E&{OS)Q0da9&(X+`w|}zFMx23o4C3SY9~YVF6>25T=%>U(U1y z!EWr?f2Jkx)^>0M>u%`ure``{r6U($dey zz_Fp;r>Ni$gFLL+#ztBT6Pdc~`QvFd(>F#*8BZf@zc3yD;$h_PgO8mG21wQqA{Uri zNLaJaOEp&q%;oc>y-i2T7%*577Yw7Vdo7WB0vHX51H?e?7ZSup&z~&N7j18P-m!k! zzM(+TY?xwn$6(j3&IB~mCdbdTYlZ0_%q;z7#~%Jvsd_!4pPQr+6(00tu+)g;dm!r4 zonL=NT815hES^4O8W{=ZVa(@um>y+DJM}|f`jj9{?T8HEx~+8V4C>%Sv>LWj&fTVQ~3;JaH%WLa8+Qvh_A7)r)?h3B7F?4NsOA;NcuMuzHhLS5 zchff5D)H!h4JX(kEfX?x5CT zXRCAC3C`Rwim=>8L%}z_`0BBq+wd|oX2o<)ujL^;)UXZd%y9j(Y69W9$+)T%d0|53 zV|CVD^SMcBspgx+gP}jTBjww&5vHeX6nF53#B&Ib=4dNj+Yn~7IETX!|Wa8y+8%hOm#&1}zEMS0^m;M0~&;OtG|9{s1|5^Y4XZ`=5_5c6huK%xs*!%D8 zNdL3y4>}6}S1bS!nYAzfzpVQI1)72XUiF8Ab$d9`1f~vyTjql`cZ4MZW}m+vY4f+5 z4H03f?utOc;r53reo{x;gBQU}f;PfUM2J{-wKy0Imc)SRAvEFZ_JhX(U~rGuQE!f* zJQ!+8YNhI~9xy6WJtPbMZ3^l&;#+S+SUkLco7z)#81)CZBLaOH?s)sE^EXIG??ogB z#b96%7!6pwHlTM2?lQm8MmoYviE2+#FG%WCL_fUp^4&Fi6UF#%K?G}cK#lM2bN9mF9J#c$?b+F!$UV5;>Y5dzD^>ZY`TL%0( zM!D_~5jn314^jtf0s8O=!Z*5Sv)12Lzw;0`%Ft#T9Am)yk*eE2eR!dd;uyTdRb<0I zz1~ddVHiBq-32HQ+Rt)uucresuZf%Fx-L2f#+9fU7{kOMbMqbjOoS0eU6swaXoxX5 zWK1P)-b2*(hUx!=e`S)uFn+q>7U{3|_|VMKMX?pZUm3GD3EAj6A?P0!5}4l=+ueyIN-3wDK% ze_uwdLA=v3)P%*~7RQ_KBWYe$Lz?Lxfc<2FACA~kz4`ZGcpT_k!3LrU&waGc*xiL~ z*98p^lT$XR?R1iLCW-?~%Cfo-Q$E9wa&)nFcM;pwK_lSJ9<)U74MQssTnVq3xYrJv zx52C&|7v6>HQ406?Y~Ok2ajW3Z_;bteoz}6nIwGoB}Xkdn4Id@F*MKP_Lo`s;>NSh zrofe48}#ifaCmi-_u%y=%ha898WA=d;8$SVFa(47y>_I%KkYqeIt4o77HZm)I(nzA z|AG^5@4~;=Oe4V=>Vtc}VK_b6BSw~*G_~jNq^!f-TiPRpk<>#B*z3}D)Dz*qv@sI( zQJB}RX~71oS;$}d**_CTnOYV*|0E(tG|kkek}zOvqKTB`eocJ@JmxYrsK0gdMTS}} z<|OO|5mVtlfOYRfQpIXM_w^raXk~2p^GkmX1QDaYfxH!Iqr~_dnRy=8MIG3F9-+5S ze^)Rra%YmYna~?y{jL6v4KEm92rS(6{wRaxl8T8Y_50H=x#%L?t4a*NC3-t}x02Kx zU0Y1Gv-~b1C}a9W4|uFTigDLm2HW?O!J%n{_qT-mhQE6f8M{8L+pC>SM3RwIX1<3W z&f1OWD=;XzgY0F0rw1p7W+44Z>M9roAwSUC@YVVqKIT0(Z?M65#H($8U^?K3&s%DC zc%CI`#*owe1(y(02H%+tGvM-|Kq9$HS8bhPEDZjwg5d+69r{)mo?>hmJ2PB1Qj(mkYfg!ryL#n(h zJJhy+xsPRb6yuF-5#f28TYU^3Y&O@ycSOHIdcPuW*x3tr^wEmkzeDFJ41RQyaRmQl z$0xOT<}MMQ#W-{t*;^tn#P_VX(m?4DpS*VYTHDIZGdgJ}R(FTtMU*9D1@lB_Ra4yT zfpTRw;}T*g_oEJ2eZ(tCCxVQVu*k$Cv6h(Uh(yu`ORX~og^Z1f+sp!}2%7O@20ori+yKfoV~f}V^OjJQrw6( z+@O!7_KVGTx6wNAk9Kuto}0fMAvrxUP}pRbpe^_8`RMT%1M_!?m>FPh_f;->{~4oV zA|@lgqT%!z!zDA=y9;-`(cyRS&k+vRT8J5w+lF@zpp%C88{IiY&^WN=4mwu@)5EZW zHta$D)@QaW`i;KQk0E8+G&KZXwGc}5z&gMZ0^esv)EwHL{LifvNd157sQ`ornsSwayBCkRgPdWhA3O7 zpQ>3w+RNM2tDdOi3D;y{yw`bJ5Lj+@zMF3mWF;7j3kE07PH+rvr0w0g3x?siHR*q4 zz$U^g4P20=ni%q*Z+_P+(;JHLO4j`s!-RzL(!Yez2*f09;Ob@Wr0E(y!Z36EGJIl^ ziCgd45)SwkV9GD)sWniCkj2xON3B0#hT%6J8k94Q!|5C!+f7Q=2(FV*7ff*Usve4!7PdON4uiNE?2{eZBQlDSns~@DA6V zq#KcJciN201C+re z!}<{XoeOWjMp*nFWYQ@Y9@e}`536xHp?pg<$@HE^g}#1}#^v7#JrOSFnU8ez&Y~P| zy+Ic0?7YCJ4Af6|@Y%2N&?$a>AH%a*o$vcQv3=IWf}|!_Q(1Xu!S}pO#>6z285~1l zh-!O~u&r>%-|rb!lhi_dub9^`)NQgBK_a1MYDoVzgCF(F)ziPP$t2)X#{7cHLP&?XuUJH@)#ZAJ_4n81NjGrlF3;J@;(Q z_y-yH4b*I#JeY$PnlB#Up6G8+&?E|{@J|eg70vi4@BNz6O<%45)v5b;d}bw1E1(A7 z?Xp|Ph=SvoT~3`a*gGStS~}1Y2ydjFS-#L0vhfH^Ly{ERKcT&LiPdNk33cq zY5O)K)2uBb-1B7+MXXkj9Uz?;G|k#*nssasPH1sPgJ@tyuCjHpP~fk6b~t6|9cVs@PdElD%bRT1n_6EIu`;s`d{HC*66;jzLh+<6q8}O0yxwP6xy5o= z>um}CM|J$$M{ha03)vMg{By_Kr?z}@dzeFDO(c}Yb9T^9kG3GLZzx8HGVzj1QtCiV z+2u2Dc-IV~gS2pO4GZ4bT|(Hu`EtISQldpfV|eZh94(&xHLmumzSVv$XP0jr3rM?q zv+2IhM=;MBo4rsMy1zBi3jQXCM~Xpd;)w|aty5fXiaSojY~ywJFAhYhX z(9~t%v~9kyJz=7CT?;>Kn~c_7wZv@0-}BaLI+*Ha%pqA@*?ghlTBP+Xn405help7| zT}x1(bCYP2cFox=N8R~7$!ZyX%jOh8OZ>Z~QDqF4r1@Iop3{*M0!| z)A%~U!qi7Q?1!0jnu`-+@HD|G(-Pm4q)xIprfaMD%_d_G;c)pQi)?z5Q%dUDAVT~! z;dO*zl1l}4UjpG`f+6m;t=qVr8#<3gU44wbEcsW-{klM#EvM0@YgIU8+>PN;7WWMH&|B!v^V zvcD_c#nDYREY8$s##<6z;r0a}9JbP9zKYuhzv8WvcEYkU4UHjb^2~xaT@KPNV-!zE z5Yp;jlxR0RPj$QZ7sp&3Kb!-nbSv<0)3PJC6Azw>bH%^sjDLZDuXng~7aupL6UNiF zSdI(Q-&}Fr=cMWFbs9GcR+wd1$PZELw)V%9%rbNix4!a88ZOxUdfi@F;a|Z?p5}`T zHP<7?tlZ1%f_A66dt7bSBfKYRy*BO8KscW~%`kDXtNhxwF9Md5rGs0Sc^e}vs2D;; zaqOm}+xM?49oj<=^3nR*cGewEZouN7`%KtapAEM7&MrM`x9PfWSA5T~OW>wmLF>jC zXBUij{BkdvnK}P8nV8yWy^N)-%IDTC1y|$8U1MJEuw+Lb(7}#h+{T1+2MiN6bha|I zb94`Osa2+UtTJ4W>|kK=hE?G@#65@bqbLtsL}H$ww@%>sxB^sC#QL*4BsxYOtOXMZl)w+s`-F42o~zzkct6Bm6QkXxswU?$buE%Y)@vS1|L9a?y^lc<(uy=#nH zsi|{yX>WFF-PGgMzQ(^k!z?@YsiYUz7OhA81)<#d;hv@1Wn+f}eRg`Id-1OwOw%qe zyn$aaEY4Ew*ZV68-^2MU-tD>B*N+=6o?1u9Nb=T4-%UNHMu{jmhI*P6h|xD5!F5M? z+)uPmJ+MWkzf1GtejmO2=DRNtPg>~v@5eniv%6m@d#U>`&DYr$PxOzc6j0{50h52< z;(&_>?!6})>5yKNdb*j7PJ4;K-PUIad_Eo;+xDKJ^Znh>s%I$uTAln;ftm$hVU0^u z^7NlLco~&mGn^D-e!9!`M&4<3*z~jh(@okyJiUe`)Y!DPdiT%IKS$u7Bk<1=`2TnW z{=NSH?-yxQtq((jO{3fXyk>1k;WTjmXrjo%!qj6)8`-^G0Qvds64d_6xIL`sV(g%_ z$`}yr1C-x#)^k36I2F=bEvXAd^BKx-3m%*+`m?JFC*yMy*6(f)1rXL5!rdm-trp7P{`&F=an}g-^G)XYOV9i-SF1dlG=A&`S*k;`}$NBZ6yuuyHrPN z6oKaeNJJv5)=63}7;UP;*A1}JQfjI(@_khpWd{d6=X49uhA7Jmrb9RCbN5aCCaFmI zT1ETtdTo0vJ6>A4q9c-r*dPS6jUU1}s1{X}y^!;|LaNi$*w%G%wL8N}s0bEPxSESr zR0%=J=5ST7z;?Q6Rk&p&=ZoojZ{DNkD++3v81EXXIsyVi-JDoVkjysx{)pB4hOG>H zP`No=*$xgg4I-c6U>Dm%!(hq}^`yDz!Y|oiXRh;#n4{@*j%QrfnJIfX;t!B}4Sqa; zg*>7RQ|<1<)2COoYMj~p>^zee)qK5=AQtdf-nD#Bh9WtdydEWm+Fph0Xl3R%RHJ9# zm1c+^PZYHa`|yFZF8-zUzT$7E2Ypxjx!AK(Ks1`q+d7ym)v9ZhRGe5XxKi7%Jd!qC zu~8-*FCGxasUnv~{N4(aC4`x|hZ7&mSG4QE{w%f1_GvP1Bw z5P39rOGl4bdu*fyaAw|BVTB{pS)Nb3mSfpU@-aQd-G!zGMX*wMz7MD3FIQvVgz*4+ zrT9u{Y9=@#sw3jzYI(b`?fV$PN#&8I<+dwPbRHD7(zr|76bf6kv#-L23m-$|`sb%p z`Tgj}^gt+_CvbrzU{m>MA5Jb)Ku4NOE$Fk>Qt@277-!e3q}1Ttc#4UFLE!)(4_UYI z+eK|{SEYbKpY>@Y_prT$7&*no%H{LQh^VUKLb+|Vrl3Me5zXg$k3(syh=kOF+-Q}A zAZvG#Xs4!<7w_~lUk(f61XmxwgJLFC6mDCQc7!Co?fcrE;=8V7W#2o1WD&;o%}xvR zuG^=IDp?gaQUdt?5pDg?PYsDA1o_-pxudjFs#P0ccvHm1iaIh>l(?!(tI`#%P+=K3 zAd>?r)KTUOdAf5~R%ZDIRe!dZkUq`9HjSyGrda#fO^WftAv$|)FZK_K(zt4k7Tyjh z@-Y`zOAo1jdw%ejMFj`jqKK$)@*SKXiR;&_v9bp&l@b4z+5iuW)LYG07VY>PY(^^B zGupu;DI!#vC`Xkf**O-+)sQ@P z(Umnsz>$U0m;BnKkS^OArj>nD{cNgmYND`3)DMNkseEgNtQT$&U=-UgfA}uBN~1xx z(y;AZX_s{vZ-Rf>ZlQ0mrLnj2)P<3St}OOjAh%F)v5iht-utv6|Bl8-?b=8CZ~z+1 z!JY-XY(tt96v1j$&GhU!h#aG$$fezXjtMDZLR0P9omB}1$rc)XmX)_uVdIb={d~R8 z0^|%X&9LZU1*N@nxSUFp1Kz5V(%hXm-QRNcLNwJ}b%ZLsB3u=mdCKhMr4CPWd5?xaWfYnC8Z+AJA84mtA36~Q;iqOJ9^lxN(Cj>X>5fMYYUO* z%3ID(vro}#6Y1T@#)_}j0xTCbvkRt@@_Ky*B2~!4YKH)6`h3y?)GsACg|o7!MUZku zD?D5TMVyC<{!rZ1404I8i2AI{Zx^vk%h&~j>5aY0@RXSYQ@7oH|J^% z35}wP>S_BvWM~zlOs%l~wxaPT3P)7$x-QSAR<>Q4o8V`;oyonxr%S?N%CssCo@hyU z#y7Lgsi}Pz6gHZ@Wp2D62Pi`{XtPd%P(*rLK_h*x;yQJrEO}K5iic#Co7Awxtc#2t zF1k|F_^zC4CC}Z`G@AiYR+__?hRrU-mReyHgFlA#1O8-7d&)3)4?D@BXQRdWYu~(= z!s}zz1)lXy<3!91s3@@u&)TbH3(Z%3Q>o|KHy%mPrK(!KpY3U4C-N6Pqg8-UHpORC z0YNNUctaJY5b>z=F=fO|r($e;wfs~Iw8m~550itNx2r(=ZR+`ge3rbm@_NEl%UD=r z$G$H5aQQ~wqwJ3>Ldpt3wFFUGRKazb&QtvY>4yP4zy8@D)HF_Qg9r=_VSV4wW_vjr z0B{T{@C8D$yW{h{;n*y>8c~Dg({7AfP59%s|pTQs#XZIv&s)`)L}tU4Y;|o z-&dqd-l-0!N#u*yrt5RO$>z}4;GzjHW!_QFEuLK=q(<^Czbr#6iG~+MbFCGull6UU zX8@rAcS9jd)gfda{ z`UPSC-&l7IxSATa6ubuie{SH8?Y#~*ZiD^X0Ly{;Z>swh7!IKe@Eki4+6t1oHhjAp z(g|$f|2LHw?Z*ZPqhJIZlHpuF!#VJ7YjFxN+I74Q*O^A>9l}jgXV+iKv;r(6fnhhx zRy|^4d9d}=mU5Y0)rcL68tmlbwrkc#z5S!qMxE=M9KZ@Od`hb!V=s7^Oh?{WAC=-{ zpf2z`J`;{3+aT%H;b=(PWIEA%qhyRb$%1k*XMoQf_p45DJ_Pm*~XKbl#PS@k0zK%8;!Hp6zA! zDk`dQi>@`wD8cbpoQR5gKe=;sC?fT!G6bZtG!DI@AWtre0^~|ANMqE2x{*3Ax^Bm0 z`5YJ4*sn^d0EYr#SjsoGwHgotnAS6iH**p6x!6fBEL_%SFVgjJz_*xs__% zRM8pdbJ?Wmx<1?@3lJ`kKV+&2YN~Ovvnn!3W;+1NP%@hqPpv{j{PJSJ^dApP2I#`7 zFC@IyEA2w5Mid}W!~&MsLKSwk-A@(PsPdBm<3zNX(m%F4maANqv`;gd z4Mw)10h~0l`Kn5EnuDc=RW|-&H53Y?je-v|iTup76at>*G(Y=Mp2;wqqp_gsfsPEf zwtTN@6Km0gWlG99DUJ%s2X9d9`BW9DP}=MZKyF(c#pUj<9k>lbH>353{`# z)W&MG=*{@HHO_?dF(^zrWgDAElFBCv9w)g0(i=x}wa%gHb@g`0YE|hE=$3#iNsFGy zIX|}y`Nb(H4gI;(??#^f&B6LkXA8SJqMNT#S>wf}9d&1*$eHmn=j6gGLOv8}o1C*1 zlGpnJya^$8WEzb5EX47)!j6swC{i-Pw47`26YD|L39iOsdpAVcD0%8z$#LK*Ug4Bs z6UqoX=PJ!u{#4G~a^5U?s8Sj6sIA}}6sd|@%H9!6qZD76UQDR_{^$+fv&B{@va4*X ziZ)&dnC}|O#$|psYx>elMaWwLS4Z`~2$y zAyFGgBR~>friyZS)(%ZH`qV6T3X?{2w$4mQ*QF^b%)dMAtSeacy3NN!VnD%lrAr8q zh<~|lCC9rbwc_p_dVTiYbJKlVD(7U5hLpalqRcfEBmg|*RhBFOFclS)`ROm#prZ@2 zW!~j$&z#yOSZel?IWD$#jmxJ9Xqa0n$QJ_ewnnOKafN;TD9?vYk1cyC0Ley?y(MFs z`H~Mg^ZRgv!%)lP+X|*v;+aOR0LZQ7~41pD0^vD91Sa9h?3rRrIDTv9qDQ-NNpEN2=Ag< zONI1|f;>U=XJ)E`&H7g-Nz~tZe^o3<(Ogi53|z3d^*H>bza!1prnAedfYxTo;gvNUkkm;CZ`qWFD5%r zG9X@Ip1fB@2?q(zX`uOg;K{+ZUx-vit~Nh={74bBEVMnkLrGMODkB;KP8JA6QUX_2 z4@TqcKwd}~Y^1BXpgmE}d?_6PR;1p7JFXSE-T-O>{-a8R1qts8K6NhD`1HeR$!qsk z{R|C6l-OCrg(hk`gd^(jL`NmEm ztzoo`viM*sxY~B5)?QwG_lS~G*R_V=6%$$-6Fc~qo7=96!2NsKsq0w>YqqWEic>gk zZl2%Jj|-u$1?QXo-}he;F87^Clup&pOgApESw#v8tH0E;F`AaH4DTPA?-2lGCwHZJ z-S}!Bjx}qQT>i}%%W^?B(mk6#RIX|(eHlsXDtjxCMfalt88-GJFl|B0wAfJgXN0S z6WP}%#t(D(4IGVL>Pg<^mWL88DlM+-;xc=~+cnx`U6Zo0FtAMEmQH8AR4P|EwNsFD z<`M_{_)KT!0zU_U|H7l^#0GT>1LsdFBI~*GF+nL5+0eCOs)%g#ZIgp!cy1!cyJKzz zqAZP7Y1GWV6DC)V2M^WRFN?LQWBjZKlP`VJvbXZa_`QH2+K=NV)OBT-7ok&Cw*6Y4 zNu0fd5Bt}yDOqDZGp$qlV1eH$ERsmm0hSed2t_WXO-pBYOEiYqP~^+Ts5EaiFM42ddERmgf&QQ>9X z33KmSuO^PLlwp5YZluNzb2Mf|3;pLK(i;DoQ$$ zZH1^1cvv*J@OXK84|`GjOUz z5X2rm0jy4+w#Mcw)LOtVUQ1wZ8&ZdF$og>33DPQpvQKAdPE3FTIIs~(W1|OvJPooT z{|%d1VarX)bK`l=%guShZvnr0>esDDr1Fb z`Ud~57(BcRLYMZ4gEFe1x{7n@ZI%8IJHj(G%8eEAX1u|tE89!N-%*XF51obL<_pRy zkukzcfKRWfdIx$ssi)IJiVCG;9F2CnYpNp+J_`Wqr77ksqrO|;9F!1Jd_{7jyk}oI zqC&E6b#{V_8XN+W1jFHxneq8J>LM3Y3&O~jyJz_}lCklk#l~(W*?_4|Ju}%ackbnA zh9`r8LD)=@5@hmKiQsC#gb+?&;9ws26zvI#Ys-~o1`gob!0cRnZkW<~Wzh}%YmndU z?mkUvco{??`$e9t)|lhbl$7bRmrXq33V|v)*{>mAo9Qd~{7VDk#p zn9x{3-YRfRR%0frumkd&aesG;$+4AUyo#6B?3>)OYgNcWr_#U+q3~;+YHgyvR~jP= zDzf$1^HX#R7sG=bI4}5BC{afn|Lz+W2MNLjm^F>e@M{NDq5^9-_2y& zx8;XH9V@5~C7|=CZiIq)8ylX?i}x>-Qd!Vgo+mvvO_-?2F;a>KN!38#P>?q?#Lhh= zm5l+o+e&5hY8&TB53r4XaWY zK7#^t3#n{Hhf$X1?#+dVUKF#OXT$*gT5PZTa zmA7mmZ;A@KYavnqI!o?8RSH~*SgA6#wfbbw{Cj6=3}B3k*5sgK2fnlOuotZjNaa4e z3O+QBtm$fxdj7)X=^lP9)YX_Y zzEGH}Y_IE^)EpjB)(M%CvjIkR&bVPzO&qo}VrS6vU^B(17Vi&o0pfKjYY%e{Ik^ofLqc1^(6nxh1FMu@baGra=9_U3wJU3^RqzZjW(7WkW+R49@@Zysgogooe#@rC z)n`-dq3*t==*Y>JO-&2J`0uifW;%=UF~a1|XVZWsh#a7WM=C<*mY|=>eCAVVtvedr zsUSh^{9eFV45hBMtNFPcsi^-5tu}#QTsg`wXMa`>jg4QKfQF98Ug(8q$;$H-qDX45@a^z|NVZw)7sTHR{vp)eiE2iA-;m2 zxzq~z${HFxL&D|!Owqns$r}?D*F z1Kn$8IKo;R!4mvx|7RPa8DWaDUo*q)VWRZl>%M}m^$&)-p#HbXO5UaQD*l9~t(i@<$JFseaFLJ024 zX1SI-&Smi?;C+$}w?Q&Pet=#E-Ak&M!L@<$?SbA{_}eFcXeI?}it$&BY%$6QGK`Le zO~voTO+|Ip>hc<(xEN~rUZAs)J65oHrv768m!TshFlSIXORBJ`qPtI`>JI=$KD<$- z3)FDO#_mpFFD#E|1J>_c6Bi0HVv{qlBU~{Ya&DAVBgYkf)CCSg))Wa*G7Rr*D{H77 zsID4OE=rK1awup^*-}fcBJ~Crt$O!t3z$$M8sBk|E2+EQ_y-P_(EyBd4wSZ#+0X#7 z;$TIXdSm8Bzy$nkmm8H}YUd{UkR#ELmZ}k*g*j~^A1JC-e5N1rTT@hWRpnr6Y}_VO zGM_1_AHdYY*}fW;I+PBD0vFr>J(+*;QLgbh;NSwnD=vm6T$uRR%mf#GP7>K^HwK7= zG(ebqBt0{wB6W03CNvLDH!P__N}tA0(+9*Fz{kY_IMRM7cv9t4BR^A(3}2HokUuJe z>CVzx8ef>-rjmDYP@&<#D);BcoaYsaZ1cCQ@l2r9Kr6tV{wT*#3I>YlTIb$!tMvZr zjt;Tm>6N_B8X*v-(WEhokoNh46KT)I9CXCVQgfCFcy^|s7q-d5Q31H1S+%?+_Da7< zVKN0YPpB?7a|>M+(p4TQT|5m$ZY)&@3&@ZAQ~7|wTsOM{RG7JeL#l;F&mjQc3>*Vx zAT_4baHbcya;eHOHglQh(aTqmL?Q*>d_-!%PfyG?60R2JOv&Vc#7m_C!H#|1(9(E% zKe|Ew2pEp4Qj}}*MI~+GdqHP4DmXe)f~~9T;UWX0sXSHCntghx_Dsh-FEd1@+7FDG zsHR7+Futkb@=i(m^3zlN!Ru`P@}So_pdSgb7bpTLjh@rBJD{+~DnI#~P*ik_s8R(vb>a~=Lx-y9Tzs24D#kXbT=?`g=aaA>Er?TvSql`N6u@v6btv=v zL#w8Km9JTGvgva+E9h7jpT?dq8cGWvYv4WZsX`^CRwypV)p1dw5Sz`^j}<3Qt4K|} zhY#Z_3)*NM4~6l7K|Hbu+}9z1uZd)&UC+CLbDIzgam5>fTmK0Od0hrp9 z>_G00qCAL|_q?m8B^OfC+93a98=m2T5ew=W?sjb8cZo6Mv^6K5A>h?}&xlR}xl!rZ zuko`VqoNuG91Req_!k!z>ID(B(eW!C{Ah^WT6|Q|e?K_(JO{nnnzh?1O#zm9z*&}p zBG@$FIx-e(5A1kQ;Z$=z@EsK7^a9)KD>Q2ngqw)u{v*o8HQ`s&p(YVff;mAW+aWLc zxLKdGNEm2JGx*Z_3Pl2|zuG@-RjI9c8$l#|+BAHL+B1IoMW&sQ=>A)$=%Kd&yQ8W}oo2qq$I){Ib z6o5;DDw_kh=5ZjBY)|1L1Z(kTxhQXq96M8+_&-89U@Sr&2ZdPYKj#YovizSyIe=G& zTMeRZXA!~P0I!U?P>t{_0&L|s=)fjKxpTy41ih52my!P2Ewou}(+q)SaLL|EqIapr zxW`ZxZ1@_WmrG){HO$)JFI?GJw}ZRc=3t85yV~8sv3i#Pi{A#{fw;lN(e|BTx^}NzfKv~c3GBrt_n^C^2a5^nzo!I8ZX;(u?IRN=7u7yETNDs z|z6(_Ep}NeTA8pp-_J6<-$)dJPMvILOCfY7s&aCpytiKdBBw11C+;M4s_% zo47_%A;C7uYW=xz2_GnS0iU)t8vthcnJc-5i&8G?Ej6Hv+ zcC2G!4yxhN4HWXaAamcY{(C-Kfkns7t0J}HGNF`N~f`uaRxp-@s_0(_*h}Db5 z(&R!%5Xjd;cNL14{uY{JfvVcn1YBOFs{Jb33L3be{DISRs;`>+Zxws=4JdG21v1U_ zi#aE1|<}3U2`=?+{yFy8t-ysbKh%EG7V_J7qj^re5V6O08_pO9q`{WoSh@ zAP9#KNr$U|cXFQ1994!?x3=cchRmVhIo7k0@U4SZ@Uh#DPDX^{VUh1b6^?sTw1;8ul#_+pJ| zRo(pTkJEi7E&YRD1N1hC{GEQov`8UEtjHUaT2%eYkar*^aCyM{EDQt8;gHpRTx1w{ zh$Kb2^~mAd-uWD6LUt5MNFJ>fq$?sqPFth{Ba zNdZ*38x~ib(z7>rB!a4WE|zsO8v46C+6r*K^D1UVpvI00Pqire!+S=i1o@@F&U+pN z`ee6)907HegPduyy2YmflKo6a!_-S3XjB!}(;XiYyHf87(lNl6httM@!hxSg2C<@H zt`#C57k>#gCd#E)YHZ6Il%MYdhO%+Lrn!fL{C>%KHgmUqwvmLpCZmiLVv}Tc$YPoPV-ErRX5xpGYVVPb|jiH4-&G2cp zUIzc(sts&Jk3LdO1OgF|p##jJ|84~xGfF%rIk8)IJTJfo0ab*nCy+LlUtTpTZ^-kL z4FQ0D$YK>Lk)y*!X=V`l7ow}SLNg|6jbH7VTW-X9&hF;u4bf`>XS{f&nqV1H4F@yKND?r}rx$*|mWqQEkt6k< zV1(1;)$QWIQ>70i)z~gBf-8@O$S#`)-?c!bxy(RbAD9XUxo&C;Ru)}}>nA);7~%!1uuA=pbQ1j5|KNhnrHNgQ|{PoJ^T;6DPVFShOb;pb(dfiN&&s{#y} zA_-D%7pn?74|%l_P;B7vq?_#FRPRbSXpf}l z7((gIxhj#37%K@&uBx5NSV5<*Wi0-(g8XJ`^7vGLKPF59lDLvQTs7S*Uzo@r6pE@4 zjr=u3W7)AVRYUHfFePx5{}&FucX(@0tg3hzFu^&<3<&hn?UleXQKi1f022-WM-q%u z@DyZMI&c>A7wO5er^A4*57ywq%EU?i9Bn6RW}{bKM+Fp;ZO9h6fQbS9WHqWm_Kr_? zOIDH|%Nhh%#?7Fkfy&UxX9KB&Hn&h{$sOv#~IAqSNd)&jznSVaP{zJ)jY zu%L2aOoCZ;^MyiI`W8Btb3F#aPVz7APy{ZjJb4P{Q{a*tKsU*p<~sj6owHd)cdI`H zQHO>3kAal8veN@vtY6%8E^L*b2~LJIxh;|e1{2}nbRY=Q3?xb5R z3-a$(9SY@3up^DL^Gnk}WYEx@=9nT%rJFh_oojmrh+(gro~47-2dT@=}V86abgu=aG!-*=HpJ_CY1M zCW|*b%Ls2R|JjP#(5K$fBRt8@Hfrf_-qi%25#ypB&pD4lN75UEri8`OsuaE$-8ccJ zb$Q0Nfg@f*5GKKcH*=upL<5KKRV8&!oW}3sW-g1-642YPXEOtbLD)*DYjaqREY6v! zYaK7|D$eez?Vr57G|`DgFT~zi|D64B# zgbYt9NmEekhlQl++(X@Sn+A`8ip`VF&}z zi8uJ%iQf)7@t~!_Q42-~#{NI4ofdu98W^A<&())gB0%jm`qV{K5FwRJ?56;o4Sz+o zA5`o1zZiS-uqN+(ZMcqGEH0XYvCBfIbu-lm?e| zxCS%dx$Kxyvci$;YPqh&-)0ixzVkO|R zuPcX}ul@?K(&BseGB*GX*Ibmv;EeYi3@s%s;t9 z#s?-;Q4_8!9xHa?W_-6Um`heDqrLuN@sr0Ky`1G|hUS?>>HXG97k{j&&XT~y6Ubgz zNQC1U@pa1RNaA4li0%SC3{ACz57YWU*~jRpPp^5(=j}pAsHXY~2nEOB$FYeDn^D#} z^U|Fn$NN~%1!+=3??I6a6Ru_Q-fJE1#pvDh0tt5E4pI6rH*}&~n5M6{GYweivkWKv zJ-vqViU;hfMf}nK(W9D~OyjLkbT%Il($Kx)N7M{7k5w)r&PUI;R>22QurY0UlC0fD zWuHQwFHAyAb9PV1zBy^@-NiSZ?cg~2P%4@IXuS2tthKeO76#K%-B6;ET;ffZTPPhc z4n#Z~ZEz%DY)LwOI5?qinC+&>tg6AL%iVZ?Lz1HkP6W3lF@w&|?LY6gDx=2GxJA@E zQ@@$Ye-P7aKo6A5C=3(YOu}pQ;Vxn1UI{6Y-5}v%B$=@uK>81Q9eA=6XWm$7>W6VB_X z!`%!^3k6=zmT7Af5J%sBM-s8poFe8Z^9%GJV@>sgVM-BIX$QzZ+}J6Sjy=U9Hk9#1 zoJ{$89>nB0@bcSS%od$37;FEzwzgvQAm0pkDI;*wO{GYTk3DbXHol=Z0%rsSka3%(lXFNHx@wZDBGzyHGw#hp4+PV_LnOtL2id@ zpu5~Aj}q~9bS6ZjBy#k!*1eI=&mTsV?7{Ayv5@r1nZdJ-7nqdp0S$ultOla5JdiIh z8RWcgVy(GtnQ z0;~l!bU|hq0QhYN!D6#xn;o97>BtzIZ@fq@2D%#nFyt)I*>3#qd#M~4P9#7Vk7O;s znI#lakjep-(oPjJ^0sHsm%~8MYDcT$=)ibqB)>fBcpEqjqiXdDN81pUa=<$)M@9t zo^4+Fr}goy%UeLambCH3KklwtD^3nmJC_WsYnQ+N-sbk~H-Db|t$7D~XRJZK`zQOx zigvO1l8ql0Z9_qC4m%Yhs8D6-2$^~9Be9=n$X;s9>qLAw5Rb%Pd%mDCPDTFQ9|*Gf zVcU{V2_@@s`}@J`D0kz{*83p8-Vu#YLw8}7FN27^07UGm*bCjjOmAor7uP4v7C@L< zw=%B#4m!5JCB3Bi%}5%tf}%8vn4ylNIzSp2jOQ}-dtVliKY>}oLKt2wooSnAf><7a zCw!Sf1Cc=E(DQ_%DPB9BHFu|k(lX?>E~tto0-PUhq54a2?Sv3J}YpFY=i2N9x$Q>J+o*gZ4E{q*sOyPCaZ88u~ zUM2W2@}aS_s%V^7LuU`sy*7;eWB|LRug}KtlH}yNjm}6QwmY($7mO{`@7NY1Vj#Wt z;2&H~z57H!Sj;pJhbl(hZovAn^8jfFW*Y0x@&{mw=nc0zf6DG^TVEKX8 z0GpInbbH#ErCb8yDd7M!4HRgaF81B~id!@CizzEpyy0*@qBZSzeoP*~ZjF9bWG{jI z^7{A?z#OfQPacaXqq;oh0QN(r3gYP(6NP^KJsCs!-Yr%GgFqlY9g68T5cKiZ{*Qa- z=|h#My}$k_IufF?2KD_7a}0wrtx3#4$2$Wjt^MM8{BsyYPL$O@4EA)!O6iyV_{{ZF z_97~K@XhAm@+FNoYm*ewhyoVS$wuuNl zmxxoSLny~=x;OyefO9GypR}pi$@YQC35gkM9+#qlCxB!m!aq6)7f65(_wvayU9BzRR}paGgSzfz!0pQL)* z$DB1NyOv>N%@<#Wkg_bnv~+M@_hTGQ!NUV7d_a_uKlcpx*M+KT=;K3y*f~a{&35}- z=vXm%SX1ilZwNs+TNZN`NU-zxc7UY_)ZN*iTMkTMrVBwxCXYth_AyNO<=O|I>9uKu zwoPmnO#9{(>^wW~QQTmWWex`(wR-X7sQX?iiat4Kd33 z4<(}?5ewK^MKq7Yye9Zoza2V-UJHm>$!xB=ueI}>v#@%g!Ef=w>6YW(tP=WXPuf9@ zcEnk~d!SjoU;t3hse&`!gr^^cG04ej%@m;SO}!SA zFruBBjtCw^3G6Tn*Ee1|CDoJ@gBGw2q_R$Gi63TRx`ryFF(HFK&SYhxj$)D@Y7~3+ z(#NN6mv{{Y1Y3j!V);hnul_bg6hJ}Gf`Y#O{~j7%rb-m6Q;@ z_4-0|`m#*c2}2c2afpmkOtb%ElogzYWIz}g=CEX-egPfw8d2(sWPUG0b}+$!J5ETq zBA+^VgrqKk=H<_&>4)P>(@$@e7W~xm=p7;cM}o6tn#1zGyiRs$s%xXH;h>N@9}6!( z+n+}Mt5>xI`wXUuK_XV5;4D?Y2>AKqnL`@E>plDNK}D1?4`jXTwUyY>$dQ%?!U!6{ zB(5?Fe{7P=mlY73gFtd9iD;+>Q<87f@T<;>_Pf)y@X~hTy$&*kuYsUFA)#PFOxmFn z8^<2$#tw~AzEIQ$)|2(c(2vXsQbe#se|xgw8o&X*)}P)sgJhP_lPnElSSzS)M~V>uP&8@$!Rl^uBSF zTeoQeS42OqDrpRtFqEmF82jMmWa}$tYfKvqA9AMZHmYiIWsIE?ns$UV2j`r{uFGzW z8OV=4{hBU=LrW@;9`N>z6np`H+vZfF+J7o66oq0b!FX`F$55zX73x9#P=7j^C~i0~ z;CmBgj@P%Te8lAC5D0YHQ(BN7qy|oT$<|Z+5^Id2TPJHR0nq?p6)7cctZOrBJBB`+ zx`%m%MbHH8hIh&-V!CZQh3~A<48Lt``uH^SQ;Rop7#f+5^af%pgPnBtYvfUWk>=pE zaVM}8x(12bKO2l2t%^Y8s~5^(k$HbNkO&Yp2s1Q()5RcQmB?dt`!CI3_twI{f&(%= zhMD$H=32J7J+FiMp+26T9X(v_WSCfWf)`3TX8@)KPxmC5pMIax0JVK=f{A7|wRVyf z&Gpk*V0PU?lUO5+i1)+64h+mWJ!I8+G&7*O$k7}!VrDw4wb?GxfI5ZUR#_OHb6&Rb z3Yj`E^7hz*I0mM=Bhfqpq_1#0v+TJKtG+S$v)bwo1Q&ddM`AJyYbG!I{pWJg5g1Mw z>!;=@AWTeTR<#@Q_)ywvB=$6$6-ik_I7R?z{U)HL)9!0FptQzaXPgZ@+eho z)F!(TFMRR#d#=0h4x?iHVgdT1ffxpLfE)vJ*MKoWlkhsx%c0!KP%>BAm?1Z+ot{<*%jn1sb3>%m$y? z0G444+TIRd8~+E|erA0y>lOAt$=O?2uhcDh<8Shg7hpvs>MZ<;_m9N?Pslv-u_)sCB}o$V>&t`I$Z^-#7fppDXbO#4$!uJ1uj{!$MU;x z*PMU2<|3ikics^yR#fz(pYeP5h%jbKeh8|x>U4t9UUHf8aHISV)zYkCI`yrU^elzau$?_g}o*Q-ZXi-O^Fgq@YM5Pm$=NqRdG{qndel65zJ z;sgAwe6qnm`5=bch6)DaWivmYF6gi4OXL7&Uso>U3a+8ljnkLrd+JV0zBesA8)7*# z(IHq=2%a3Nnb( zCAx-L4Krb{i}$*;U*#?Kr(SOd+~cxdVzi>#O&+A-ZOjnVbZk0Jb0D5{!IFP!+|pm? z+f@o-!^nt^m_jE=P&jl)3ek~Hhua6yopaDu$k}=B(eHYPpA0>67UNfdPffN)Q_yms z50p#kqd+?}Oa1cTgbKhyRK7K<)@*j_efYgaF`8mYS^{&F4h!z;aIoTmue0= zE#-ek8SnyI$&_J+tdMxUw*UiDnU9;_ph?1oiTdgz!5ob5Wz;sH7J;_G?}cM)YVx1W z*saMcd^$zRdNaP}qHJgjDkf|i$j*UhuKM1HlkdVv`D@nZtWnLFZ*sn9Np4C?uox?usLL(!Tc{A3HD zXpg>O0m^Y>9mf2i?Xu5<_sNvf#f(_LMGN$Zb1d-0XKMV>98~ebh=rmvTYC=j7xi+6 zY#e3{Yz@3HI3 z5K%a$Yv_%X!W}mY6#~$(eHvtWY_ir}3&8l6-8&hKkkbo(2E202=-9k@KW>I}*Kj$q z2-|MIJ8KAm^r*0EVy3MoVvQzIbtuM=1nn`I;x#})i-s@w??0Je;z{Yi7_enoCh-P4 z9O_(VRtf4k9D3zNP%P|ekN78pF@7M{m=PeLh$^1}(r4$CGcEJ?hIczFpa#=8Cdv;< z3kLN{HrFwJb>{=u<#G;HfeCD`bh>aV7;RL8)Mu>B_QY=BL4U#ry^I>U5a$U>r-xma z6YK!IU#`XY!B2@8z%O$48O{rT=S;w}HR>Gvw40t`s0~J3$6B&a z0hY420Zh(gB}H%j2X)=Ipsrgs$NJW(UJV27RR_jie70-N8(Ay%{KfX*dEJIJTIUN5 z8R0bzidFk}cP)Kq#fOhJ-s7v+Uf{0UQy5s-!SbvXZC%&#diull(S_Sy)UFl#96Oc( zWzAu$vioTJj-^fohjpqe^QQtf#wq{3BpuO76r=#Zf;6Y7bP_-A?<7kD&-JpFKmD)W zoXHNmdSq=8Dt#w@!d^FT;D$C)U5a%+IVz3s_;KCWs9U*-7IIZ99a07m*Jp*qDS{Hk zvzGE#5g0NIH>8Mu6H+}l)x4+cbwvyZYdh~2@&T)P->fG(N(Ch#=`*CE9P7*n+LX*7 z2yM^bS4GcJFeZ3fx7jViZL-G*d=WL+&5Q^;dI;Z)u0H5wc+!7iW=1|!;oZmb7ns`VT6 zq7Vc{51O0n#wfl}+%c|ml!A03%Vavhcemd$dmmF-!&9)&ywBg5P^J`4;R^O+0ym-@ z(%R{$Z7lHnO#nrCuzY8#i=WINfkobVTMW!>a^&m)`#tjyZVXZF zEn-@TLb0RH5={7j%*;?Jmw?V#&;l$?k{_!M!m=~FTl=8#j1i^y^tKpaz#0w^hJB3g z1jKn*AAS-FlG(bbU+e#50hn$Qm%&KzH5-e=@I@1oJP}mj=x(D;EOPm6>}fxtjLJeV z@|yF%bQB(eV@yuB9hf8+@H7b3%5Jo*ZTwB)tElnUbHQ;?=b%|C>ue2~GVAN!DQAJW z7$C3fqzDP+%30sMy*v{j+p6Kg)F&Q-fL^s)XC!fQKSVXF9GM^V}taE!osmU#B z{1~>>^$kE|kHkof+tXZMwppXimfaPYXpqbZ_x1BcIHafFUt|a1WHD-Q;isF$UgXWrRTV-Jdkv)p zYwd?6z9H;_+a%fxOo+$~@z=}+sO(@H%AAF7 ztfa+#{v?RfE~tV@k@I9}*^?J=!!9%uJz3c1VsYOdRzg1s=g7*he)~l0t@>rtzi?)z z2CA_KTzopxgt8jq&DxpKuRxumV1p78?t^sUglT^7hK=4?-r0fKlSm`HfwGCXDsR{Z z2W5eMC(8HZ>GQmtkh(kBjkqYg%0tkp;R=+d(2_h&G19uIl^nsFA~@S5OsvNlK!t?%|~(Yi#>JP4DQlJcNBJW@XbA4Au}Lf{`eMe-p4q4Fo{X2DKIXccyj%O@ zoQUP(2OoZ=KhK{6yozqoIjwXCO=%8h7Xl;D*LJrWHih7Sph9%)DSa3ZMRWNz=s3jI z6QaSMhs<+ox5Mx`aJo`w;wOooei3YwT~CI?A0Jn+Bia&v)8x;OLWcqa%YhxXTR_A5h66Dn#3#RzM^!RYLdzaHdy39b;|+&~9OH;% z+$)yw>E=3bcajJu*;6R{z9J`q%Z&OAjH-!Il2#tL-_}&e3mYE?x*rSszZeAzr+c6Xqk&AxDI7+J>f>>&1->~lD`(FWA|0$VlUWZJLUF!QXk6wFPZd~_BgjYYEN_Xg(a zR3QHwFle(?Q2_#^)59a50jLi|Pw%d!GtE7RIDm!aQD+Hm5nl5COYIxre)-%L&2UFd!X9RVGPDAHV)#lMD*tnEj@WcRu*KMD%q zXeO{KdqrKDU0n;Zz8dicZ-rLQt`=cEKfo+xAcjlfP=3t^$_b(Ca(6jCjgUA zj*kvW{HET64+S-t$Rv&*mFODmgT_;(vbLhhbd_&z>I)(WgK?rEJ`+BTbHS1TSVoZF zbKK>8)5@KI*?RA>Zqi@3-%L-?AcN28Z%`Sp!Mo1wHp$r!MfacEN5m%C;jPd;=$hkB z|EPt~VwsrhvM8(tHD9hiO@{hHAi>2%dJ_A3w4$}zjI5KFlYuu;fy6WqrP3y$cHYWO z#?AQ2y`qNr;DqT{7hy`$3IJ0S`1vsWkfZ2qija*s#fBCN_@ z5j2V)aC4%;A0bwoRCScZmGWgC;fE+Ie&Tby*1%IP;cY{y(;H>fPeegt8F4nCiYkV# z)#3tCKT(e|*Y$3Cd7|gHx}F2-9SRsotW#`^9NW;+AH7LtMTaXf1)ShQM<`a14;U+G zQd>auWGO_sx|wzAJyTyGJv!C_CoR3x*7KC#4oKERSlN~M`Jjqb5hx75Hgz{xLFc@h z*e*qM{Q-5-ID>hoT}=%nuB*Ed5d^?AWvb`UI1!U281LVu3q=E4n!Sypf1aL$l6>H14}g&d~uHk4Ju5W=H*&T#T2 zPUu~;))uWtSxw0n7a# zRYJ1>`i#t+_PeJ%#7MM_AB4%@f=8#l`ic2K)hS$856dUV@2l8F_OhNkv(Bd@g4~Av zUO!=DkMTj5;W!;QC4G8N=69hZx#D=^{ER-t56R)ujRG-MMc3Be6Hj?7DYz2D zWCkS_9}pPnBOuTMT>I3324JPuG=NaFlAFj`!tWibb!_zTL8)2DUL+ewl*Je#^}@q z3rOXxqQ&)yguqjNnEbi*$@eQnFxO3lYE>WtNbB%u&tt+I%fSetDKD)8*Pkz6s^2sY zlD@(SL+l_p{xWy~@N2n1;!VC4q?6KAY!KABZlA0!jJPhVyb+jUDNst->}(k2&Y?p< zl5kuWnbx;hN~sVg$V3OJP*a`C2)Ny0Y`m-))-@Qa_yhQR@!h6_&IK#i3=q3BDM!4~ zlLqZ0U)Xxbjr=hCwQ|SF?CCz;WoJ+*YaNz;Zgkd;{<;kqJ?IP^vn`!(W`s`rty~fK zKxwbMy7}W|m?B63G0=&|iyyt)`VimEZ1Ug(vKykl4!%fAr(hmiBR4$L(S*Xl0xot@ zNW3mI*7>;~Z@$EL)au^y12tD!nA72!#pa1v7lp>OSH9`sCrEW-x3?M{6E&xQVNl~x-;qR_Q@a<7X_~qq)z{*QDSN!__C5R?dSX-Y-ir(JK z%3xOE`&rkb`I)d)2);yJESX5KV$UH!%ih&ENIUj#`SbG~8~^Fod25twFE}#-n=EPH zdxsr0z3mmp*0a*J&9nqoT7KHPx%-Vwn!Do#{=?nM$&7hUOwD1Ua1;dIZ-mL(bZ_Z1Gd0{yl`yFs{ z)&0qoQ5A)p9mhVEFN@GG!YEGS{Bm-1dbr_G7WFj13U37HJJIx}NJFrZP#IJ$n^drH z3RFKc_3d}rt{x-btrK^7fVw*s|FL7T9#&J>gT~>0PT%Q4%lt?b?2sv0)94+jtfkXs zGtK;`an4>gNDyFfKx2U3T< zw~JwKL&ctVDPu^m^ux7^7Yqrd1E*xlXDz4f2Qc@{djQqpt&$+#B6pnLEv&^}5kUWU zOB^4PDr5ZN+KR?&{=4P$Uci7@69ruEllw?&i_scf=mhK<-=|XeL8BrHM*Kz9;!Wy4 zMRbUJU(K4jX+=c^ZSPguZW3Y!95hXQ$uS6Bq4Z9nXU@@E~up@NroAn*KqC!8JG8KO3)TNF&eFC7X zocfJ4)p`epkV-Id@(E9@UL9g|(K539`xoM!lK?~hSNNW9TUK8ViZ7wQ_vz$7l3 zg99JxA`i{u9|u_KYFL4wGrbgfxDscC-RJZ$OY?bvOKnD3FB_pc0QJN93)5olecPyH z3#y79#?M$|=7=`i+igQnzW{$Sayk;Zg$X1?j4I4WSzY8ofW>Et{LxS?3~+HgKYaZ4 z{!5&~9PyMNLF<(lyapOI>qe1oWP_`)cJi_j(CjfXTXhSi9!1oc5(bTZfEk6R{ar)a zO}m}`)|ab5hMYBLSrjC)AB*sO?bQclRwAdy^xSL`>Ud!;7@?2BKB5hksJbPfzsVyC zK~gj5vtgpz@%jlrEJBsq%|MqBv85b`uK-M}y8|(F zImrB8h}5<5X>sc;w!K@<4hUrUN&cL9|6{ZJ>p}xCSLIY0$x#gV!$w9i zjv|b~0A35&vB6G&7o9jhO%!o8bo)Ufnpy=79;d)xObN}ecoISLZbk>XaJ(T6Q9Uq& zOe-E}AbfrT6Fnuf+mdX6p0^=hg?LkN3csTA>nE=~UR*Go_3}Z$*U5*oegmq+9(?PJ z?319}hRtnSl2Nw!@yjxgfdX>9nod>kGWMjIQHp*76a7jGtC)o?7YR^{%20&rpUUIG z)z$*-3@0R>4$dXeP87OO_M9p@v+C2vf7OfQhpT3vd|w2HNP*Z)!T~b*T@_>QD$IP3 znuY<>SVO#r=y(^dc4+i4Kq_ePvV(rORYYkqU?E|$>k1d9&VBXq)oqNbLkk;FnKd1a z5r*ERn3bAPu9oE0s+0rW34hn;F-zehDB-VcS+a5ImL*G;ey|9$nK9vS(XwZrXFdDM z|Ag5foX%!ts9*;%70NbCMtMP#mj_EM&x>Gp#qt^a64&WiJlkNL1g|p#yttn-`e}kp4-N)m z)y8ziGUv;SdxNdB-9xQ+=lHsoIyJmLuWoL)iCg(k8^y4R&8`%<18g7t?!1aslOd1d z4WJXh*x2>`TaMp5^R02}Bp5Ai{utOYr$4Y_QSBzO4+7=doI-v|reSA^m_nGY!OR8h zk75Qb!=@t+IPbOUhnqgEf!RSf0oz$H@lWpA#J9l4k~J8UH2~UJhNk!!Rv>MPP?oIc zP#dggfpA0sBDQ-}*25fY44n+jbbft1Z61l?IHv+}gAq_YJa*u)&Tt+bn0d7W#f@QYuHOBIt*i>4cMdusBV$M!UBs;-{=+PJLMgEM{!;W9hJh z2x!=@S`9sMl*~h$P}bM$TVg(Kc~=H)L3MBeK4pD8nGaA(2qFs+r>sS`83+g%lYvqW zgj9+Sj1gf4W&Ll?iWnINs*ts=O$(L!U6%*_CQSJK%^gNvL$+fo5NnJ~DB0WXPM7w| zE%Sk+vt#aAdLCt6UtCn2i@SRJ_kGW*5)(zfY^%9c?EIuiL$3;n!jiAN05wlOczz8SmrZgnZ@B@wZA%=3* zM`lKEgO-G=-iM7+r(t#8U^t6%i%bW)hQJm!+s-Tp-Ae=5LDwSpAjMELU}ut5{8TrE zhhl|LQL`kb#yS@iCRNm+_7(!A!GT#^R)ear$;(o73uXO(FKL#X%7=!bGzo_l6rY?$ zdwVhAlzBf^OOOYp!5B%{in#L@aeKJ0QwH%bW>!w^98dt>V`z#ZO}QchF9%@W z@Wf;T3_s;;YS7bn{exXaJ^AXsC->)=W7FN81nmI`38$>>K7*Yy$d^FzfmILh7;$D7w`?{59 z^CGK`u1F3lR;~J7c+E9nt_!_u&ilVw*b!5=hDV$J(6tTu zRo`-A9__j4P#r;&tV)v@oii zU_ab2`X4!6(~g7runPi;TT9Zb)DfKH1iQA^sAG+CO)Do0nzis&*b$#q#lEI^p-lBg z-o`%%i&Zc9$_0V#qCxrdmgFBIemq_|aQpYh*R3yBC|0kI1Yqk6#cf0tDqx=7W4>sM%qkfaVR{q;r@`Pd6d|*91`>WiR zJq<_sl3*Mt@p3oXRBvFKqrD#3(%XFFA=5a>W3e;TFZVoWdZ;ZY&f-@njDF|7Hb#O8 zwIH>MB_>8!h7_x*pidROeO`XyQ!so1`P{LY%K?q6qUUJD|0USHyE-pQtt&myfoo7^ zM4vLW(OXLK7cbDwJ8=Vy+%NGo= zex(ckdW=kwhE^*4b12tr?VSLA+U}o*Y?$ zq{`)9leyvBj&(*2WwF8vdY-DVv9Cn_(4FKUR@?PH@9d8Laek*VMl%Obb{u>?^UPmT z0A3B8ngNUZ43rZxt*%_t-LzIlZ5n#$#Nrb))s(kqms%LdqjIcg+1e_vqWn3P2O1{20Q65``Q71m{SU1Mc z53Tm$;ItT~GH~VcGop#WJy2H0!nXQuMR}t55Q63KXgUM&ih@&*XJQ}bHeWV+d*K2q z;|Bage_%C}_XhmgR(7GV^P~GH-3|+4n2kD7S#o zliu@Dn!GnA1$1zw#yI=%tIy<14aM+Te>2^yjGW<*otRC3}4=N}R? zW)AZ_oaM$b&I$Kz5$5l4wp2UyhzCaD<4;9y^cp%*RKMk1r0sryH%#%!6B%yXDCW~k z?pG6Fc%cCe}rCpoK;lb|(R0f*p@qnubv1$~+UT>NHDqrd>k4M9B@*J9veE&@LOmw)SZ;8&(Qu2(?a-zo zUuhzpJ3t;EoFAQ?!x!+TDbKEC>{4#yFU;z`r-wTxn=qzH<`p$;=~KpqxM{CFH?krq zqfgwI+#lvvP`DC-JkfRe_O3o_7R$syuO{+#d#hkX1^F0e`mTPY4j+?6Iw3y(Ro&^{xEJy z{uPzq^FW`Jp=%Ui&gLqM8a!}YF+s1;I4ULiE?ts)FIER;k>Lq_3!@*18?;h+`6b}a z>zN_*Mn5Ljz~G6oummFDG6y_Z1k4dH zPg#Ou<`(+W!H zG+5@jmR*%&zLeyUpU4GYX)D+x^1*mD(eYpfG1bYD_;!vg7r7O&n1-GOhg@;Wlws_A zTp=Sd@g*OH*n(Nl{3qXk-?e;}w@>IOag|H=G$JWj%_4Y+$u+(v>*J9?-JwJWFHFgL zC#&2gcNpizy*V+ zZNK2rh8Duh#L`~SW5khut{7^}3%BC!d!Xsn+`@9bh_ zeh+j2RCIbPjGp%sR+~X( z0YJJ?h7EfhiWP!^^Bv*WS}qBaVQGS`w5`x^1JBtNoG4hj$k{ml;fr|m8ahz=xoSk^R;+q1hQjaL-j!jTo6GF7ZlLKo;Whsn&U z!tnVxe>%L#B8?*2pvNw)o4LlP(|I~o3>+)@UDnk;t|GQ@mge<|-IIJ}Xq9@8`J(RL zg>0A#5G%rwz491TsC*C`mj3AnV<~QRA38A}`1NjQ>xOYMEjS;9A$I7WMFLefLm*1NgfsV-vxx zTJeKfSM%jOelg0=+;fE^Y`W|L6TyO9WyC04I5XGSU`PN9?maKw`=Aql6>r@}NVAvz z#rT>F^~Og0<~o%z<#7a~xj%;I9OYW>mMy}Wfw9}x#);~Z{;U2QR9442EZjG6ZBi7n zTsot?XcoJ%#n>1Es$5RC{%Xa=yPx-@k4lJ@3nCuM?bNLim4e(t-}>uWvpjZ`18$}v zNS)!)&a%^YFED=Xk;k2;z(jBApk}_W;9K{O>4ccnm(Ba@iw zw~*dZ7R&Hxsb&_0<~-}JAt+vm0Ojc=U42R`h)l9nraE!H~|2^6~@(t2 zsQn9i|J@$O1J74d)hMq|wLHr{PUgGnw^R^Q(m^a)Li6A{dL~pW$2dAFe;!QGo#qjG zdbs7Se4}psfD9$mbo`g_|;B1fGtpitsnH$q2jM`v49_u?o=j+68 zShe1Af%L)q#FsBnJNhQ~1)0xcD4*S-8@q#f_2R?%#Lm=?3T-Meu=no1G}u*QemqMV zeWEzH+D$)F1+;q`L?V={Z@wHc25rY`m2r_$c%!6R57N~7UFF5Cd-dv3?o#G#n)N+8 z9X|$VR0Qk_b0*)IAN9@ieSuZsKI{^2Ockdt&%M6U95U+ldyaAznr_-AD}-aRb-tmN zDF>W_v{2uzbH$p|C9+xYGBDVMMk#GW&$ESdGX{mIMLmWrP zhB>Kqg$lt|`>+h2c(C3*Qz+BaPVJF=A;5wwRG?$acbQVUhMapL*#j@Hn!_#@6#=B` z?(1#7O6GBU9J5Zms7__mjEImAp0XsqDq2v1iN7(WbNvYjaNzY{;Txg9cs ze7z0tQl0(3C`aat-*&vTU^UeyLdOv|D`Fy3Oy_--oEYcM%_dq8n&(yRgifqxSK%~X}Lb-5+C9bh43wEA0wl6Te~bXvX71y>2CSM zdnb3JNNCky1j1xy^;ZThB+7kgY!oA_{+eadS&Z`F?kDia#?m$0YoMlX>P}1^S>eES z)QMY-|7>HPNOdBZL*9w8dqvtt@J@6?CuU57*L7^1()9JDko;=N{lNmba#EgA--!+XFqnU`Rzwi!2CNys|B3W(+_yW%Alh0 zy}iK|BB0bCf{3mfs-o{SP+E%R>cq2+m&{CuFmRRfL&J}`q#uQ)jw5{aZqA{EB2}!~ zKacXh#g9u-pJjN|3{+afmOM&0Q$C3F=1Y&qTc6pDVZ(vKBFlxojt_14LU5H94cTkX zhZ-+0s9g^eYjlshMGGj$d0gr>&6ZcA8pL(oE1Tnm#E0l4Z~wI_D2n%~%pgF(0Sp@2 zyU$I5$(}RZI3~cGU@<8cjJ@bNMXYwtRo5}vIpBTVaVnJ$p%8N@vkI&Khzr>mGD*l{ z_EH1a9K2#G|CZ^JNQ%CO&%nFaK84jvcA$c?Co1si?@5E3p^EeP=J4{TWUi^>!;rM; zGQL31LT7}I)5FB-G=3Q>I5`2ZsSJ|1G(Fn%N^G)OkNl*wi4Wq2TpOOLu7rFei56J4 zy3I0V4y-1F5ojDG_h~2EMQ7!A=wa`1^{lq!Z?ouM|8*9fz|5j|!q<+!F<@UoLJkWO za^l%*ds(lt*1o*#t!0N|*+-VVmGyUs25SN_%p`l~KN4ZQwBP>EVf6Jdv;V|eI>y=z z!0iY0H0uX!5D_tU1Ib|id^38{5e6)6!EOK)n|t`#U^kHZqHK+bkS_YN!;&hs6$Pg= zNP3aeI$JA`KU-Tcp$=5ii`%JPBG%D$vdkN6;$__}S0Z+xeSuap{^8*|B@Oz#JrXMUV{}3S5PY?CCOz7;?r~M7EUmp`J zyYha=zxTly!ZeHzj>Mw!-%!V%pS*$wz@2{hslG!QZA_(d?>4I4$xr;JJ$zzCu&^r_ zYuI!BZhLFGIuK;R-AJPkq5^Ps&;9iDo{pb^@!~2!a2PL&TdUSyz*??N(WBhw@K@-f z4Ecg6U$I=X{T>BA7nx=HYhB&dia0dY=;+>+C6DFGu!{{Fls}lYk;h4?9?btXG6V9a zeUp=l#6lugpQrc53uq24);pK70}@IHnVgu+eci=W=U01oSp>=;;NTs`Ht*`kB` znPOvUmYmIlzS?zLKe-TEX-I}qo7n3|y{$`U>pcs4@+ieOz&ua_648G#hv(`JouO(d z?)xuF{)_B*X%xh?$p3y&6$d;uf7ZInQ@-%spUb(Hv-0RFJeSUe-r0tj*c?G{HLnu_ zq;RUBq+{Kzp*pmJf@1TU@`AbkE2#kwtRk5pnkkTjnGV0PvHhYAUdzjmmp7v147KgvtEa0kxgU<>@sIQw-@7F~V3? zB2QG!H(w@#5Gn|!o5QH$;U}NV()LhD|_lEYmEn{KgF zMzA)^of=CA<`m@u)Sc-+S_L5@5zij-V6F8#3lMBnWj0^Jd&t}>o&Ks90{I+cZLcm^ z$dMJ9lzGzGTMoA`7_4sZdpjw#3Wq*>PSuqWgjPB+Ve~C&HXEAW6-8VbToaxC+GG`k zB+dJcG=A6ACFB6jyny+p2-N`|)v1W-K8NlCp_3w^cf$xT z^qX^b-cSAgS7m-H&CP=M$TCOeej;0|_qo4@Gi{<>bW<+}vw{3aIdv&U%iPt3&jR1^ zLb_Xi6{x3vV-jC${T&Ql1JC0!*+;>LYcAjmPpn#ys1-QIbnh?dtt%&}GOFP1EIOMS zo2gj9fGRwLW9S#(SWnNC-?|VHFr*MkKF?+JY;)=pESAP{%;8aF}?`;SSu59C-rf1{U$~*e}abk!57T zH%sN^lr&6(0kCrU96b0(NOMZHzdohc58+B@@+TW%B_XuB5W&fPkIvhTEY{^{2yq|L zlNDZ5-C+k_BJb9!Ap%kaiB1nz7%X69;hAhQn4EhbG2TFoHlSF181jJj{`Iw|Aipux z_$CdFf~6{0G!?TQNe)fc0xf5pYgs7AI`n>P{a4xo3PQJfOsV{mv7-tf1i}=RVD&cf z7M>tin-&(L&XaR`>cs30l;Jd!`EB-1gV1oE^0r(VE5y!6mCq}Na}{yYhz&Za>h{;& z+mlT|^S8aq2Y=fWp-$|4csB6tB&U|<_St971ffGvV9)^<^*oq{2-+&_>RjQ>fCe{P zhEbTl4kDNo{<%owvx1V~YRvl>I3^u=Tr;dm#m*B#^QAlTv$S&%yc})HrZcYk|78Fe z*)NYXc8A1YO^$VVCh88QQ5A|feb_ilp9s31S_ctSjV^Rh=BQ`?VrvWV8 z-B=6rrdXaYtb?xe_7ukgVQWI39=rHvMg4cUHB7?<5vM^fdwO&vHaG@lc36KcSi%73 znN2)LG2X1#f+UJFCONK!OwFv^#>(B;j=`2(OhijjCkn>UGlP*Gs{bHdlY8AhllkHqp<@P285FUy&W{{(t$Q;B3zJRV zSUD7>+UB>g$Xv_iimF*SBI-6jGEY5F{hxdQ48mxB05E9R|4+&EKWI6?*#8;X!(~fX z{Lr)FXB9C1151CZX^VTqrW^A;F3HLl(B zy!6K<$#ovbj-{{=wG_+SL3p>4*zW?BNFt0mzsjs6oX$);Q?YVpT5pJAfdm0V-d-#! zDyM2l6_T`_glLO!M!AeFA$>a}DG4D*1u~G3Ju}Bk1%!8$ka9`(nJGv~AelmvU6Sm* z-?eGya-K7P=+pM8iXr*!?{9tA`mB#=!`OeMh?|x^wX)%v-lnw;{Elk#iuYOtxjgw3 zbYA`nCd*xfOSb1jO7~EH;!cSv@Nni9rO+gZ%cNiLuWZ#ElY-PoWH%+s)xU_(XdC7m zbaD1zQhgVS{w{v7`_w%2F@3@_V(sD-R&*ozuI1jlQLi@6D}F?$8!*X)E6Sf;dGV<) zrAZgFLl4r2x4bboRz*%hTw-4DQ0($%Zd&Ykir#npv5w5qs&i5^$RY#UxS+_Ri`!tj zwoAJ>azq?VD4|R~eki7!6}+)}@bci^!V-ug{_AY^%J%QqR}Oi5tCW2C@dn+ftw)l9 zc;mb+6s9^Vb4ot7Y{c(6V^C!{M?8;~%bZjq>=-dt^8^a=vNz65P+^qv^bRZq-FN?i z@lw7nxXgC~`$aSL$tKal{~WI^%f7wL5zbv4K~m%ZiNHFWbFubjH#2ZebmjIUUx<)@ zp;*)06Wxi@M4sMIOjAqf%Up{=%M@WQ?EgN$py@$($RH85Qp;q}|63g0sk=MQ$vL=X z$Nh8uN);5@yEs;0R_Cv(*UhnxsJ&%79)58r;m~cVSR4JgO2v$*?7iK<7k7EZLu+;S zu7yDXiXw}$Z$n2;cXZo)YFb~s7$odl%H-Z|YAFYYK+ch~=z+>q(jV_PUSasP;D~!d zHyzZ+7-|dG)E8Yljp1@;1Mfd*v-R1WhnD88R;u^1Z+t86b?4$ASr+c3>?s9VEg;TPzB`2JpR> zjcMut?(+VP`WRZimEGr`_#kh5QHhz*xCrwaSJ%csob;HcCXKND_EZPEbHSb{cVSIo z8@zj$w80v9!m3F3j<9(g^vy^-jqr??XY`bn5OP73Z|oWR>I}afH%zu_^E_Ar<}KC# z4!?9e>xDae{@&*^EWGOAwur)b6!M0oYC4&Q=hBFblv`}qC-98s zg;*z-I%<4t6V=^zv8W)rJp$8%LgI1MkNu~R>35&qEM)N&KkwJn)Un$=mYGAD!XiX{ zyp?ZfiDXT@NhYoM{YrPu@64QkZ;($QkOcl2J*0liAETh(~SZJuKq+z7-tCCm$p~hQwhyWLv$(dehhr+A;Tjoq^0ls_4yiOduc34>NKAQ`N&OqD|yf64yTGH0TP+e~r8Lyo!tiKGBR!$94 z_^caPFTT2IZLTb{j|Zuvy~59Jre)nSs`;f3g$Uo_TL_u52Wu{Ck6ZiR4JCga(eko~ z+g05W2G&`dTsSpte`-`R0WhO#jexj*$kA+_w*4g(JYA{OSi{byFmr8%cFuJC3}%r1 zw=@#(o9-8BLs}}EKGUY^oMUrrHxEq`@bQ3#aypnW(FK}Hdfq@%yN~M69dQh!5yM@v zp?myQYQDcix2vRDDd+Hug|O%slTkqgnOVbTIWUN1hCb5mK1;W=JEoOM zbO{yjMJFV0fRc3LGdaB?onLzI7UskSLSnbS=ly3sBK)ieoQsoE`QO0UCEs1XQ@G1_ z3V!_S|GIoHdGy(sCzq^vHf9y?Uv}O1%94GHe)jj=Bq;r{BK)%F{_*-PfAJFz^tYPB zk1ScdkcR&@1iye`eG>6^phqIjaTzgLAJZ|KR#;Fpbld_G8~YM%{I5Coe>v(h?Lo*)Ojl}(i*aeA{eUAIWmKEAV19d*)!!N#ahG0C`;J48R^ zf;%^yHTQ8;P*GDH1z|qvQusg?ZZ|=Rw<$54BGJV-+u8J^%F+HXUpaEOmDwH^4#bil zvO89y*TeTEo;c|*d_LLu7$dAyNb0EsFAh6Ura-+rdb0(bxYPn&EN}~1SNdy$tyRkK zOE|X3uMzyVp?ZxOyY`wLiJO7JjnhCN+3^L`QOA!C?E&`jTo;bPh`40TQJDFIQb#>>sh+?8ohn*X%PXR-XEJeL@G9lK6x^ z0bBtHoc0a!k7^3Jw3}(LaN0dQY42-VB9)A?Ih}M%W$EPfpDg~U?a6W>Fn93o1)4=z z`84seobz2@BffzZMxe9A3db0Wb7z;XiS<;!C-W+{&BCE3yG2Mg0U^MvXRV-XeaK|9 z%uK~ZrV9lLUn034?5R=9M4C#a6sRXH?~Kp|>L^9LSfD$=x1PnCCdWF{@JM-4`&lo+5meB< z*cC;-QYu2SiB-&&yxlZf{K$mG%2^> zjaHE~z-Kxt{C4|HV@>`gx2lV5e9JQyVY50-ZO$RrK|G#}MiU_&zgcDR;R#y|rAB}_ zGsUGwBdFS~*$#kheb*?vZ+I+&;8L0c@@Luf;%GKUfO*I7&@SeocoIe6P&m;%3564gr?kiu81uEk7|ph5;ug`}Z@6sa zL_y*fkE*|Y$5tYp7moqTb7R+l-&Nd#&A*w%^^i8nGqX&*Q<@2X-FsAnE zmWd~9wo!dTu4DAt{|#y5a}a2H_sgU|4$h4|Z;HO0I@*`Wxey`)?Ca00)sEgcDjgmK zA%yFJ3~mjBrq^arBk}a-YaO8s8*qxmr(9kt)0q-fJsox z^~SBa+8CNU#9B`8_Gtz!x>zB*71~$D<7{c`E>EOe8|Pp#&CW>M>ec-T?RcMOzNt)_ zaJMP3A3}{*0ebt4-u|{#S6v^}o4cm_5)L5qd}L#&xVxib!ahg5r(fHEOd0K}8!NPP zC!>Qv@tnIu8RBCuoJKYzm-*mQ)aK7QsJLhGS#5ndWRbp}jP%m{fr$>)ybo_-#hS_f zN>x-{RpIiW>jj-7VB)xSf;8@ZJux$ONIVos=n9WEtfn|vz7>QBDxb#e(z@nrP-2P55|=g3Q7shx}NmI&J5Zv(imw7b&?UJTalH;(sIb6{go zL)Zxyw0{Iz@30-!I5!BjW5ius}M|ZGqRCaQ>a`r}w$f8_eYq4Dj`XKpYk2V~f z%l(+m1o$(@C1bPJ!#NM1ubwx7zGqGCkbN71hs$Ni4rcx7v8oMhXz<6qLV##-Vus@7 zw1^>OWuqMX>fRHzSKBM7HN^Y7X+fEy-qRa^HxDI3dOtCP(NR-r33m_Ham7Kx)?P4A z4XC6^9XsnH5B9}P7-TGYXq*t6WhudUp#?{I^yT1$?@;}MTi)R+@fZHoYuh$9uM5>1 zk-66>lAv9asyp(uSxlFLJT1>}++>aBi1S)YEL|j&o+jmaeCJ29Y=8y!Ut$ezn z=2Q0Nut^&yc7#~|`njqK@#%pCAg|gh3Rtw**Z1D|@37RhmCY_jN;}dQH_4i9hT6uV z9df%z%I-tIme3<}>SE!WALy1JpHbG&ljt@ZlLFBzZtmjDn0P2UG4hAp=aAmY?mbrZ zfk#$s`<%slMm5P5t7lz8unqG-4>Y2z6W~f5#3O>)YW~B8H(FkqB0A6y;J_!4+;hZ* z*krw#(p>z&CUbi6xsVEnt_4dtE*4!B%{J}6RwTg*Z8mURO0>$fM0bfLXxN$$Z$L!D zE)#i8_BZl_qvYA@&;R2r ztXcorKlNlU@StjVkHkF%6dzLTsc{)Q7s?jJTr&*vHG?r4f}`+ZA{Hm6iT)Qp1QB*e z#uZZrYi7Sf%H~w#2c8BqY;x3c2rWPSvRAr#qeH#vzxqD*<=kK-yH{THuC7_R=WvEF z{3+4~+##*u4(Wh4L454W?*sk6@mt;t%RoVOJq0`rM&OCJDPLbKaaX%Ym<8j|r^fOG z-$(!h#d8QePBg`|!tA^6&7@x)tz3!EQx2hzn=g9?C5xX@_GkQ-3dFQgEQMD;#*R4E zzWM1f-%(v`u9_R?c8;M2%VZCi_r;3k8w2_n906!I6nwo(zkR>zjYxOh^#go34Jwjn zQIBOs8Fr&~vhDF1e1h-hjyA3I_F9zg+)b zmtbtdmlN2y2;fVkdWJuK?K-tIU4mird)p7-9qiJpwXaK*vt#;wISHXm&T9KIuWQ0cj8|&uZ)?-n;pj&MGUo z`A3;~V70^Fx2)4RO~}7dBzvQ1yaFCe8x!6Ot1|K?B>BBFt`ghlGu)@!PyVbHA_R|= zFHEj`*ljeJm3A4|6BCH%CZxDoOBc9kBfEnU+f7r%8g-q`hSMo)*4Nq3&Jg9#mf@vR zN3;EoEgSL%KI2k0v6(?Bb`A^3IGZ>EU50jnZ?NLtt=tjh+=K;+T8+<&2|Yk#LZ6wb zd^_E#MPmF4%kNgyn(Wj5!$TYd%4vw$?%CD1<7$a6pa3TRddjvlx%%>JMb7r=!?}zf zkIWpv$M*FiTq!J69}JT~>?)G9_Gok$Fp5Cs8Ep+$Ks9+#9ZuLD5lr zMPa?BhK#C`2L0EH_+7ZL@p|~uPB>g|-ab9>vc*T)Z*D?RHE*{6wUXRC&0Bx%W5&nN z7v^ZOwo*ECCvS^MQq9GOh8}aEc-vt?8_-D+$g@u0`;x21-SoniZ zjfMXXEd0Msy?lznc;T!C@ia!WKs?35KkE@q*Q4??3k5I#Qn#9ZvT$nSBH}kem^8PX z4?eU~`||TEwcDOgyU;bXaXBRK2Mn1f4une&J2@&UDirmKS^HphHSNia`t@j06lAbL z=MUMu-jY|MqCb=J~nLN z#J09y>#rh)4GB71nM~q9p0uZZd53DTqePH5n(M^9&WX5G;o!ZlBe?lT_bm*$IBko^ zUHrVN3g7z7#tvfqsdh-ZoS*=DNG8{B#h{*RGEnRd=$6yBSP`<5YBn8tU8tB&63=pk z?cAKUF=Z{+@jhk?GJF?-X4f#`4joS*FV$S!NEOdRP&tlC0WoBEhf2WBlqN4h6Ecp< z9X^Vz0t5~A*=RO|1`F$MdGm;L*nE#8rp1Hefu+$k)qS5SN={nn-pD%GaTY{o zlJnZAL|0zKV7T@3T!V$*?}I69~a~8yh8}sqWS_11=O2TIn2DS<4oS|7b8?>hKTlJqQE}LU_L~ zA%n3bE(&8fa7z`n^LSwJPJ-*Wp!H7JJpTFX?;c;og*9~zK`7= zfMWo4sgm>Q!ulJ4v^2%e34;(V+}D=-q($v23uLW*2Z4xkSF27D6b}*~SDYlh!SXc{ z|3RHV#H475vWg^)5p~gSk-VUF^`xyoE}NX!)I5urvikZVv0Yw_kb28;5!WmbJLjO* zu&;W_jJq_`cyI#mtzW%k`>p9@6>xug^a&W}TBb+v{$%ZG#2;RpABuK+EUt6Ca*j(| zX6)Y|l5iJCpsVdSi+mJX63K3kA)o~+4V|Y)L&v&16rINNEMJ+_?E@g48;(H*^z>k1 z479DOQ$r|%(h3Rb4Qx~0$y6dZrfvV}l<#Ms$%_TDMDZ z2<%a=9_!pJWA{P5-YynvQ7SK>XAwc2mr&26Ev305#gC z;tavO-A*nLyCrZ`9nFZOZs?15Y1nkEnQ-lmw;Sd#u(ma5L7liu0i{9^IK~93TCOpV z^xp|ywfzN4BERE&C2oM{a5F{0vok2`kLw~)TOg<_jS!W{S>wR2RHmQ;UCV1FNThiv zw1Z&C9mj#q^!7j7fDAh0d5Fz^tfcjKk?8L`i`ka#V?hq2P=<~Wwc`hxt%SuHqygAB zdNy5T3vL|Cg-NDJh+NpK(DEo(q#|ipvn9%fudRF89gOW2EZlZt;l{kG=blk?NA=sJ zqPCYDCT^NpS(-jp!l@@?DOGi^I2hCTOS9@gY{a?|cL}a$vgJZY(Znrvc)b_W1PQ*; zLfv`bIU!7Gv8;xp@IPAPd&X?@mrF}Y$e)_IK!SL)_Y>Y!<%iz6`s*W74YUB|4~=6V zqk%1#zlcWU+IvNnEFhxkF2+Bt*oIfi-OBWje^Mer!4%x2!1IGwh%?B+$xJpFS1iQm zTEDX?ftBcVOUy9c?x}fD;_aF~uN-i2h-UuU-xD?0W;lqIz`-fv0C%HqXECO|T5*}H zy;>7on2KFz)$k(gc`lsMub%b?VoqT&_4PDVW`eLmU zK^KmlZMFb?rM@ghE6oQ^OWi~DGHFsLNAY0nErj$~|{Of*a1+g@=JCcK0h z;~8Vq39g1Te8ddMbU-kZ>aBgE+||e|fFqr#+cor7D%Z1er^0rODJlLAC*RJI)5+(gvtuHJB6$m+6cJ694V=8mweRNjeFN}rV5txROoEWT z8BK?QT#lO}f0C=cztLAJ0$yDo5wh7>cXyHCPK)^yWYGXuLxz?Yt@R=+P^?k=@mv{@ z%kvsG;wv6=9Z-yPFSNu08=IAu5g-6ewh@kNX8 zTm0bS#QTsaE<0$&=FhY{t8aolnH>EhRy zCiUlvZ^s3_{Fn{9R%*Va)@r8i^@+G5AWmU8X#UUq(nGAyyeook61n4b?B%}98ykOG zJ$5#dI;W3gFQ7-UA(#-cAAl=$0*+l(-f88wRvFcoATI)ChPc`kGnNZ>3Ay;ri?!q97Ob{nCS&ee+L+IU8@=Tzcz&q^?BsfJ5 zK!q549lI}3Y@Vx9QgoiC=4+J46`@MWZySi`%L@Q6F>D3ke{|@pi1k^ViG2-vAQSPM z;+AihrQr^&8b`?|dFW$qqs8vZCkGb59+l766K77MPQbwsvMXrbasqUD##na)kQzPc zsb&AgW<8?UZRXU_%ZlWoOMsqW0p@h&wUmFWtv$saM2Cjj!n&}b8S14uwi=41gTc{g zRvCN!tsKcH7&2{zXPoVUcnEYD^P{`!eO7Mi#f}p1Mu}JMOkT@U`=f0YvsgXvi#jKr ze`~Z8!eBLif)tFON4;ah9SXep-GR3!Ctda7+kvGd7WwZyHoeksHj(GJ0yH4=37e+w zbhljl5|f@wFiS=KrtKRJav-28#Td(6**ABsD8xMqd`7I$Ga)aw_I5vYTsnaQylBp5 z{^`K6nN+K4+fY#LIxA_XTHUr}t^x}X`Fec@;EK&?p-Z7%3gy8Kl9Jn+i`ns24*o=A0(WgQjP)+3!&*DJA{UY;CXWXN-{U2qd)P5Mzjs zQ@nlOoRDyx-PZ61pk2RkXSA~&196$Tz+?4PIpD^lV6~RvfjR4_)zsjOx*tEV|Nl4c z=gp2Q?z<&yA*>O&=4NrG4zhC7k%g<;0QWeMMl>~-nd||gN=Y|c5$;EaL+S>Lil!o= zc(Vtet*io_K*Ms3D}nExpR89~12mSxKL3Z`7Kty(vJ+Mk~?Zk{C zy*YBb?LUI&*n_L9uKEW8D^Q-%^gtKmW((h}t2;xs>_RqZ={(84Hhx=W{b`jdxAs%P z_0}KE`J0wST1SE_PB}3`wsQJws6_7^E93ZT;ZfrKHc>qZ2q3AsqFquK8zMqK^G~;3an0Ym*}8syRg3Ndu0Qcv zE|@ar0>$F6V9|oqn^c=xR(Td0N+7^fL9QdT7)vU|s@-f2J&8M6*cTj3;HZ#prLVn6mfw z@kY4^#}g@&y$%SY&zco|;(O6;{xJx>61+(bMiiN^d5pZVs#FmV4nZIeEhgF1iX`zu zEe(tyCtZH%f0a>ET7*ST7(uQf_9n1$xMl{zivQXXV~TNl6)hRk@eGC@ zCTH((^jDMp(TY?uZ(_aPKC7wuGMb|?1d^_)D@}Z+|Ge^y+*uM>RhAu!p@*t9s1DiP zPQKSgc3;24au2xN>i1#vli20Pj!)E}R~fo+qlsoqeH)owV)e+y7RS!n2zeM!*(?!c z?FG#=o#lVb@}W)Nv7&E)Qod5g!fH1O_%Gdx8EwI8=Hgr~ww8T&@}j%!SAz+?{Dak+ zmk91Czj@dzi_|=c$S2mB9s-iJ79@#h+beRx^Aaxf3=Au-o%S~@Dis#E&g%UUo95!_ zz~RjW%ubNZc`k#=eC|tCl3vC^qqxS`>Mue#8Lr$!Ba zF*njut#zYPv<`Yrx;Piv80E;&0>XZi_JB=SA(AdQmRz~QS=%f5BKP1VlUmReE8fZq zHYnG!5jG<%W3eF>Q=w|j*WI%GvZ1VYxwUmWKgdlR$|NVScl8W+XiM2{tl)aw8GagF zF11};mju{&b}K~s!CYJe9Ocn$ACqBk@gKhHfDX8@Jb*o>r}{lHbTcP(Gl+e{B0M=+ z`zv%=>vpppZ$1zw(cQfJ4i#yyApEV^BMsBplkSd+bO~o!B7%986hnHFEC ze9C$r6*AdU!z{gP1dIrjJox?wmbT%LeieuG*89HIDONxIIF@sqV`2rng!etBdC~Xc z*6oULs8SfBMGE=al1^5XodmR2KBL5sw<+zO6>t!kiJ%nV1Fa7qXr=^m$gkX6Xwm&VPc-oYScakKSrs1 zH=Djz)5&c2aEm%gBUFkbAAhv6{XJ{=CH&r~kd1|%g+D$vJr%7OACqrl_w?Gt>hsE4 zrYov=IVTrc`Pl9%1>wOpogXOHUhPmyDO`)8I~JMm=@+V|BvSCmEoib3!Ic=TNDlf? zRdiJwClnUBM&@m9RXJS*Qyl72s5k>YD_O(W-wMMRTu7JL{aRf021pu4fm^DY4Y=22 z-U>XFSrkYxc^U4FB2Tj~Qb ztt1Qpp$?U?b)-s}B9}xiNLxCFg0l7s>v3+iOq|xs<^nM^nn`CNV{qM3gw_0G=pE>Z z5k)hc2OHk1$1`Hz(Z_}w3y981JmIL?@v0&@_^2dTRwLI`1U^dj|CaP3ZOz;)jkkpxSms%z#RIkYA@h9%#s>!p-GO9qi ztv!NA8Z_B76+O5I?c3Ca(e32$d1W^P>2pFwb4qG%(FbF5s+t0Bde?ZY z@Z{CD)tl!!6l3UdSh#hQWj3F+7$tfx=bAgUX-%<|>n8M$E%Vigh__;FIFU5d&VxW2k85(2FiqT0{<1raU?`Fuy7=AvrlwJAC>0-7(ahHI?(IE=%}WP&wys77fq z=b3K%=uYRDBQ-M=@4y!2G^aV$R{kqBk6uEwapKwtR+NBgs3}VM^}pE0@0NUII!0aY z7q2gB`CaGLy2j)DdM2m+&RCUXXdoUUawuUFUwDZX*wl}IKEJHcyf-So{;KF z!`RH^)VgoHl}k_0HpQKYOdqagjaRg&dcaDB&N)M2En zc&jcf*^2S``TzVYPI_@B4&@)=|Nr?vPRe9_1!y-s1SxPv7^@LX8%jZ5*34 z1|aE$3V^sw-cx$60svFdGH?{#fxcy0vtP$3S552=y_P>xb;V2i1?v*4Xf5n^|hTPhrg9^WB4vkA7Ha4I+RSxZYKNmwS$`n zbUdfCKfG_ud1oGQ|HvNw5)7%CwavEJcHIm9jXOUj&k)pA&)YNrarRKba#36#eb! zK7qvvtrG8QvYtHrFuay(RLNKC+|16I@LbK+(NRl9>TX6beC=>+vDJN6oZC(yPob%F zltj0Ia*?o!g1C?VIpbkm`o>-F{mz{*(xe9Il~m!mTndNSQ&@ z9l)}dQ5_QUmInT(EV_>2(Gjp z$uAmveI_+x+7TqV_`|hhr-Y?0B$H*hz0Zo^SWcnoT_x(b2qQu;2U#>Q*xqr=i*ULc z5YOKcIA)rwywocR!HE_|dEh-s4PK&Woz zMb7j}&3m`XXXWltg4d3rx|Z`>QSP&Dja^Rl+$^F*g0XY(X`{E67Q^cmE60f zF<&L#tydoasYFFg0p*9g(@4IihDU2u$my7xM9HJHn&%~evGGc^) zOmTtP*^G3hN+9NLEjnIQBxtz?^TP-!{+szhfRhu&-1q(BU5?(2qjwSSzQ<|q$UL`X z@!|*WTk^=xg~Y{0tjT!ms-Q76-N)yx&;H*KXXpxvX_WTS^4513bcI!suU4%q_~@Gz z@1?J^xWXV&J+boB)JenYEkpdR6*N~jxOs04z4x#&hiBOP!;4)R`+tLa?(8=!UFH=^ zxwt$b7lt(_(iUpnqSoT6{72otvS&4DB4`s7cU}e);rPEGT@>L zquE_HW%r2VSa|E(fuO|AdYYk#mPB`VZ8Bp-ODk1J@6+VR%}42d{)W^jaU)6yt&j^y)2J z=^UJMPG+-TbCL6!b)%@BDri%|(g8Ok@HhO|R+=)zS2VNHp^9T#He^2gp)$Fi?QV1S zvufK-AxIRivpuYXTPL+$64bVC7aPvt0cwNu&bwe!2vVH2(w(JmTH2-5DEDNMB2ad;G$bAw@Dq^<_Z-FJ{{9)8n5X z$i=(b-LmhvB)oAECx5_tlOt~Jz{?~O9%FL!(T?$SX~m+jZol*rr}=J2t;1b#R8y-ZHXU!|0K zB5!;r8^`*-8+~8?j^WI;sl4((N8cu1a?F!Y+_z%M#$B)u$1d6Uhq!0{I{q%@;}AcD zU$gBW#^1$j{J;IXYxtA!w^Q)C#gE0Ty~8eA8}q$&;1AySuUvt5GgpEI1^FMkWHAf> zwNnK#kKK=a_=r%y;(c#Edc2e8yVtRR*?6WP_afPmv$%EHcgc>Pz4Hy^B4n!*%ry!5s(*%yyb1%kFd4??szAL~ERLH+xT z#sBkcq7A-dtRPYRx;K!xV0q^@jq-#Rs>tV>-x4_n51g<04kv6b&W!1aM!$MOc`iXIsF*xVa;hf7vWaDpJSTlAYB8 zRO&uF(K8vSJQo}$&wg7#_pKTCTG3tc>0o>p$rdb}-Sn7BEU#~h)ff$MVW7auXBygh zN0djrXJfJh5SGlwEe#vgi`T)`O%ba;jM{F@eb@5s?vI;4z~&W>zv}He5M0IrUvLE; z7wWX)zfPxcz0xV{4i?#nOVPdl^7aZ>yQVe`54j*$cJ8-?mfq#sP3yZjkURHy@~V;% z32~jZ4?yOz>*6DZZe%|sB@BOq_57n0VcJfGOGHd|o(>QBmcbv4wc}SuIPH4A{=r&y z*8b$Tl^bUJZW?af3A3lAW(TUMg`N!`5@pfs_I_qZHidh;7L2@7)f$fl<*2lqxQR_c zn`%8jxR9vfwbB&4QPlNA(Gt`k+F9&!Ayc0ohlb#O*D;~Fa9tx|w9%J2^V+Nn%77Im zelEVCDsGZ%PUXX9)}F!crl4*5?f9#~R>{bX??=A4`T8yHAt;yXcmx8134 zubA+|d^@aMgPq8ZyHo^GhpvSlVMg(rnf|e#q59l^sNF!Z`??huCsMtYj;I93uHH{} z{9auHl3c3Sq7ukq*Tar8yS7eD8huf_EK4vDul1C9J5;5JFY#}2o&sj*o*}IOV?LfI z8?Z(lbv~{JuJ?K>MqVnxi4LaX#sY@QqjObFy&dvDEkLI|%>_JkC38A60o zJBgb{!)2#owq^TXikr&J{&HsD!4{RsH$C)KzMLzI?7dMW<;D!IQA;pE-RtJ^qq7nR z{QTL>UOHsH$LUK4E0SmMwDXj^x>^3^X!d}5=qvt$QMK{1li5Qmv1U0difl6|RtrG#oH&Gqoq` z$SIi0V7+NlWZ@QkX1!~7Cf$0h?L}kXqPx09QjUA^W&7F&S55L%-xB|4cvCp^39eeh zs^>a>*{xmD>4Az+nfzsHG-LBgw*m>8eMxm)(d{Sks3o8CW8qe%>aF}_Xoh;oW0Yu@ zJ2b55o7F8-M?fqWJgCG<5dXHzqq;w4h>QwsdH#P-j zGBAhvn`C04pt52I#vyuf`ORmyxu5&Z~JR-#%hLYSl(caK4-lp$_# z`%&I{ibG-q=nNtlKgmT^-$eczn5gXBc~y;v(#D=-gZ-SP#W`R9S}iCBarsm#E8q%0 z3lfsqy`Fk4%- z&H)oMKA#Wb2loH}hx>W^0L$VK?rqr@DKEMmr}2~Qs~5TN`-n|;riAe6WssHeI7O4G zoj(LbLKp5r`&K4z@g%K5rE01iWR^MQnh<=*?cTjPTkt0#PNPLA8)1&au)XCH9Tggb zn~>#~D%W&T9jYUgocog4jzjcl)$>|3KkOkI#GG@Hovi=qyh&C2ZT(7WN)r#^T{i0u zvmNFGm(h>itB0(Xnf-?`Wwuoi-Y(?2Uk?;dP51BL8^n1!l{U<#E0Q96DIUF0IObiC zVW|5RsSl3%JMv!kb=-4;SVBa+rVlh9;`we!>Am&_rz9KT>k#F+_q2N~#FMjPSdAMl z8*&cbj&Ju^XEp0mYsar6(%lr}bU`|t9^cUe?NG~FhEG{Ny#MmLFqY{rDu|t$+Q(q{P;=e`aWBJ3G?wCv*4YmRt`e;t`s22)0CX zP8M}kbb4?{<;pZo?W%&@S~{DsX4m_Y;pXU^`4kaE>a!y)`mEVI3}Y8 zOTm~=TkoRbn?pxFa6#ruBsm3oJvM~xYxk`AArpL9{s2@JuHJh~(#op*B*(f3)hiUt z-i?dI#LBv+)VpizPHp++j=7Dzl$TRXF|lbr(N7D4-c8^4UHIYqMSs4tPN3#LYN4bghx}2MZb>lbHC3&i~sQI%Ja3Yx^MUoX7d+Ii8EVHonmwMP`dj~nQVCT+pH-0 z!#}oGUj1?9c~-4o1SI^SB+aREogM{QWuP;wX~CfD&DOS}lO5IKv{1G_u~iSS(t(D@ ze!r$EeYE=Apk(un)RX-y#J(oGtN%ecL_0$U{A0mCv)QYoe?C=OcyIis|3Fhbo;BGo ztWpiD>lU5=pqZ6ce&~Q>lqtm`k}Slcyi75{?8So(d577i#2nU`yO`iVKz7#u-iKE5 zrKjoYKQ)5cef-NSZ&a$R9@IPJ-K_nQZYgGzyxsw6eRuDa8~{g`L7TVv$pfP96PPr$yC%^rBd zIbIGFCp$v#zwv0_t9ho6eU^$HL&7{ZFF&JQt=Lh|qOSy{Lx1rfrS2E9_tcME4J|LH z8^_er;4*m%yRSM>qF-8X=>PM?8{u^DAoIvdUkVdI^@duvtN*vmvB3TG44Z$r#GD~f zqc|YI?Dy-N&3;^=X6bJBz){Z2#x_4+8G<0a-dE@JG*@_R5jcooF^p@lnqLw2dc)*-{rA#bOB&hqF zd+7ZGsBrO6^|wTPy)BNaK3j@gSi+Pa`^Nde+naX}gy{~vEehE^?)IK&!+(UF^Y)%8 z>oI!&WaFbV_HRHD_lKH(nJFw`UORFAKXQrN%QZ~#mw&$9^oi-JKEFUZS@TA>iF$gR ztcrMoTW(qHJsygBAi9ZteN_8Gw;-l#!$tNr#Shd>Xsl`oRptk7*_VtEp$BIoKc)+0 zEq$F=nSI&dG%tm>xCAc|o9nS?mpK4zuP9>*x@~1np8>@$K|h^uy4tupi*~oAPMJ{< zq0`C0VWmNFS~}TEu?Mtc=UaDc<8lG`1kRve)dQs?mXZ+-BxLBooh)il|)?UwaBaNe-oWDGZm9U@=2CoqFN&w zuDLadYX*nnK)45+q^X_!kTmxTbX}WW`_Dm;9+%5!_Y^m4>OVokT+geN0 zA1U=IhDkM6o(s$av3l*;9r2WoXGLXE@<8MG>8^9tl2B zAfKE*G9zu7LWaMfMZc6UKC><@QuDB*p6Hp`Kt%HfFKL$=3-=!3%LX$%S2&C^>Msms z)ds3f1tOuXw>KCB_Hyw%lHR zm(076`n4(G?hZUS-?fp>E2=*&^2zI&JT%g@nySw2rG+6|>*&3{ObYDQStjpJLDlz6 zVUzz@PA%?#%C&`5DT+tfekOmf%$z+j32+&n5ql3o^A6Kr zebyfUdi&YTd$(OBw-dX&Mxyz9)qz4yYj0>-POZA0eUVk}a5;u={w&g6^G*oIcfy2G z{sz0>zKf6>QD`84gw0D)JWdX`r?z`G%Tc&=b6gYduDvxH1Qxf~xd-+sglaisvhK&UisnMf~pgM5=#i500OvzIIc{Jm<1DndBsOKYN2M zY&^pTN(1aXeCvtX8umbo@`qy)HY+U4?SDxA0=_2As$f~gPQB-9iy3+sI-8;(Rxto?Oj#+t_<*mPi9Y1f~uNL4nGOllw*9lQ`Jy#Ts)xJ0J z&zr@M%G1KNE96f-By+73H9uM&nF13bxN)%r!;c5D<|~hXS#~1!dia2{aQR2v=1!Q4s zQ^CoxO4zp&d?0RAYJUvCurLF3uujzvNv`KahtL3~{{oHjsosXeg0fVg~KgCH|uwAGkD3;jNIF zBJIHkjCPyCH-pD=Yj@>q$8Im1$MQ;0eYV{*!kKIzHw}T?Q>0sJw4;M-2FDchES)#m z`11)8B_q`QM~=DkPP$}ZsbO>3r=mJtzPoi7B^j+Bk&&V0q8UTR_10ax9p~8WN6hK% z+#0D+@%U!?%?dSO$E{@Bo4sJ-do}@aKX3VLErZ zZi;tJ9X!20<@PcmCalwR-FmN>A%2W{7k2-NrbLz!+7Wvy+1K1JAiOa?Tj zU6YyS2G5?<>k@rOsLA{S@}QB_#-9|MUa-uy>~e}gq!ESQE*bAPd4CjGPR%g6&lJ@6 zkR{apX1~dGEDwiVe{|0rIjmdCpi8E`vDoYxzw=@!k?H!WmgneZ^4i_1f(^mBxr!Zy z1-hkxeV=efocg0|!IbtPpBwED$cY-rzWZ_B(|Iq7`tEm4O#k09w)!kza;)aDVW>5T zn;wi76c*LI3O0D#2fZRZET(5a{k3A;-%)cb6V(2^dUws_8A%};5lV2tSDkB?rIJwduh8e2m|MT@OU`^il`@aWBK&@__J*c2v*Va|3eAjO6CRV!569++o5D_6; zTWS@BO${kxlC}o$fY2$2+7hy>&7lTJrUEHBJ==F4s$lZ_Dv%-x`TbUrl0dRblBXnj zp67o*v|IQ4Z~wp7rPoz1r6GsU=Y6>E*PVVBn_4_=;SEGJO^)R-*%a+M<0&ho3o9YZ zwszDoVV@xe8uMXI<~cLS`3^`5w>rzyyS1iAXEC#8ZA+`vz!kuxxO1&`osrslyU1 zNDyNB#DKr<=RqF>6#aN$k2gy6IYhm5zPaHwlcWV#2k28}*E-9!8) zcljz4^TZgFJ0Uq7`T-jaQ&z5CC{m~&>z=+|M#)j#*$ihYsDfzWh0FdYatQM+%(FBL zb3_D^wgS#td3PO>gNWlT3@?(*kV}N(W@b;1X17!XEVN?u-t)JGz1XApCxc5W9R7xn zQmxBWKbh1Rrwi-9uMb z3@j=dqIchL_zK`9YYlqjWj-sb?K6*$RAv!t?KJ{ z(dr-g-O2@?0j9!TeuLV*9wXixUQ9{%3`KWexT%S(hgW}rNH;L*|eoI7G#}t~=gT4(&goBVE+SX+!R0~z@qj*5Y*AMo3 zx6`}A6we*_J~$Ve_!ixMsGmk;Pw1!B@h;51i<7ILAcw~66WShX_ei(7YAhu=xCBJ3 z;){)zwesi=jj2x^@1`*9+~L}}Ur@qcP5rbq z;PcsioYeonTMGcTsG ziTifUE_jVpJK>avx$`uttDHqm@+BKQnr~@S#z&5AePaAaElLzc&%_renB6-=OQjRf z#WDEuubY*R|8oDPKMtmzptN~$R)=Vb{=k3xzxy-VAtaso;N(}Hd+%1>S1|DH}=aupLVrEZf;^4*eqwiTonuXMJOi6d5B2Y8Y50~-O zDQSPS4FSFr$seEndD6W0B6?4l$`RyqgBEJnZy#~p%js8xUKks3v7--!%^F8NKYPo- zdH%`);YlbnX9`}Jbb4XnaRwIi(5yGEYBfLFd55)>suo1_4^g`w6Ex06XsAh-Nh5>c zxVFEp26a)qb)>cYvW9CS#xBWQKAZ3z?BIJ7dQf}X`8G8ESUQemtnl4aS3l{l zXT&`x84FpXxlFwCmS{tbYB~$l+eOl`yK-|wZGhVFKoeI!6q=L` z2FDEV`8FCR^LiR)NXPcWqCz&#?Jv}bZHX4#tKAc-N5bippqL#j1-_Rn7-0(U-uv~a zoLmu(Od$;x-VQ-dlqW7QC(Y2AOrce^(6koKu{W#GZCiXuit8~Yo8AH8WOf8*f(3`& zs8pvBIwCpq^L8WUSQc&WFEXLM-tcH+fBC>KI+NYx64&0A>n02hb6YSY7&X-2c8yq% zXC#H77;;xlU~H+7sxaHqcv$+qH<KFLl+&eO3(7@&$LPQ=Nnzu&MTK3by*l#V3n9?&+b^4M zUA8ZB`7rjmZ%#9$qzr8r5~0P!2vhuq?1vhw*;!viBKpvu*HcGX&3(v)*!6lxs%pCK zgkaEJb>C)MgQs`gjr?xwJ48|)E$WG8 z!Nap)J%1ddFy!lv4k`wIGGbdih(LO-as4opYfaNTUYCf5ZY|OsMYJwm`-Jt9^Gt=P zgethzwrqFMtBh|)c1;%`%sPG2M^ifcLiXw4GdSk^#{Mhn@AQmmEAaZ%uEMk@^Cs04 z-DO#ik&TOu(m<8xYWiFCReF0a)r0x3|7&C+`+Mj^V84sB$ceR$HQ&4t>FmtlKgYaU zUi-sf#IfBQc@8N$$T5?TI(&G+t;e5Ej%G6=8hIZ6jBs&&tGGQmC9rrf!W2Ndafgy% z8i2lNPt6l8?UVh~G;H4seP!)!@4tT4A`iRG{cEM=mgCh+n_nhhG_Ms+4af;>sN)4i zqL88`%B*S`w-ssEXJ9gQ{Q#Yz;RqGf4mO&GuHC9jO~I`xnM^dU!wW4G7w8_fkxSN# zc08QraVq2UxyA?s_RRPRLnlVyZyn#z~! z(;Z<*f#WSmA8UNMELh?^(@7g)e-3rLrdd-uFp0?V1%m+772ngpUG#i3&plK7Wea^X z@Tc{Ha(Y*v>Y=FP(vz>)AG(7KTk#~lJA~kb_A0wMA8E9HX1#zeDA45rD|2FZ@HyZ} ztDkUHMYDTNXSH*>5?sb9dGqJ3k4M;hnLRx`lvVcTbq+k-GFeDcl-< z#lFLi%Es;8j*SxWaQCUI*~00%Sru`)>fFP0fu@)@&p~0ptqntoNf$Wi=Xy>C(Yave zerzwfWXAX$Rj;r|rL;l*e)-<}_D=8Q=#S+0Y%PdqI-Z{I*xnu<*ktD8JNT?1?GK~n z9o3~vph?&@^>R%MgJ?y%G@E?L-4;l5yT4i+G%4e3SpG&c!?$@c!g4lP8fkq6HRG7( z)t@-bQ`k5Y`?G0&<@hbV_Ag-=`s_^c*Ubo<(ma>M+=qA{<$^4J5z=+|jXy-}VY{}$al zCaO>`^i-Yx;Ma=f@E$6)4nGR&XclDYqxC3v&wTX7;5iq~=f(0{>OLsQn^y!9qQTD` zqzg-~bghpdlrIST7*zmt*h0B)l=2lAHJ6w5V|NX@pKAlpEi`wJZ^XM^?T znG;gRG5l`v<>-^iyz_wty35S25-KZ#mT$KOR*Ui1(g3X|P8AS*DFbAOca|oUHF484N4Hn-~A&ocZ5_LL_a@59Z8^ zd2}szHHK6WR{tTwlI)Gb7{ykA>?gQ>2MZf|Zt;e=reMrRDljtwtYeS_T<%AYEUjkP zW#C6&yl{BMPdDvO;YjxngQYP|pRSp#a~us#1WOZjZxvw6Xh(fiN()-4H5*S&!HY67DBH6#N~qY#6RQW+0}WwInK1$oJ%$ScTVfkj^Qg(|YO2 zvtRAi%z3)p^*Js{ShItMKs=%KKi~MhLKR1)lfY>*qr30-9ty^>V|Q8NZt<|;CCJ^J zC*dhp&JTO-#IX;}n;gCl;<|&)!-$lzF~y0ve`cA(%9!4;-Mv>~kqGXZH%aBJLm8S6 z>A`P2X9D@nMSOEV*CFOr)O6dNzgdrhHDVdXp4wgxrI<$})=lQ;kQC ziD~n-8Kxf8Ck0EB7q~xUb~&-Et(e+p3X7RpK^+lQF0#lHTEdV^9AX3&RNguPlSx)t zSL^9}%y!l@5@B&8<#w)Kchn72ZfpIF?G{%`DsVni)rpjUAT5%@;JM}x%TA8s|0A3w zKH6zWfV=7|{SEh^xx8roG`(ESo$`Sr*vj(n3CQ;foZ$bQsrFH>3PO5_$z)2LCk$+2 z0jS3m)Ciw1YyESJp6c8(O+p16HGg{heElh-`&N9h+oJh-%gyF%q;z6sZyDp*Iv~R6 zqN=>-JOjQI@KH>jxnYQ!W$TFDI4b<1fv{*?w=$?*mr967nYtUTH`gL;5%lp?RV*JR z0`Cw?(Y8>Wu}{&sZl+^1nCx}c4-jP-FZ3ZzSMnB}JLQgUV-uxotp*0iOoE@qBuWjf zjZ~=V`!^Z7Ujwthl5=#HFVbRK4|i>$`7IwvtwJe&!YX%7Gf{VHCI#ZWAvX$!#!1WO z3crm@8l~-u#+lYX8MGa+9Xf)b+C^K>I16-J#Zk~8(t3Xd-Yr&%Wi-S+M8XI;1^`pGc+69jYSM59g&@^f8Mtqa zM^NHGEV#S;{#Op)I+J_fTh)oZBt%JWQDNY^rY!f~H8csiEZrVx13=Vs##k3ceYKb8 zYU)XM`$DZH_9Ea#F1j+M6cp?&KJ0KI#rNB&w2ejIZ3IRc{K6+Zo9&6$;`fe!>xsFs zsyRuVSDlY;kt^e7+7DfuoSp03QO>8}n9mME zR=x79PM}V;PlEkv)x?XHwW31xA|O^?Ra3i}VA7A>=gG(D$adw!B>5^+_PDblQtIx1 z2tenpFRr*J>Kppo1#&*rT@U38#>^R`>O~Kv=k1T)R4w2O31*L995^Dc`+2vn&wQ(b zO${fn90V_87Hk-27b9W^d;Klq8MDp0t^n(?0z{)1xfNHI5-+nACa7B`gW~=B=Ed8i zX+db6>HRFKCEmjHDZQjb9jEn-67PHf2-4;82YjM`fgDME*?)TMiYYgLa)otch}BO> zCl5%2ETYb8cOU#9_=TZ|PTNDRzkf>Vz6)#hsH`zp08A__3d-1AKChV0zsY0__?@Sx z0MuH@kI?z)(d=Leaovl~A=WvI2R2s}I!c0E-3TGg!sSUHO&(a~KU1UdMyA!VcPMy) zLdy+jrhAmFleON-uEQaDFs{XFBcva~cN4zkJdqnLs!(y;^%;yHL~QYPT>rxmCFyLL zISS86Ib?C|FNCv4mE0NsThmJJc!lO90XK?Hl2U!&lF;j8NjpLZj+n8bXtrpybxJgsP~uU%;fcRDXkiz>QnF960FD{{|j?C3Oy!DpGujBa8T%ebmVl@B^6 zou6Yg=sGc>m!uFSLb7QeQh`>b&-5bj(@nX9<66_*muV zTxxly+~u#??6BflEWA%;NpZ4KhI>ZWC~Fa70NGk5UqSW_{3KgY(YYm}e~!r=s!pUy zIxPq4iCB%#p9JTpZihjt@7xlF{2+(WJl}F<-&Beq7%vSL$~;XZ8JFienjkN4nqo|2 zSG=uEe%o6?ueie`YU`1CY;;b3b2JU7(V6PpL6M~SidV;q_&W~XqBlER$e0OSOgq%7 zIHOHtra}t&sS?iui;S05;sifrXF~ zLx6uwI;?2^iq6fD`F_upO1fm?A6G~kVs{|TXYsb9Jke0YA1}JLr?|_VC-pk$FG*iC%@$2_;{%8C!+1&2 zbSkvJlhkdwDF&i074AurHMX0=P`-{%{j!rmDdxaIY&k$}gFJ>SHR7!!IVpfh}CDy>3HAgSwuaU;IO3>MuOy5EOua%UyeYD1vJ0@WMe z4mD$~ID`vvZs+``l`dQ=oF9C|aGpv7>WB-%3T2HG#v_5bB7Ep-6S6_% zMWh^OnrS-w=T);Nsz9G6W<)7fR;0(Fcb0Qz$dQLC;{_V|yGTXH` z`Y2E^K7G9Hz|@sdSGStm=}j#)KUY4`GG**xYs+ypIB?+pj*v(ar6#!MBLDPWJ$wBT4>H49`!VAvokie#2CTycwQEX!u{ zVE-UI8;1VA*X_8#s)d8(^jFH*J76eZCHLH!Ve;Qvu35U1yL0uSjV9gJJv;!`ArnWm zTpbRT;DQlXQCRcUt@=8Lsx9hG?PKyIT_3F1TUR@SaAEt+BBz7p+oM_~q_BJ+W$ej9 zq7%YA_?&av)bSB51u7?ulKD5NZBhTNxN(n&*-@$CUNBBw(S&H0Gc-cw*-CuNEc!xx z5NcO=48gcyP|@r)5R-umLp=CCACUX*u?{@AN%$;2J+wH(A58~U0qDJAp8Fc|M{=bF zwePg}44_)Wbe($%uu#Fwzz;91(=+FTvuF%>_;;SGt16no;ZX&*MQ(C(bs|$JyI>)v z*|ZM=A5&lWDpRoR*5$R8_qrzf*n*bFqST4TbJOzfT9MBWD~X$u(j?dF#w9h{IG|bM zZF?hskj42{uRP*!kyEp=A_Dh8_$LVKrf5}xPd?NmS{+S?!39_~2x z zxHT`9!sb;CZU)|kLJ*#InTIZ<`$vB+^c@8dorRAY9KTy9M%Ec%9VrY6+#+q2tc|pa z9F;w_KFKiPITu^1>luF z6i#F5N1S=PV>WUB>p~3gN8sgC+|0&k>_;)J;g2A3{#RK3V}J54l^Ta2yE)Eod0yD_ zX2OfVq>|<)er-vbn-pVAMLC=iT&1{>&++a)+MizgaNb-L#k{#1X}q!cxp_q@4M!(< zI$ESs&TTK;)S_2Jy=#8Yq$i%c0};;L+kcEvbEQu&YyZ*5>V$TO@Lzt_Jw{N)lk}S3tC?ZUsCK9!bhml!3*Hx z9aPOX9z}m+=c=@4F?`r@xpREb(m$VO=N(e1buxVzAdXR6+yhEM^E#ul0|PCH#0Zx# zi1o<8ih9;4PIt6wCRH)*CJ~c6tjss*f`J&6>ED2@>SkI7SM_O>Ud-v3NkL=SE?;)1eM z(iKcjDT%<*ZQvyAJc@)Fj`^IWQ$ zBUMCm$1wch2|+mce7WL5=SCAE{T#3l$W|7LD_eVJQr3>143dR5?t~s!1x#9~DDK}y zk~vyWOCt=H{hHWC#755{smiHsj{tr7BTK&C3ixb`~{O^-9$*Ioib#MF7#Z2dr-D2Vk1Q5VnUNkk*-FhCKtB*96}nbG zJ{kQX6oYWG*a&g4n$8GQEmxu~gp~il>U&V$u@xpUQ+Ms5;NYW7E)mUj7QitQj@pm> zjg6#D%k3aRtQbPotgUm=yIlX&ZDq9~hU#-M)OUSZwrwG4e(fjo&F z)hlhNv@JfnhLg%O;7&E_fOehfPM6b$x}O&Erx0jW1 z!vZ-Wz7@^Q*o!O73iFMi^51{5 zvO3aD|2)0f0yzbTc0R_*^H@&D6EU+9ee<6;U}Q9TC8nQGCmtUxaR%C%_2&%&LHH!K}o?@D-*okv_i6smG<>{H+3m05u2MBY5l zY(;$hmNN19Eh$%}_A_{2!||PEN+wTED+ceijYbTu-C{JQGg>9M z9p`$m69%Q=4?V7uM~sLE?q(ciqCeI)~c65byK(PFTKn_<770LN%9VzVB-Mtk=H* zo@-GQ1?>@VCbT{b@*2qBKYb^5RTmE0pLFCdY}!7AH2)Lbgq)9=HZAZM^B-@R|wa?PI)kOzsDwn3vi$aMnzK3nuQ zUlV)$q@9}^#6jG>E*Yp`L;Wv*F;esEam*w^Bz9XsoYQSIT-l|G?F?TE#ZNPZ>KGrh zf!;X=V!?DzjoURwR87~e2sL%pS^zWyt%Ky_Sk1uqDvYMl?B|V##}swmJyP@yc}Ii0 zrA2>Im=q|L4{Z}$tH74k1+n;}u?76iB%4>RIIZtRt^u=jg1x zZo2EI@TLcixSy09|Imhd$KEs58t>W_#M{gKs$u1U71qhRAKKlOZ6*Fl9Wp5r!Jw!c zB%z->0g@$t#GnX`#HdfOo~h7nX@})3$KUj{oAy1gneP@9fL0pK-OZEKBfarQk&d%QAnip@+`V|jQnNYeZMQ^ok5tP3yL)!9Yq|7CKA2Vc zRHSRT9Js0hUJJ7eKr6c?6klYNrT~0cXBPBcuCLA(xQ6?$jlCS?Fng(7OBI09OgWQ% z$?Bi>*CvR<5*)O5ME-$jll1`^=a!Niad4V9Ifr|ybw?EhW(PrficZU&%`EdVz$N-^ zBtx%7`b!nPRSQzm8n_?7!uTRDH1Jow?M%&07f_XT4ki=-Xul3v-IDt@zlJO%vh$Q)R4i)OI^@eYbp0tzQO0LSgF@?%&F^h1` znpazeQp}~JvP4(q^}TxbMsc%%nA}PMxqx?&c>8J7XwA?FoqoYjUfG+Bn@PnP53l(G z(88TJdK|{6fF-M_xxiD6{w56fV3vc;IVZF?6^$vCWV|MPmf1t)3EN$DCmwmJeKuWW z&7AcpfLXd6|6lBWyZ-MQIBm{y&eE7?N@E^NoAa~z&v23+Mo#tO@9ci_a0>qr-=g4O zOJQp(@Sm@ILrj`K3z-8mY1Z!d=qzLo0}B>1hmpk`-g>kbF|&D5F#IX!W3k6ZQnO&@ zR2IyPv-tMXcS#i(8?zqp4e)?xe(M1b9(Y>6_gx=9n~@TVXt?Sdbo1>{`0@U^r7q=M zq)N3;UtBR5_#w6gad%6D94<{c>K@b;68~KUvO$9kR zKfH7EZz^MWD1^6vp3w9A^@Ge7f0t~=sAN}S8{o2be;A4jCu5x8YGQKhCQpQt{q7Jq zhmTO{gyx>sy~Jhri6EQK5%C^owp#c)NX-RZ?14gM&iF2_u*ym#<~E zxNmU_#beM_k6hU&oC5remcYX-=7SiY8Qtc9hjq*oRWwz~5+{x(2jY2Bw$$_;z0T}d z-moV%SPDJqc z&vqlWn8WkvMj*hNa{dNs5S?50@R|Xyx$@?bA`dyAO-xT`94t=*OTpq6k;zjtZD`#nChk7<+dK=@+$$DhYlv_YCsi*buk$ zA~AbKxSn!grYrTWWmi4b%``Y;=?FHu&rD6aUOL9ci)=7gAaRRpErWY}$oi>w>fT#- zTvu^NWshU(t9N^@l;g7U81FK?X7_tWcW8l=03;Y=fv6v0_Yh103QPh@`7_Bf%cdLV zO&i4sW~MhHU#mJX;*LUu|4mvr)^}1+KreNDnBUZ0+ro_CxjS>-Z6pKne32{P{);sk z_iwVr^*9tl$ntCwGCIi!HnbZFiJ!?EYkpd!3uAV$Mno3e`}??eT3)h_{U+%3?-B#! z2I4Xqe}_UwSgD4~lV5wYcZ5mHn7wI;Fh&nUET$5<$wh1&iY$?Y*qOF5X{D@sJ$3@V z{hUy-bARs(qo-U=*jTZFqxEJX9Sm@wdyO6UcwVOSN~jD|I2hzM!8M+QF+|De2@Ne_ zdTK-h0{H9*N=;-pgp*Sg=kgU7_4fWx)-d4JioNYe*Is$GoXuxO#oE9wSr7D7^A&{n zdb!It+;i<;FvYZaM||B z8V8`oxn;m1yWFtnm)A|6>yJjRT{2NPA0wuXUW{-pqCvWR z%DK&#C(<1x#6wK(`s8RDK4-IYMl~m$3WnVK%HZl&&{Cqs=6SwMX-3ROcYHJ)I zj-dIWt=Q2DtcDuTZe~Zmt47}wj6cFp{O4JVQZ@E%9F)7Cn45qo)VcF!*>batnCFD-sm)mG5mhPS+!9uNV*DQT)dK|BvjSyYrSvMISw1G{awD z%u4^-(|RC~7Yi)WBH}g{YN=$mdY)|oom?bjTI5mVZtRH@8$TQTu&y>z$TB$J!}g6$ z*^6mX$9p2T`ZYyfWeok|=s}Hw@vgm&lW?Y>H@f4wx)*2nRv=M}kwA#>CSNquA2=58 z?j&v)_;$Hh2-MnHBa=&R#{A;;3Au@ldYnr&eV2# z%D}jAmH%z%V%_HzMUgW#Gg=%>Sz6}T?xySM@SW~}LSkAvVQzc5b~746u7QqJvMi2h zs2y6&4~ETC`vaI(YB8%^&Vb>`Q@CXdO5GQ3aS>pbf2gv0%b4D#-!kGDlfA3hK3O7W z(Qn>VOxfDLY#ObjL33v-(bT{KA+9XV=$!m$XhZ}CJ&Y&}0{+?PD zyv1a5^?8OORtFH8_t^{>bljpKCaax$m^89*Xj^aDFedW7EVW5IUN>lAZ2fWNVsW|C zVZw&ez=m*7_((iRr;MepVzUE0`Sx^@Z%BO5g&O@`m18im=z! zEwlQCU=R+(4my{_@!oA5QJkxnB`Ew+JNFKJy&Q3SxDU9M+FtjA$>Kiw{U3{rsVRhX zSTtbklAm zv0^c5eGB7H;wHP`qe7>CYL?9af~Abt`9~SvThZJpTg^e^9v-$}CXluF3#4p@f%@Ze zOKZIlX?@T=v;ffWat>jZ>M%w2xA({0fz>KcGugOksIu|5xN@$%xh7~}ws*)c+L5Zx zAh51najv4{z~<;HI8WvN5kiuorniMHKnYTVh)L3z%!=iGmVs?W-qKRc4Al9-8RHnU zwe?RT-(>$TxS+7toS;KkX7Dg=*(Cs7ZfM&WLE%`Sto~ zy+csqc=sS)E0AH=6IU7@xGU?bE#U11;`c*i&g4ywKbsybQ8acVPwPm9`89Y)&Qy=^ zUA_0d4>o#+3$4v%XXZxf-htm0`PR&^561uvO)BO3C-Ned`KAUg{1!9E##8V4w;Fi< zQVx6r&(BXh%lXlqjhyexVt&rbC3yJt2rHNP1plh&UrXT8GjDwdn)^p3d~)8Bm`6bl z{b|hi=rp)8p>f*^D84Z!=XQ)D5km#LqkPiLgQ>(Xy$w5i|JzUw79iO1V(8uhim3Ku6%7+vX*he0QZld=OQ)u|SxUOb)iKdQ=2FI^GnNn0IabOn0q^MCwC#hmw z(4t;jp}aEMCTbo%6#@3@SC1w=tgma(+XoPWHvxZPik(~lHegJ9J22w~EpJ~UM1#QX zs=}8s*}L-Qg=7Swh}uxF`6&69Vh_Mm$K2HvrB=>|G(7ujvNVU;0`b5W&sqS>Fa`5? zz6c;<3W;(Kb`bo$p_ZpmG`3}&QWFJg`s#?q{a<9u3Ftpk_a5JMU0d>(vORgO$~ZGwxe) z`*|Y7LU&VUe?^h0f39yWHoD6!o3o0q6nXoiTftG#fPq7Z-#|dP)IYgT=w|suY)n>m zkoD-9qItX$^IKE?BnSxD3K}pZSqfvFHq@{j$=DfsJ^EXei&{`D`Hm_&Z!J;(vyiI< zk^*A-7H5cWU{gY!`>RAf0BPGHEJUie)^_Rc(F&_icS)9b7ir$Hy;|vq49~iWp)qP0 z_$Ehzlrw3n*&GGdxiq^1ptpGp?h}Whe$F?wUd(SoW){4X$17>vHjWo0A3+1z5sV~e+DZuTT`ciHBBCwPv?J~k^eHB7h{?4y zE)uS%obSjRuL;}rogg1pC;CSN3+_-w$fqQXFJqjgoYRdEcQ-Xf@0?v5GTOR@X|8qgO3JpqRV7Y4g+TNo4tI0b@|QOCFzA_!1k@si!|-rZDRJw-fl z|E!9rdne*Q5Q-C$H;z6QEiIS#&yDzBWU@ORb7p1_cYWaPBmbvenED`sq4dOKjnchX zHRZ`|(TsGmh<2Xn)x$=BuMAF|E3Jp?An5uyJ|a%idE? zt5?037m9c5F;tzE6F+Z$mK-zGh9wSl3?JK(oWzl9(2Jc{a{bxNjzbCWsbIss7TzJV z<~If6W^}0;su%(O-lHoTU+B~AnCU9^Wis15+ps|_V`HGOTN2}*YF9&xR!oF zJzt7WJv)i~^#$e?Nk)(h3wZvadw(Sp16T`;AV}UwIvv5Lu9_MDF;$F^S3pRT$g4CL`+D3)<9+ zOfC-G8M0JTPE@%3!MNV!QbiL$a2`yk?@$J%y$V=TW=ytX+=JASJ>qh_xk9A@iL=;x zSrr>(@VQL^X{8s(v;PvlP%KLb-)w!I6shgd;`LOIVytYZbBg^#Tl~HUpv|*W)KRm~ zR^+XF+rJCdWEE34NEKBzR8M)TQh}`md#jIKXVjfn`1@5023cTVl=rGSZiaSi`l+GD zi!*2-cSEhFXq+8uAUL4xHIJb2S}$~|1+|IrzH_ogC91d!^axo7yfw$SuCz8m8gbUb zPlbsHNUb?H(5-g7OIa_E-8jr(2nzIV0AM-Y#s;Hw7Io~t@|$8rg=;UVIQe{nRgmPI z>#2?qQ6|R;eTZJ~Qr;+6tL4VR<-e3DN`O4YIEq3&Z|X0FR|U^`hknbQgYJD-&C>Of z>IB-*vGumNg&nZy&^s?*##lC$Or9F{J)Xi$spIHAgm0GApYlkU@y~*8zY;N`uy*D~ zM)&#MHf|{^Waz6-+)WlwE@NT*IebxmNRh6X)o1m77RiU^;LD^ zZ3`p{wBPJHahqXZCWzJi%}-m5Y`BRs-e~Fw#Rr)&h}U%G9};4A279olI@m;1+1XJs zu=(`*6xkWv0KG&5R(`y)NF#N~5Y14S+QmvP@>wBR1SY~&5L%#$9A@^+fKN(v3_Uqm zVmM(T$_V=pdB(te(_Iy(R2pof^B$=8uOBQ|yg}%}ItNx(8$DBfmvM}Y&P{2z#pOwH zy&Z|ZGTyNT4T+kQNc z^R|oKQUQ|T5!hE0W{?bamqNup-s#-Wigw1~ZON{bUzAsQ1J6h0t&axrK7e?Lt%hB_ zm_6-!tF=-7(ATfs)0?EPQLjxRK68ln_L`CiaY%k~e6+qsf|n!^Z!jSx_;o7pv6-1& zSBnz$jZ3BxD`H)j!Q>U&4yeLYqo)DfK2sC)n1Bp)6jc&$cbKK=<2|(zT`RLwwz4S& zWsk#Reh|c>D2M0B$8*@@Fh$8xLUM`DyIrN`n!>FgeNMkZzg#a9vc^;;DMpz({N0Ccz6Ok^xN_& z==%qvyM~pd!e`nLS|A{2ly6F=`#BI8aiRdK?n=yq!tO9ZJRC!T5KXo_2vt{5C2hy??55XGEfBH!~()t z!pb_>wCvyfhjKpVM;Y^%#w=gWN&4+~Y1y%CT6P`&#c%($l&2-1{7WhSt!3p8F|1={ z&JP;dxa=okSLuvN;byWU?U-z#F{brn$e#yd6vUzp){npS{sP>evIb_A3qQK_NVV~m zSzX5?%7pm+KV3DLL|%AllSG`vEjqjGy^KGtdher|q(zRbmJ112tONXb@(w&J6HhVv zVvJ=(|FEhA`$5Emk2g!s6d9Nu+i)*9-*I#+W2_lW?(+%fRB?m+L*eb#xfXS-i4G*3 zHbNrtLcdb1syjx!fcjj7ZRGr4OfPcRJlhL#eHN43y23fH{z-qHp_a&dh{gyf_p!PY z4+I}#S?NEZ;!>+my@A(>Mbq}#(QhLWP4=F;?rj=xDxs)^Txj(6tLO8Yuoor|HtRM| zc^}xha+cZIP%V~g)AJH~1wBeGlhT9801{bIj5a?ud|4B7EXYng3E`kWpoyf6U_gQQ zP+l}mAURX5#Q|1!n{N%ly8{N}AQEf0FDJD2+NW*hR~{Qraj0U&&@dwlVxWbcLmedJ z9D>NGIK^@As<6^oJM(S+wu+N?gDE9{H#B^IjmcUB(o^k9>jT3HR!hcd@#_G;C;v}! zcHqD`?a}-AlQcUH?N=@?n#CSN;lG`wd-l0b4VGXIpyBQwobtRmJ@CYkyMpn6)PWI; z^f_ib`wd!0oBSKPWeyyj_S%(M@Thj;r^1tn0x^!wQ^+mQk85CZ-)XLH9G7BTb409A zb6UdFMAZzjDLG=jK0p;~I1{*A#|fz->H29S(xZ7(KS}VFxbsaE^}3knay%5;!R2E43%rjY^Wux+_l(6!ZG41dnlX__BEfvfM3M0#YQ(tVs_l~$om5^bJH^Nxix)1LUKG z1Yl~E=ZG5bygCz_sizD^cRv>^5Hj9Cz!00`Z@$XV7#QWt656qd+c>M6>(pWGfncdk zy@X$y$asHtK3Z zPB>d}vn~%~Gm}o|0$Z!*3=EFS-Z;qGH3sk33GvNxC{aG~_N5^*-LETi=*ltQjZDJT z*HDe&ItE}ZMqLM!>nf(xv?(K9)dn`xT+M-}2A?ut$@<@s@hw{Wm19zfCc3pF4dwYW z=$1_Ty^U8X78t9t;@rJcg;Si>^UIXHUZ@p!yQKxreFxX}4D!Ys+7j6?4K>$V^&!qn z)Z^wWRX5#iEML2K8hGlV`vftfXgtN_D4<;4$ha>eeEa4>dz{!;HqyVPT(OAfA&0hQ ziHjaE1@6qU8TSdoa}ywRNi=uDSznK1_%}012p*CW{aopU)y?FWQ0a2N`>L9pv6cGa zWG%ttTCcZ#!V}R0lcW8gtaBC7jaQ)~hl}aJ!|*u7nijZE8@@`kExii|% z?$#h)+K3*`+q|OUq2G;p(C}Jr#SMB)_R%jeL25FU?Pd0~i3CTz=GPqfl%5##v1vEV zR*m1FQKz~@9XQvu_%+9gGr0g~@~i(jTQJNHQhpFK&&&C*ZFJ0kVWI+I9kK<}a1?XQ z&vI{3oYq_%#;Dr`Y~3DMykYm!>PP<&URoaxBrQZCpR~~XR8-&gH7_nJJL-7k8|O(~ z;_L#Hj!{nxrF$bR4*A)a|Ev42d-=8@|x=MUqqRn2*M`^sUW%^ zFu5x}HgB>$bJ*Nh)Y89TW-XEdAJ={9z4vF`ElnJMT=r$YI)1QQIsXF9Hb08K)ftK& zsu|w{Au6$J6@TOaW2oslr_@dvQ3yGyG1exCwOC!k#EFit4i-93I>v&r;bLYh424(r z@&)X&4ZZ(>W9D{-v+Etc0wN#ntYV+pCMi_KiV)g+yRJrfu&!F=m-0g#*DZDlS^O~v zqq01AG9$ROoPVIj4#fTMBDRkAZSI2ZnprJ~EV0wt(Xkad>l8uaFjKv0-18C?M;gurk2(DNVY6?dycDwx_c&q!qB+^)^wwUjxx*ra zp9Dzd;0VH+*)na7fPuZuK&K5*74_8<56~vFN{1;3@PZWsPZ;mwgyIKBkb=ylfpQ;; z_t|OQY!9-Q(7oS^u9oqmw?1nY68;W2-5kS&ID`5%6M;lJ1zPdh1MnMxarKU)1^wUG zCu3S8KwSLQ>cqR>YGYNLHH>J6jhQmP(+4K7MLP=7;Rt+y#;Loa*EAf1(PsX^I`LS& ztle*rZL%|>4n*4=8VRiQTRRkQgoODFugu?H;k=;!o`-B``;fU#MC%80$q0D*wDHW% zXGfRvkEY1qfVzw&vEOBR$|$6BTQuBmPx_XA5rPF3CIYoKDZo^h)#Nc&Dvh{F<+{gY z3F9elR$Grnme*5?P-`=lJ`Tz>=OzWB_5)Vup{qaPd-3L$wz?rU<>MGBr+4T#&|3$~ z8*0Y&NpP|?_Xuc8zhwwci4z8*9oOJY>uD3JfSUj^=0Mby%%HQ=N*eyQsrDpfk+D4C z)65=rXE?+5M=7ToJx&Qlpw z?+MGdr?+X{_0?+znUdNpOO+rH3p&=$NwcJI_N~;>u`FXRadcX?q5|7?si*zHsmwO3 zU)0NVo;v;oJFw_H)%%9aVIq~WQ9fqN_9zeIMTmzewoxGa{{R>*N6~)M@Dt()J{b{{ z1g0%Tqhhk-UAKD%c3}vvu;v~7QtG20DE>Eqm{0qS&~q50ZWsy>Y|djKpn0C!M)~pZ zb+nz3{LPsuq-_J+4)bRe=dL@e2_cP*4{MoqL0XCh&7>epJUsd4csovl8McK)Z~-y{ z3C8!FEpE`2Z$RQA02?7^rkHUvj)+{igME3sxv4VWx0aH-IJ-p~d>D1w7moBlmA|*;*ai2MgCUU` z*F|`Y`Tb;Fo@QwRtqR47u zmwS=XQ>OiEqddq?G08ank*~E!U=8EQC)ufaRW`FT9DN0w)^%X^F50t=(v-JAdZ*j2mMB~01`VwRvm*UX=3w=voG=qy-r%+Cb+)yL1 z_C@o`_*Kz#)odvCgCcZMQ7Z4SKNL5ikJc(bU`G>^%@w=Fs9qUwWFzCVwX$g{sPyyc ztP7I0Zlt_7C`6a&TsskrAJcj)n!nx|!-T}=gOSL*Dd>wf3+;pBE$8_iHHp*+WbG2{ zA@2yrJHm2ZNUbi%hjbZ!WB>nW_RkW`Zpk>kHbh9@Qiu#T-4@2h!*t8vS!cH6n1j4h z6MF}9_MFa+fp>-U&=y|`qp81NoiIMC<`fGKHm;F|A1KulmmAU=&Kd|Wgfqk;CVx0^ za3ZPaB&pD!bl-?(y4VGTpPB0Z`*eZ4#9Q8gb}hHX2D6?U;xv=nAZss>t?He|-ieJW zqr*_jJYbz+lO3@56_};+bs2`)Ep`iInns(t;Tvjx_ z(a#rcFvxfc`w`&{Hdmm0R2tMe>)vq>*HyCuNEL@4JdMUS@Vw)u`G4A7-S?h#nY@&v ztik*#v!35@A~;-qJ%Vjq6#ZL%pFgGjo{jIaO3|URH@m6hYzcI`O9TCOFvrFHhZ5W8=xe5KtL1Rb#&$3;9G--MpH1STD$XWV;TcJ27 zeQ*n8c=1SM_d#}U`_HoX(wsS*SMUt znbp6lr<^tNwrIHh3bQ@2sk4!J#iN;4&wcU3<@Z|?*NYlXlR3f!S;YRZL$?76D(OI>?IKu4u@v8ILbuWs~_b48Vb*IIg)nw|?gl;cm zve^`nuL4TWory=zg##Ombi)ubCO#W-BYY*|v9(Oy4Gx(<^H?*P9QxkM_0s!4W@FpC z%Nq!+En4V3fq1eA>4;%wH?pLox$9Gz30HY2USoP4s=UNbi6g+F*uUU2q8hf;P4KPL zx40o5(0tTqg{(4;lpVb59(JCXA&^p+>tI1JOx``kXp_&-{LJ0KVZ?Y|-Af{3gJ+~i zT0XS9^Wh6&ZH@Z--5#XA++()Tead_Z0_gr9&fYYv$#ZT0MR<}h39W)v6vZ+q0@7Ni zib}P}JP9Psq_rX{3RS^~3~>k`O2A@5stshR36U|a0x46{)jCv}ED}4IJ3An1TFSxJ*LAW9dHM73_a<_Us^iqI z-OUrm^7sl7lC>7|K$>^r4L(}hW1Z3L#?sV#p@hu7=PYt`A0mR0qqGLstsc87nH#-w zRzil0&O+Thi=Jk{^Y{<9_W^=K>x1_Hg%^S*p|b|3BM+f>3wW>GlSCr}uR9-_MH8!O zz)=UWHi`n@VW2uiI6AB{_<&CV4?v0gir*8}TCnB`pH(i;NGsQOX}U@a2_)3O+ze8M z&Dp84WBmd@qczXJR8p0u2mUH}2S{7%v=sg+;IgFLY_Ba}5AbAti1#&m2@DLhbGBogH;8oz<@ zI8g;I8wlC_kF*Y|WVJqrSMo>~oo+N?*Ta2o2x32`A*Dzr9lZ{dj|jXz7xLL_gaQ?W z0RnvyjYyfE1kf5bR+f9m2?jH{B1Y3X`pn58e~FNN6O~GT1YjdJe|%zE`Qf|@N>h0q z0HLC5DgSs;vs7XXi1GzyW4Co@N%8J`M8XnsaKp;BJ zs|TBmF1qmnq#lysm4;!dko>FB-=Uz$qt)`(UtuJUeVHw5gZ1~MHr&wkdK#Sr_{XC( z4%!Pjz~H|WjcYa;wHtqDAJaYyYzR+z z!Ib^6N;Hl>6aL(tTnrx%tL6qeHwhl~^i$;Fcm~L71n3QvFd9l+fVRt(WJP)P4z%<8 z>}r)|y^lLwds0x@l~M5);6k$vqCl;nK~{1$8)kxX{R~+@BH0PNdH9EdH%Hjro?HFu zsk$D8T!DhuPsb)6{OD10_-e-^ef)cXY18Kn1#tv?ihqbmOpuoFv{E7EcL6#1yte4% zS^WYq5;zuT^kaHLoPGI3%go%vqfxPKfQh9;k|f)lt7wNFBQRCl=SNHWWH~~zH?ZzV zv6~I?_4A^kT-88Jy-d9{nyydEdjg^$e|g>Up;->D@jmmnZgCpp8Amfa{nuP=uTA|9 zG%;l~j0d{@5}i7wKl{7Jl{w0cDq)|Lnjkx=PxL|ievvSgR_b;ihJmP`jBU##{HHB$ z0uX^k5J;M_8*VJ^kNzK}Jp?FXDj?6r%FMGFalkrJaL)e~w;(_k?}g7g_CHJey`LR5 zS{>J#E!u9J{s+ZLyEr`s80H!eoY#N>@mfTUN8v&XAlr*&%=Uu0>3~(B_5NfqI4+J& zf#Ib31q|sSAB2D4jCr!4t9hxNPrLH*4>H;CM5e zW074Bc=5)-RUXZonW(15+VBnpw|u-JdFsR@_@R|-TO%gKwoz$|*2Pq#cxW51Y5Spv z{i9Je-F!t)I$yKI9gSYc66r!2{T7qjn<}o=mR=juH9he?0Dhg3mXrBI5^FA6yK%`ZGuFvBsgxmb>V{yK+7n=;x5^sk8Y8C&Q54M!?9A)>juB$zr>H} zhiOaNUNjfUhMTW8dEYSL*#+Z3ZB`O;+T&|O3CY4W4Y8p#RB-u~(@y(RGo-CgbwQaJuK0e|h zc#f=_gsRb#?OCa306^FB3e7yjwt(Sd;&=0>l&_~w`pB9~0GDCWwjE75TfAOypKU2g zhA&jTDnymrV-4nfLp-s6QrN9sB+8rjt+*_P^9H$z-* z^~x@`CA5Tq96Me2(WAn_krsWzSRR1NvbFa|zLWP7^e55y@06#q1j=NPx>!q$EzDx4 zSYhd};QtnfMvDNJ*-*7ApFT=$5q2BmE7+DDApi6OS%5{;-iXJ3^w+%#%{==8^c~<& zG)CH``jnEegal7xYG|j5Q21tia?TKY_~B08oZ99EI$Xv0!>-cwq+ZOKFTb+Dy9Jm- zMjsGs)lbnRG_kT1=~9gL+K3?&!Na@8l3dkXR=YW($A;LgPpxtYN54_M+x}8e7%nv= zocG|qQ00oJLEBEfqQ=vkmI^oJE0Gp7?x}b>QJq-%blLzE&2hay*&84+tbl$)tI~Ez z2DG<%e(9(LAkgPMipGfyu7$8&;U&E2xvJ15p8X;0+jTExz*Hv}I)N4b2f1Pp#EbC& zwJr{j=^;jiOMw3TQ0Zq{A0M`*Y-}_@p)b24j^$qp_KlF1$~w@b-E43C0{z?=3edfU z!gb>yyxof?R~r&Kg&y;&9O|83T|9BDFhE%E>qC=iiv#5K6%I464sstb|0R_z)L6(- zsUeO~(EFlE(&?JpeAVDX9;L=E7~7piQfuk+U?6IsjKr5YN2qJehAJSLB`>jceCZ%$LMf?%d8gSm6ru)F<3qNC+=1mUi zrA2|Yn-pD_nT80(yFKlR~YC)QV%r?Cc7DrvjBHAt=I%rdS{S+*GP@I z48p?SQr$~G z8O%cBawn$_XIC4U-&(e1REr@|e>{R;N*tr!**0V^4zHiob-q7W@0+LXs<9X(rLh=~ zSYOY)f?$UUNW_ur;u(GD!C;8Jw$!Fi7T$YZwMs!I7X?VbOV8_~1jdr4VfAPW$ij;Y z{F1tKs7NSW)p$dVWj;QIx%l#fKPI8ki+@dQjN6eGj>%vgY7L4xm2Eh_MRsDHg5CCN zzVXS>qYfR~hZ1xNyQ-KK5<_2UNrD&%_VOuS$XW8R;Ij7_LpU*|ykvYvI{J@(VXqaV zsZ|$0Brn@30_zFac`6#@sF}f(Cp~u=#0INNpC2f_Jm zZM#WhhUhJZ5RpP<+tr@ID?UF82LVU1mn@{j%cAJKP}S1x|8_k20Q|OsqUQMDUJMgs znPIGE%+0{LhDFUvOjeq{160buMbeHt&LwKmlTnX^I>TH^ghY%Z%rYb18)2au>)mBA z;vcg1%^$bRQ`}p$4CTu(?J93pM*e0~36v+M!8cco`)=D%%U$rq#Cn;k z6Ocv%3!R8Hg^(4zsq*lZj6l;o4Qo387%@)utoOo`mmLi?@W4@76X|v znrpHBM)wFMh}fmHHz=}dtkmNfKD(RVhpXo`BV+t zUOjZQlj3VWJP$c15&(wZ*F}tq+tjw2Jpg$v4ro)9>f;{fb05sxD)I6P@91W-Y?@lQ z05*s?IqSVKVH^alN;&3d^H&HdrPYdBt`kC63Rosj@v;C_weJlfIltD0>QW&HT%!=1rt%#{ko3AQDf4i!sh%e5FX zmvRy$2+387-3$7Lufb1%lgoscuPRS-9~dH%@O>JU?2Cv*7UR3(bx^-tp=-(g`q-RT z>nEq6)s(y$7%?>#sPIwhGl=K)fxwKQs4NOAQk zS|6LEv|Z4sAs=8wJTpF-*LAUTney8%QTUifIYkgK&TCHgFHP=}4opv>e=7AUo!thA z1hyPB-u>05U!hU$vZ3&2*@?2uw=m&-l&K}MEo7=$eIx{w4HpNt8H~%Awp*wfP+A-D zuH2c;L^Qs#GgqOL_vWD~hWPV|Pfn<{kXe)dVzdk&k0!m;h3l$RwhYZPeG*KYwzM_r zRkqo&xplMOl@5!u+t|1|^dXwGs?jquzSDQIyD@M+Hb)=dri6PZv{p2G^a*LjZ&+H$ zmPKu*kVlO# zbefc^ZBOfmX@7QX_Nl7WE$?Yi6SdSU3LyjyoE+ow3iRNKT77B28?p_F(DEJq$XhDi zqUFOO04|!iMD!qppj{i&7$XZtpTc)k*__4a=o5S(D{fRYEHo|Xe&q#H2MZq0o2-v# z%&bio?1G**WgWB@AD+<3AenCg%uY%J!@WHhLKwwivO4eSsgIT0K@nVI5hBV5ZhXDo zQ33~CyRQsV7Yy-ZKwh6s)=sT!f61+TnTy6x_ZJ2D%DTEPKmkW4Rq7&UHVgZ#N3(Ov z-zg9knCf4{Gpt0T_3`2v{ZNQ9yVVePzlggD9jdXcOYg3s#*a!H>(ubS;hxe2D5_X( zVSv0nF`+-DbUkmb*#CvB9|~eJpO%x|G?N5xhgTRMVrwayDZK10EW16gfVT%Ixvj`> z+zIE=Kf_gW+Sfzjhk(lNGfCg0Q_Q~u69eV}*xf^BI{O<``bxEpAy0S_j_!`Rp-^L} z?WMPd_?JSJt+-tSY-@soUs|it8RA6(cD({F2YB^x&B?xoZQWI+CDR6D#@wS5Rf6D} zB}5~hsL*Nj8#eIlueHmYOFCW(F69mnO=;Vwl(w;aV~7gyxbp>t^$}~@WDC?QjCO;P zna;~z(8A>*Rx0lsCr?rW2k<$Dc=uS2wP;!_(t5q>C!g&-H)qVGXj5B*Tqo`YVJJ+i z&{y8pr}9ex${jAPpg-<8K!Tw#E-Tp43HT)txD3$ z=4}&_;Zlb(IvHrlcmZkN8WnO`n?{rkpoFuKw>JtY3(Blr-L0kKlkiX8JZT&hEfzhj zRWNwqmyRF5VTfzFCU2LuUISfNCfxJLebz9|faV4@i-;yd6{yMJ!6U1Nd(+fV_@LUD z1Y%}D0wYDXW&AVGOHBNRzd0{bVm~sg|ugqnWhuX_UI7A z^GwP*FW=`ha?q1~OC{L?LsFD3F&}ap6&;`wMdOJBc&v)9PkhT-HK3O&ZEJ-MLdw9< zR4OQs-W8EDb%x=8HC%hM#1z;i=3<#bP{_&M|*R*A#r3 zJfctd*tjKRYo+#b?@W%RS`5JzqfvFxLAWxlfW@hNSN)W-euR|Ew$Sh(DO4$~TvA^E zFKX;bL&63vg?oCeDOjjQ_3g?y!4O~Cv$cRcM!iDPkYz9-EDB!Wg`z1FdwvVLvopvIw#3pyyKygVU7teBR0b*(*v3`TwJygbBtVGTUhDt2qV} zjH(rucHX;0w!0&sw&K`bQiQmoWE|9N@BiULTJvF1vhT%+1y6*xHK1c?H$_%USmLsZ zmAIa>j^+1M1O9qY{ot`dUz8J;w+%Yi=5A+}B(ibSUZQWPl)1AAMYsA_+~Y{B32)+e56M|GY|D08%$XG-F-HB>b+eTD_78#q$`8|A zoM7*QgOZ7#>|tZGiQua7=3GL*0nh78H1-rCSK0AcSI;^BtxCLx9- z3O}MxgmkAqvK$>=&_mjuEDcS`Q=Y1Ne6k9hSvD}-I8?koTwe*9y}P`tzCK&!Ab8g4 z@lu^;h@1U)h@XW5&NMXs8*#u)Yz>0mL{l1yoRU)qL!ro2+A0}rEBWNK;Bu;Hy3rt3 zTFX}J@db9TW9Mm+XT(ENDvUkh-;?Z(5)#qGYPK5sK33vsVd2}N^=IiEONJn#@58h) zLSq4$GM)@k1W&3~B|!hjrv0UXm|8)8Fh~V#-9Hn7jLpSW~EgcjfGfj}O0e!hz zXhz|O=m)hB@HZzOL*qGc<%6)Ugeqw<<+w^aO4S+#PI+hvSY4}j;%C|3O7IkDK@=HR zP`J(jp>lI#xt`zy4m`b~#-bz1kR)aQJ{hWu5vRWDmp8ra+1D!XY}ZknW}sml|N#LdsbullAOvIQ1G9eh#)04QhS*z`#~ROrST3y;}Z)5$J+bu zgqHVN)fYfJM46q5CeGG)>k?Z_>wIB|&9!W)3%^xFU|UXV;H(>{=9kpNY4g(98|><} zCWxyZ-Qe{%za)dRp!sTT6G+ztfh=-jjXAhK;^rt$vsGvWpxsqAB+=R49H!DvXz&#|5w0Rz@odrcVnlZ_RGP#nbi>+Bf%H)^w+Gp?Z;&~ywI`MA%v!%aIoQ9m< zuAmP7ylsf=q>$1}Ss#wq?Jw5$)xPY4p! zo`uw#vXAQv$h-+O6-_*Ch(ZhGeYL_?L&6Az7%EU`aSkNDA`9lqugJuDL8-UCC;-n# z;~(kb(@8@;4L~ERu^fedzx;IhH9U)XGIOr1B$HaQ00(9K!qBv~U57>(`;(Aj9HSm) z|0~CP^etpZz;JU1bo*MXC-Y%5fbs^88y_?t6$C2bi!anQu)c;9z9rX# zdL=n_h+g%GwTh^(gQ!oadrqR^lZ(3(L=tAtWOy^3g@(8Ei5)d?)dMO{L__u-Vu*vYA|0)>Tzm^e}zCgopC zfxc8?L(AIop1@e`q^`$W1 T%^kW(efId_qRei6eEiTfM4-&uis{hjBN_0-vt=cM zTvo*e3InZc*NswDpwmmaKcpin)Y~})u<4MdQDW3OW;=9&&i?xesg<@-$+`OYab4+W zB(56zG!RmQM;9Pos@mBHt!@ggds%)*u)tz;d6r+v=WCAkK1oj@4tHIcVy?`GmsUJO zhOIJJZQCZ8FFksneI|QXKgS%;U`GhmmFE&9ne?aV%ri8Jp^KR49;J4x0gH7XvW)Uu z(8R_)km1FGIQP!R;31Ks#sa)B@CuI}Y?*vX+n7sMpm9%T_34nqB^c2uq~x?7>#n8_ zm}}4Tn9v7fTkOSW8>#9~{)o^^IdIPlLsK9GRWl-?hLF41-;)aJ2S3gmE4`v>2hE1a zCwZR!Sd%#hg3&-$ZthTsM95+!cQTt3@n=V$F7$D6^Q8X2wQ+HvY)gQJlJWmdVg5f< z7<3#7kaNa(U4*5dH2S&!ve|9*JMcTa^>&vW0f2vLgFOK757ll!wc`se=zjtD>M&h& zI7M#IzF)A<;M?v|7`fKvp9FW4ofL_*ob*lBEV6&_TgOWUf*`bh@yp>#& zl%VNr#>+pND$|a68M<4p&6A?gL+dJQ(1QhH^)j-t4xQ-ZEEQ$vqOk+|PtaDCb-he@ zF|BwV$io>MU<_s@Z$F;NT`jD!P|Q3SeF^Ux^D)idF(7PbOg6CI%M$ikaiJ%HCls#( zw2S$RY%OK=NDVF#4}6FVAWLR6qoBJg3>YQf2d$7YZD@NVzsCGba^fBAL0wS(u<+@) zLOohy#Lyt}b}5)I)akQB>T zcL|G8ouQfvuD=33{&=p^Dmgaomg8K{Js>rL2u=gGWB*n1ZR2vslvlYUQ+IZG4~~<^ ziVpCFXa9|A=a&~Hg0cX^QUHa99&D&-8JDnUt7>qfI!G3Z0MYVHV^hGOIuWu+Q6HG# zD}na~aLuR)o-WGAW9SW@VYJhNvp_9)a!yuEi%=(mc0|y}>6=}kg)a25ky$*9B3*rk zE`yy0*8x%zX|ot2B{`TmxPt)@IofbQf0j2k38*?Sz{41;xrqD(nEHD`uf@t0KhEqL z94ECaPIGa|Ff5Gj>^eYF2!5u+J{B`KRF)WK|7nFJe7rVfF zW~9d(f;wIf%zObv4=L-$H}D5{#{NDoU^&=Wew}`3eU{O?sDRsW^k{p{5>UuQqmRAoONS)GXb=}9d*Ja% zHtsvGCIMObh7MxkXt{K_QKP5$=}1O|kER0v zsBZJ5(KRarpL0sh68J_@Pg{1h=hFdQEKu~*+K=ZCw-(deGl_=C_)3=rA>_CS_*K#d z{{mF@7LXkUbb#-*cfeaVRc%=d;RNx7>56eOPjO7C&h2~xTR)hHBvAK7uIx8g)la^H zP$1BvLi`ZCEmU=y5!mH`>#5M*M+u#};OsgMGFyv{ZJ# zxmaynxAK{$Dd7cqb1WYL@bTIJ!5Ti z>VMum3wD|RufDy-mVOk@g}vC)Cm^8#(9*9%B3>X&M_^_#5yhe`?klShN2@67eG}I- zHv0(HuTYKs#gH|E`~x7O{LW0Z+$ zG>DT$%ZBUzm~t3@@?M0w_|*?2VCN%scx2KKHs#T-U2E^eQVxhGnlF3cA+=6kF&I<4 z-FGgUYt{t5>rEd60l(x$pLfSt_YA4moR|r5IbuyM*&b|^R)CAMY$uP+HqqQVd}G-- zQFe6=M!Otj4&}8EsDpdEn|~z!>1LZl(3{%Y`vn-ks{kRc zu8nHxMaCyW(Fg(SsOIFWpFvR#B&LzapY^@!23DuivVGz#7{6mz2tiMuKE~WL=Hm_M z02UhYUGdszA*;1Z-Ecr2uP9@FiSJ~(SzEqYOPFZJ`eT>(86U) zTetMpAf#mYX*|{GIiv3YQ`mei8RqOoj@@`89BMbeNUwj*#@2Oo3iDFYz;+pcn29+c zh|-7aGF2Ai+D+u19m08>qJ#g8a->znnE=`0DyqlkoYHvBtO}=x$~CP4Qj#)tK@j`{ zn~#wNQLBYrCOUbj`iOS6lk_5%z%K%PNK}7^vG(WQ>2uL3K=-MHV8pn$hNu^bw7BWM zA%9{vxamQWEXhR!GzGqFjFAaxRJ*no=eHa0HS;H{$i&u?HoYI5dI$Kf0jHuMND=deUnS&KHCplyw}i!i35cV^8UIO`YN| zRdS^TT>~xJNF$&pOo_uosWU6^>j#Y=z#jU0f_+DtG8)_S(a4leKckUDDgogCcSURG zG7TX-fvm?I{K+lFs-{Ku#8mk>Fx`OG7A>Eqyf3RND^%Ck<${1MUkLWd6U{fl_97R| z!P19~QV3qRToK*js*W*C6d8J5_X@ekML=ycfYt+(CpjrB@Oq&SL~E3|NuZ=c>_`Xf zL1J0ACZ3tz9s{26F`l3iydoeYmv?{>L>Enj@b9xQTQF}lpn2S*!a@HkMP@E|kA_~$ z6|K4`qsUz}!Moq@ub(e+oK_62Z6gkWOI}bAVu+4s9jU4tF~me0ZGgLE@CRVnKz#vv zEiX;#GD^WmlG9i;hOP#yL*a{ox{iR)E<+>jg-_J=9gt<9i{j4paTAlLJF`-GQ(xtQ zXJlH~F{O*i$|-)p&~!~5Bay!WpJo5%5JEpFsXc%Mm7kn5L-*;fK|qiiEgUIp0AnyJr`qL5Xq8SI5H2$g{xzpqh_Oy(K`ON_vy-M``&P1B}ZinAR2HZ}Sd&xrAeDrnWs#KwW-hlIXbqCd=PER4Vn zi}5_jGybnxy2pC*MK!7dJMPzxEdEQ{lRU7uYWCKm1V?6AL!ej=Yz&_l9P`YIEm@wX zv0y=;^i?bNPSz}G60c>9Gj&b3fMnzjWc*lAj<2b`^p@I`Us+bv0U#3~Br(oL3t>;;&F@$}^~o(=^@8-1smJwW{_{8}sHa^ZcXwlMO? zk=Sg&kbQ>36!GtFOg(zARBODsz^Z5EG5&&Ja?F~J>QxqD% zsoQAH0N)ghPor~aPMim{)qRj!)>uaZf>WX6q}1qA0%{H<;X$et3tCz)MPzX74j3YY zqgM@m{Guq55gE$GM&q?bzbL$4l$WSSdT^R1+!8aV$-hjUOzS=iGsC3Z``j79*`QbJ zzQr&mgQp9B;LdpC(NrP2P+K6;A3CWs0dX_)Za28AOW)_TZ$T5K4Uyjo$T~?1_fj{D zP9zu}3o<6}bhJJBLeo`scRo2E;uiaMbqfV~98hBy{N7C3<10Hh#I_JTpg7IR;vanq zL4M6I?-yH24BZd+cN1el=i147Kb85_Sl`f(5o+U>%Brj^qK(Ov$!d~8;yT`OT-zfT z5w(WMXVNcH(CLAcbsc55bSdSmj_fspu~AnRNP_t;DS$wX@{;I6bDE0{J`wZg5;qjw zwV}j<>bv8@3~yG&P>)aB+~BEsQo*Qet-(uJU;t&XNNur*=1}mAzRTvO@rKr-(R`x= zvP|g{n+(-!`$WI&=r{e@+4q%~v!#`t(?AxF>~9hqvsGld|A)S^#2_|H! z@&)iwS{j&}vO#|grEt_ML9@^R`?oZ;;v>;i=S)m0jV1%DCEw*d&;_C&=we>vfC`$Y zyJd4#R~!p*@puX+yJJolJTHr%$DFj`2?o(FsP6D1?2zQYSt- z+NNpbW)o4pbf%_W3&3J}D@V2<_#BwU7O9Rwx zvAmd8YxFLv^e2H&{gDbWI%tJmextcSqduNK_-FrYgME`^o@f8aC`E3gOUtM_u>V;t zoGiOSta>TmHVG2=SO$ux@74ty_jWQcdI=Cj&?1!TqPufBAUXZwKN~it1WNA(*s#wm zas0dPh7pcQ*56~Fdr*8Mrj-y9=+=x_A$BXU&SnjN*W4yoVY~kv{(AQRthuW_ASKWV zw*1u_O|X9pgMpQ?m=g$PGP24Zx5+S$Y{CNN6c>RD_0_s!m?j+6e_{ebM1>L%7YB7Ts2f7+qEPgDN0sx%jvxA-Ob$V5iTD$axV zS2tu0HofMpd8WvM_#ytK@KL5s6?=)`F2tUk{f7UVd8A2IT1cAVBH9S_P^jahxweOr za9Od0hhM@YmE`%q=y0rTB-?6wA?c)wgBv$cZyDzQS|GupncTa`IyI~H{Rxd*b9-GH z`^AvnA8q1bP?+AWV{Q>{R(0@;1mN~OBkF>kxx2)BF7|ogFgV};3pC#12%{(%m#%8M(Y8@Q6nQs#-5rp-iA>CvvjU1yvm?F&eq&- zDVik$j#F2-Mwh8OVCbW~%KVSx4rtU9nm#m1&Un-X)pX1p6kCGaT=DvRW3{Eph%m$q zGo8k#26dA7ni_8V4P)Mm7q3hN*8?^-1BeTF^7bDOK|djv5ysI@^Za8)^kP4v26nEq z5-pe$457l-D~(O?j5}wy8BGj)pq6%Z{i(}j*9d1i8sOq2f{XnFW0Bj3saH_Z2%{A* z4;l*4gOJy!%c?iVbC5co(LO7_>5VcIM{i0gGr?$lfsj9$wMLNE;4cJg7#ll~t%8l| zzCI{PpCY-k(2pkmWr&{4Uh^!ixp-30-T7oQ3bT4-q65xawzObE-GfWOZ$QJo(804) zp!apr^NY`p2!;-*uA#aeNd`phRMA=;V65TLD^39e1T4ckBQABQ^MG+9Pm+O0GDIJp z_%LloHyE&ZRcUMT@P*yI(lx(Lk!OgM>jG*g?(2e#4sy7AoX({dvf2fWl^42+XFE4G zrDqDsH}&D*_K}B+Q_;9tE-oq=peXe^z-|KVjxg7GAULh^F+(90UN{2ElRnyG;KvrK z+rS*D3u8>@gUP4ne5?Y3X}YTI)u57&)HUr*07nfl8y++nUJkRdT*D$P8ZIx22Ii6Q za0)3lJ(D}%c$+?)ZcCwH~ix(Ui1IU$kb``6_w_v4oKDDEaLaHgH2u?sZgq#Ll zx2ATW4=IG_>SdCWV2LZ5QLn>`GDkmV%xoqbb2h+RuQ7}W$Qg275R9Ny7D+9JST5Ws z@`!?>tMCRO;6>0?oMlm?h4PKW$@V?MOOW<^+!r`HEpWe)0-wNWu&tl*sh1r;HyR3+ zm9*se|4r$az_i93HrliQI{-t!2*ALuaQ{zicIa1&5Tc1Jgc$(+=UOalv1+#Ridl^) z>uvWH1TQR=z7sfLX1Zcot=*1jJ4$SjLz?w&)d67GaqRMV<;HZt-8-_|!CgIMbXU(; zh3WE44Na;T0N7Qd^_9bIf6M@F=!e&jW5;_C2#!L)-__#CRAOi9YC$C}DW!l&(88eT z`5Q8H6H@0wP{QFg-|3Z0wyUj@+g|G+fXjzqKtnPbsnLG| zc$(872#(C_bcIp?)O*H-dvZtvdjTXTxoYfEEQUg>tm+MWqd69_8_+|BRSefU zZ$OnAAsY~x3#?}I`u1n{F<(etj!W4DV0nRgUI2rorfOlNW<9V z%mDm&q!ciRqYs3a;~|eJvnQSOy17^ps>Vr%fz)2;DDL9mT6o=pKV?LMcwAFJ?8l%m z&?52Mrq;IEt!qWHI+2c8+3A@D+n zr9g?_SXMY7R<};Qhfd3Oz&@Mi z7xU3gBh(@M*(o?%sD^lIJIHMxz%`)j@r32mAoA8EGdM!%3vY3+=`Wh%VaLC(09@H}<}A9$jE_rt9yQv#zS7UGtr1`$6Q7ffILklefs$OVNf1wQIlwo5u`T4_GBDN}aTXq4rZ6~-o$>-3-W zL(~|stdjukNXF;zEFq}z)z2fM5txz~ciw+j|KA8N=%VxM?)Tv$M5#cy%Z1~D!H?1# z0R=k1WfNTc`#unY8MJ=J*chQd>JYI0G=>^@6T4WII~0Z(eQxK1 z5DGRMnBL<5urmaAfvGk-f1$4DQBS>y!Q3laP;>$z3zZ8my4H93OA?xg38ZEd`&I0G!5Ocq@r@N|WMq~`bG-Mm?I`+N@V9JqJ$7M zN|ji3q|pEn{8Y#l6LeAw`$@8#S6*^}mnZ0>;U{6J# zy_L7K>*(%f1 zJ}2G65YC@yIcktK(afKlUEWIlp`-jBC&i0addaNNnQoOu>mKmn+Xr4RUT$vd;r-n* z|FtDirY3HFYb~%&SKqoKPS~S`N#B+Jh8&IBoEd!B)H&*US^o!zU$Z`X%8Xua)_h%n zakKx}vZApU<2H56&-tCEr@v0&Fav}SaLnmX&1g*O(*&f*-`8??OXamjjJuatz}M$7 z?)7_I|8eWsh1NCO4oI27afs6qpW^BOTyT{2m-}u0`H$^Lq`SB6;XAH$CwkDcmM&{E zww)`^d-D%_yv%BX&2ZbEIE1{P_R;x3?kqj>Y`A;YCR**k5NE%3hx+Fn#0h`$Qls#% z71s%bCzf{Bye5~wmY9@pv<=t%zGvBy{XQPN_QQ{AV-8c^|IpOTC+_)oRhXyr7rd7Rb_CJQM;*Um z`MTC0vRL;KeSrxEhf_Dd4;7W zqu!=7_G2{M&C#XLh;hs8n_J{1%w4p@Y z7W`p?+og@a2ICINH*dInX)7l6ZG1!AQ$$_2QhvjRx|eePse`x%>O`0%u=@WQ?v2=h+EL|?e?*ECvPK8 z?C{?PnZ;()*O9QO9pC<}AbTB?25{_}IMMg%uMfV>!0bHcxxLok4B2;ZE*Z1W;@wTA zPRlU+k9oaPR+#MBc-O@w(#0b0lRK*`&X}&Zu2O~4zpmXr9#i|3+J`PQ`I>F6G{kK^ zuzz98CamZEb5Sb_vK~ijSN$4RGH~{WC&e<fdg=`Np|v6>N+*NvF4 z_m*L-*Fh?C5PheO|3A7Msx1*D_z~iU?Y0Q`2*Cz7BVmIFEKdK4m><5o5dg>s*dAs~ zLFS$~Gv{ZVwW6ekp^!!jqom$K1BP4{2Vx8slcSeJ$dUUAw?X=DD6EWx5T2sd`+74^P`BCup zLe_O;rxp|Nf%mI#Fa4U7b-?R7vTMR5>yGb*o)-YE-s~ zr^|-}W}Xf2S^B1>9d1Fkn3RSx|J?8M$+2iUG0J8u_P1rx8vEq*;Qh36MB))jpq=~> zaegoNQl`^ArZPr;cpq}g7Pl>EMM8LV@o58Y=YY!#|BL?$yL>xq8h6xg-QKhQqx3JM z3oCZcSpDvz-hZweaX!ZSp1Kr&z8w=uu&wzyul9s$5qXl1M-0kgSE+iDg zhJFuoiR5%FVEvP%V`h&IU}=;i$d)zH4n=r5;`HR`vbDFb1p6Uw+lmUWenj_sP{Zc9 zoRM2pwN73_O5Zox$#$qicDAgGj`(?h*}KTjM(e|rXvTGu>lhbP;_~(OVbNa&D`=j( zk9+L?WblTR7P%}T!7QDg&`WogX>AckC!J2PdTzIM@Mc0i#=SB=DDn;BdHWkU{qT5X zV^s-lo2#F0Gc$&Dj!tlJy>>f|g9Pl|V{W=T?Jl8(7KXe&e5s}PgG&hK0M5H2`q`R_ zsBoWq+^xtV`TDq@jw1E1ky;lH%`Ik~eW+*sv*n1hXg_`XkCBb0i08m&Ysb=!O>dFN zW8vLiAM9Ix;M?DJ_Fk)X-SPZ>KgKO#_f|WL+sy(@82xdZmltLE53P+fLd6Q(Z6yEi znI_Hyj*+%s?cY}2ehqPR_vUAHp0D(*u-fJPf?i^U2sRzV?4(QEO8KvreNpi(Wp(2Z zaoEe7V}1<4bXxAHez-k|?)X8qt6+6E^z)8T09+#O)u*a2{@FbIe`W@BK&8ah~ow=rls+}R>ku-N;)Zuuxq#f%85Uy+Qv283V%O1HGnur<^Dm)+wXd1=AVfBQ=hHH-+hAo z`7O<_akC5in>~!+=d&#Og%Cm^EZp$o96SWkGBL$9%K(Ve`@{xey_~G zPL$3avt!=&x~@q?oJLunev0UVagpwsdtwZ`=nl(P30G{uG@H27_ht2Lzn61qM{^S#1piO~z7ND$3{r1MeZZZ8 zInaf%`pFfyCGgu9HIE`OOYh^h1UEUaHg&)pwY~k+WZSN?BF`JK3@6OH*RKo&nL2mW zzjMPO!xgi(U7O;0(k)w$Q@r!}pRmeed_v($+%Es?bJ^o6VE0_6Jrc z_+X+pTR5z!#5fLYqMmd8WFKbTD#Y1+_xJk>8JP9vh~F{mj(xr@nD^Dl(Kgy&(c3P- z5*ni>)89)EvO2!@Xz2t7<2KZW@snM^Gu*CYHa^1m(5sFu_5TU8sd?Z7{;jku8|GV# zXYgIbZL{w&|Hm6Kn=5e97WUVd`+jh-F3!&Pmn~mn+$zEkedOs9w|^@UnD{j72l&GS;g?;%ytnTSW+#mzGpoM-shG;d&bpjwcFv=^;txE= zc@BhrW4T9<3E0$viKi|-6U4?vZ<&7JZ58Dba)a7z_Q18q*2ALEZd2GL#5)0bo$mT@ zFya(KOhCpx`RfmUPGxayK3Tf-V00wT?RfaR8!>oa9KqH5-15)Zv74_jd*1JvYO7fB zh1HpG$0AI;Bi85et<6*7$_&deHycdid27tx=`c^>>Pwg;Ew(kh(iAbhd<&B@k?`f( zA7583#e6o*!FeZD7GnoK5M1*Lxz2Bqm2scXIGD%Y~e7 zw}%9K+gtfDBRfNhcgfE%ZY{{vR>l5{ZJ4lhm$IPMx2?){zkmDkJxu&^D_$X+@tOJ; z>m8JEVsQ~1^J_AK*HmLYHm#>Yvc9?o20oT30c6<4IR$YH$VyMwnQNo(& z<&|!d7jH1-`zvi+PV<-l6!_JL->P2&)ym%X;0-6ldz0(uG`cO~?3iR(X=pPEXmoV- zI=4Eh=j&ZXD4n$nr3|x`R{N<@cw3QszH`>k#J? z&(a+OXm@TRZgz9aoP*fRD;qnrGd|49Q#c%$uOYeOw)x2SgdMsUd<3^;d)qP0AzB?C z=e;3nnTK@)%`Y>&!tB)jn0L>ecy38N(rf|? zZu7KV(ibfW3XHpdxP$#ozLZ0=DtP^ATQB17UiogJ-TsbFs492uD6q2d*}QaVS3O)J zJT2_k{4A`vb>$~hKgOPT&ksBz?6Uq@Ql^VC;o`R3`{^9byI16GWyO1x=2|)(S+>nH z=1C2KzTD1N{xsnLCTt1!2<1*BrhD7UJO#Da1y*W-;&%UXjHfH%%OispE}t@v{$lEd zAqq|xT6YY*rD{)g?zhDZ{s!Or@VEAPe+@nBN1RK`nW5tSzX~d?HkiMqx*mVoNY4ls z*gLr18f9`AJ2S6EO0Shl&z~%${dOJ}x&1(D*2dqD;UcMy0k7haQ&H>6_dDZX2T;*; zYhI!4=$q{(o`)T>9(TBe>^f7I`O%G_^%*~IJO8?x4nfnFfvn6sXeYsa;gx8?`XXe|GVAcK~u)$_d zjFR-N>}|+i^=TfaM0%d%$S(ZIZ=sszJkXQlklnAPx*~4N>#6n$`aC3Hpz)XeA2p)s z)E~T8WwNCMeghY= zE6e`!8`yLd>yVFe3o<*k{5qQU9J}TOCM=i`<#HE;U7Of~#OEKPEI;5BQu<5nzp(G` zDt#3dp7o>sdKdT7dDpV8eE}k@+e+$mf}dz~aD(T$h7Jtu!|otseYQAw-;E5mo3~mQ_;CjHi@W@&=hl>l z{n$RAWSrYE-&GcSBU}^rgu?ntXaMtNE+7MFakGk=T8k;0CrP19M;=`{}A$ zlP%5vY_ZG4Z1F!}j@iO_&&+jy!t&gs{(rqwv(^hSh;27w1IKXg_Ku~&!9zIrP-k0g z=%e7BnEVwcL0d^Xs_%t2Bi>>96Y1MtDkJY=(oM;A*rHT8^}B z@6thJ-^?SOV^0I(to&^Cx2u+Fx}4lsoC|w55PQfH>%I#g^{17*%)_pU_Qe8j z+iD+L)=wS<*Hhn6=dJu{8wx{%{nNx4Pk}O$9_kcI@;~<2#IwTmL5hfNLfHNJ(exI$ z2K`@*y?IzuXZrY!kc~yL6?aft!39w|R%;8W)hf!aK*A2wRzyXmRYQspQZ+0pi`9f^ zC8V}lDqE@oDG+kHxKzNZCs};=L83 zyf6KW576J|eR{_K4u`Ry9C+_WN{Ij0`8zm^{ZFji(90><$k~2SQtk4$4d(q!AMWCH z9$_37~*%Bd)c?9{Z+>c|E1y1Jv)eM8L5&D31R5N>iOUK^TRHm zf@h6hu!Vg1O27xi+J!Y%5{Gku6H#3rnf1%6>UW*iz4JP&^34i=@wFhhEqt!+ihTb0 zO0I9t;+o>LlQr{*fz=zA8%;Dq&|yAGOxxzOSI|89?xMGjcZA&>BKRKJyxVov>(Ot2 z)dlbP`T72S@4fX4N7P1+4n4k0@-=T>_-bolK#u=G&Mh8!OUzk1JZ+p)qOdCq1)Hqjwkqv!e#>>eQ|f!x9NX9HnLIbq5HYz zFT}U6#C)^hve&|0GG7Q>wD;i{Z}TJu4$O_)^;}L>0TZ2(~RwI zuQ@yCba5VB=MWQl1O9(m`%@Wb(~*KR6?-^c3{JdX+?muA8dD8YNd7l4^)y{yhG9xyI&JAC?^gFopul9RfA8#aX5X6diX|ub%jRaR zd)uGj`<3g$xf$yt))3ZtY|A0;=WJL+-tT55xKsrZzsdLh$=)ARy?QSB4I`Hr!WKUr z&QV_`Z~C3MbAH8Np+r1V8R-Kd#k-sP!Yhva_4!)S_9jNMUq0u23Hhz>$&gFN%{>+N z_BZ7Cb+NS9+!euJlpT5$e9q;Y?t@=?2gQ4JejQpx*dkh;8gt?%d3zBdsCtEFLx9`6 z$6E+lUf8l-Y2jr*2A^}!3;TvGUg)~PV|S!Kd0qASEgm0*MFf+BJU((=?!0f^qlyn* zm?Jroewmq{I+q-=1isZ3&o{?ZkrSfG#7$QgxCW4x-}ornT=DFr%e8MkgV~n3)oJ9| zQGfE=iw+UfuaXb+i^%H`7eb?n9RH(;9GLDxTop&X^Ti|YAKYV09$#`fBh;M@jNG4i zlMs|6Olb^V6v*D63^k9pBN}> za{fh_(LA>>T6pbe@<;cHfhnE?p@XlTZ01rTd4_W?zn}iv>y)hA~OTZ9`gZdrWb%twS(N4?J+F3h|f^Lyh;W%Tm{MKO>>u3k?J`s&kdH<|+qHK4gf! zoXb65@yW23c4fhL8~^Is;RS!kGv3JxndVx`Uw)6dI7ZlBas7R#?ay8>)Nr3FNgm`I z`xQxo*GtI!9fVbz1RHXq_xlMpIt9)>8*wc8DW*viI|@r z{(9|7qA^J8W|1_5Q_Ah+DpHK1JZF{8}!ze(-1Mx3m}K*H(!7&XK=80M`fHMD6bq zR{MFm?)WI@Lc!~6-*hgN{4Dn=o6~=L+Ueu3C6DGX>b{W>*8SxT-wNisjitr0hZQS{ zK?7+Y#<{5dx(XqYeE#*TQpBJ;=GxwDFOdh+d zny&fcR`u%0#r=uz=2=rgw-htZhI=$J~>G6-QwY|+7!2Vb!S~sG&*%3x`w!|P7=*psGW=6#{Qhz1^2f`NM{AdtIh}u3 z64nHT>FH@IF{rlSYSjK^#@+A~tS)v9DE;uX`OQx5&C-?U$$!4i`6-TeXPKzL`iehq z`l4XxhripMzBxToJ94#oZz2>RUU_41`ex4SsDZe>Z*x}4_Dc;ve2t9|&v0no1cn=B zPQYVg$lb}i`tGF^mn(Aag%uxfCzMbCUB9Z&gK*BXkV5{Pus4pq`Fo1%#mkGsQx@j_ z-2MKhR&*!DJ)gL)gR_&{^ygyw7nHe&iCea;PNf|5OuU?29p*-PB_fRA>qqTgB9tdi?&J_b5x9$$^jJS(g^B^JlH4Ec=5T zxPh!$dE#w~_uqaEIQ``GP!pRd-H-_ew+NOce^Qe@J}wJ7&m(nLn1at zdfwal7Ga6VGrURj_>Y~Gtus(b-;DTD-uaERdiKoj;dokk;!aA)FOU|^&HMZE%x&LQ zV194ZefwarV4cV(#V_f#9a7TU;mf?lQwh5DgrNSNwUGL6R{uc-IFlyeh4K#f=FJsZM{Ef6DPq4ul>JzQ{;7+>sJ#=H!9z2Ey4EEZ4M=k;jW$nTW1M^A7Z>sEcgS-5l6{PXF5 zR_!|^>%F-_RvblG-P~i`@az845Xb=*czSccx)1=Tagk5JhwN42OUt-{8ErrAT646* zl}pPZ+$i4sXXT$ zu8yPy-|}&bj=uI)3n#76fcKI*#__0 zU3*-=$b|14lIXNoZzB649PJNCN$VqQy7~PM;wI`A=Gq@OlFwh5b7rOO z{tFHz`6Mb4X(^@anoyLQ z)>KtIF(W@O6S7*YcjOPXFs~yd+3Nq}P4$5ps&nv%^56ZZVbAG*?{_R9%wIiA@C$bd zAQ4G#?sX87wxHwWByyAlhNb!mHH&SH^L7yg3Zq9TzW>bf*a7Pug{-|WZ6*zRlje^x zaH7lZ-<>yo=Cj9H}t06wqOQ3v9OT=p?T2%0d5Zzhkn2ZFw^xOz6z z;))inz5~8`E z{47)lE27hYu#GPE>BbcO*}y9$LCWT!m#EH5OrwHUbR@%b>4s1^M1{!IBm zAAPoTw+>WXBpL>busc%CM{|Y0jVeoaXVA03QG>F6P#Z>14268W3fGOF#gJ8P_ zgn)`x0p~aQZX`xb(84;?4BPwEm_1x%+t@K&rb&k%RSP_P`Q>S&202U#YhXLaUgBe- zVUaLR1U42{IzHVj4NFx`IpWu6-p|HhkhoJ?Co{Xo;OwfP4rv%*(qNR0?R|Pg8a9q- z#iTRo)Js_O;^rHs^*PNqn8~HLxO&TQS%zg0jq7MY7y}ktO9{A$;CTfG0&$PpqE>j%~a&Kn>D%b5CMh5eycBzQ8`5IgQii z$MoA-&mwX`duqiP@LV=|jlx!hBf$a_;C6dBtS43#tLw2Y_MbWQAsB?#KSh|&6}wwl zPq0WdqcKcWHznZwv1H)OqM@2JNf1`er{NQ}Hf(zc!pgU3A5C69l`SUf>Zf2en(ZS+ zw#gr{#zx8nR)fswI@F>)x<%T#XSf54(#wjuMHp-fE z=2a9+>p_kUYQJ0X*;N}+vxqdN>oq(+VJVWmrL(~*Ss$aNN?@7@vD%`4iY6#W zE6WrcQ6X5yBt%L3QG7~r_-Nw{8a~ZRt+kKYYb(I=6Mv`F$IKnRz(ww=_id!A|KkQ3 zfYB`sPU15-(;XjcE%@(ISdz29(`qN*BapYahr4)@mbvWyfw1~Nqp)N+#t*=sDg5tI zSmnaCAZz;fxlUN_Nw%cO4!}&^KgyuTt=AY z!)bmPNL~NhlH3EocQ^F}ilpVLVFFsu?77a5y(F&aZzKsU9s_L`#Yl7nT2Oe!&R ztIuV?uR5Q*dF4nmyPmTlSW|?1P^;@FS60VK!%-_Zn<_10kCYxy;KLg|kW;WcjQxg1 z`26_9h`x)IpR*aRfxanDObEh~+q^~nz-RAuH(GAsi>Ifs=wr);J6puWsSX&V1m9AB zZ?|om(5$^>aX|!sNJwKF6zf~%JA)ZO^;B&~iawky*7kM}Kb_`_fa$jhOIo*5)cx=% zD_`WQuuYHrdOBA`>8Nigt~UX-zoX-+kbIJh(F!dm#%Xh~I}R!rea|5)FP&j!8{O+W zSf|7;>7cjk2!QpO!S-A7Ol}VSZ?IS`eN)b4 z&cfieZWD-jH1JOeaU^s)B5xHHs9%82y5MfHw;Bes4Y2B9a_cYPCW(40i=Sw+aRF1L zJ=GpLYiKaK6@u5TTTC`5%GgUqLAgp2LuC_O!56>=akm<*_^M$<7~TjbKvf(eA=)z3 zG6Zj}?#vE^ncs4~LstDD1x}NM{Gk#q(wxa~D4R<|;Kl?=;)z^UoS=Lf)gKcR>4-Pa z3{n!_*kejHxk-?xrRQk*lfA1v*w%-qNgYn~+U-ON62qal3cKaoV!sx1T7=UkTXvIv5AT1W33X4Vh3hV9`wYCYCeb-F0 zVBy75X9KlS+=zTu1ZpEUwLhRG1m9E}-S~Q|rDnyH#kJ82KOGGN#!usbv~t}@Kmd?B z3IozMxDM^w76E6a&XE8rZD+2bp@;Gm!xxtqbAp*&J(3v{t}<;xT9HqA(*2g%E)zMy z0#}cW%~kRGU%+PI-SXmI7VE}yF>Fz6G>vMH_G+UH%q-0Xsp1ZZo@#Dmb<+(i3V;@- zDH~H*JF$e(es^{I#*WcPdGrhrTf^$3y1*U-fen$Ge!NPs4U_NIvVJ4VXF2II9QqgW5#gcd(ckKuQ+=+xh%kr%z?u+4p>2i01+X! z687ItlNb|(nzetznug0`!jm}CpS~|i)M$z~2_-Z#tR4}SHgGU`@}-`kR#-DAJ?*hW zjfmrBUb8!?9%V`a0vQ(iF+7EbP!dzb71B8*)$20K>ZF0uY=he}2>A&Z;-L zv=1AnfycUj=~9917yUB(7f-QpzTWK>aL_OEJLs4SEFuDI!BYRQp@fEyYu{kFVp z)r(OKX8FNeKl;xlZg|bC2zb^w=2?UlVs@p23tD71y3!LnjimY}JJ|8Sa!JcEYORha zS^1W3?R5)T53$N1$bTo~&o;<$!6^Rf8gryGH7S6RAvWq@+vyN{#aM{0_K?bwT3$S= z!?*a-U`_OqXnwF|peby;$zE0a99Bqs1Ya7^Dlaxn!(L93+ixC@IflbCh2oKy+0Qc40T7rP)1Oz zZx|*Q>jMpkwSdaZG|betmLfw~yr{B##N^5_!Hy}RNr^BIS#lm}OK2*{=fGWCbAYSF zcNhxb&C;Lx;n_$7B^Zu6EiC0nACncUK!zP&egOzZ5(lp3CI;uof5KE9AYfm=7mHt1 zINuts?@mO}0<)j6IS8z!wa36~xn)0xV&~{I%ACTeYx7Jfkb3ubZj4 zGE*xeP8g0ks3QA&)@h>zg?C$Evk2F&#*#y@gk&trS_Beb49&t~@rwcXkKtUZB0&}; zm2i=n=npWe@kC$#NZB~JtEZVmPyd_|RH)xVm;*~nd|KJw40V%*1kfhPssLa@n?_b= znt+;kNB&_XD^+`89N)|sPV59j*vk!S6Ir+Z5^@${(WiS8Gw4$|!=gP6i>^lK)+z2i zI(;J+jW2cZ8}11#RjN#_0sQ9e4u~q`d-x%Sv45OKDvHyaVzK?OKt9pUc@XgOq8I7w zATb^eS}c8#ZnE0nQ`Te^V0;UC6mD-gEu=bF$&9ryZiD==>o_(clkR|R*W_Xb59^f2 zPBR=MWw!$0+d>mG`sm6EjRA6Cdz;!SwnZlG8sor(bb) z1qFd)-JJQP1$)ST5QQHlub~s7gfAETf;EJi1MmvOISB|~f`niP+!Q+oymBVI3UdSC z9e~?G-M-o$^zvyB>Gq*+>$Yy*UTF@TlMzxnvB6E}zf`om_LjFOGpfi$^+?xMJb98p z2CpiW+|G{8K|@)5F)&M2G4^ei7BL|TbJW9)0*B_?%WD!C)$d#Fu`!}KYEX7BStj+h zNH*Rmhixu+H+W{3_A#(ocQuz&GjDCA6aYV6+a@9))-;S(TlJ-J_#*!?xecT`@wL?# zM=DDTHOh;HrB~uXhy+Y35m7@ubT18Lh$a=TY?_T5o;*LHJzI22NzjC0)Ri@Z1N*E& zPRT>R-3Ir)ET`DZ< zl%iZeJ3P*Ni@hy~m^?KRu;bzf3XK|6Fnd%$wI?`0R~K)1aK@l*c;3YtD`!h`U|Xo* z;o)|z>|ZRmdIS<%^D&8~-+D6JzR#hwP@Ygh$x-YRX~|RXgTuDD1cmJ&uE8AW~YK!-1{u<11+~bR7j))LAx8jI!3DR>l|`>{OPvJb5iBS!849~ zhZJ|g^2Vf2Llq*P2OGB5PTu`#4Xn~a$U}wdP2KiW_YWhoGKkec8I13`axo<7Ut5-Q zuD~N10Kd-u??c_sX_br55mF%Gl_O4X6L!B(4#X2nD*T5igrgnD37q@yquuEA^Z&!q zj=ofdIXM#+t^e2Nsvo|&N{Ze7@`-i1=|d&nJTObV+2>**)k!?|8v5rx+ly~pF5a5x z#b!6|0px(4+4+QOWtTLFjP-3cwQqC| zf=Lm~y4t=z_|IrebL?SDl)c^XrMcElQ<51{KBiB>;)Tl$a+W@`h>!@HjeX0#uh$k! zCeuSu;JjxGUD|;|7x&D;J7Y1Ckdbq?^-V?zG3_P7BS~BQT_<2>@I~lh9LM*z_iTJ` zV^VfamMtXSNM=Q1dso&ZH>3KV@{6po<`Rf*MP!um=;EP)7jfwG9ycfp5l}ijymyR8 z>D91IuEe56l_e80iz_5TCW~vF!sKcwN)!8Fe*lD|^19Ys zzG!gdSY&Qhc#Cy|{3ixiz*z{n4&UfL1pzatTEP;SET8_{?6;o3KfCe8$^q{i=`*z+ zPm!9hOm!&#%#-XI*%ZzVSmxyL(QHLKAA+t_)|z0q4yfK?MI`{3G`pD@{63HV z=6sN8l5b4_CM2mdY%Iebu1%ggDp8!ok_V7a!A;whnO(D`6^xE5Iz*p#%WS*}D$|o} zZxyeHVsYrYi`OZb4zp`VlNrDT@;wHnV=q{ue>l+4Qep=Y-43=lSQhR9%Yge8C} zzGW(DxI{uy2mtbu@{^~vxypK)N=Cy0s263bw*XUJ?3&q~Qd{Fiw~7gRdX!zAEq1BP z`51^$_a9}+O53$!BJiSU{XR7lMI;1@D=!r|F3(Nw9l`N%_W-2P`?DL5hy9OBNVg^q zLT*ImtQ2&vvuVZT0TzUY^^RTULOotNScEk%wXZrMg&0 zxJVC6$nikXjR#%xVmhs%Pv6i`ZRcBv_1nP{R_xQE#1Inu?%^q2ds zViMH#6M5RE=cyVTn~8Ch5>k zwUkm>dF%uE>3lmLc;0e!LQU0pEZD|=r~v?Fy#WFy_R7%^xW|lWp4qKwVy5I&FZ@3? z=`o0j={P;o5s`rK{4Fm5qc2yV#bheI>A|s$Qo$kJ7AT@6Vo@DH zs%C-2(I_TssL$^oE~*zCGFnpM^FczO6f?rE(<1k$GvPJDtLSuv=AC-G*)?4=WhPAo z=_Dk`w~(R+`JPkRzymZ)j$AN-ne`#y29f3xiEU&3V+xaXd_;8%C_fg~D3o4R*SZp+ z(lZeRCF6A~zwDNO!k3ka0JQ}NDK_MY>uSC}FsmewI`B_c4A_(|>HeYIicg!0q(V~v z6hxOz^`Th8EqmPE){>K0K2m6x7yVXKF$UbLR15_&%Y>pDi;(Yz0!?d)wi8%QxD1w7 zy2s!+Gi0Wq2*&QzPPUF{Z$lAm}g1!=v7v-grt)K`z~>$O4SITBlCAhe9K7rIh_BYw$S0sZ5TALCKuOCN9NzlQfyBZ5?RS;e1}}J zF-42l-Vg`g;KCV)y=)E+Vp5!eQYZGX<(P@0PCPFB5wSE>2SeLML>h0vXqplEo(_mj z;0N3DMuUKJNWjU&D>a#eRUa2YScIWKA=zY)G>uLk<{5gi{aHeHXnwVnDm5tl5bcWH z(_ld6wF8aj!4k!+^f=pRswv$}g5DY+^f0KUPq!kct;Zj`(p#|PZpF8(&XxBjWb*s) zYPhyItc`u_PaZxFf&eq!l&4^=rw^B=i%sMXc-$35nY#3#joc1hQ3rMQUmz&>q)r*Wl;M>cmX_*7V7xjv#60#*77QSbOqt*j@=|Z|5AddO$ z74<k`Pe@h(EtqPiJx-cpsYOHgbVuZSFjs;05z z;iv+YtaB|N0UrtfHmI)}Y)VERI}GkJ5&4M)=Z4wzJJqaw&gwBG#3gWPV$%%|%x1Pv zeUPrDG!J7!I)$O}7Nq}$0Pi~Wvi_5GaG-;Lw-DHP3jvSwikr$Ka6U9yOxLm@vg-(52iT13z|YGS zQrfMBC3?y3?+s~?kfMc`bdr}^ZuJ|czq)H^?#DSxtoJbLa&OV|(e5IM>MTPR%0!(^MChmENP^mJBZzoT z-F6^D3vP1*A7*O|&BZq4cSsZ@Gm=m9Zb-gS94jx;FxmhQYZ%2c&AH>9^0k1Ah_#-y zP*gx4Sl4TDQ>PC=fIk7kiR6)2W@W7<{XpfQs_~!r>+lOw&UfLzoJyk5TKNMEMD+}n zC2#ueLg{W?wl&ps6=XEW8{c&7Q{~D^8{tUbkJqiVI8e#xHmfM8XJ0gmYV5i*kOozq zvfL>TQ1S=%%HTkc2W+^!qR|3bzCho5QC&nUAJy3_Rf%}P%g|9bX{MZpJZJ{W#E!jf z^;rRwnu`6w_$Iy;ni zt{r;(>0-cJ58*&V6JVpDT!-Ly%%CGq*Vq(xit&<3;(aPtzNQ5WfnbW6K04eD&)Pcw0q!A`0Ro^PZqj4Z0?voV<2pMaViO@Rd*fSkqRGlQXDoG2*v;Z2r&bkcnPFkn12W%P6a zEs2Il&S9f?+xA{|Hsr02MBrjMBDvNgXkyb@Es$JF!%nCWs3x*k#Edl;zf4BDHCh|C zKWRzL8D5A~8fF~j+|ShKK);pkEr8-tCJT{2RN-kiDT7W`U^H2+uNKj~tp-jo6uw(a zCID_%1{i3Ch){1vSkLY8ygGZ+iAd^kd<>vGH~BH8Q2~v8{tV6Rs#nMz2_{-|rbu^3 zNZYQ>LYP50>al+!nQRs`{^Ttzyhz#*X35OgX1~9zE-YOzR zq?>GDzE>2T>D2Eu-Le67m)mIY zMO!@fSwvpN2xQa6aei5u6G6^J&|Yvx7qMLMZiMrJSIs`#8g4CS+f*CpK(c*3b%)RO zl=Lv{2@YBfy`YQVNYLssFRKe(8FdMySYXVEaA>OuV(;cXnu+Es?fr>FtyJtR4fz-< zI@dD}m9Jqomr70pUQ$f9Ln~sXs14jzagZyfKX|&za7HAa(=NclMl;X4O>jc%j>17x zx~I{m5fjz$sr8_dSW_6g z@!4{3S=BW~H*N>Y?r{UZj>wG;v@%-3lSyuJ3GY@BloY9L7%h~4M56=9Em$SuO?=K) z{&5LK#pBe;Hi*bj8*G9? zTTrZw%}5q-Zp&F8R+dWi77^*RHmiTGC}@3ucskXreMixKFB{5BLXu$uNP9To=vmef z%nN~X9x1{ZZ6RoR2@XEi*GG$7OfYhQ;7n~sQ{!)&u(-jJ42g{W;fRkhNJ!@Qx}gz#{u2iYoR}aZg@(_2y`rf zxkZCa$q^*|@~!0JK1o63*dwiBxO5a(;R48P0~EWKLCaU#Hmwtr+uJ+Zy!ZBJJXqm>M**+)7Y8H;c72t~D6|F{nH^NHI81uZ-T51NU zUA+DIIb%j;vCeR&qeRM}Y2H>m1!}4#CkSJE&uF1{-slK)&_?ec1|@On9$F%=|KikC zONj-rx$!M>W0IY3q#!yiZfCH#IEw0pBs(Uks%3oQRWiymmy908cu@U#38V@~tVPoD zViG?waU7_RT)KCoz$0nsd56p-H#Humz<(NIG`bX&_9unCpCrf&I~U`94n zw(U(}W+qQeut}9b5Pse~s%;9hC9r6KL6^XBor}dcPTRx#m^=#wYqDK|a>i}|kX9C_ zrUS6tu|zzXZ4*v1)U9xA8h(YoFRx3ww;gS)i`CPjd>`Zc~poLJxS^f5VBlYR$gkrIaZ$~jE|`Pg2z&#fS7 zMe#H0b##oVE8`VBO_(00Kf(!Gx8L2m<(;y7}CH$ zq+|isyKxA71choI+<2p5QZ!dY%WML}FdQSDbxJHDX4IfgoUn+9r!Y{TMjLbJ=IVQj z6ClAT_2yb(4kDGAm=Ey+wjClbINm3USRDFz%Ait@$Ih$a*M$C3 z^FQO}V!)>Qfp^%M1PA!~mj`$#VZlYH{gdB6O6i7F zIV-o2PgJ zFDG9_wCS36*8_wO$%Slj*wGjOvmI0ewtsqT=-{)TboviqBr`u!>4qj-jt4M|t}0bE z)aFN^$7())CZ|%RGL-I-!dwWHx5Je#(2>UN0|2-~K41P2Skqe2#4}S(N2eM(r->atL zbhN3jEC8#=|9Vi6r9Lu31>IqEov44R+8aqvZWtSS=5OKmkYw!$iZDNc2{XqYeC*I5 zEd;o6rL&9`goUGM5q@F;*sNN9dI~PSGz}bj@@mUWF7E-iy}idmVGfCiio4Bk8=>dO zH8k0=xNnw8T0c*WDbk6>X;hkk(@^4Vx7qi-oQ>!LtB77me3CCK%T?EW$7>zr>CNDm zuSsLz4pEq$q!r|fDVh9;h``}02tO#Z^}+Q5?w^W163c_bT^fUfwtk2F9}I8~T8lD8 z?k03P?j7Z=Y1Q@S^Vo5yd4oIW({A~m6a1#VD#b^6ht+Y@xt#<~b7z6xFRR;FXW zXt)3n{N!#(nrTz5_Q(_q<2uyY>Hz?Wiy2rp0VfN@Fv`j;NWaBqavw)<kv0{EZi=A4M`NVZ zo*i&lxF80GM!3C}5u4j@A61rb-l0vNJUXSbIQDaa(LP?MJ-0N-TsjLs0x0mSA*t_H z2Cv5kN_<4^3@myU_`D&|tvZ#-<#;k%A^%7;dzS6f zk&_FPnGAer$dW7kHEo~t9sM!+Mq@vETXAzFxfN@KSlB&ri*M0r$9U=ThxurIqx>iM{P1@# z8cV9fsM?m&corUCvR9~h&83MQO;^xlO~zAUk|w`&k7S}6jlbhhmA904L$zB2QN9RL z9DL9buaP}iLT%bEK#D-bY6Vm}?)o9?BGC`Jw8z!sA&gE((j#^FdR0p~eQ@OcB2}*9 zCx*4Y6^i`IB+G0E6QkumJbp_%d{LXuL-&U^v&~cO9agkqEY|V}jlaiIUzd0&v=T}z zJ+T^Wb*lIPEVZRf;DAw^BJ5Ed76sCaSQxeOKvhaKUbsGB){z_&mCcu35;^i5v{`ky z#H!wQjx{JI3mhGtf@_})M+@pk#4K9^^d}>+SQ8jj^@a_dXF(}%mR(58Y(8wmE1G!xy`$sv8gqX`{92gO#NnM|16o=`=LPYPIvZ`S!##dsMdkQMw~!WV**y zGGmK6)B13;w)Fd0kf|SDq>kiGbhn!>N!<9>CMI$=PZJ|8kjgF^SN=z$S^{qRGjK~> z`k%<&{?E(d73Wu-T$aMc00k9@>;a+u8QcVh`tIXm0?MI!6t0iT8E;AHgc42izqjs|clOsNhre$m0Ys z8bz+{F=+hoGKmeL60L^QF+btro4$jEY6%`%ilGD^r7bssGf8#(n$V)_0WW$smUL~o zz3Ztu<}?yG#}RT0NQ-f3ruKb(uZLr+BPqW+&Y?<_K{Kw;_SL)V>aGIIp zg%m@m(nAGJvmS8@(iASTzKJD`!`+(-Sqp-tOEkTns%$i=@FD9K%v5NNp0KI`mRSWD zuZ$m$pXT^wi(PMF$B^>_Y+uD)%RCL%Ds+>cL^B6!mRg6{W5DG!&8t7@NV{%Nz$axb zrhMb+BGuM+av!^ocXVNiGwt^9yFyoR*}JvqWPS3a&cZw_bC&H}9|3M`EJMNGWRFp| zDCaiT`=H57SkKzkw32a%pPDb>TO+Ot1^oN5qzw#v)R=kRxHJ%97dev7BvxKRVPnJE zfF{$$hQmcWO!G1kEVXgNJr=)o9EQr9FU4sDrg@FlyAq$g+}`>|!&V82c>_yL7^y&? zTilQaN3yBi9)&kxTCfzExn`-FK3G}Oq(qbQtY}y!JTeS9$Yf7vROioP+wLVHye>ZU z50@}y&6hg$y#ikCbkIU6C-QVb>&2$+EWtHLiLXN=^h`&39<*#=PnZhO6eUJg86JDg zI+zaJABo+mybzBK_MtN-&&2fW=2xU(wQ)^I2leEgrgt+9Vt|h71lO{#lr@_E@LOOY z)H;&0><8L6&X`|e(mK!E8+z1(CHornmddhjlS&MURw4Y3{+*&3U?nqP5~kR<8-$WP zMdt2a=B(JYzF-Wc36_eAQd=vixr+1tQtr0X2K)Xg1LzzfpAeJlboeJh6I)?M9m9=D zF(MLERb)?^2$hDXx1dRaC*E>K#$ykib?9DN1B=-7v|)acl!F*1%gcsME3ia^d>?(F zAIRB55(8xTF*H`xu9W`5;y(O6D+dAzdz50vJhvZ(pIx8WL&LWpgO0@Ur;xL{ z^7M*hSdu|l$A>H2)yPs{$+DWz3`yaum{L=zwBXae&C-4N_u?&U%4K>N?jM4oWqwFjE1C| z=VczRy~edSy=!vlSJY{rDTg46bmi%6ki6zeBW^+KJ0AY11FEp@^-33OqtTPW$5Qxa zS$P^zwo}f8+>qT;=6`jd7)+oS?= zogOz-`^O(b*Y2rj{feOV6&Flgq-2{^9zo4&imb2O=176($_7&*o*K&l|C+sKDKp#r zN{4P6mU3JVkw8_TrSfVd?ESn7!4 zJlD9fCRgb`s~<(98+wqCj^ceL=Bzw#sJA}{%WAolIWaNgNaSGDiLH;xbo|Suu2h(Y z$}hInS-Gwp@gqHgQIQ6j+a&=V?2?%r+WHs*R5L9f~t5LytSO zoA}(p{;Mreda^U}ByLc|5cgzPo*v7zyo#c=k3AIY3$P@aBSuj7RL+oB&1VD`zzNT9 zF^tG(dZ972Z%Pj`<>)=!r1J54RZlAa3PH1yeL4R1+YEwXcmANJG@$70^oYCf&m(7~7#Dn!v1SskcjCgE21mQ3n`_8BLF0b;z2_XHYX720`{% zXj*_2dtw8OotM|}4EDz!OcgkQk>`($8Dh7lGrcuG=RV9a*zAh4qx>ZjYzl0|RL~uN zxUjjUWs`hE!DN;wz(l2(W`*^R0ir-6cEe&5!;u)fq9asDQ9~OB^F48^if!Ab=t&%N zq^y$`H=w!qj`Ixx2%3C4!{Vtw+JT&Jp4`%Sw|HNSR%X0mu&{4-!=M|YtNnLv^&({W zc%!8J->tEV|4#3TuwCQpvh0Wpg+N?K+C=htpYReh|8fK>27TQ zoM3s_Of+(t?`TfUviwcWYNTq z*wSKtU+9C?0<#62^&uDX+aA{le~Tz`r6Use@uKtul0UjXOPeHeQ?N`Dj}2)2SUIfb zOms_JPcu_`eyp;$!84dT@oinhL5h1JE^4LRuPV8XA8A7q5wW{ecjY%^?3eK(XdG&Uyt^*$av6>}g?X6-rmCTX)2#Zrmsl>tcBzTgi>4&An}S0u^HIx#-yVwGV$xwviETUgIG^Jw9jaNX)DD(kxMhWf$P(Yt zOgPs0kLR}3kDw{16qZb@iArWdE?94Z%O!z`3)kQ`2;KP7jan?NVA3r(w&Uj9+nJox?a|KKD%a_M7PN@kD3Li+Is1(>-HF! zU4sxD&g^yvikuhPqm*Kb*))Wlud&nM+rYZT?)@@pf}jgEJngh6w*<$wUI1&Y8y}IQ zDSXR(zAksiosqoCU-jL?m8ojeA}l%6_;GgmUlC-+IM1lsjwJ`NeHjqkSXmvygejq` zNDl)7>QvdKcCE!T)6(Hcj;bt&*^mo-46+1Q2J-am%2cfe%@ZuGH-Lscw`3oJfz0Nh zq7xaZ`7DSv#(BhR4>Hv94)&20iz zd&h=NGy%`fUU?e+tfHvbo>Im&TH&R-Vs7Dm%?x?_CdVfafc0v}l-Fz>M znpJhNtzG3u<2|0+I01cx5Aqz2T(~A@$0nwSv$|p8WbU}$;z)TWFPsX|4d~OD56~n> zat<~!5Pi$gSwl4=jS+lBFowiX9nx@^0&~r@2;g~;KUdDc1rv`YRjZ;io3IA{wS2+S z@gmkUC7Ab;8mAftG|7+WN{|3sPiAL-q_nR4G3hit&yjMb;NJ3dp2;&ElFZbD+;y4y zCOh2Gh;rVj!;B{L#jom{nEmpOo_g!Fh?2%QviWug`#WZ~?BbYi24VfG2siv6!rla| zsXN~vt`ZVZyG%E0h1xr}%d{@sc5d6LsC4eQuqg44{NT!gbBq!(mKZnkA=Dzp+zwbN`K7F1M z31|8KzTeOHvyjyfK~d{@&<@t|?$MtZjV<^3{q~+SGkT-#NLI_Sy7pPw$OJjwvO-sM zkf9RUm#w3ca93?GsaJISrjSo1VcJ_WwU;|Ex*SKrx?3Wit*NonGvMB@@r9h zKb@7P>sUBOrI96bM>Fk9c*&t&a)S4}J2er06ZD5mVy zDno)Ti%Kyqj3(X5f!<8^^nS^B?M)g>z5V>f>fpHNfss&iX#YaZ&y&2R)^ok4S$h9S zPxTqbTyt7VlBJgiubNkQ<>xO8Zq>i+&dKYHcd8CBnYr5OTQ?7(e_!G4b)sbKH(}=1 zXdI*7u23qKl{Jl!exY2cZ8tYGt*@(U>@1gRJ`s*j6~!=9o4n->w-iBNXn*lkMZ8}B^1bHi z>rs&K5!XIEDlsw#u6vH(E}>F`xE8Tuf~Xv-`e90l9=zYhuxH88sFBthHXM;Q(AgV_ z*1#QR@_fPE)Onc~nN%xvx*jIm*i-F>D)4}zqTVfKW{*g6f^?RqrxhT<;9`9)vzk2rrNtSxIK0tkZXnOD!rD@jldn~FS1etLC@crN!?r)l>Q^&;FH{Vdq zpa0p!Jad5FpIB6LmOc_1PMvC9vA9i&7eISvI{Xu!{Z)b|KnSGOu1x{VoU;DOYp8M{LD8xg3{_n`y z|9vNpJRdH<1*y_MPUq}Xaul(NaH-%lND*(gSI`WTnKpaV4`9 zB>nlt``6U=TLS$1gU_sy>v!H>Yj~*R_lffD3cv~RPusr=kiTfNT@VdEEOICwcJze~ ztUXo!ku1S^+w<_~UVrOjv9h_GRq;(*D(F4_#}JDQ8S?&d;>(~gdbsk?u|Z@i(hQ)} zL(vx{)Xtkea_!#x_0IoJ_WnSca}OO1f`v9j{r1d6?ftF) z;wu7FWKu8()-@(Gd;I$0NIEf;UiNs;^Cons` zliITZ$gd-i?b8uACyPHYI!U~L*z?P#+NWFxS|dUb`=IKVP5bZfWj3C_;xBJ{!qpYZ zs%?Bij$r5e50E|dK1$vja#!uLJ1iODf-KoC{pJ|-EF?m4B5#kYohksizIrfu_Q=#h z&Ei04&m7u=;TuPYj$|B=>5BV%=>rA>2T?4#CgR7wABbW?S+}1MzqIGew&bp>wJ%7f zuT^7jjp9E_nt)KZykrF|6FBk}lPh~#^J2~rSCHHF>*VS6AsRQsY&`W2ngY{t{tD(2 z*y&SGd#A{4I&!LJPbidYUTKOgB2HeSlN|nOv!5v^P94QGKIVPU5!}FJ6>2~1HEjrG zO^1@{*RGviYG;m2R-Vu5JEGfE#H3>PDU0vbW05ky>9zGid$Tfztc))g$eH~&D?!!q zs6p-XJdn<}hqBxo{6cTA;%j$MOJ`*U&z*L=H%VI~`8o5cDq0C~Z-BFo)Z(7RA~ zYrmz*bW&?2&q#naQPjTUxqW)m!uit^{?W1Xz2!;97bJ_!zTU0WZiL#d7!Ax5vT0`j zts6(u(Gaw&blT899D!}5I#J3;)2hfpvQoAtNr|O!WK2#-&t!HOR*CMRJpyVa!n>SR zpj-g~))Nv(PYt``KqDj~PXS9~bZmnc0E*>*FchNEF++`(I%SA=mig`nu?aR8Pag$3 zrO}xU>raT$a$Id%<0C5La~$ObEJl|E!p^FJ@8BR4kd9o-(Sfl!Gg(NSBDB;#3tC>M zyQlf1#9||d_E&x_K(BxDtJT($22H49SDIx`Yt)ub4z93`(t|65st(hs%pPS#yIKCx zwlO;EL=2N6A<%-;6QO;%)7mq=t`N0vBuh38S^3ntVLz^Ky5WO2HHi(53G&G1#m2hM zt9J)N;@SC@700r@zn>2JiBo&BwKZz#WGH*Q_2oH|PCerF2R#qCVJwnWVi|wFl*+bc zR(|Ak8;Db1;nJ8pob%$`>N7gmmeBrb!}96aAkJe3ogUTmoL_^0`KyEXn+2A!vvR6u z!e8WlP~kLRYg+I0^T0rPb7VXeK$fnR%p^|DSFO9KSm_R!O{a3lEOV|Rx$y(_poAW6 zU6oVlc}5wNGM3EZ)P(+!p4utLLH2XTrk3ZzEUDft091)}{2mFh`r&7_b)NrZt&mb?B|P-jpCgtwR@jokqR zj|b$gO+XH9o9HZQ^BTWh_O(VoZ!3J0%96)29f^KmsgVlJiE%i~B-p34U2(RePG?R4 zEsem`R~YERw_4~*36cwOo`v=+7d$!Etey|)QYn=sqN}C@a4$4HzC zO;p5hwm`Ut;902%-A71oGBa6u*Y25%{4`fK?^@7mr z!-{ZG)9sYuj>AXs_v_Rko~HYc#JKMbh5T61yRU6ND$4iWuhg6%8&_l_>(f0?r`It% zX3CHGI5swB`g(q-sQYZUo|tV+9~1amBZAH$YI$+>A6;cVr@bNHNRrdl1>zBvU|JZ8 z^oMYw-VP-*fwHhz5^Du=(N-(~F2 zP0d;Ud{{Jy)b~BW`9T3^TV&Xiyr=)JiROaL*Nktv@Q99(o2Dh4*b_N!4vEcm!{jf5?4=EHq(d`~y!aSH%U=R+nv!hH>Iok5FqL zJ#hSSGrI)*7E8d#t1KHI7KJu&Z0(PieaQN=Y?FmF&rzz6A(Y;8_{EU(z>e6Egu@T) zX4=P^DN;V(dwTgqNA!X*!}FUuUzjPkm=^6tuCB_@%Vs&&3VzEfK~vwgnIuSzn?Qf2 z(P~sjebYT#G49I@ePZY%eyrqqA&IG7cZqt#bgUY#0HAHKMgqM4`sXZ6bL9@zM77K> zRVq0F-{sbi$YaOlj159+C6!A5Oe%o78RF(LrNXAcB1vN#zP$CDNo9C&^7LWrS;l+G zcR#jZd7fW7%RH3WG<8-t$z*=BhMFxa^sbsAN`>YKQ6V&0!~y02$gZ=E`5F9XWYQYy zZeaO+pi2g(S;KQ6Y!0swkT-7sL>YO^;s$JpZTqNjMwY+Pw}NSCrW_z2rMJ>M0-f3_ zi58wuFj)$fw({EOt-c>kUpuYPISkcLd+bW6qj%_O*MECw&Og3Sl0O zNXqGk?vv&xx~nULZm|1=C_sjaXlsxqI)IHwGOUl76tRe)io~w>tjJ-7(z8I|{jJwV z5ww2FA8ZL<)D&cc|61M5X3&;l<5W9KeYVdRsn)?eN(_dMT&G(Ir5(F8Ri&9E%0HGx zcgHg4E4(Bq;_^VCgFN`2aeJpxz0*&!D{<2wEJ1Arett_hBfYug+@j=m>!8ug?3q1C zEYw~XL${u3>fH4~7MjPWURRZ?h|gf>HO!lV(lG69ZKWmvUI`3y+H`Yc|HNh_0g(E)}K2sPvmVsd_tNgJMKFoM$oL%(ZKe@OqVwzhcq?{iO$;V;D|yC zi~DA;Y!T4A(H56_B24>H%nbAFHDq!vueaepiv5e~T$cPeDukFQT4UNlmDW^r>u~3; zJl=QEpIe<{*G$2!qKqM|$GeU7(n(^7s|CF|<*spiL+Kr6N2uKR+{_{HpBk@N+WPC8 zh*4G<)Q*`tJh$7z<@c!lQMZ|2P`5em`ga1>b6rZzCi4lTHKA>{7P%hqNJ zmvk#2PDEHji(oq$wjZ7Dk+lWKm$-kSKj&r>A9TppjWk%#81 zr$PJAm3U#C)8BD&6FIKP>}DU*>B?yLi>k&GK5?e?3~23;wOYhDASUVfP(GD2Zi*;? z^O?eL=$b@#RS|@rS1Whkl1G$Zu_njhp9!Z6O69e=716Z+TBS6{b{*qoJN~z#0af}k zs`SPCmUQ|k&YWe-mqmW(lLayJeUCiKeVp0NeIBIi{hV0dGrtCy&q>pXIPzTp^JlpI zBOLb(r?KYS2e#C&tXuVwBjdpx#G@za8Vr|-QO`?Bg%99oS90eYPE{=YqFKqUC|@gL^hU7;4LCi}7ZxvZqw;+?n}Q|Q#YSPo)L9!SOzL}#8IjC?9aDYzu=D#@|G-M!b?zynLKEn z8CXapW4RkC#w?jueV%`>x(f5miZUr4a+PWUhdA{HOr2yXvl+@QH}d>;Xw@78mzRIp zW0@xFZ%mLxwM=mYx;?T9UUN1ox#UK^Qv)6K_+Z40x?joNpK}#;b1duHX??KYyK-do zQuW7TP-3yadh5x%znz}&MW90?-v6dD+Fx|9f7+ese^4|BX$C%ec#2@OoRD-??MM=V z95r77MMx_aX9KbYcq1wtSF6Vnf}=7a%zFa#S2x{o_`fj=Fd2C_4ywwRoUyXub%2tP zc2}{f4z^$wWBgB`@r4zIXskNd(bLjOl8cJ)UROa3QQqu1Xjbo>61$1AE3)X~X=c|9 z6uOasi`?HSODy0-&%@UP+1RG%7leyo%=YalYC2bGQ=>}qCP^Gd#(DqB3{f#zU@-Ms zCPxz7rc!;M!;?3-a*-viWk?zbY16FbYZx5T87E>v5R~8ho&3dtno6m{y@srQ^$5;x zu29GH%WI3uR*%f(-CZWv40~3MSwP{Ht+SL+iLA7QHz^UIe00!!kFVog?*jEtX7Siy z#9{!ViXx>_PM7;VT+}MAu-&OOy)Rs>Otq8D4`ZNcs**d!Ffn5?26`&f$s+5S28LK* zZ83s)2&kQs5@=9^tZcek9_Os7JTThO@mnHPQ<3Q(BM)6Q?U)30*{{-XW%hPF;i{dh z<&mz5k&oi=!&uiHOk+kSQCZG(?i?8%lh;v=R}w=#H{Wq-2O~%?vpD^EFy!NTr9nD1 zkxB4o8=q@~$UL+0idfYzTRSO6$)0B1DDaaaR`|>$j~00&l}?DM79lWn&Yj)*&iOC= zkSu2M1>l%!s0`~kQ9M)b^sbPAY;qIo8_DANS1{NFt1FH(b(cRDQ#GOepdqGD8KlB| zt8gyX3+s&Mn3Q1C6|o!sEUupR=Rd46=D;ve!A%Q|jxp&+V(0{ZcXvf+Kk@!qLTZC^ z#IV#|`wOvY8yt{|L(mb;-2^iQNiS2bzgCroRwmUzV)8HbMJyITPUWkJqP0C$ z>-{)*(z>YhT)qLv*B<-GCA%}-^N_b#UVDql$R2CunbxZ=9DI}bOH+U~8xwu6ZCr_- zoL%77vfVgqPE_|l7r5P=r|(-?%YBafg9|9);lKZzHR5tWq~ z-~KO4ujC&=Yj@lEz?M~U!P}3Pk$d6HGV`cZKL+XIF38$7$>$Qc|H-S^ za*{|-VWe@ax?TQoxvfz+(@4F)B)|DsA0M^%X5)2dX0tGJ8NXr8#TNa@x{Dsef3QME z_oonUcn4Qmlo`vJScxLC=;Qx7pnL+V_Mj)KMh9Dq*IL4G|5HDT9vV!fz80%n!(A_* z5$&0*i@Kz?R_c>Lxg}rnD#Prp9CdItle)I#aCYm*=GK<mU4@5S)soJU&*?wNT)V7QG&SOTN?-{?ot~BAIXvA{8B~KY1G^S;^=K*6R9#_N zYCIC9UMK~jy`(UqW~y3Gc|jpx^0Y(0ii~g#PPB9rouD76{>AX5##97J(Rkm%%|ype z)+CpsLqD8qHx$GEwIzH^0R3hW__#Gyi-bY*86Vm-+LVnZ?};1fUDJx3a?PN~x@diE zF7}v*1Ha#0R9edP^pCosQBiO+a_T6)aTA96BEwBRv6YbK8p{i$bb>cjt_ar!r%ci# zdFoWHl&IV;;Ir!XsvYfR(md;Ft&@Q5@a+)J#{??bo9E%t>`g*-fY2HW3z$t33sa~2 zrIuG2K4IEUWkXb=%Vu_Utd_l$QX-4)Ef_Fd$KH+S4$#!c_cd1@7PghAE5aD`tfj;B zu2FY$nr)AtlZ65#yO<_i607X{riE+o`3)By%aV2tj;Zu9d5yzwc;1gCSHz)ltgQHo z7d0)J#o}&^M6?cPMZH06R1b7l4dBViGC)@5EjCC?rL8zHm|_^;y#jQ_lmW8xt3 z!K`fEXoKsZ-w~X%p277-6KmRpo6UzOT8eHZV7P(6{t|xm|X|ejl8fgLA=X zPj|&OA)Q*%%3IVU7+x@%^{1n+Sz6}D63u1V1`I1I#^zHT64dV6Ea`ND8)l(yG>+iM1Rc~a5u-o#L zHwbmk04yWZ3GLKF+oW15o!ErpD{h+D!7NaJP8Z@fn%W2Gw9J6@tl9dL0;Z{_YP8pX zUjA`qbc1TPxo5&=H?)-MM>>jGpBR-MPHt0fjwaaIQ?+1&fxxYbs9~6z-BJdQl*$Am zSf{%59W7i^5_+6T*I1Jmn(VhEbOBsxE27=fZ0K5v+8cb>C_AC#Z$d+2bP4_LZakg4 z8UC1i>{-VA>8Pz7zj&xG*XL$lk?5cV+0Tee>5N4B4F=8k-1njyJ__%9D7+1SU)}tF z(qy1$9Ob02%{1z48b=QMt1UI)$<#-X5B{6_r)=4LerjrLAl_frBRc=`3 z{MZZgtM$CwVd0N{Xi;v6VD@CJkR-i1ly7Ov2-ko3EkAruGR5$*b6Kw1!{{F#H!R;f zFN^lKY5l7ldmc^k^xq*8r$vu_v{X z4gLbc7cm{^A|#8I(Luilpk@Os3lGWv^!Cle#@U)ztPPO21l)zh`zC(@e88sw z*77EqeN@NlsI!zjl}nP-%Ya*$mMlpc3YY6pYcw zEb#(yHc@VnMIXnB=e7<)$)x0Ux+hyE&Dmq|oivohTz@H~E=R4SV*0u^=!Q3jd-^j1 zRy)4PJLq=O8z4vVe&XT5lOrv&o=I3TXJSybaK`+^S0t;mxXhl*!;@8Q-8wDm8W14& zp&*rG?e5qqb`X}7wjynX+X+_)R_-@fQ;`U{Ut;DH%?nx8?~7q6#)W2ohosFtapBEF z_sjyay26a-BV5T$uw4-=Si}DRefH0W^m!aWD)PpjrV4wg1O&xW831SN>1=-vfT@70 zpZ$nbf_lIkaYx$4?4~pB1mR2`*x2f1)s^jmjZAtLA#Nkm9A!SKJqUmDq#P2q zt@HG^xkb>?ILaAqr2y*nDeD(WAX43!V`b`bQqk1N*D93{u$NTu6d@J*3W```qK(~^ z%p4j|=&Rt%>V)ERGv5!5O(wt`yMce zQHS9{ET{`)+>}?RWRv+g<|o#5S}Uxal2?nfibx%YncuhK$ zPhR&WnJm?j=6y$mMVd3w(u!y%SW}^)gRtZsCLwJaAOKSy$e}yO64FP5M7et-m2Ar? zR`5)wNm`Jet4>|?_sB9rJv6_oW(*Sg;fMy4^ZQl$2pVWsEnOwq&r*QWwnlft?UudI>HwB*U&n$ zzPR6KM{s_)p7&!BXJ1Q*4UdpxEuY3|6kfw#o+A(3UbRJ>0dpwbsyN73%A)*Z9(A^Q~hof@#$1BVU~nUH#cdYdXHxupF5t z?}Gu&AbMtTG8{yD%{-=H4U;~5I;DZzQyq#OsM;x=8D2I87sF?nH@l-RS2j$O4WHcx z9?5etueF9r#qj!$y7ZGP*VYu$JKE*Yx7fF8tFZRYs$KW#jYD>_`gBO#41cn~mfOc$ zubpyDv~cEg8eru~C5Y?IJS?|U?$SF!6@$Y#id&n>SM$uVp4M)1Eg@P`I7Y#41tmm`-< z99Tq0@9VkLgp;DZN5h{e8s66pQh#^~%Srp<33aeA*b%UH8*a|wci4s39-#B&64-!+ zIgBXo-bbnniUxTCT+7nXjY93|B@~{KzzS>5*HJy$H0vETEi~p$S&Ha14GaE^;to54 zl8>2I?I|3^ib*DV9%6DU+Im$0yf{1UfY6i?(v3q`l)TK*af(0H%1z?uEXwN^Ogs9C zN_(iyX@CdEBj9`ZA{km^tfU*gE1DdxXzOll_R39CTYoEW!e8Y26)sN_-x~z@;eK~iGsFp?QT55M zD27{k`$zMr^f}Ccqy7@}CRkn{;d0=RbZL09 zgJPQ+N*B5c6+Ee!wFhDPaSt1xQl^tt{?e(_8Xa0$yo&AXNh&kg8s65vxgeC@&{GM2 zG?Zz~dYM&G=>+k7or!Gh(E+bE+?1=@0hAco^`O3$>*Lomnf{6f%I!V~&>My*m>X9EY9@vFL^42rfo%u2 zB!qLr#A4=+OF`|;{3H^PmCdBXFw!pIv{UknU;wnKuNpowPnxgKkyUn@dgXh~Aq#DP zfNK58ecx>{*Z%0A?&Gp5^fW9PxsUuS^N(9bBtYDk(h|0u^XobumV=vZoXdNW1OXrO z57}mX)rF=9w>Xr(2ev34Kl$ischZJ%7Si4jzNNynE%Xe<8q6*aJj192$<*j~`1bEs zuB}aLYOaLEarq%2)}zmrFimvZ4pNjtzb4cMV3k2bDYl_Ri7rPRWDrfx!rhyrkgis^JHa>@2sma7JM& zyDRF_7lTxai`r>!4Ig9H0EAxmDV-o4=!nNY9d6Is%gg`J{egQx5#|w3GpSt$v909p zk7)BShiX6zO6{JZ-yqrgdlSBy3y3t5OjS!kPkutR(Z7l>Q7cxURmlF;liFl|;heTL zB1h82yji(!zNqG0pde0mv9z*|_Tmgd*G_2KbHI=72|qF1Q#ksZF!wV3DPsV)%)fmap5lWn-d-?oC>tewvS2m4z;LQLFpn(tOuG4$NEXEunJ5 z&6#bbp|pncCsl#jP?{ujFv8hhpgLDEA{uz{d9y$&fiGOwNJok^dnDey6fR@2i|46b z)OUeCHA`okwW-K&2gR&hWjn){*qMwu-`(HtwB?aw5E`IeuW#WY^Y_NgIWW*si8 zX}iy@iEePg=_=b>dR~6+30amnSYQG0m4oGzlYobXJ(NzA`ma^M@L1viNj-B?R_7RK zoH_y1@}cU?6zhN zJBp{L|I*~rHuXrwbHm49ks{D0Z|aPKE>Bm6L3EIAFZ3L0QtzzOPmu>>v#O$l{np=? zv-UKj4!X-VJaFmWXAbQ!?t zZxZDI3l9V*3F#i6Nvv{pTmQ}Skr>RJ)Jse}Ge31YWyw)Qubv(jv9t$5yzChmmEWd5u%sXL|0OHq}Uk^>zRLFI#@x!2i?A1?TQnh&Ts>9TaDpl{) z)cj3)gtmJLxo-R$kEH2;@ks8A-pE-V7ITpMGVjUAuwBbu`FqpNK^Z@VGT!`;P4`gU zM=X53#7_Btsq0h%=b8Irc`tT;7f5l9^C3@+etVZ643e4QFuca_6A-x^Ng zXh2?QQ2#2mgSBW@1z0=(5%YBOyH0U6FcvleBzDYOQ!(*j)!FJ-w3?K%aF*v{53Yxo zT+man*_OG?3qTwLnZLO&k6mO^DDoVIV~Qxx_Z7@+rFYqgvkz>IBaCxI**6tyi`^`O zil{T2*Us#{W#DD!*Zz6Y{RNDrr_2#kULeQ7SeSqumlZ}0AvS-d3%;VRw%){B(w+DK`PBV-@Ll`3DaBS zvvK`7!MitmopYhI99Q*8;w_Ayb4Jr=7g~A3fVTP9nRzwUi$sU=;S8T5qFpy3uiw0A z&edqmS&5^!`l%aFlrkXQ%vU{q!TLUJhFt`Ds=>&hZrJo$j8IgrIeV4P98)nrD<3cn zSnMz>(NtIBdMbG$mkZHd7p7wTbZC0n?U1j!auMv0^lKHG;3j7a&nlD;9~V-l9SewP zb0awepf-G2R#V41XHD;mv18WtoU5D^3bHPoSm?HlG57qOd1kMHj-PXA&Hp|*Ue!k2 zWyvJQfU%;^3_%+&Nu%^TV(2YZ>0rxU?c?vch@fs1wkE_ z93_TgKn%7I4WHPYbu)<_&< zxn@~@D0L4(Zla$(XKtQyg7nO!X~{3(dL7yAFlq1ZO0rG0mP3hL2~b5)EWb$6X&%hG zaGv8B>AlL?n$cn}Tt=M68a=t#mxnVaZxbV?mE2A##*E{m@JDRe;yK);xs!wHhs`R9 z5IjHu6W(d8BVX-1BA8}o6s)(R&x^tc^Q7axk*`g6V46BdNN!d|Cxwb#hY)IkFb4rs z4AO|{Ge^i)Ol9Z{p~M`&9>0d$px{mkNvueLK%hr%3x=l76x*4!>7Ks-DzLFpaHfD+ zelc!**dTXlU5Cg}w!C&@TtyTEv_*r>g_1jh(4kbqn(S}#wvE!;N?7=Lk?g5b*`(c6 zM$;xu&sdHam{BR-5>l>{Y}I49ve4H5!UU9~or5o@DSCJ1V^Y5M>%GTlTh_#N!&usXo5_rP=IV14ASJ(DA9swaF z|I%3Fa53sD9#i;NgSI~^jr4!g8llO#(L=OE>fA54hWTN?v3vG?cB+5P&sk!z(L0%X zl*Af%G`#?{Sju;`a9k-&>V_wWFPesbMfOw_d(SZGYsXCP;l?~anyLsUHl>9ta8G=( z;QvV;)`#kT1t(4LJd>3K_+e~UuSvqBy8H5a0d89@nrpR%%J9){xtJ&|s_kQX)BWfml)d7W4Yxk zC7yEzB`q8&gj~A;3NHG1rnoF75hgtgg95OvtyQ!e<@LA?rNkY&_gTmWTV<`R{`id8ex;PS_Jqf- zeE`iy$G!ddy!ep9{Fd?t94V0k{%ETr%=IG9oNRUKw2<1#&a`eCHNw~u0nfey%nG3; z$aQ-RA1x0{e3<7q(;4%9`6>P!03yA_W()~bd|QkZjeY}SBPr__^JLc4X(fPaCHFRK zX`8u!+ImPHdk4&B-)wJi{WtJk@Kz0AtZhripWpe%Q9EXI$zF~SCf@x3U;K9UpWLwX!=#M_#P*^jCY?2H-r6mL zUO#n!ia%fhLK4)>Rqnat9#YN`cbC`C=*xMz)pIXQho94PQ$ZvSDEzp zWNTG1couOYhqXE5hMB#k%{GGm5W7U%k>E%3Z#K>|M;4iarrJ7REAJ?yKUXtV`|f7q z{24`{tNOlSQ+1USf_bk{%kl#WaiTxyTLIBtRteGjEXFLx*zurnW}v3(82?iB`|2L} zDO*CN@Z#&dt*6}HNUd{{*_}u2fNWza5f9ry)n>Q6_C1`v)~VCLyi%#E=+UB{Dv?Wt zduI-+cLm+pmSvj0R%owPiNxcch=6ADLRihUlJ=tYzDQ_(_bNxo@=$JbUhk0ty6FXMM{d+5 zGP}nxfx)VqEIt1(aHaFb6nOLxNi$_0c zd}-r|Lptq`tRvLtn0<7*kT%v-?6bA&@8{+{|V|JMfkH*5pF9$&xx z8*2SEGO0LVctmqoCxor!{($q70?w0vE#!Pu>V}9+IuiB6hA6^x2TYL9A>L2=(eg)63gyo*aEwky z*Njligwo}i;evJ153$axACE>IJXq97hVb-VSktJ#xUTVbPc_lH%HdYRKxxdgYN_z5 zlbFQh=%JRnR^AL)3A>}@3u9lqM|RRRK4s*3kunl?HkSid_SH~?Eoxq6QmUUMmTMOm z3-RhA{NahUeZ%4#ef1rMvBNB~y#?e9_qEeOO|CNB%aRv__rz{QIJq~#U+ogJ4|ah! z-c<&+-t7m15UF9x(b@|HT`W-S8LJ+p!B-e1w-Mzy$^8yL*Nq61;}-i6w+$oM?s3l{ zHj+>%zsy;E{^W;9$SuXQ&xd3Fw4(qwnT3eWN}p&_e(@VN-IgU|u@0hM@3_2n!LWi2 zr}XjW$x>$Tl;MNKd|%{TaMMzjFC%;4cxhFnrraxiAXF^d;Z&1^^b>#K#*p<~(_n;L zWnSWkYP1*?g_EXlW(2J;%_%-P^NseAiJ|3cr&HjmiVNiEAPh}xV(2C+?yf2Y^IUQU$L>BQ7c?KWg-RJwy~m_T)fLi@j6oG}zr;w9Sg6mWAS5OlU* zMa*2^J99eUwMrivZa7-Arml+($2AUA+Pku~ohBskeMj>?q4k`U>wR_C_gfHy%KFDm zBjzABc&_4(jcZZWLviv{T_99thZdxT$2t>p=@Ah)MM?g`DS6FIxvJG~k@hed{)(sO z3Gg3!HJc`>jNrt<>m4Uc0aHYnjvyu!kH8``t8PspZoEsdl!x3LF~SJD=y;oSs&RbU zhZX9i;VM47IYKNn&X@9Mhkj-SAP66CjW1F{mdY>uV$H}Zp{b{1mzk}Z(@z$+=uqcdNRt9Xi;RPYu909OzeySJ^JAYVV;O-PyB)EnY4Ojg7~ zch!0U2EhZfQ@i^K^S)4%sg85#u5Xh zqa|wDA30s3$3GBeK=7iAx+#^!aGGBHv^K8eImWdldD$xCt5yS#=P%PfPqo*u%9p$< zQQL;)v=Cu4;y@GSY#9Ij!rSrDlUh$$h)^tRN3p#fb!qI4rPX0|tbX#`*$k$A_~Ff7 z#ehp(5A#e31nU z{*@N3BCJgXdIH7YqTXlhxH}Cu)o=;O_w(8}-ZuQmwV@*()t24i0sMZ!-@>ugq1^^C zAG{5Okfhf(zoQJG5%yZ_gW)Q5R}05eN4!7eJt8QrzuwD$GdFH!PsJDTh5i3;*gt>g zLPUo^Z11vM>HJwv=$?n;x{A>i=rA}G;uvN(5{8hD{#>BEc|pn58b0$0Af9}Ly)D%dH6Zop@pizpcd=-dH33mjNP(3UZ8lIZtl zDZZ$kNhUo!N`U%V{sehCct~2cgU@oX7$>_rl9xX(V0IG5=Y)ZklvK7&6estT*m$0{4mcAvU+BSg^GshM2Y?G ziXwEQ9w2Fh)LzkCl^sN62&36DKBpfbB*+7RqN*KT6o<||Hn6Lw3Zyi4q&@Q0uAn-tQXO?9LQdn zjEk-K8&f0y)SoliN6xVbfJXw_+OtHX_%02oh_*PKmQ~T6<<8lI5OaAVH72b#Z4*&D3y}^Az{YuCAvx*-U%5-85a!)Yg;z-JjSz`ux7QK3j!9j^3>~QN z%drHy&Q+{ux*C8Io&;3xZT7QzsAm*aK`?T2RuDdP{FeKIpQ^__OBkz7& z-g!jy7(PLY(TuAL#_2iC<4mRosRh~Uol2x4*FvR5xS@EWz8kp#p&Ym2?#+kN2aHfz z=;D^dMmef}uw_In8H-P=Ar7mnz0weiyyH6|K-n6~m(>rbN#p)IB}9(Snx%xapggl> zgw2dm{IBLZ49#@}g6AK7p1bWAyqCYvjA8j8hwvS(|Ik~9-!Dcs;L{M$fC<9=F7k4D z3T1Nx9KUMYiNz7V`Za6g25?Z`HnYR{iXnPYZr9 z6ZPrN4MP4ZQtD`W2ML(iHAd<-*>+Rj5$qz{2UnRyBF3WfL^{)+r9_DRda@;>_tn}y ztVY7y3x3V)TKo2s^=Do`cCO0n%;f6D?N`-iZlD(dm@f~nsIfEZvVPNzJp z<{5Fll0&11PV@5=0Wp()DU?Ku{gDv(w{Trhh9(-Gz~pK%c>Iw@&x$&l1Vj#6Z@T|M zDS$Ob?VkFw{9|)O1Jupgi#7SqP|Kz}qLRkE-WSo3Pa?QQ?b&_J(~ru`h1gdDR=i7c~qirR~fdA-#L|K6p2ula+cwgPk}1LFhhz z(vc6so#raecOf(5zUoJwGe3(wv|!vZY@Gd)&$2#-(ZxlWnFDZxb{6Sp4~j_E-W#N4 zluOEy1DQ6&hl@n{voYAo*{xNYAj`bXVc+$wESaLD(%$Xd&!pzk8H+d=(?caA7kZ#R z5wXKXimymRR>7F+rUYsBx2mE^!4!EK7(S)~Aj9OrZ+r(m_h#RYP3e{~b9Cx@XXEnQ zqVIMe+(|+HU}ra))E7tnSe4$APtl=0-pu87)mYH&kH-65%$9kS$Z5U-{JZi=amz6Z*@UG!{cBQtxciU~M;E z5fvmG`m&{Q-;rcmxWPc&pBes|P{xtP4yz^xQXfC4xrkvB=AS>O1H~mS#2)Dlt{@xc z&dyl$Q5aPtv!J~UaEGj6(cDwz8kM5T+1d{wMZ3iGIU!BzIU|}z!VWTcq*}}Cf^x$0 z^#Xrxq*vZK^q<3{ZqrShtNkiy(l-YDt^t3zh(*rWz&Zbz_T|o_)iQwE_-v{Z==)6D zEp#C=V~pgx(b&z9i`Noi=S3w9V9BB627{!$?!&2Mu~VwjG+06EAU4I^eN3F$Q#Gw3 z*n*5mdx!R1MI6cYA=dHs919Xi)fzyTc6EKI9eh|-hMy&Fvx%~KR9a~gf|mb|K(m~V zw^4DG*!?XBXtDN3PovnfE%l>J826!X6IjI}Z@H8EYCO$(bqR&e2DKvrg_irL7kGC< z+{Se9IUF3haMc!)#iPNwi(xr;i*=8dL7!N_k~!F9#@p#DlNo_@DPQ1|!P`%Yucat7 z$eG{2=JF5q@2;&CG(9CZJnhN=K%W8*KYwUk(p$s$8yR71 z^iX~B;D%{)xVor~Nsq3#S$~YKCE(7-qOZT^^jnZSYx+Ga4%prV3;XkztyE@D%Rvlk zViNPOeO)7++%AOVun8!rzEpmB`L)X4AA43}XoorV5ftH2(u_2vy2@flfU4|Qy-*(F zgNW-D5vq0xIYG#_6D~by+^~?_wZT&WmW^GHpl4Raa1|$Nw0A%mf&QXh-*wjVPU~v` z5^w+`y*;65W?X$QsGpP9vgiD@d?A)7i#l`1A18a1;qAlM{?)ux+2MJg=jXMlX9?-P z%4jDj&d?>dgwe!X5GZyc|5aowLZdbEwO`yb80i!;>F_;dZ*Nh{TxkJ}`g8qlV3t8& z%{G@`JmQaRbDv|b=~CA+F7am$^h!KTMU@UAGfIW>QMdj}Neq9xIU3 zt9;n3XSbM@a2Vp*#tF$RbHlE!gwzo8XAW9ZrMd_|yOoMJ4SIM&{HTF*6)#%I;qOI= z-BoF}LgM626SUV;eJw$A?ae8&P+oWLiJfUHwh z^$`s>ECDvYUNYLm@ar>Rd&VKr~K_*|pu4VQ|lP z-wy3$rkdXA4dAq>Z%p$#bsg1}3vBBxbTL};5ZYVm<^c%%?6+TeEU4Uddu;gl63>`j zEdyTT2rWPygh0|7&zTlv_IY+{+~gz=*(;-_Uvz(Wc7&6-!!qG6&MRrIywkImarlSluE)QR&8-Q3*23p!~xtP+qadcqcS(*e(?MDhlT` z^F-Ocis&Gzt)e|kxsDim$b*^k_d2gOel*ooxg*qm0`E{oG~o)JtC+yD?(&yNbMr`P zh!u&BYFkN7MMFEBZ3JVD{fmgo7#7S;cf0YDO!D*k-c>C8>CmF~RZY1@X2&=-%u~=H zDuGchqWP`M#L%mEX-p|>q$uGcLbCtps&$P9W8;+J(i}kC*G)S%Gxa@{x=B`+6%{m_ zBLZbP`PD~zda6qO79cEB)qG662%0F{11>@)Lv)f6E7!Q+pG z=mf;g)WJW7e7D(}ENmXt^X5v$zdlr zd^E?Ge+MGo@dus^JP@Zu82oqXFejGcv>z#48+!Z$s1HAwSuJz6JUNa|ID2(jj%OYP zeA`)0gzlBBJ?lnt+PBpsaWSVo;}OT`x?V-b1F-x5mj3|IX~7PE>&nh#Mx8YN+4kjc zu8;O^Rd^nAB`TJAH^R6%x>5FtK7BZh)Brhke+1x}T^#0|0UG_y;JEtmsy4zr23)iOPM=$9B?*o@>pEPylfVg3={ zj)y%xB!{q3k5Mzrb7b@Iz3Ubrn_oOBU)Vza5;(!MYJF_=RW@XiplY9$NTyD{MAa6E z?hXFNtmNDl6cLgI@4;&w+oy>idpLZ&qu*3T-6^T3-roDrKl8oPse`+ATj%5P}6EmG%X;jO|yaUOEcB1~W{y zpjdLCl15+&s{;GtiPnD^zx$Vg9H6|taIQ#Y!`>lIw2-Vg5nc|N^Tn0zG~TPD{M;(L z?)WV2g&9pKt(!@J^C6m4(vMXP2HB#Pi*tLh@w?>V07Xe%#Aon)iVkxrtZNLtsJ^rD z9lx;78==)5!K_Cm>(!mrulPn$SK_c6*IvFQ=+HPC2H!H8t1Aj@WFEXOOwyuI2HYf6 z!og)yEwg*yV?9-!fg)%MsGMop{RHXd`MlsV>4)C5LcPMmnnyx&jG!b*Rxe?-eQu4l zl@sa)iNv^F>c?Dfx@tR}PR24>LM9Vg^CqcyEHK7KQbGw|Pl!9q3yjZu8;B3CgW6ko zGrx@_tAq?p2)TWx_VNqET{OiESs zgka2Y6Ml}6Dv7fz-ruHzOcFMXsRJwrhlz(t{HOaxi0wU0;RA~Tb5sKAI9o*E0+u#~ z@X9vOmrvipfqk_qI+N(jmtt4m62_2MzEN;D)wOIABHOW*m*Hi1$V`%mXnjOIfu67O zsixqZ{GB^w5%hB=WCdiTFI_JMH-?nc0%h6%VeIYWn!NA*f0cWr+HLm6Dz)3%xvG_O zww=0x)vc>HfI~+0LvveYDJmCPeO~>76N)o8^bJu_haZHksW-TT3KU&G}}9 z>256GxP*eTLeNrop>3EExC$q;s1%tmfy`h;WZr42mq)$dIcZ^YXO;0niOUz?N?_6| z^sxKL`ev3y(MG>HHyHu;5izy;U?4$sZPp}-?)(n9vYW>cL*N|-AZ9_k}T^mw(qo$g}xo54{( zFq*6V7f-KMA4j7#b>IY>89WpWtBoaGuBd5nDuufnn=LQo3W`YcMf)@({DG-_5Bj3| zxE41E@1MB#7w_a!3YozIjTvKw^qU2XkCU1#)z z(QPh9^hwi`dK>Lubymzv9jv42CBntgSEshzF7l6$rekZ9)5iq501agY0zy+rhLfC-; zO_4GOR_=sWBrhfCx+E0^SG(b2u)9>JTSK$u{bvh&zX%VRX54c5--oS8lf^B^L#zGo zOD=!Q_Izap9#vn1ss_QHtiQv}|B>2UjB2{HOcSx<)T+d`gqM>JBHV*u&wdO@Th^R4 z)!|Z6uyqBQ_{9+P<>QGB`>Xd|OJ)Ey&xv%O;dfj%V8`W+^C?VD9KTQ=7kZ;QH1aH0 z_srDg(Y@;~J5_^zk08LC3`rMLHV=^f{h1vw`}V~D@3wh1?8o?Fc4Vvpz>Gux|LT#^Obvj zj1I$_Lztyp%x5yWtG-8!z!bIQrveEPC%DSyjp(kolht8)U8TL4Iq>dN-5JLWY2+19 z%a8Q*|8dw5a{3a2oDK`F*xy-~sZBb@L-s!K>0__s1BZRO$+jNtE1nm%t0a!*i88Tv zC6mGAZ|V+3y}!M?TFTS;QveCBtDb4QJ8KX7RvT?*Zk%ootg`f1w5Jn;@s>@%uR9C_ z%6GvO9!v=BT;y^Y(ZP{ab#=`mb=Fx;qT)=aXVvBlNZsjII;NQX51#0V^r_BWo&9tRNo!;x$#gm@tL188>>B70T1!HK;2dx#)_#SFkhR3^`njjOCF-SA4Wno6SkEEaI z!NZ)ONw9+5RCn%&TV|4XS~u}D(H?f36J0W&Z*iZ*2fXHdI}6<7eHEKOpjk_bdx8n3 zlZ>eGmcnJ@E2-hs>Xw5u_<%^3*(amyxTYa;B;VhOWQU!4^Q1* zXm=$1$K?i{ckeXwsa-(g4J9xj@ zT-^@8!wDEnEa4mGzb@oWz5rsKKK`TxJ5)RAJhi4*?0qmRA1LiyKXVj-)s38lXxjdU z*47s`kL(|XsX^2dY+8#A@j1TH{iyF4n|FfEvQrZ^rVzHTM3C>bk}a{hQwnX?|jk)W?@82A*mPT+3zHx~i~?%Ns50`YF6x#&o;*x%XI$daa#v0o0Dy z83BvF&|JfvdvuZQxr@#zkV6PX15IO#amSe7zf#+7oSnZA)Z1oXjhq_&o7 zR3+Bbo&|jfERn7YJw>=tC^xhwEnw~Sv~TrHo1yQW5*w4*PFe@jnX9ZIA9TN~Ag&Hg zR1Ys%i`*e3F#@AwmVWy_35n;*b@FTJjW>@O2Lh{H4zSYA*3r2fVxmT6aq%EfZM>0q zq5g0&WKcy!U&q-pN7GDru6)mZ8C<9o+yW&sv?WZ?u9a3l+CXjB#s%%H^Vp51wIzj> zd*!{GP#cBsCQS7n3D(cBx;P82YJxHCza(?jvkU5$*ty;XPB^IfCXS4a z9PB>1)StjSp9@UTa1lzeOwYJ2VdED;*_iI`z_(V?u+-uPjd$qmCyLYbf(Aj zUF*BWx?OXi#@`DESBJKy*v>67lqoF9yxuUhsvQMwoD<{Y1EuXvpTIp38%8Q6zo6n7 z1(L=&8x_B5YWj1>joPu}eg;OX5NjZmQ-NQ2?%qU% z0$oHicL2(!E^7GRhtu50=FkgJ4s>H>7akCqvj*)JDyXno7Uc~LC67kQBfG@ zg6MSZ_X%Pc5nMbjQc4FCIAMYF*yn4M|Jkr@c$Aplstyei=Sn+x^jqh}bcQR{G~VcY zXGa&xc)XDp09%XiKma5UUz!^*ZIetHJmI05^Y@UO6wQ!BkCZ6#nEVuB-39VW^O*$@ z)8!4EXx5fGU)*@73q6(nOG>bgG@%nb@D%7XnX9TldV_&g_XXc#^X%L{s`KKM_lUVZ zV7@@O)7QTjwx0}>jdzkAW~Aa>uVq5_Dezbfz@Lr*a?UoMDSx#&q)# zlb>E_uI+Je=fra`>UffahF9}+D_gxoMCB*5d&Ju(qh;OQwJfQ5x9D_din+Il=(U7Gu|8X7{+6S8U$Hv!ac=qJ--~>dn(JI&qnm#Jc0cNr?{;pdiOI^3#+wH>R;FA z;xXk{!xp#hVx+3_^YvC+wk|&4x<**EcP!PIa%}xL;h7kVW09RPjH`kb_4^fr47ow zF4ET#M1LWseLHckF?eb_`>IT3eWP@o_+>MDFl*4H2ji#cQ|5qXEk#TO6P%^o!3WjX zrQL%ezHiN=fAMzZgqP_I+;lt7j}Y8}JG%G>ieuH4$J2*t+;>`wP$j7%UI27mypa(} zZYe0`bW7U+6k}hC@=EZl+`3bvVmlAak9?&>-HEF^WIX6T@sNf)o^3T5Zu%83C<%@)UtPz^2Qr!1NP;NNMmEBy&4vp?BAmPMHVc31) zo0i3tBK+3Wki4CA*820v8V@?LaY@2aF5l%}Me^^&o_?-i(pRA;Ag1#xps~5fW)VY= z%!O|-qR$P3)Q~p8=Mbq5Z%WcF+NtU)f@`yO{%o$cN^R_BMfGeZ6}qpy5q8y-O4xjn zE;XFc zl(?iLttT0sAteCI^Apu4#VD*=n1*lXV`p-2>+vE^)K@vJf}b@G#ThDKYwA|n=;%J< zj;q95%4bx7Vy`~SlrbeC>#d__3mMUzp?R%+iNjupCUFCZuM(@$4%apBu+A`1* zmo6bV;q@$Q!n8H%PToN^UbP^-YuFN#O4AqsGpLXfQ82y3BOO`g;n#0voUxD z%3SQ)za3yjGac1U@GHkGQU_3m&jrf!(TV=aH74&tU&9?qZ?7EhqUNXCNafz*pc!Ri zNA>w^r?7zYx6(CLqu3KUILN)GxDt4@cLATY+A+qsGdMUB7@3=)cy%80*RBw$O<3?Z zGWiSTd;6UY#>cvDJk-w@-+d%(gQ{d;%23fo&H7iLkbz)Z`SlE@gnl*Tnb0ocK%+qL zmWC$YNf{q}gq%X9M867++U#*&d>^L}GkJs(^?Rx4*3mhtn-+~u>EbE(jlqRL>FuM7 zXEND?W!1l(fw3)}D^$N*^LUfazF33{IePH=c)h2OJbqeJ+HjW5LI)|*2&rdo#W#%e zroDcqL>nJ^fQ#9*$xV2M>4SOd*$rwyS@+D7i#1hK?VEj6!sbai!7sIm} z)gmqO7%0^!gVLZkWq=VaR_?KIee6Mdp#C(nPUedPSRUX+!CR9-#LgnFR>ZeFSQN?6!e<@jUT5m8@X3^ zDmRdeI5W85-DfrlMmfy;aek#MM;EB~uUAcJ*!v ztWu0=-rYzL@2GA~k`QX8mtb`|-$aW9hy1HMjN`U zCOPi91e(WF(?e?a12}BEE@~{BE$l(3$mGDvaPylFq~p%x*G4ZV2}Lx8(MWBTOiGM& z7kj#8Sv1vu;8a+#W}9c%>aQ<;f>`UU`6S3W=f2qdd&`w11#R^ ze2olR24j2sIl*N4({l*hie;{5)t&0OHmNvJF>S;3D0A966NG$rb&*q8*7B_#5Og>k zH@<}Ft|&#p^A{8#rY~T+>m#0dcKM2BE0!lzEsdx#;y{5zgKQqT>3udb^F3^PMn)dS zF3+={u2`{h#jiRdYa(B(4MqJnD@so!Y$%9)U;W4{tRSWd{+6A|kFOT5n(SS*{c3Q{ z*|c?=vX(`y+AF`b_Wf^e*zo?n4a1?wE*xjJ#4H6?d%u@fvO;5U$9y^$dG8A86*DbOg)ReEeW-29cw)VAj^wQh3KydyRYL);PPPhbSK zx9a0ke$8Y*wK$!BAb04iP8eC-nf<+?!0O%+ZH&Lj(Bf=aA-*!CBStW^cpXh2`qUAl zKak)ApK5A&WiL8^Z5>CIMyI#>B9#LK`$i`B5cx*U*rG(YT8duHfU5sKgKvkxg+Ndo zT;(-7XIB~hD|=6HqA@~y4*(Zn^+KC*(Yk#hNpkb$!oig+wH?w(+zEz4Ge~%PxE-jKfLwO_s$GxI6?H!Amr&LtHDwdjaEE!1|1z)UT~yrA+XZ% zc;DOIYwII;6@qgd0;KAf)8SHTcYpGkKCblY)qkn^YgZXL>&vSf4=0sYrZS987mxl* zXSdPA6E2K{H!+AG?Wjgz~w}fYcO>;{xyfYO#E8JO2bF=lf=L zD{1Co{TXt2)hQKfqRrE9Ig3ZU`ZjZ)^7EVLl26hZ)4tU#aZ(@S2sX3g>kngTj4)@) zpd%-rCeGz?d7+8wlq3`n!Xfk)*OIaVp9xl8xGmvt?G8SQ=d<+><6YHx(nGpGPoDx< zXzs$A+p6dL6+Ijjf2k4-s3_b8RLbKq+6q!ZrZN08vfuUa-u_? zvyjv1^4J%2D@nCY*>$RZc)Ib9$wm&YoL{=va=h}DeGSRk#$T%a@%@A{9lf^DI5FS| zw(H`Kr?3aRT-9x)Ax+_Ia2`+O41-Ql^?AM9{ld^nXEFNx_vv)$6@R?#I(ht4_F#u_ z!ylMH=lCLMY;hyf0F#$IY_66bqVrmYP~~&ue)W#~`Z&j(URBqHQ9A`29;QlyN#b-X z*>&DwM`h)a-#j8#>Efob&MfMz9|T%x70orGdsV(CohkOMp5un^g+8xF-fzp@l}`ID z?!X1=g6|hC=&cV!BKQ7dKyCUDq1zG4TWU~x`zrV3NOGIsz0-B^pxAD!ODN^EZ_7cs zk54vtr_C8UyX-3a{yr~0Lj^1pxw`Nfq=p~q;jJXP_$k)h-}SEVD@Giqm$doQLzR0o z{Kptk$3k_LL@Kn@f87!Y6d-se>Kf-V6;28c=p9&$M*rSLY}xGS+jlfHj~}^#5piw< z`)am-thVF_@;x7hiFcN&J?Gq|A7++t=9pGE#;d& zx-ELg#5;UPY}#bLd-@`GP;ISzHxH?ZNA(~RJUvd-olM-cP(! z;8OjzB`xPxvGXNWhzvZEQ_2ZlP4~B|wXgtRemP~!iqLo2yfN(yrUjE*BAK51=@YIs z^_yI}nUuo%Z&U3cPa`GBcXc0-#TSTF@&uRZEbgxEIlft=!Y_N~1y>z@@YUWOMfk4F z7v@4sHZdE{Pve}`&~2YtL@9ns2Fvul2_@KSt0GQJDyDPnI5Zcuokj`3DPpF+QiP=psN2w5^ z=V4R~FD9z|%P>RLzAv~wvOispyNQ}0AB=taP;Lq_HB~}~CZA;Ix?~yR9&DP^B9O88 zM$WlabxysM<_=i3?Oooja?GM}lOQOYkGFjV8`PUvRupy!R@nPyH!y)<0m=fr?L(y( z38giaX@fP5RtFzxEk+cze1pd|vaerZRyQWHkJbNnb9@ z@RQu;P8%J@1KR6TQfys?G3@i_E;jwtJE)IPC@=)J?%5$LF#dvSyN)HV<{MoKOG{i9 z)6jeLF7mR%KCsm|q@AqQ-%f51tP=V~hTV%`@4e1sziA0FPFd(?8&C?gK#e`d*^mD0 z;2MF);mr*|>@Q3YJdlY0J}O&4hbVx0=RcOC)LG8&(5y$`k|6kXrmr{z(-bf8LMKq_P``wY$ zDP(8-$j+>6h>U8Fyp|+S$k4C3Nc=9e<|2UAjy;Q8VolG|;pC+?lDy)?*1Wg!_x$d2 zLHzx#k^UFfYEsv|yIxba+HT5;HWhW8vKUfp5luXJHZCX)y|BW!k5lM%%T1EWTu(FI zb`h}D&A~b)fhh61`p0FBtGv1>53~tSowRQ5(8sai{kjM_Rt>V!RVB9CcQ_Z6AnL;K zCT7cW*}esR?4mCAZ2iYUsW+I=yV;?S;ptE7dp_KL^FJ(rD`Su>mS%Ce8=AT&QiiH8 z&`Z00#N>rH{RWRh5{wgSy50_N8I0xlb%;!|3ZbU#`5G`m0JICa=NgNff)OFutfQqE z{VP6y(s-p?yH)1!c9t7Yz)7WCpPW=(!I3@MNSm=6@5$L;d1i0_kGot_1@?yabD8dm%HFem zA2G!sYa)gs7j;(7aVfc8oc0|VcY1#UhmYg@&*LvqCM>o$2#^T$1aqx2_@iR`9WQXN zrc^vF6#2r7DVCZFhEuzL%vsf+y832W8)7&G-R$1*@N9i)o{@k%Z$A%>!y|J+ruO|P z7*OV5z7**WyU4!>7n80Mgy<44rj~r|$8L zrfdgL0Kr;&nzNWoGqYHojP4iO`TW1}wIM_oh3z&un+=i1G;zJ|Phbj1JB>-)1>dxR zZU`a35@0xNmQ%LfbSVtnAzY9b4K^x;t0@nX(!hIXJ~9fD`?zli+`*fLDX>xGEYUH_ zbb$`rHZBu+BHIn}2M3pS2rUGHXT`TZkg=$Y1Wjw(`>_|OIM#V(@uk~`oyF#DLVVo7 z8}Rc{cSEoZFm2?)_SY)?uov_#Ss^xYh&E+sv+3y2o@WPeAV9RinXmUHl~ulYhqo8l z7l~4<^_7xoAei_!ZT?0s7r%Rs1x2K-t?#C!Ki5IGllA*eeqjNf-RoQPwk$CFrrwLy z$kXb-OuL3Zw_!O0%y9^yxh!X3bnkTgb)xKZ^|gl5Fr5lz2KHv#El9nw$z%8_Oad1yQdh`fD-I?nT#r*~TL= zo1~GMK%HhrUbO%J+qgy_9{4=Z{e3i$k?Q^Q)z>>CqcmTKGT``(Zl!Gre%=0A&N_qJ zqkGh%TH7@6?%t<{UmyM|*QI@oC4L8?Xf9Uto;#OwUpsUK;Sb`uu^Zu6jfwWw!J&8s za4Xp5lSjA}I+#R#ut#caBR^ntk-~?=zcE)=FtUf*2r7fyKe_%7fmwNXU6nwZ!M(ac zbJ`F}X3j=0v6y)*2qo4=3W6Z2V>fR4PTP(;;;gdn_Uzy&2z$16^Qc!Hhy_1JAL-+; zB>05kq3NvQQ;EhGGlR)(u5$g%K5RgM5PYb#X^*=87TP2ywGk2p-U^V`_h}}&LL#3w z#xtl)l_Dg0-Tbktvr6TqzJo*)ha^DYxFby53a9+^+}AsHx7f}SWd)Uq*L5*+#dBw# zFLj*=BU52MpB{{#A$a&_Pzv-ZLfxn+j03*dg&^1*1y5Ea z29&Ww;;?dR3d)kG1&$XWcvM^qw>#9=@X-h!EIjd&IfniHP}xa+gpfaHu+!Vj?(qzV zntp29)Ma4yc41(){pKM*iASf+kv(AJAt#UDFKfuy0C{Ak=P6IXKN1DYY7O_ zoqejAOY@p-8#9=(I?WO^C{VGBUtS8v+U?Vt%bMAm?6e>d%oYw?wv82uUF}DGzgHCc z;sBQCkctWNh&eX(gbXCM(}d>EF=)+7!3W~cU(>PftH=$SO`rp0iXC|f&~VK!!9iMA zZZ0(u3jUZNUaYP(M{ac0C>cH})NY#9T>k4?Ci|ePN!OC(>DWyJZnTG|zHxgYtO;Os zPk&u2+{D@Q!0WZ}xmN2gY1{RoxLh%?;D+)Ir>NS0bGYHhj3)aA+h&l{4@tRc^2o5J zo|+mhr%2=OQh|!Mt#zjN%Um_((}cHg@~;_bN<)0eZJ8>S2I@zA{v@7jAz9{J`q<$58I0vrPE!!h9zr-)E&9B200sX#1v zjXC%8>doqu`?Zx!9w%OM02NoC=tv4{qiH8k3UTc#@S_|s8E@2Snwzi57qQCdz~v)q znlkOHaS5=QvfMc(O1Sh4zRki7g>8m&!_Z%Fu&%oEw9 z2kfKe(eEX^9L{<|A^hsvE8o2Gl=#`7W2jAuDcowK~RRQwz*IfVdvXdk$FO|*h<^(B^XO4BVz1}}RgQRDW_O;jW3=+{MC zs;jW(k|1#yQ6zY8A~85qaAj8LpSU11PLy-oxU7B{7S~BbIOzcaiQGKi{EgG~&l!s( zbxH-HkC*`jB6t)d7TxDy>GzHku{(NrB zw8|9IBh%E6kZ7&g(|0Sj-?2B`i zuiPhx=OOSa^vAUr$Fa`cO`Hjd{VS!IE@o9Cl%%)9;zI*dk z@c8EDSy6*8UTvg;onv5^n4ulc=+6zrc|-Z!{sNdt%pJS)goRvgD*Z$Mls?vjaxvN^ z6sDk@#(^v%E}HsBW&-0-t0+FjHS+^vsJcojUdrVGm{uTXP@kFa9(}-#_H7Jd+b)ZH zZ6h*g67{7qi7115!GK)M^?_R=Z@q1(Wmd;=27UbA-9Jda()r+^P9VE+F<{NKB|0eBsK zM>>#8lNWYOt35z?;W_CJGN}&$+6=}mx>0Zipk^LoQtt^DZ^cqpgY7bp;wfLx{GA~* zBx_)^yYA7uWsUwA3J=vWHm74-ZKcAj85Weu*Y`}1>}^m=&c)-n>+z9d&+Ft2X zqz7W;ND}b;av2YKyn#5mTq0x%s zx$I(ZL7xH{#|67Az-G@NU~*6apb_;V|I4avN+H-LTFUE)0 zyH?&qK@SN9#J;wz9Dqj?XsEu_?u~`3_PZzz;RV&r6mJb}<$B7U5 zR`#}M!HtM)zzI4PLGf5`GByF}-1~IK6)c=&U?WV%!#`3;Z6p``gxmkvaBQpP5iV=p z)xpmiKAtIKe9`2XJ|^Uy@JEZq$LakGzDU_wKeFD+UDFf~SgDwz!tkV?)*L>8Of{s9 zkwyw~mhQkYmo|{*nCevaq&&~<-*6dk1$+!0i!b3(K$dt0g*HPUHP|s%+$ntth3o-024*TjD!j`0&m&E90`aJbBBSH~Cvj z(XcO`!x~Cdh=B62uVR;&1zrHx=p;h<_K1r37)#|X(4@*h*5$GefmzT?0|;TBOnNDk z6^^M&^t}PH3Yhf4n7($tx6sC=k_{h~ip^Z+9eTIeF-oVh6#P>H85%|ho+29-f=6k# z`$bFJ7I5;E>)iu&#yr zxVTixL_5}X!QST>dfpf75LNC{a{yZA!-B}|lM)rX{Fcq1a(TSK)Izz7fehUOd8#UQ-p+%8Xiz=eDf zcx*=B;~1!p&CQ<{aoyeJZTRkj&2$<7Z`I}VSU=Q7hl-0d4XEVKEQR>Gm2G7yn#%>m z2Lt+;g90Q+8rp{5iwvDMe8(J`AC7|l7hN`^w}W_V(&!*zJdWjK(5fY5CR@K}nki2V z5V$W>Z2)fn)V52_pLf~dCGSzLcgYHB$|VEL={o-N1=EeE=0pBK0!e2fAyWV{^I&oQS>au4dRzZj|GDJtc(w|8!sSo#9pdN}OOtm@+m{3^Yaw7^BRBCn@fjYhqz^?kX(N6g zBalSCFZ0m}JO3@*v1(_+c8d-tENC0S7F4aZyfb{e0{l9fO-V-OI`i-4TUM9~GCA?i zFQOZ5Ppp5l^{(OT0{wC+bhQK78#Gyi*?{@8Wk(PNmuln0hqMzeToZWM(22zHPCYd5 z0F13~nan^pAx^E2oe4=>qJ>@cYm0RNA5FAGO2w~pq9?Vw#iEnG7$JHG>pCNbjbkT6 zzBs;FcuF>ni+!lO1uq@;FES_pDzHu8_HM&}iwAAihRa?$6(ZwUvExungxkvttpY_Z z)xI>Qxl?H(VI_hi%NM~VewNa-=1|k7V^h&9D+E8-+`NTzX`bjMD|Z*5f{rFUy>cv| z-(tA{mowQ_iOaqMtn}a^P2+7}@qLlwnO1V*9OJCza@Pb_7eR$elECkJIS@%HFzbjQ zC$x6j(U~xpe}Tl{hLCv-7SC6Hp2>7$n*hWIY@3C~C(akxWs7%X;4p;Tliu6Ab#uc_ z20zO{Q;I~sNT-I0Ptim+p&N0Z5kr2e{VX$Ny4cs*Aum)PR(W}GHIMjlop%L!D1XR< zWTAEBH(g((<{rjp1eOExVFtIrfUf8Et1UGUgW9FwExUvNs zJMESLddvz5K)Hk5UPVtJ$~~{S^t!btu@q>VzKNF=?(W)(2|*+Ko9vzCjIA2Gxf4~J zrDP#`q`a4~>DwymRLgQ9O4S8k&cW^Npn`+?VJ>k2H_r($6hfw96mUASGTbo~ds6%@ zE|0T);5XJ@^qR@@2ayy+yV)~N{_HB0c!PAhY_xpWlm$Om2{O7i3q4Tu7Spl#y`2|l))`~J#Vf|xTnAq-Zy>YD$&b}Hgd^@`*2v6^xXfiF7E`|XOjRG#jO zu*Q*a{fEbN5uvSNO+6ePsx}{Hh>gH%qY1bO;Uu~!q~^Uh#W?Co?`gke|TQm;cUM(1|#A6p_@!TJd~qx+ZVq?N}1$6nG#``p08KF*DR5 z#3d3tHf45K$Ps1~E^@Uz*SH-|Uk6qPb2bWDye+Y8gvoodhqAl%PqtiqB4%kx027kSc!y^SODPmyc>%$y2jBMNkO?^sp;HYaTrU>z@Y+OXg zZXE^(BbMf2?Oa2F18h!k+)-}oZSGijr+1?Iv=(&;AJ2h+H*M9TO4eyUU`{vA= zx2eA@Zi$qxzv*z}<|oKJJhQ!w`ioz%{8WBy%bvR-ds1xBlM}^dfKFnTN7?eUD?s9h z+0Z>0ReXM|C!C)+{+~Je<^EJGC0#LAk7etlIVK;yf}YMw;*4LzNzUVft=zkLVe+>B ziZ6z5mcLkj=Vaq59a&Co)5cTZe6=Yjkz%ahy!$IsZg-Nh4?wyld?T4mzE8fGh0#_MD}ouEH<55{zY&(otzU;BgIddq#jMZnf}piHU1^+fnOnq^GN}t*MQE zfb=eo(owV`TEVI1a=VVQ=?;zc-qZ_B8oJC&u4`SiF-5&2OBbbSbK}H$gG+Zi2A3-e z0n{Dma#eJy#pw4%EVVPJt+z4SQM^oK8D5^~c^}F7u7MZ#5X5^zOX+oA3w#*)i8W6nk~3)4rpa6OQR(7l~7^xTFQ- z1u%lll>?xc#Qn;dZQ9tG*(`*%8Py)KL6GM*$Ga>{6rQ9Geo> z#?X09mrL7qz)CI+L9u@rD6s8XG&h!P74Y;UuBJ$IyRes>IKLJ5l3*MaE(MZvhD{}# z4|@e-H1LzS+x+OJOwDDB z^I}`O3r`!{F6R=tSjZ#c0ripLYG6~qH>Ih5XU03PjUJE)ag3KL>W#8S@E#u!Wd}!_ zuIV@JWU;X80^Fc|e)tt8pqIOY!?93Pn0AwGLC^(kzf`${WK}?#3;nCDXEbd6ie(`f zztkn|=uN>I`^Y&}N;-;63X=o#NVuf1t5ShMqAvOYiMI>icN*)iGM*vongO<$;z>(# z`P99PyWREzSt*y}nkd?;9^K3Ico@i_h^vkPcDDeo6N!b|nlc|{xzqLk;lWosD94ae&}%_Q?Gl|nW3b9VL3ix!LVhs&3Y*~$ zPE?J;e*^Y<=z-?)RbNrd$%!gOp<%b#JB(nza=j+SAB+x$C#uXG+YOd`30{s6gWiU# z!f{4|R5kzHWqbR(KPxKq$AnXOrYif525tb=L-RGUr^C7to4Z|=LL>F}08ZPN9b)U3 z9b#Vk-S>a1m?IJ~mWxh|{z}A}BhgP8{)T;C#-r)-@Za11xm3RU*#G>aJN!3v|8pxI zi(G$iiQNAz+QiFV;LBy~sK#uxNACMI+QgBW?o)85pmt7Oxp&o5`h=aKzd65K*MCDH zUr*>a(l!krZ{B`R^4J$O^1)=RRQyO78A5@)?p^JA&8fo~ifB1Z;DWeDZASHZM;8!C z;a0o|{>eWH-M+_c>H3vSA1C_RleYU#Yk!w^rNy(0%Uw6|^JG`SLN%ga+Es*P%h%e2 zkMSV{T5%gRj{5HIp}}}Lnw_uD*8Zvfm!a|c+b0#6X>8ZzFV=s|W`GdMUsBvQJm9O> z|9V^f^W*hjA5lSi=YbXW`3=9aVW=m=eCDp22@w{ zQYad7$Sb-C!ZyjJ`HDQV`T+c8QDH8D6Fjlgw1<&Bl^oh>$iiBY3s+p_Ua?C zo|Rp|K{|Igw$*zKqUxJ(wkMSi!=+TFjj|!OUsf3W`M`94nt&$*jNg1yddzxzX#{st z!naem5Wn<~mt(ku33My4l|KFmh7HcW6_;7VQ_~55nvM%I^2V@j8$LS0vz@J#i6hkLUYw>pqsLEiIj6&}G1|$G7$4^P0Man-AU^yd zPOy%);LX$hC6jk}#t4o8flG54KLO4>ecJ+2HqGOLP_Ot95{M3Sz{yEDh%N=aXE@E} zNuJ=rx>JGx{_4#YiAhrQ?kJDvn6{9Y0EaI>^&ZcF!*%4dwR5J7f?4CtKskhQa=!bX zcl&TKJQy?08s!sq@mx!!h2pOh#!Qawt6|1D@K{iGUDDz?bK?4Yxk{^Tq5ioP6yxA# zbEQ`aIh&<%RTm;sQd{0(yu^vh!4v9edR!6|m(-O{yURSa9S$+-ukWyXgHx5S1mR73 z?K09oGw$Jus${VglqG}hmEbV2{>RPQPo-g-b?`v2j}tsrip~8oHoct+R+p0wNBG>T z*^c7@qM5cqtBTf;!fP;2XUeAPG*7fQT@w<9X(!f8TB2oA0q;R&_T@99eIMISa4?ZS z-8OILlI743!E6|a+Z>eR;e~I>4ul+qLoqA2LQBCz;y&x_Lurq0fK$|6fi-$At75|! z9bP}YEcJJW?s|Oa6X5Qasol^B2Ga?s#_eu*_mELm*4W&dOeqr?>b6;qJqUB9!+s37 z#qQ1uIL*uzZI`%w5cj;y$X_yWb<;+9-Dv1fYJVX}=Ecc?V|&jMWuw-z*_zQ4{=c=2 z7f3n2@HJX+?{pw`k;O0>Z4|{qU z4vmqBR%*yrR&=ONX==MclpSuqCcrZHIoUYrq=LHWBSCTCJqc~h^B2I_WJ13$3+lJt z5-wYG^K=7PY{N{)%^jGBj5Bw??DocE>=3mJM=bFc4|WD5X?gUrFL<9{SrLbw2xbXJ zw`|41NH9Nt4d!R`v$fb%8;Q*7h}5K{VO0&|o`mh*pJBk}On8}CqhM>nibz}k4f)J^ zA_XA2!~jIF-+fp6FU@PJOqp9?Wy)E>Qh$MJ(6^6fc+KGZpSCwVz9rJJbvgGO2ec)<-`Gq z{d1PXc7uekkk`*teWr~&YKWgXs`vZHwNdP*=lKP+bkknk6@c9u*G13{*yFS4-Eu&C z2(VeE$jTY2&=-u|JWi*RINp1_>0ExZuXu>g0LUEsnEgcAhffB=Fv>Pqa{0m>^^TW2 z!R0P?rS$|2wgu=O8j!Hdo$tQ+rssj-AxPoqaKahO<{YBaJ-tU;qU2cRfaQ&u8`NWb zhCzS;>6~m@Q!`TRtnj$^al8Pr7X{1&H#JJ7qzvhW#M6g|ls_Kk)czR4yLGdse*06w zqq%hv;f~6_QKq20zGufpqExxg96EtRocs*)wfj5}zrAcT@s_CZ^Nt{!Ho^qz%EL=1 z_z~o88gB^|ypxqvjO#Hn=hYf|U8%D;5ZAs59wqGa*@){Q!F08~#WP={3}PQQTjlC} zFA3m>=qY3Hi)$b!Y5SV3_Jr%of=GY!B*lVZ(zuD=FzB3wI_}Ci?S~ zQxqm)(dpoZ;TAWd+(C-`G2Wd?bhdaD+ZCATl&=l_d%7M0s);JFnsw1GyBxWwz4(cH&GSD@dX16MH6zqeg$7Fh?6esdeh~!X>zP13>tN=KwMUy z+L1ZSD$vO)TRT@aUzvM^Fo?`4T=^>tLhP@VukYu|0&z38?3^}9^XT4Q5*|2zu#h*E z557#oz2|J(0l*sZh}uv)S|S+h{pAhoje~MrMixWIKLyn&yQ|Gw|J)EcR#)DDqjP`x zdX2ZEy8Ip^HlXn(%d3}pAE>-;{9vHf;@y%ha&4u^p%{gfK(~lXKSgv^l5S)7x$j-A zMU7>=KKatI**NtOof^dWNM^jjGucJK z0^7GdEDzDd$WM`{y1BIH6?VUX4I4BtY_e>o5lm|E^qZ~$Gt8zY`FTBQhovl&d#!mw zoKjlx3ZNU=U9MVX4r)Dg8dBO@qA}V0QtG19HMB$VdZ77QgTho>?)Udy`fNi=;P=jB zkj&tLIXx63^d=#zqP`~Y3F3k-*L1wrH(Wg;10d7eHbnlcHc-^!m?-5LS)p+l%)70! z6t1#Tlb^*;*{mufH_*W00*^7fQga>ilg_7pOQ;3V^U-4ck z(5)k7&~=8r8C)96x9lDxNs(cC8m#O3t86xR29Mr*jPS(=@uW6}-%8yt5JpN|Y|fcd zOuh>z&O_%z1>@xQ+kZPuhfwP-;xPTsFSwq3gP2FU|KWd^yV{7vm~TF}?1_k^7Bq*S z|HC8kKbxIp4&*JhE8{6@W-iqBY61~>!^?x|^uXIN{3P2EXqQ0{b|8Owg z_#N&*|AzN{D?tIo+2Kv&B)4X(==6L?XqJV}^i}I~6m?V=15v}x!?qo;8KcH+iC}SP z`;L3I&?lWkp$klEP@d=0C$HqPA15d8(!_>Y0w z=HBLyv1{-!f8pj4{~_!LQ)hi~LFcjg=xb*8Now*#HmJpsOtCr7^DU6BidK_>cnkfz znc@^{38IOWc;&G^h6D#c3&Zw+`llgC<1%&Ffd&2}+G7}w^O2_w4{bYy+8Ch%nza|7 za{R}*qm6>4)I;~WXIhq<8G)>)?qb{U&7WmTFzCkX{;&9J*|LbGzkg_ejd+IpX|F`SH1%SHeltvXr&Gvv&yDYu-72KvjvDy6r}9tl+IPM zIr=ZtJpDJ90hY4t1hV~& zw5)QyJ4lqk_>D<4G5r3{qS4#mMNzv+D!_L3)Jt5NlWIPsVfj`3^7?57GZ-Ob2V-VD zTZ@OHgZ?sG+4Dg<6%RzG?Ko=vQ4F#$^OR|hdtvv@6bSO*l#`Md2;(c$Hk{yZl&`1w zb44m7l%EwQ1@r>Q6HC^12?nb39IDBW@N@X$(xtfVFEKUg)Gmvud!j1CZx2|@PAmTU zXY1rUZL8L|ztdjml@JPM;w?2<)#b)_H=nDEv6X7>eO_&sdfUd(4VVjH3r{8)qjRXf zs>6FG!#Shs#%mRoCz_Sdq*wy+BLY_)p!I^r+eM}@;D6&{VR&*G)>&%HGo3q#@-Ua< z8|yyb2C!-UP7&7c6z2 zpR#z+(}mL`8CJ(pXI|ZJG83ETDxRJK;>X2N{V(6p$7Beok>}7nE{1@oxnACH?IhKW zQqE(UL(T#E!#3I~W7LM-91cZ&G#W@fs30bqonxajGp0V%h1Vtyb(MY*CLk`Xsstr`n{~F@G1ghm z4*BAb_%Y=jU~+a~BQUlV@!^1Mn%mt=c5ZV|aO2PcHOn?F*)AI4n$Hn<0mPRE3o4#U zfq_h5$DY}9#hq9180EY>(YG)-gI=pu<^r@&CtK2!vm?SnSTC)+iqwHNyN$n$G+lF8 z7P!=<1<0^vhXQ>!Hcf8{c2-W{KI&37-UB)n_y9Va9>v*DVPH^(B5KyLHyv-Aw4{o} zJ~~xJ+!%^YadKC?Jqshxq&p5ll?1a^Y&Kb}sqZ>!E*GG;b+^x4+~td(=2FmYDsdKT zxAxr7|A;9Ie%>q5aE!3bRQ2a4Mn)uQIm;y)RQ5?|R4Ws^hL*lLP+pDAU#`PFh6SFe zTzq{0_>cW!mTx^TgO=+58@tB)xg#}PC}Df8Z`Jn3STbhC=;P=m*Y@f{Yihqtd#p5} zN3kI>vI_pG@9anvKbMxD=HK(Cj+gc)m zQVaL$+4>UyAz!48f8~4;EcGY9J_b`#CTMPn^stX`nd?NIwvjaBk)SUs_%w00`^gax z5wL#5fL|Z&ZaemVtS$KdQC$=qP8VI+?w2>9TB&oTH+;iSBYG3jY!f(U$&&I(JB7kNtc_GhZHh4JZrPuWSx?#jqFY0UjqWEFCx z7!OK>sEbQ?Rv%VF6%DfnWOKQk0B^J7VikG5fejzS+eV)q=6!~4M3`pv0 z%4v0-#&4)xe->-|GoImdf7n#eblB5NSHFYpCSA0K0~&2?FxfM!{c%vOJ}fqtwQCxd zHs$9>o+**?_XlE_$tDjip)F%ZG^X>yrL;peTB~_2*o!vm2eHULA@+7Q?7#i*3^1q` z_c1~~+PCY?1$viS5b53NAr#i~%anpLG}7vcN)=8aebiZTF`KnH*j=s=vDs z?Qpl@(|J;Y4VZa$&j_On#*9NNeT7TsumS=0r8ZjAZhL}DTidRlnVNmC&xXAu#q9;M zs(308|8M>T-y!9mnN1=@YV) zay;F_q0&6||KjWIg73Q5jB8aZla_Z*cgC^{UlH15rEO5q_BrRFo1vVLXs6MXc(Ds89&u9R_zIV#k|+kbVsoXF|b zpKLx-tukxj&+Taai8EpcEeA19Hy6FB?jn}~xMPqp7`pe8gs~%>u3$?NpOWG71>R|?H z3Z4qUxIw8|AYSVlPr#Hh(An~caDv}+k|=P?a2}QP<8-6t@NAsJY?B8f$Qy8Q>88gX z(>TP;$NlM~ZK3EsWP*_ba2lPq4*^a}^>xYYU{gdt58BW$O}&%m^FhTF%0pG^GzKGK`cWLDPfw#Tqv98_M79A`<*DIjC0*T z!3ce)ylDS+lsx>soRgdXqqnB5eCDBNA6nZ_TS@rI-HlB(3asctTl1o&csEeY7q<@C**__pudU}p$oBH+mUy26TIG9NNUkX_P5{%gJI;{po|7%tzeh>lmS43TNbRj`|DrGD zmu4_Y)a0!H?dXqkH~&_VvZNIA>-T}Qc=|67Cim)V$5x`y%nhY}fHs;T3Mpy(;uu)$ zgGtnhzoMQ?@di}O7kfAo#iB+4^9>Ndjhs)9X)T2ywqga+z0o=BT=tjd277-#3i3(ztq&UwP4a~RuncQ$F z8M@&(({{|b&TZ*>3PrDBH01)xSS$-Zh**NkERrXXCq_xo5fjFJy(5DSOy_zM0@0s# ze%#VTPP+tmC;zc8-$p;8&abC_uA;0xgQ5v%YfP?Dm#3frm*BBKTWCPPb6UEAD^hCu__j*bf0x?;#gqWeO9PW+#wV45RcBx%}7o@}Y1CzG@4#a{h z7p)u@B=z*=ktMe7cQv}k{ipfU1j9Xw{8+!V(d*FbUx6i^Naoz-RquE3oBD4~znVR#_&!`tDlwtR&pN%LdzcVZmAFiJ81lK zslN+tC2@VxvP{m_IQpGgLmKBw$lL>Iu?X=csl-+Y81iLeGFO)R0SuK}VR1LrId-k< z2i`pKIA&PV|E_}x1H?>aK!(l6;Il*F|2!Q|VHw6(c|e`{zn&rj-c8p^o3HSPf+^py z^zW7);FLgWeW0M56jE||)9-7mHOE4$l%#gY z53s~Ow1>vyP!14B?cK9Pu1AmsOJcIX2W_E~TsVa~ejcX2+5P}!n2+N7Q5fsktF;Y% z(5bZFKHe{=gAEum(5Um6ZH*;!-El8GVTJJgA9(>kK-M+5XFZtogSX*fbVo6_47@s)@n#8jA}So62oVq`yhS!2*l8DtsrthcMB_~ zQYbWP%N!m*;NXnInlB$pZ$H@wLEknEEkK(f5Ixy|k%gyXa2xa<8m_8|NY{ayiMriG zi7j|x9u6D`*M!&tm}tY$UnP5V>3oXbkhb4mZ`!v#GVsydsPhuesKtxYM~(0Dm`mQ~ zmWJXQR^5gFyb=E6Jtu6bbb}u)R1Y>%c+o-#af5U`Snk=;jbs5h{TOf%5*DmCvxd@s zG^F19wvt{)tZR}tFv~jeQrA|m9H+~%Gt;h>)15>(USvDwP)IYY z?O!ehdk6eFgwH0|XE0oKNRzuJwmqMKv~_yS(BG*$1>al(BydN>@j|{IgoCv;)F7tX z8~{@ST`8DoE|~72`^X#8KP-v)3?IQlk5Q%h1O4vEnz=fc17wC%2 z0<8;DrLbw3we_{@PL~^b5V#D<)M0D6CT2o>4erqp4&m&vC`J_8W4E4^K+ID946$Dc zN|F(h2UDD0PsLgIPzvW%wlA@oO!5e95P7pI$lO+f2ED=#%MC?flw^!d+YU%c>SAIu z4$T<@>meTv=J$qlgXVGss&D*2Tmo^&28gjgLSlh71SSx{ z9`?i^CD0ZWUd8v#2|+tbZFdv~eKW~$Y!P<^2IG{tPW7r^z$< zDX(S&DZVe|?-~5H!fc8bpOR&;eVe7-w?i(h*+TN>^5S%=3I5^of`6oyB;rg(U-~k= zc>QlTUY4c)wunvz0Mb9Wxbn?5^B%lDg{PD!sSgLg^!CummzsBZgY>{3!Tn+Fj)!28 z)3Z^v`6Y!c1VO||33(`Qojj2P;!d;oL)a9)FusZ*j25j_p9V9WQ+X&(yh&LDc8Fg; z+rCAgeh*}x1?1alpOlC?J>bx!GH7US<+iWDQ1aJTBh4SZL>>qk;JgDWFN~*$%Xyq> zSV#qSL-r|mlQPKCo#z-ZdTh_ct;+kN54`%LpqX&>&@eV~es{cxXmTs}e2iQarHR!L z_Ey7{!fdDwfO4?jYQsnrd*cp&MeV3@O*Hk6{Qt$U7G!n9|cLKu#h~ma0{*N zaEJ)Yc!C9kHy)+|e2^E%0w-Y;fkqT7d;KoRgMNS?5N5bP$H5D`4)$wYutOt@Fqv6e z5)#{CsWWKeks(JDuP2h=tgeM6Upz`e~`FKQ`N2${W9 zoab59U0-H(CZb_K?2 zoM-WzIq!Ju-m~M109Z#pN$VW!NnpbJP4`VnTSr4s@yz~FvvAk3!Q&EPES$vPfjMs> z@ZZIl0X78z6_&Kfg2Fy}i<3c?*&ZmV<$2tSnjt-KYU$O~cu<@%atzNIioOy`gfE{h zN*m<~K=U0~a9ncL*r88?4z~aYsf0Vm0jPcFS_K3w@vPG`df!r(p^%VXHJbL;LUorT z*C7`8R=L|8ClA!~--U35e{BA^Wu1&^%B;Bc>1@|3miZsBNiak5V~|w>!HDsq5s5n6s@`*!WKu#k zG7m~*YXhR>;oK3lyv^gEtZvxf5r7-Qj6J7A>6-ohNQe;agVbw9Vwp7%XUa#ro}7R@ zy=Kq32nZyY71Q`(YoMjk1dj&u7+_PRH6u9V8dpYQ#<+9QJ4V-s&F96^r=;Yk*^v(l zXUA{?dP$9M24jfZKM(1NMS|6?fTY7LAXr9jRz^`r%R#;gFP2#5E9%R`YvzC-a&(g2 zIM*u>&WuC2j`PZp86}U-Xy7|AK{DR#N%oPtk2>GzSFrp()t@k)&GEh*u0!lf9sJ52 zcxm}9mPBaB)^N3|Hi-YMBc)`?SMrRLGkfgjj2hW8DapERe2=JcA?E;+uY2FBlYAI5 zw!JR4%}e#%Fx`5MV8rbQS&aL`uMdhgEu{U^AWvl7e=w?r-5gn_>3m5TXlYs$#-tzK zz>Dv>dR7r9M(1;pw%>YB0OOckRKyMi*II$G{HfY9h4id4>A@uwiUc2nuU=MV3a!F= z`v!^Mbi@mw7_a3+O)9<~Qf1m%O!zHb8x|$uM}2BQ*$oh{^+$c}pXZ0H@N`kCjkCt> zG58w_ZJ{*=WDzeZ{0?IY%sqT^#M7yIS)Pl&~n-n+Y`3lU6Qr@ZVAAo zuc1k^LDe#s5U|4~B>Md7-H9C`+9z&r%E>8 z40+R+`wR9P*F{WQ$i2@*@4j+@*ZYJpH;=4Ao{cNN1piIZn+>74=a&=ALnMz1zWwAM zA3VNMB`$6jz@fE_$Y0<2$!Pgg=CK;fPS03rktK-%HYxL~z`h*HMc+*CBBQdTz?b0= z{Y*GTigQ2Q*mhaX4Xtsa5LrT=`yJ?Crf*rrLp#S5=#V^7&n1tYNRwLuVLF);%e-e< zMIOZ2jy zQ&0Q)=X;V!P`SdeD4yS!!I7=8Zp4qiwBGo?C9XFIe(km>RuwP|1iSub1s7t+$V|H| z8DIa&vWcn0B-Rg(^wIH;F9q z(vB6ifp`I7nVGl?*xU2OVLGLi+=7{K4SG^}fG;W{*?Qwo?xy zEr*t5P+2|PaPuW1b1=SmU(AD}B<)m$Y7vAjYmL<8X!M zG}t`>8I_)T+h#%pB5Z;_ma*3v=2^|2Hv2OV2UnCfj_8+Um9Y;cQkY00L z()q@)a85OF1?P44tWw|2x)iEyIuMN=lGD)+h*SyU`A9!lXLgcDT}_+J3$i2!e%2&Z zb**=v#U5VDm-twgWJpJ6cA);A1bycQue?_ql>$d=*7v|tiUQp)h%=DT8lV0&SsKw@ zQBKWHOyLj|QZWk2NdR|#IY0aeYOR%RA}YgcSf;Lym@lL*g-n5o;Hi7m-I(8#1aj%J z`KBLC1||;$2vj2OCfJCpC)wgGpBV|yuL@Ta?B}^;J{o{v1vR+c8RDuJ+P9+YmP;`u zc&Gvm;s_eg$9Q3To-#vdK7U{GlvkK3OXf&hF3m#Vj%r=ktA&FD><;q3RP#FT6xsq& zVUSYnb(37|%xKe`9k1yRZ4=`Cc*n={LMM|yg*K*7$|LVik^RigQk_h2xE z3#k+UXFR~?Z^DZW>T$fp?$e`fmogaS{kG3y{S9BsJNn{{iT=YN`%B^yFmgKD z-IF0K=}Cm}tdi^SPZ6@EW7`nvafcMS$eGf;&*V(2auqJq#mw1;Y_Vb2;sjBL+yU`F zkUB$duye$fY81fn1jW4Y%fnCXqzUmGqg(R zBiIm;-gJObLw!A>10|rSO_szAyOD;a;2B#U&l;18zv>>yBH^geD~%0`Hoz_3Y5uj4 zBW}IKH3<8B3uaAcfvG*zqz)OP{g|ywmLVcfAW87Z$GBXCdCQWfH#*-@LJ_Gqr}rp5$U) z`SnL9+V2$esyie+W7qmK9M|lG5>TA!{qcgpeE5+#=~eW*WJy9H+~3*PgYTTNwO%sA zE();nfTJA44>|{1FA+DSAD-|z?;xa4bO4;p!8PtZkfU+7;egveBKB1wmPdM(xa04p z$v^vG_i}~vMe#2kgR1 zyjW)e4slH#e5OLfz!e(~qAO-hlgr@3BWQ=efiR8&Ye$is&znXrB7?dXXAM;Ywu|N% z97yRgBajDjR`ywjLH7A6l5n*6&qmL7AYh1B-LuIK_b0a7dPow0 zVoGqF0kX8tE80`Q?KF(A4LCW0+LBTvC+t*5O<>H0HBa1HL+c93Ly0oE~a zMGf+N16+mJfSd$;Je19yEXM6j5F{jj608|W1V*zTu8>MaOU9pY!lL8te8&6;q(@rMv zdue%8(rGXuAE-O6K>TK|l&)A>e7&~ZxG_cCPm{s0;FsB7uRSkc*JQrBAuDxQ$%XD` z`-UfQaX4%XAK9I_(qT4j5>=O6$hxqrt?H}<6k)4Mc1 zNr8O)2;}}XoIJftQuSf`SR|8*`FJO+NS5#Xm<#zCy3`?maS<5#)Zx|2z2uQpc3W{= z#N8A67m$-SHb$Sl8PU#xgxMRs+<1#%NGO1)7-8eQdf&7H{Rrj+V#2ie++S zDcom?qcxMA4`fMtSL^yy5DyX6i8G5}9pQls^iio^tU08!i<`gjWm5d-k4eUuyUx`9 zZXk1e^Cg%;6RaK01g~del^VlYUk}&s63W<=3S`xSt-m{(&rf9_1QB&w)>;)m-K`!} zb%lA*z4-Nzj^;MWeL^(!2&0-PeJ;!NJSGl5+OMp~4kFlN-hBLR*HY+2jtloelt|`4 zGnmd4$uU^16wjb-{oC!vo7SH2<9-OEi!?pmhjvwrfK|15DRjc8ZSEys2|mJgn?yHn zU$_c?u%;?9vP1mOK8Ue6%!gIYBBXhh$u0o#a@A>j$v_2s@J&B7MSbyQxTNiUiPD0X z)Rwhh+*KF~>b&=`A?g3wyIL!Cc>Awdj*w!kFY&xemSxfboszMG*LYj8-HDEYg%Qqx8BgE`#cpOT(9j6%!Z(RU8w zbzbRj6xALP12KI+4p|ze?NYA*@+ug@ z63DBVwFnpzi0qq|Wlu?FEgfc5o_qqWlzg~3vui`>n6|}0&c}-<&vu@jg=bH03Cu%F z*pr0varlgiTrIe3))Eo+q1DHT!vr+$MW{K>W{uG~Zn%Nu$B)?E{wl-^QHYYpY%)rv zZD0&j`#~K`{Aawj`I3G+B#aPow&8{?uNJgiaLfzI&fyb)fk-N1uuT_zMZm{pB!EMc zU~4sAv0oQl{S8Z8nF)8p>6uHGHQw9Sb=Az{nl;DVOu~ntbJ_5kVr*vxtVye{(|jI=Hx z(HqXHkK=5+fBse~a0$m!yi+8*7-C{P+YH^Go>sTt_AaP$Fc_33$@_$W;3j6rd(|A0 zDUcrbMRnvGO!lpcxw;C-mtorH^(eOHgtMH=bhRHUw4N0mkb4&upI3!qiU|ZAi%+3v z$5IIJ1r)+xxvRpGBGe-1Cqz%95YDkI>m%3>MKWJJ{DiY4_0Kq^IGVMvIB;17V!AB? z$wwaCcrom9Z1bONyTWiI7bVc8ama3%3xQ;z;Q-4M+tK4jeABNtrn>F>^hD13I}CF=EJli8Lz}k776wgGM>VX5(}+sLet{ki_K`q zovKg=$z623mWfFmEp|V&eP1_i&SB8)PNwN%ylXwbTyy}m2;gak(8vnz*s4(FV0UJw zc?{)H`91_Ro8YtU&FGUSGZ|;e;?ef!gcvEna<>IKo(q=8izP&*zHT$;#xQk`wIKc zm?LfcpEZQ~=(lU2WzeoAa-dzC07@6NwAuLMA3Q{-GU%_yC|>}5`A=!7;{H3{8z8!+-zNVZ2`!x5~0<#bZ2ED1zWbjk}tXNx*`~k=@_O(L0VoRKC zg~8R~ve$b{_aWB9Md^qUSa}o8-td!UV8EMG-ZSqk!pP$=nM@G+s?FHcZDMlw^&f4`&M zU90hiK9(ozOV)Q4jDE7YOKlu8I}|CxN^{oX>|w_1ICK55b&@U($X9tz6i%#0@MF!* ziU&P&htO8EI=GrZ{t<@pRnvh*K6q#I$iuiAvd*ZMh*=oV=RbFql`9r-JsyGo}3et-<>QU!GK>g_uPRkhadSHUdxM>LVXa)lY0fCi)byS4?;8 zTggM4Hcl$+Gk0`%e|(#`hA%>Li*d^>{UPyB@CUBn`LCNUK8IF1x3KT)T9g@L0y?r? zFsZ@#%7OOu5{SO5Y=rbPD#Ek%)pNq;pF^TiJ^^-uhlj}0c+=B-c{s^1`uiJ&9a>OW4a)dULNB?2{H2A04U8CA&F6<)e8a9)4?RR_{h`2jNG-dmjPD90C z#ZeB#510F2dpT2;i?KSfCP>DuusUP$qjL-WIj2JzZBx zse6A?2UANb(-#w{e@HAeH}ZAkJnqqTT~9sdROM*fpA7`QhG2`ppku`pZ@SZRu7oV} zTDE!@##Wyqt7krR_cyEVMT}#!Ll~ScA=(kq4;Ce3Nih(8 zCu(7T=+d>?od!rO8+#-q4brqGS1TVqCR?R}dMp|#={OhgV5afYTJmjUytn|7rzlAi zS&Wri9*y`bP46+|C5A=O+&!l|_;@cda$CW=Ht1?o z+w0;b9Hx1ED0ULq@V6@a1l7Su7@XSf54RSQuOXpF0ny;B{77Z7?jjowlse%J==J6T znXS7{qcfhvcmi3nH@X{IB-kY(SbW_*@vW0uy-xl?x~*%yJ{=MnA%K{D+|ZCyYLC3X z#n^J$&ez4+0bipJMI5`e`azOCBZ>%jK^w6cf@>c2Ad$+wx27Rv(23~Jj5q(9Q zmwL&mmO{&H`>t6_b5k94uo}DSa%XMcS#K?5!iqNeCm|64W|pMEP-~nHs5tJ!ibOsm zj9jdX4PL=9SDd9+0j3dmg&+14%m=qRn(GP67-dq_U6Gnc2qr7aOdvtScTARmLnsvx zkekuUKDp*ppiLA7aZ3Zd$}8rODe}-UU1P5qOxX8*`Z=N3l455`{f6G_tv}yIBJut@ zL&FR4z<8pYTv*6`x2b9tiO=q^aUo@JG7*F?M-lIQ{{ub>!ak$%0=GQ4O7103cBhrg zX}xC9X&rOi3q_}cJz?0#T^Xu?^>Ez)Kp3u1=52?CvMfP?oX7%Ik>YEHN9VY_-~<+v z7ZMPgvYj!rMdxLn^}pRG2soRWP7`_b`Re&0yLOC?nn7{6WF25hpKyb%n2g5pLYRGp zhsZSY&=Y+FuP-vqt1Yb;aMUIGImmeGxTfY)XEkG2oDul)W4`&yDH7P%js0LkJrZ%` zOU=_Q4e1<^AuQ-#J{N){NB777(wa2DREa{}{nx(|QU3ZrMoKHz(i5)&+&N>#hBGus z&RzSRn{izn6oN0q@4ox}iPFhGLvrrFS90G9P99wja+4>>mx>&d|4c zX!#Vl1NN*gKO(~MG$@vE8q(3A}eJ91pVpJOtInA>jnwIH9o27HM2VC@`Gat<6{j4O7>q75mP2)u@ znsqMqqZ;6adcojrJ4E69!SZ8=AJ|iITl(?J$8}4PH34gF3!JQgbt1;Auo{y5zUw8 z1;EK*i!jtNjU~bZ3^%7AgUBXl5CeUcv?GObik12v$fY|F<--Tiav@9{9SF zP^f@|Lf6L0$4Y`puz69eTnvF4{CQHku80qs?zrT&Fa@pv6AB^T}XJIs( zU;_j);v(`Hpp`(>VbE@MwQ2*wU=jr%_9@Nrw(hsgd!<5+djBK_Tz)VH@n>}n@aN0v zd<>+KcihN1Oc3t%`c2aI4@8?JN`R%t?Jq?O$aszuEmy4219>@{0z$kpD1!E>Pz$eK zeh8R*3O$3!6Sp>M%;F6*A`>4_MDS^G5%@y^o{z?i{nKsNPPnPh)A&ywB+0-qSw`Q+ zfe73}m2od`)>vzzz6LuYZO4s`Y4MnS_M7h;2^c_a+`QLb5QV_x`A)91Z9Z_KFURSeZ%j+6(`s1L2 zLq7%9epZL7+8Ob}MA8ZCkM|~ml{WTZQ_L>`9aSOMA6M@W^TpvLme~tB%7Zp?!<_*_ z2=M?9N9!iYqPn1aZeC=9nObuLANcmcr0pbwNiar0lwqZ&0Y$q^Jqcoi%kudyfNsEp z;zI-<=tK(7i)8*;MMAH7{#IGRvDU_jMuo=0(=z%jY4Cwy^T~l9c{+ywfXAXn$EA># z@-Y@3gm4i}ESb_JLZj8ZiKLdFuk`m72i;?mlNqR%U6q_$1U7{!wM zintU>71Z4piMGiaF^nqc<}4XB>4F&Yx_t_j#>bCa` zefjdIAhKjLxoqYOKb;Sp84TV^9{D$&1moQ2?qIw|18~4FpH8pQp(Gi zG*Y}Ju5SJM5P4XX$Lr6F`wf2#cgJhSQ|9WIn?cWL%^;5)PP_7u=9eMw*fOM0PJ4!A z_of1x**qDf^TmKj)MQccwWg#8O$jl6)32NpA;roL5JFc`f#A(MttNmZ5a~Ps8BsQ_ zThHC|#&f_4w^HZ>ZQR78Gb*=NUq8@5g1(yGSAAocqgdG=-8s3VL{3u%C}q{ahl7}=mzyuC6>5ol?4VT(CHgMa^R!r#pZelpN#)1 zGh^}rDZvulz$RMC$p8$p$b9}#S=0J_@Q<_0Q6 znLf(kTq7CtgCF73>izxx1*kx+R;gih;*ZlPIKg}SGNKjsh#XTu47aAsoih z$@>(JF<1YavHs@YvN}R{wZel0^SIsq zmY&1{iiXRGdI1l4U&_<&9u&b&Gl``QMk@@$75jVw^Gg|%_@@%hzN3l$Qk%F`0v0#$HOhqK{ zt*|Y3_;&3l4=`0@rG+24Sq@ZkzyY>e(U{(-+Mf@i zgY6T;6*ZOq8vq5 z(44f#f5FRxq1=$_peA2V1B=&Z8;#hMar6u)3UB&7SrP*?i&BMr8Lb?M&r8pCXW-mD z@&Nu|oCb`9L-G6_(y?Ch7l7SgfxZLP+pWrp7+wNsnjHqcpQrYkT0U9;yq6z{`s~eJ zc6dR-_f2Fjh4#qla?OqC@9#V89>A9_^2`bvA_QS?bFKu;`!xu2nUV`cpr+L5jhWAJ z(0wID^*dZspsabz8v{`2l1!T5l1Dm&6&9c=O5_Pc1O)RnZIVR6R9jO+sOG2`2L=g* zkNnWdI;7Ssu!omi@Y=L%lpPx=Hl))pzjLE!CEv<)v<+%Z1qf)()tN#>(2X4jCyW)Z zwIui}!Sj2&JeDzGyd|7%ANG;0OH8}qV6kNQGp+g{YZXrUAe4T1|A%y!{9r49KJ#b} zjOpN#(wBua|9-w{uUAk3&o>F=?e*55X^ri_vO_#tOe29_J55aXtFtGmJgL4|CU|XQ zJnf=&cQy9;n@LFe zK>WUmKL&B&E|~kK$wTg2S=!hO7!4vxk3d6{Z>_xbwm%H?9KIOpk3I@PLhqXQf;6as ziNZbDC`zlJZLiTGi_HxnuBISL!~$5}jPJTFu1hs6IBl=n;vq96*a2I=h^q~B)(Glu zKk2NVx$V=Vh~SE8Z^-YPFNYfnod2T)v%nTC?ln;MKE4&)QY>OW?h@^VTn?fjs81bU zY?>*d6zUAZ53U!sac{t4N!Vi-L-zGvN3?|mphLXGiP=C#YtGxJN z69S7Rz6hNr^$+})_xglJtYh>vH!4TLA5C(OA$4CF_Z}=)@7D#uZ8i%Q z)l8w|3t@TJTO7D$0XM$}y4fR``aw0UfeJ?(HKNn>vFgjMR4yH24ClfhLQSy73RM$dEMcv&K9V%~zH1_z0CkvRQqj zC5sb7!NU-=!-KT$W;tspoquRR&<4hJ3A~bp{9QsKCxjCd2|mM!_&sH5phXk_J8DZq z4T8MaVKnY5=(zcI2T;=su`)8p3z=>7a~u-jrz7UQ8Kg@r(Zqa?OSLd@JF;N^@&q5u zWPmZrga>(nWgrWS;`t!tY?y^4B*uMNI^2J(2h0qvR@z zbOm@T5<4N0Jb|kP z6~@m)6op4paMuLsp0IL@K_UtrueV?WAS|`r1te?a)~1ms$JIgB;N8xZ=vJJY>`+D%axxn+Lphvs_)B}N-_7-`2mMHfh+%*>^#no&9<8P_<|yk2wIem>V*BF1AD*)a=(Vtl)gb z94B&T$=tb^337&k1xfSz0b8st`lxlag_jVAZ_RzTm=JUHkdC|`!P6#`e2e#3)N`4?L{SSgGf35C|U9a zQ8b5JSQ8wemu%O7HxY;30o~&VO#+oi95#N=*Gk*1*A)p&>-rIlI*mZ(h!`6q#UVgC zOJZJ_P&xAWy)dm@=6nKdwU13Co}HF1Ru9)Z_34PF6T$-lLTU~yl7%Kmoj#q^HrhGV zxFT*2pmsM!%fJ0n-PGy0rt#Gp<|XX9v(kDEpO@?`l2UEMnsef z>v1i3L(uOi9vh)QhSBgtUwn_^asU6)BCq(-UHWshCy{D$_kf5{YAZh_irg5N#$ z{r*@b`!DE?!Fvt@Mf&#;c}pE~I~~ZSKZFLkpO%@BcVLMHT|>DS!&HvS0&Qgt{l14r z6s;(nu`76-5!*N3ojFxSXw9SZ0;>w#)QXAqO z4PVAk(W}nB;EDj)(LuU(DD9d-d?!k=>8S|8k7bvuPB%BQD&-03{9uaH05wz(5mc!| zf7&_U!Ee}4_Q{u#aQ({N+~s(WBMv5l1FMIA5ASZ=7bup~aNQ@G+q$1GbU2zKnFr-G zArzFG^9`SoIpo5is0ga@XyEn90MLpwQ`X46?yh&ynX?#N>iRYIP3`O+nmOIPXjvH! zCl{II34ML=Kv2bqe{viW`wV@2nBP%Bbi*fbNeD9M0~~n*@WBd4C1^2brn0_!=p2MB zxzlrWlu;(W1^8T;)3OjqDcRBW1Pbgs!*%4nvyEv(BXCEh7?u5gB{2UZc8?yleL2Fv zPlEUsxaK8oaI?l_N?%)pT&YvF`EEPqf(kjEWLyC}k&oUn-x%s58ajl+P$F3G3&422 zh&b*`kb64-`cEC_fp>$Ad;9xa8~-r#6LV=OvBnKuPxxfeKagorXLA|Q9Mn~){*!Wu z8~XY+n}hDQCJ@n00`8y(qHwC9BNdiaMBKPXW``cW-d z8yRLj2&&mV|1k?|mm(op+h3q+{Xio%&0U$#;@=?;nfLA?yTgg40Iyx&-T>;-l`?Yc|bwomovG}@P&)x z{V@Uus$U?s*nDlFy179SOGl8~yrm?3##L5cue`H?+Ux>vfvh z6FfUaY8i_Wt}mEUKe zvNndxht$5YL|CE1jahH5Ckl@}c&>)XxPqKF3!PrzLU4Gsw_aajHd5Q&QZf%8)HZ

    8Y&{NzX$A3ML3De|1M%s3`P7^DB{zw z2n6L4)NUFjT>|`)jAG@{9?t>#677)>VzezQwoN8H@1Yd{+O&XvP5}%N5Ha0N$k))i zGK*mon39D7rW905RQ8pS*H5XIAcnha3(3HjC?gdQ7o=4@Tn_3o0hh6iFJ0dyyZDE+ zE@soO@M!&t%%!Bk_NcObECXMA2?UpB^Qp#P3VY?W!0A@EqKi~t0n7PR*Aqc^*PG_T zt~dwo(odycMlkKq=F*n|h2_xZ&H{2j-Xlwl=2QLNB$O_T|0LzQ>7ba2hvRUn5}7Rd zDo{?opn46;bqe&TKqVmI8~Me?$v>UoHa);fEXe@FJNlCi6OQigOQ4yEI480*9Z@L2YA?EJauX4~Ge~dXuP<<5xWxDFT(w zYuM~rJN5d0vl_%ZKrjvThPw9O1T2K7*h;lU&?JcdS4UtTHf+4Irc*JzsS-RUA~&V; zpoK`7QQt5M-{|?ZHjSa&fZyYZwi4AALK$LC=7DeIQsn#pj0PKRIYGZ3dm?tsoLl)zW6Ce;@ z={ZfZ3DdqIo%!>_ST!PqAQ3LZ4A(<)VXStv8%y*|jr~V@$@m|ABqZAjW`Epg23R-o zpelwJo8u*Vo-tyMn(BPXJrCcn|l z*$(@HBuQT>$*gU)4fTcgc9%7;aA(5CLCB%_amKwBlK8$zrl$So845<5B}(PF#5EcO zg-s*L0uo>y*|fKiL1mH1p46Oyjf29!y-yv*kBRn5ml)8c++))An^K;BUT}wDe0mu8vJ{dNUS-3A_j_@P}Vu3LUX@+4A+-M-b^2y+f{l+n)%MO=csX72l@U!S&CG$PZDU`xOl8*U8 zt{|QR*{{tFd+arpQ|IjQ2O1YbO;hH94H$ymW-hruROf8!)zm{)`|#UJ{|D&0HXzhxXSLuoL)wB#I{kaP(a{n#T zpaQrl?6B+m{q$JJfBawj>HitP4W8+Ohw^C!5}MTiW2nsiDce(aEB}AO0KSGWfS-1F zigvz=Y$c-tJP(8$R6OBf(z9l%?>4-dI`s3XsVX>dUIHWKZIn=BH3&6;s+_ee99jWu z93B<=7JH05lJ>^*8;+mux;H_?)sQ#rUN7}#%%n;udOSNFm*AbCdl4zXK%PUJMONCp$b?N~Zl$Z5TNER^Uq>?)M6U{NG??D))JgGX>W3~SCqS&gZzv*In@|A(=6 zfouA{_x~$$*J|hN#VYDLTTi{Qwd=Zpm38yRMX^ALT(i?kX+>mJLyC~3Y5*??o8?kl zLUt@kN&@5*feaGzJ!kVW<@TEpGKBCwTfvkBk`;0(-q8*0lYL(ednRn!`=9tcr$ZmqgD)wMWqo7Xr#=bX$j671o=Mv!@AN3 zhrPomyd!?kNZ&PQi6?}cW8wN6HeMu~L<@^M^pt`@=RF}35*4p_817g=JRGW6{S#60 zYL&p*TzflN1ZC@+n$VF=PA^w@Igin4DDAhwxaQA^Evbs?6YhL@<0k^=4sUo?2X{1X z91~46?{8Y%1;n}s+Msl&6fy#nX!q$yNGmS66J>St7==1eXVn<|zyl5DKAj-)WXRv1 z-3~v1zOsD5Fxz)rf9stdZs%7bsAH4sGMW9JG2Q-ImPYXqa}ayO5VI${ajHnGBhCO6 zS*LY?jAYzvaZ;uiM4koQMw?Y3Vly-I#wZ?M=B$xMlt@W1{M^YBoRxN#TOgGZdbi@f zyrNXVX{AAlmKrE5OmbdN*X#9`}2~ zT3g4i{lJk{@imI`+)X9?b!;MG+RW4 z-xt!G1p`iV#d$!3#cj@Y6C|7tb$VItnI&aZftS~FlBl2Q9RAd*X|w%XB3I$Epo91} zf+AqcL6qvSC}v>ePQs%Q+(EeT8r6%$U+MO5Gk3u3&?ehB)CEr)l=ET_iH5L-r>gJj zYyUtAMqJq(F?Y1@Gn7u4FgiImCR<7iy`76fB_|T zaS`^lG*F1Pp+S+?b1PN+Re9q!uj2FPoWuA^Yi+oqWsQsVJiUyns;J=KTC+%)U=s* z7M#$fP7B0d;)7;FdN_N02Bj1I{O#f?prHh}a>WZ1&#N5;zX<>-9~OUh-l=j5NC^i6b>FEE3XQH;^{FVaOn-5(+lyz;8{gU2)) z&9=wZoa&ajYqFE0yv0w-m4Fo;RdQOHO>wl!p%IWQ6Q(8Q2>NgS+ffePBO za`(~z`*=*&(wzF;i<%8<&zau&dZQ`!iF3;XwmwU2$#tID6-Z!WeR<=i`jpkL6T8B? zdArLje?mUek+{LKW0`O|bG_#UO86(V3r_RG8PBdzaykXof~pXnGLbUmy@yls!i-90 z9Gd(C*D)Ak$Go@&mirsR@ro=BT2CLgKhXj;p$qkbr`pS<9+h%AWb@=7t{=bWocPZj zvi&q6o0_%b^7HJcRcl0#lNIG9wmty2$CW{z7Nh!5<8nUxL$`YQR7ZIi_@1l$J%2m- z3Gu;9+0EPQgqX9s*d4^V;}Hs9Pb4uAZnKy4H3{V$Lo)K_t#mXyFTM(d9WqPF_t}GI z2#iIX_BKubOzNvRkBfPTofZ9FrfR>yK5Q>T;~*#20WbH6I^?GI1AT0ga!qLa79zpo zg2fKy#@)BrX{ZV4y4hKl z$%7QQ3q)58-SjsytA)h_^Mv7CShi!-fjbAKg;cpAm zv(fQv>O}celL&47wEvFjnxS#EA}&Jtp-4UP3#9waXq3vF0YqHS2{1=3$YyiaGN0Nrq# zJ@S;%MHo$=u+j6CLCKEz^t!?XJr6e)4ke zNrqz{YAW!+lL(SX8SV8nX>};!Mk6g@_29u@h{`jf8DC6FfGL^j%A+UbIa&ghx9~&h zOwFC;=uW`emon}5D@)I)+qI8$- zn6(=lhm$-TA>lR-r`fUlQFkXU#_(v=+iZ_EhR7?-KGV(qkU?G!XOfNZ)l6KJFXA>B z#+Uw6Za#qt*^AH9e=T83K`IUShcDwV|I3^lj2^Z}P&976KSujGz@#sj#}*Bo3Z=H2B1Yu~f3JugZ@5Ni>B<}Z=J zf-Qreh`CsuwJ&CAmG{+x6x+9Mhp5@SE>ETh*m|k5&=Aerx+B#9LRi_L)zscLX?h4Z(3A z<3-7A0}9}w-b~f~JXU|ss#66rAL5ghI^Xaj>YYoSdN|)m%-+o6)9ir_SGwfXp)qP- zNp63j>7<%HvPp5`?%V8bh!S3>GR)t0b!6N%d4C~#sk=-WIJJnAIiRX1fKlkLiBdD6Vj%>AlCKuSB*i_ zDA?f9JJ~#e@1)K6UDx69t5TaUT)PJ|XR#_|!AakEf1z(-x*INC4~Y%kP47uJ^wOKE zP_qY1-8ogroM6Y@-H^h4R`L zz)r!0n=0F6y2-|4{|);CwA(l4JDG!az2)F3sTY+YvJHH~6WYQuvmgH~w|Trn0|V7* z%T^3nzQ0U^|KJUeBe@mM;GK8G3zen1?#2F!Q)Au`4fmj6Vl||`>^}B)M`p@4(8ca> ztt)1&cTCRlT6e5WydwN<=CRtImfC+SbbocTsJbi+D(&6v}Df{z$&L zmP+=0ee`dWU%a|$gUfn6CA%WaAFXW+8Mf47_{M9H)paYM6LZ9Do#Mk7zj5Erg(Sa2 z@U`^^gQ_!;HrzM}8r2De^!Wl+2wS5LfjR1v8(;atvovRQ&HNK}-ys%h;_eWl&-k+A zcH<9wE59eTG@x^lO0is@sPrd-gI7N=Z~UrItzN_UclsU|O0&-&*?}ruz?%)=aPy7( z1W`oUl+TJrmCvb^LAm~-8l>eAAK06Oq!_GBj(5CRT*RglzfGRLWk$t0EcsRBL_>!DR*#_7-B%XgKo_;$nsC& z)H?$AIi5f4{i^r8I13htlNl_JF;1yXl=1E$T;E6LWK$1W&S8h$2O)h_FJhy#b#3N? z@ryPB4$QBfx%2T^zbwL#7d>$i%?AU)eu8@wj;K@%F3j|WeUmQJ7jwj2^8P@mDXqV$ zCdP2<9WRaYqp)uKEW@jz5**z-dj4pgCC<%5-q&t2Nw$w)0wAHiT;JV|ipp#3FYLaK z@{`sYBu{kXvxQk>ud$Bu4u^#Gg!%aLcCkm|GP#m{8F*iU=9@Z7r*yDA^v4g)kjEpJ zoL`iETJukBM1~`KGmh*pzHP&xIs5dbJgDz|Y>G zfgHr36UD zi*i=?jlcTFy9d`BgH(m;=Dn^n%0O%Uy6Z#cGtUmsv z51~`!{5CkHF+jAFO>K>^Cb-AT<^7=swvo+@Q2coExALm;X~~kUO&#dB<9Ygn4VQ*$ z8}FFOb9ARF2tn5ao31~A#{r&bhA6qe>5={dvAYjF0JW+>mjs1souzOb_(IO0)5TqN z3FC1nBk7#?g|?OLx52Q^#;m~HoL48b=Hf>K@m~U?7i;#d;dJL&KU0DRo|&qs?d{nj zNoSd651tfbci-(sWzn3d&iZHdoCxEHtBu2sLDjsFJAYAW#pYa#zstN5?;5wbqOs&7Jr>u4g9V6Sg=s^dIVJMI`;|30c z?x@N;%YMV%bfJWK4=g`3-z(PQ?TZ;~Yk{#)#JE3Wq78tH+L)9Uy>TlEV0&ZWqH|X9 z;n&8!Qv>agi(B16-JK}kEQ^>WffvSI7sZmy1k_l`h|RIn8-l<{;yv=YZ5(d7o4zsm zCR_t{viko_q#~tDRJrgjJ4sBwm~W1)VVtvM-uq07gMM`Hlqikiy+|70mv>wgGtN`h zdkm__wT5PQxYhasn+;C|IP#Z4Kh8KtB>?KK4DnhZF9R(YB`@1&U1-IKCflfwM&ixV z)A3u|JpKb|7Ou){Rra+|> zBJXzn{cRj|obeB`8Amzs!BP2Ro*7!!eKszN=GuCd2G~cAPzRx`8t;hf*ra><@;JCR zkb-kTyBd?iNX;@S6t;DWZj8t?PrEN9O&H&rb=>SMkI5o)A-<6_*W`4p3v0E-ieDDVxsyE4`qw+?xf~mhVc&^^;$R&4_Y7b=r!mb8gp-QS7rUJ#2ICv z)|Ad3@Zypz<|yfXYM%y;F*#IhmlhVt)2tN@1q7KeCn)SJ*DWF;HcGgf$m#|=E%G++ z$3_||B1!*qLY`y4X)T=m#$e2i$C3Co^}mhBn`618-?ilt%YPEMzuqJRFyKLQ$5M1G$aIn7}KtsUskgdYNge|BD z2v*92#lbf)BgaI*k`c3Nchr(Z60j!o!TOcNs+-d*Uyt6lu=bpJ?ZfE}TMr|{^8A`p z!Y!*{Y(BhWnRLy%`t1wPX6S#ItX1YM-Vvq#5P*9`~v)G#@nvn`vmrL!fXz z@`gLGsCh&mmJSYtTRtiKS@(|ii>wH{K5ZoV=s?=|OZGpQuIo}_Kz;z$bLfYBbF-Y2R)TCLGjg6$Kn`>u=S*J+hB)`<(3Ae5f6}}0sli8 z?1t+fBk*w#JVKgn@f~HNpJF!JbR9H&CwW;LEF}W3_-3OGp4;WD)VaC7N;@k`f-y;&q1l zMQ%l=oKvuqvWoC-=lTigk!u0->fkuD&1Q1>WYs=w?LCb1K2iKvyrbrc>d9*c`gR`#~;kK$BeJi!DFvbtzPf9Nnz0j<-iYf)4m z_2!*5pz)Qf{)j|!W&*BmE(u7(u`D5|@m&u_J1?4!>j=pd9c#c1JzOf9`s-`+)w8G6 z^_1%@dK4v8QWRl&p~Q#33u=~Z;{vGoeIXMfG6Ql1ilmYsW;majOI z+2*QhIO@xrn|WqHnp;sSwP%A90gp1Q$K&FT#z384f|l^caS3tS9cn@Fg{m-ARWw)} zMmBbYbXDILF~pee;+~l`zOW<~K|AB`up*7qFG_T%t+Cdqf)ox6cw}*7eGQ6&gM0uU zHp@Sc%aGO7u-s-qMCT5kc@j5^l&Ly-RySEu+HIAicVstvK)lqrUAfc+;ApkYS$ZJu zPUv5^n_bmHA9K8j&1_b^g4$$hZfCi#+ex|v$FrG~1-&Xb68dlI7tffQ1m3~r1Fou4 zyWX=xDC%gJ0%5j)yH7qmYn~YYWh8HbNp|%PghmOWzKffHicO_>+WXBLS=4~3RdtM? z1|*zcgMCJVE0<2SUgB!N4sgw!K6RL7tZWtp2amrmh>4b>(#>2@r7$P4zn}}v2bBgc zJ!<@$+JxXBPmH!vv7PbOU5`N)Q0JJF=bRGXVUy4pCPvIrZ_tANIO;uqHiL<`y6)y? z9S0i~PXuSnK-YcXcIiT^ zbqv>6?&*|lC15w7$u|6X_Vo_7#e8rKV{2lYI+$;szO}bUwqY1feT5(9yEnczO6mqW zW%EK9HH_u!%_t2OFvLG&flxDoT5!_Z{yRqf|3Nlh^1Ru(7&VCfvGBYpAwT~-IvvFR zr(YtTmfF=gIsZA=&TpZVyMlJCA|iSG6|XIo$-dPWkzUgr@k^gURdGsn?*co+Y8z3eG+MBin6kwfM}TwNZ`I!W3UVCIMl}8%#y4bH_wEw_NR2A1`>xJcd$gGEz9@^BLRLGP6%~5I8P^<|l`V3vFz8O) zP;qCu^DGY3j626Qr16h)WRW}kn+9*buan`;wbu!=p>9aD)oo%ww0?C+Ixm0td%8#% zQl#cw2zcY~RJq?(-yHdJf~!DS^05}Fwd%Ip?}$8ONCWtZ6Man?wT=b;4C5oSrTE#LHQ>=nR)2YP9;ui^GP zjGnyoU}=7II*pK=p;<@!-C=}-5H}_t&Hb^s&5g{IG z?koD#HJV*gZ%CGTBby?5rm`wOda^^Dh5^^_C5qFyFkEHn1E~)R7w2&-XvmOD9pq1h zMExB#}{_ic2U=sNPrt+nq8nDQf%1u&!93YN4&HLp#67dq$qA@YrIz6SjdZ{&F zS-@t;zXxm%2x!{3Yt8bY$G#Id8nWufy|05>_A6d$G*~+7&Acwx$t)^|sDHgi7tg#o zRa-4~x&}M8oaKXpd(R}DqqlGUF?WT(u!E3_@t?_IG}ApPk;~7ZWN}s5DuBqFzL2kdbcBbk%FPX-s-Yiu{`54t1bRbmyc5G?19+X|n^2>gK zR=D;p*;0N{)o-8I$rKi0ktfu7pG}>TMJP>F{ikSCA2HU>+K&VK|Fx{K1NrWE=j5Ic zoxhEMSE!j~TIf3z`H1-uumdSsbrrt_E5x+_zGs$ADayd`C29Dy%K#t|?@p2UtN=OO zf*EzqHg@1l4eIW`$^MEANRt{Pgq@wGx`%`$9c?{!eZ!~Oq8{og>F3N-Cws1*x9NJB zXB;J#H2oHx3(by2ebLH!_t6qtNBPv^P4eBpmPhC$4wsrI{O6FZ#gNUpp1=G%p!Eg( z`}991>@Nd$EDH>{_E==_i`CGb%Bs~j8FwGX0N-RG&B%# zU3=?^p$#SkNy5MjgRFrEtH9a1)2&)2`b7V=KtYM=Hz61Q=2z{zyEFHgUhWHIBRqU{ zP-{ODCTVe-wD3QFGTDoPCd>+8RG`L*)&0!*_jLQLE^iQ)$VroQEW`ILmts9*=$IAlWV?oLvjao za274J!Ir7Mxnc}hEQ`l}368Frc|~+aXQ>$OD|lIba`$Hn;$^hpa`i3?e!TSr5{&W8 zflN_hOV2ipA2{P+a|$zCP?y-^e*}Am8aCN@G!2G!wBj~cL&M{w_k@=KW(*fmN{$l! zpCkMJz{LbiI;?c-nD{1;fx^r+EPt!3buERBe~aG$<6nuWfDl(b%cew}p7@WE_CGl& zZ1*hOb}!@3yB-7g$i*?8g^30C_gi<);KSh|#6Jh-J>_w$|6 zRYVDUM}dsSDKxVjKvzfb94i_@Owo0SO=?A8C=1goXF<7Yj^RT;6qp#YJ!e4Ty}aOa zehYwmz zUKmrr@PT!fkkrnsgFPl5f=dRRD!1PqVJBwZ)#{$6Q=isaZ_~C)>|T$rqw^{>gymog zFT19}39y6@PVFEofbs3S3>7fN*JoD9$ zUKu1`>#4runKnra*c9rCs#0=H9ir3B6S4)_W=HdYCY7IeYf@J+GAE3sGs$D4)q4q7 z^TcJ5xr<3F>06c6QTltovAQrrRM#J_Fc@~QnY!97Qlh3|j$B~VCX_2q(fLevn%qKm+C zF@v09(&if0&5;ci7Z(TUqhH^Z&Rty2qbgSuOk0aUj))8_*Fx;v9e#r8^zZE;u%d{Jq2zPrzz^b{MnEud&UB)x#j zZ0WuAdaIQ;R(7xn5WKqDH!PX~>cN2P)`=Fw8anMM!V*7h&6XXUUIcJ+6t$!E zMVnlfr&Edk71nMDQpw=56N8#NA2)`ZyN^ek&W~FEI5nZaoXE4$Y0XTUC)M&XoR%C2 zOVq{+sH3EO;DN`Wsb{fBQY_AmUp-|lppQo5i06J`|NjB^PrHly+`2$kz=^=5AG0Lr zHG@>?MECB}n|&*X#4ckp!DgvLpr)>{0BDds*?Cmy8##4SNU(LPCWd27$r>|EwlqhQjqL!-xSXZm4l((WoU62kA7pBmjqn4UlBVvTszTyh|3$3~ z&=%8)R1E_08_E{URmJqM7x*Go;PDsPWL$>m1RYp=+kQhQKfU{%$`yb$mYD78l^Jy2 zoeGUf?P(=;NIbrkzL#;diY%P}A^G~PW8AJ6a%=KSY&<*VUTG)$0Rw&k?WQEJ0!g6b zZt#6;dqu@HXPtcSnsxNClh1S>6(;eVj{hkYVzT22Cm_AnK z01UeX1)MGUt#N`n_iC4OSN_cY=FKjS{7kbj_YA5ciHXZP=SwUvO&zXn-Q5_LrNG&_ zQ-8%$N2j_HjJ=kr_A5$FbdT(qItZ(c;1V$^-Adf=$(aPLL|};n{wYkhP>J`SBs+yC%#(}I3RT!bs?qJgj8h_YzQ}!i_Ta{r>5&Pz- z?0Zf3sYKG!VO%S3ajzDRGU-j?&MSgC<;v+fI_)=4`OdUosna>=#xOIVJFeoHM9(L_ zCkSvzWScuQR7>2jXOvE#o~ihWFss(P+A&9^CFM5KNEKb_75lO)PA?`UQXaG))Xh{l ztVQ^dXm(oC+t|$d2bV{FS|^P}B$%+qxVDM=!+IJ%^0ZYwGo@Ikl=SwmLd*J5wchq8 zAweZ}u+dUfvha(^{J%Wg7}@0=NjSwrM_XRmW>(@^)xC&vE}QT1E(a0n})aQ`C2rk^IWbzBV8d*Nq6T z`&Mau#QDUr;TIMLWCx>Ie(Hm9qb?4jSYz0f0anCg##jP?>p5W&%SXXNs<{YMqVcNo ztTcT6saXpsM@i=;fu1<`%68c% zw5Nx+78`DTJOf6w6VKWDL9>xCalwx1N-36PQwYp=1Z|vpv22D+K03 z)A{Z6xJ=%DS=zcihCQmcUR4tlbXuNVt+8~2LO#gPpwe_4f?XOjhY#*}Va3}@DLX8O ze%80r@4t!1G=0R%MEQ)nwD zdfcPI70!7C&lKJ#)TV8x8=gY~^ zybBcf%+5!D>Lx2MO0#Y3>56seRIYzFJjO3>{(?nwAI?q0>#-h7%S3 zZ*`(+==o1=_@IOTP;~>`(jM4d9~a7@6q$cAkuBS78XG=@8J$kUOFAqPNb296*5Hji zO(hOgU1ZH{fuhq%-_CpB<09IY(YYG;;|tLSzyro<$el zg)GO3&dX-}oaI{5c%jvt#=e1iE@fB}#PE!Jmcz-O1>(XzD#2VgIUsJ;0w$X8&Nd{g z!_4_0H%*Kj+9e`t|E*)2Llu4|%lq+~nTm_2`Fh!3dd#W-fP0P@<0j;tm!|CV{j_U7YT0OwWaEV8^*R#5Mj}hvT8?g58|WCOWvmh@g12Y^Lw-lI@%9jq^Qo+*5^3-v7hE7J*9z*TP*s23F`vi@Cv3O9=5yXn!ziMxvp z6DO}V$5|kewO`5OW%*$#w9Fp%q3e`OZF(oJs9&wT3>iG#Y%m!c>OPbW)eKY@EmEQp z^C7k7Hl4Zl(HF)3z7=*!)q|>rE>=UTHLc=**57%cH*mJMnPnTe5efg}_Ilg!Yxdi! zLrB1uj$Z!#z__FT$XYL>F=qwfcR0VTJS3$4?MXI#Q2{Ns((!B2~;Ro?smO{%${{+Ox@ZNrt)hL>As)S zz2WzH^xE@s5G}^=-*Qk@xw*4evglZ}9xd&07{*n1AfoWQXMO?&sIFUOBGZ z)LId9Se8UiAFFbu@Yh(rzu9JZ=E2kL$2XdcX&Gv+jGs7M=FThmL z^l{RTM?(oLcp9oF9PTH(3$D)vw>)z8gLwTMn-lRNfYs$h=M_U_EZ7#;n}03eU^2bj zxGIb8P6^JYlaE`IR9^Mt!|IjnB{~_CQwEjbQKp2t3gk|+`#W7)L1U2RlZNS+q3I(Y zc643+I+M5h9xdutFMEnjTmMCSf9LP)!3-L6aytem|^wIE_t!7@JZ-GsHNF5pyUg!RlirF!=yZ{R+ zB@PhwSFzZH!8z6Q9^c;@+vO7DAUD2mdh2h%Q_m|`6q45!_4n)UkI~neT&le&C1>CX zgW(vRb5|D6W_$eYSG%-iN9Cy+_3EbXS~kahMmJRbJ-O;TT6RWp{iy7@g#q81Q*80A zi=*!E6lOiuQ;zNmI=^o%Zt2QZJ_A+xe8F5#Q#4==1y zuc*=PX0y`j&MNJA&Y}=zdLBPJFbnzb4(cxn^Kr?GnTlk`fc7A6`d0C>iq#t(ZH+5V ziCl`0N-+k0Es7Fp~A9+uMFs3k0}f8CDO zGLNF+y0C3z`mjsqsA+vjA1y3w-|8Pgd!bcvKkjbJ!PC&1Rv3^zdDH#gbhBrbm6tmF zoVWUqBE6z+Q?%@Rq6X_#r_|q4$+ZdY?7Si*CI^M4(@a{?tqrJr>1&oEw~vtQSy)}h zysKRVM#5U}`{J`ism&uUGF{ke=)X=E$ZReSEE_)6$!?k}HuY(vKBqIEv>Z;%vVEL< zAm%shRC~p&bHcO6Y@W^>vGlFroK9px%J zi2Eu_rFnF`0A?G%>@RhAt~p%TV2%u~)a*gYNe=?RcHGP@6E4DF;(A{?hqpvWwqGIH zF*052ZVXs@s=x-C@e{L7+xH_{Xio{>GYS}8`ZVP_i6?2@i)xdYPP6Ia>ToMcKPk2I z1N^iqjLynuGmFq)#uq$l4A?wshf3#n-)yy~^8Ap$sCZ=Q!^P7kU#WV}ME%pIo2qcD$An$h ztwB+4^$S>)D8s#?1;tCcIa4p`v1Ai6)Dk{~`QRutYeedMaVX8b+E2VSrwr$B)6Z!7KHy``?bt5j zkM+0o7}8mR#29OsZ;d-;_7msO8f30(T;W$s#TqSIz4^cBhCw1$tKcUcalcxpSM z_e871&Ad<8?3s~KQBBQ@qD9#A()_pbyLX`ll$OwGU0$??^0M*MKaJaP{`i^MmeS4G zK`X=6rjtc%^7tEseXQe(SA@;Z40$q9QohOVgGJGog?EhhrdoTLpMy>qFN#b&HQk@| z%Z8)LwB~s5l_x5reAw-!oi(Tyhw0A7&;kfLTonv4-uLBLW4LnBOQoel(docPK25|_ zT~z8$^3*P-r`PYN6m@@g|0;@5{N@Z%d6DjpXOp={B3|A|`eT0Y$$H+>NMoOYC$k?O1sr!S#=eHbEF3wGyEJ(XL+rj7?!v|PY zrEZdZ*ZqAZRlhZ#dX=w9;sps5JA9orukE5;$}pwlY#N)%z9u(T{)A+6n2ha^UtG3b zb*6t3z5I_o7V-d-kZVb3TK4Sn&09Dp)3P-e0i6j5{=1p!+e6PHhMwgZdT#8aR>la1 zuyt8ny?fJU+Z*4tKE1Z@(AR6F%C)81!`q&A*l*wlABH@@*n&iCcVCDWiCL|B* zwZGkf;z9pSe{3QT30rWI|hg zgmXA$g*68@=;I>Uq@}en#2&+K*3At~3CAL7#BoytO^NI3D~F2LPfE4c;RMm6ipyX) z28$qcR+BvC3P0oVBrlZOm{WgOgSN0s6?s#h)h6QQ`Y>&OP&W#@(we6=r!aKDl~h3; z)emkRvK*uv)L|y`OwPyTU@oBP>`|PH(NuFZQ6O8j!&v%4% z)pB(tIk+Rp)of5*yP}}v&~v{rh`pKb$ErjqcKGLDxKYM_d*GkC^Dm6 z(g`>~a)bNSK;sWjj2`DMnxYw+H-A=5QOGA*W*P@hO4W420Vl zt0}MP*spsrpDBK$lkj*eM7`;+_kAypXCf=H8VU0W(b+pCtbWEeuu|zd#ilKcKdHU% zTkZ42F5;B%bEEaocC{Yzt{^j@bX4es6O9i{^WN2S)~SvwN@tsA6;Jwb z?XT}VMP;}jXQCN*V^~x{^}paCOW%5$O3Cf4m`0~X+M`G3$Xh4pMTrO@hT4%oFn2C+eknzXjot?31|FLq!Q;7#g&sn~rd5BJ6jwT3jE zi&wGn5`WD-N{mRx?ptDc`9UFqLSX{SSo5$~9hO9PkS8M&y{AB`F&IuTM|0Yr=(_1y zWwAmNpRZr{K-lG5scB=6c7QiC-yDZJ1hm<8J26}M6aSdn?2%1&(9!r2=H_??JZ1fzFq(9 zHh7ye2W8LQm(y?b*iS}l+Oo$#n(kyX9{BZ@=nPwX2K7F~8P!H#e)pQ>GtW>TOeq zfQ8QsYS_$P8yz{STEU=6G28hnd)7hhC=oB#Z1;;dDm;_itHd=#>0LJca4nN$=$*V`wETM==rc^Z+NWIU z7WmP`yjx$v*K;#%7$tC`3Es^_M)@6ST>Xdx#y&nCJp^xDeCEyJPyx zS-JSE`0n&1gQODT!nh%3ZHkX>&)te)Dhg(|4^D0uVKvkWxeTNH^ce_yxjh$%d5d#| zHdU3guQEp$YInO3xjo}qXo#6@mK}gYxPPU(8zYc+M7Bp~+HJfChZ^r*U70JVViX>_ z#_)K7tFcVcKe3Q##rz zE|Mg)!k3hxW&|3JIWyG@IU^3WQ(tj$5D-2{lP;iP3r(>Lz8Qm0Uj0WYQ+$O@RnGC7 zWt&R$BXKBfFjQOwqJf!`?Ga4grgITdm-WP;{Ub~{06En6UN4m(%$lfq!Oj)y7Rol- zdu#t#fc@uX*4Tg4O(>TyXznv_BsUO+i!Cj&$pU<~0Pmn@%O}x*tqeOZpbP8mUGsPU zi#JmD0x){2V6pW&n{*Fn;%h zI!N2vKl>q9cVY+LiJw~eY75)e7xu7&_~11{e)F^oOMS+HfN3Ed@rQcZeNO^QWo5V%*^{$0j>!in)qrkhvGkBjQqlvkzY5gtDi ztHn1B_oxNP87;AYs`AHH=42j~oP~60>mIVc&volWd*;5al$`ka@BUY%WT~L7F*qP_!;>L9p_J@gQcBRz7DK@S z7+8-C_^RFFmHXst&oh+>oWyN-p0^~3d_VVK#P{FdaF?9k5y*`Qfx;ghuq-|$y|%9Y z7podK)bAcSyx~9be+KVr*>Ncnt1Iu0W&G5YW_4tc){+`R=bhQ=NevKaRAGM4VT!*G zU?VWM3?t*^WYb`PZZDw0&mV8vk`YNOmx)f1mJNeQND@E@ppAMy&)w~DU#Y?a}fEfS37!j`=f#2L0o+zzcILl ziHZh`$@E8|35LT|bud0>6cGAg?M329=S}a}imG3+XaU&*AT$tBnRT@hl1H+Qvjd$M z!A0u}#fBcCY6~)&NzK;J`M%ciQUX~2p87#y)L45tBKE=7*87dmo#a5$+T)2#N*V{; z&VW@egH1NjmO20?I7I)hMD`7dzD$#cv>o>s8FPmgN=0qgX8JbAp?3wgxv$vdr>Kv| ziU;^EBENMXi@?ZDG;r8tQ{rwz$}4*nD5P2BQsOD14mQQsGjZJKy85FYaD|h+*<5sz zrOGCu&OrTVQaq`~bB3Fhr7=7-r92tQ-c74`rS2O#oIsC=5p{65t}jGSfFB+2RR<%i z?QSWkc+Y_k9YwSNiPNbK7KC5@MVia}2 z6KN5G*)D(@bz}w@Iy+e`(SZgaC?w9K+Cn@vep!i-3cy-EaQ5fS)OI$*dP(m9ziO&t zMBdt4d&ik2&TcR8i)(M2(H)~+gx4z7xKAvw-j6 zpr03^%jXTZ(Ey9zlwVJXuW^_MQI{?8D~Zk)q~c=6`{-=Q2x zn{Kh z@iJ-6y6byCJhwjKKvZpwxZ=WruX$nW`gcukoZ^ka-L4yWK#eHFc*Oav;WI3(&@2&i zY1HUXjW9l^MO6gPlEkI_0N-^UpGO=pVu_sG7-)wUmAv8gxS6uChGVA%Dqo063E>+hrS;j>$^IJ#1okn8%uVjiT8B zZ|D#%T}LSA@5bwvYK}mFw$v@A+-=^QB+9S5)e^IwO?o!wZdrDD=|T_ALmsqiE(JXX zFc{IXdo#idnpXJZjWd@WC(!;P_XaLJL>*f7Z9?n#8h*HG>Th912-%sobKK5GiI9Pt zdwW(5oAEiD@}co>ifB+CfX!_TX7JYJO}g_OZdIV?6Rxi=gl3s`d(&9OIa<`<3uhT> zF?rP6v|w+h{*y+)tw%dgrxY&S$(_QJCiTyAxw_GQx@A9%5 zD$Xw<&^=NF)$Zv_Q^jd)@+mr&c5$y(G^)J#0Q^45Jk#j_AoadWmgXAh`$ZmERDHWk zJ42^rF2RlwbQ!Xk!Gh#4ryBMbWW{EdMzIj>geI!oq%c;z0vIwsgPZI-uD#(!Wf22EFS_8Y zE_aiI^X{-H*xz|hptMs9nH2x4)M0Y8;uV+6tN8HFQ+Nf=(D^croSjumvNNpoG=gWl3-7*(XJsnS$0)Ahk$1|* z9B6VJTZ}W^^+jP?mqm0S2NNt3};0j$vBZLm&rxfH$mNyY&Omcbwd$61I2BzSM?^WD~ zUv1_c6z24W5IoigihL(hYX+m1)Hf^ zcli;WtQ$!QMoVC+&1JGy}ci#J3lYo_`F|pTXuF9I)lF?>`n1yF3W<^iSe~pAGu{zy4$47{tNokRyD|`|UBh z;^mM2*D?AZFuE;3EIqchBV=1(VibCg69cc=eWC$D~j(l+BAerCR*w&3RX}tbCKaO z*5P<^RCf@AMN(sXh3kYW#A5YV$?Olo%MgO|wQ;?1#h59$X!5ttl7SFU4^dLSu4nFf zbJe8|H1AxW=l5k1P4}yB50W_RG~JyV*%uS$1z$&5p~y9e?*J33 zl-xr|6x0!usD}e#%n6WKF_x{T5obV+RO52|&4D_7mfZC@xnr&vxN6s*F|ax)&x7%u zte~K5Ba4WGCMJ6FI~g1V@+3GqCOX+`lv)N`^*H3qx<8wVtts0ul{ru^f*E1HJKbtb0S=Bn?{>*f9{Nj*hBOxuO zlH}Au;Eu<^o}js0$y|NifZV;@bkiNyE8-Fkgj(g{MsKCYh0%;kCNxy#kCJ(KN^i$w znXRchIqSRi_8j>+!arlP6?)dm(S&$3xU_iLdI>5Cf7+!vx(ks^p73){AE09oX0-(xpJ$*$DgY8)SCvtYdZ{B+I%0QYZ0#I$em?B?n@WOXPUn z%sVi*;MvPK@zVd5N~wv?(3kp(0U_sxel8Vata>F;O7x)~FdB_$7Ty?@GTr9pm#9%J z@sN;aG}Il~ee0d9I^!L1n~;IDS`_s~R_8-?aQ7LcvkX0*mQ$j8>=F6rjMZO%bpg{X zYqi#)Yq3q%7zw(p!amV#lw?o`4W|(ULZqKCR=tywtqSgPv~>Qcu%R#5>6+^(8(^n& zm!8z3eqdpMU*iI#7qvomUuT)rWL!?3r);V@4-BcDOV*6We8Ip8VXXxY~j2r0I8-voWe*kz!)ZimU8HR**)%yE7gM z7S~{ik4a#8PBwwq?FlyvT<$apJM+vunGeVgnGo;uca{-^7Zp4lc#@4xmlFCi*3kX& z-;>)6~N zS(RH-O<#V^3v_Q``MLy(TMr7{ZK!XxuNRMemG%x7$PC?XZ`{L|7upQ z3e~m>)xrN4XKx?Y)Sc%47v&k$wqqYu(9ZP1sZZ>-GwoDtot@T4P%IE4LddpLN)?q| zHDnM%S`7r1haCk{TQIwA9##U$R)H*#vFR*Lm{pxnYikaP7AZz)4IuRodE*drhEmvF42JNUn|0C$fOMo%;UowXAFgUBO|3s6 z3;@pm1z1K7)8`bwXgGQb4uS9!b}SrRlmA?Swjo)h3Hv^h?keSJ$`2-UXgOFhBB%RQ z-yK6~4-B;bo(nGNL2wCH^SHjsese~@NMd{4=#8e?#BaD1zs{<}jmCSD0#&)I-yfGD zzO0GwzRvR0p@Yf8{y1I%n{$Fmr_`B|Jx9pi)NWo_U{)2C3tMg0SF4qKHhDfJ+N)+g zn_v~?g77NW(K^n~FmWEj_UJ&gg@Ax+_8x1ys|m9guxWD@Yl}UaD1+tNN6NQN9#3+z zI!Y??F#P8?HvPEyL7%w?c}jdPh`H5>Yz)u`wC=4ap{5uGQb^}SpyL3aL`hc#c-wFN z8hE~|mLx2^ibMc6&V+a(P?S7<%8MKfCfQY6>E)ZJe=_X9nnr)jrstCXj?EDktc*Op z`?pYp#FDGK1~_UsDFUo|V^8PHw9vt39_R3FBs%%FU=X|PCG9fk&I3{QStea8uB}4B z5g4HI%~O0pU7u`x)Yw)%e^)W^y3NATPO0p=D}%Cxyn@<_2FB%|du398ykUA02TsR0 zE6i_iR&Q|GUkQmJ7*WjLERs7(2;u}KAsTK-0uJJXf>0)sziWTa=am|&28n-_mMoHg zhogt2yvB(k${$@!c{o6b?8g%e?2p@!>Q~_xWD(`J**$j_wGSexP}z{j?LNycY#}du zqgWIK(-R{<&alWs*r|r*I}p`lDNXaoN;u{^Ln`Zw#>_ir9kmrY5x+Q;*`3MmsFAt5kXDocb$D_ZFN0cclbb#kaV@ zrUTPd-|zf98SJ8r^w2LQ3(EX9JjD^id^(#bHH9*Y3sk2hmXqoT>?U)ljuc7Bgd9BA z9(7~}351e|wkU^$95y3I-auP$<>FSvC9tbp8su8s%47JhQoK>%d(X(%mgPIDCWBjC zo$^s>Ga)f^+q&6}y|CMn@4X{WFq8*p-V2FM*cwgApSU;cnzvV_k$jHdI>YS6en!g| zf9PeDY_3VZ){68dsauB(tp1##gB`?pC_^d$YEPfUvKgZ6;d-UpxEMI1iBxz_Y*@3i$8!8n@yC-H)No9Saoi8^v&`iPLt@RO-Xo$|+o8Zc0(SUb*k`l2m{ zx%!u|3lZh)p=N{*;{G=A0*2v8AT)Yf&Fk+;H?w4bVwQ%KAaiJY47?$X}^e=+`h(^6Mz=Xsw_8`bj+G>JyaG=T1Z z0o$N4!YNqdNNut+nsXhmCl5DmYaWWwanSEo%?Zw#9|f?AoGZ;&E!7)-{fqY> z;^BW0Kf#B=0jn4O#|0ts>4%`SKeu#wC=C^dK z{m=g;AaPM>k6iMz@C0^W)C0;(X^C{N*-KNOEwsU$>R-{c={A;0f zsbu?n^S)61ozcuVsjo#FZTS&Cq^@%>RDWu(sj{X~nq|lE|8=6N5b;Rrb!z6IRIG{@ zsJ)MNAE8uHY@(m9jRI@r(24u{nS>2IB@2*dMkib9b&XWrRfbN}Chx1_<;k8!&$akey#x3*ZS0v8nK`G~suzz+~Przq=Z6`l~d?ldrb5Xso_*~46lbH+RD@7l^;6Q9w|H5F8seq)dP&8&sN zs96tiQ+c6`t;wU47zgaTE(fNuT`s`11KH$0jI=XK78k;6D->X*9b_^(02S*8C%Roe z*%CNaDUhgEk{V*iugp(ubyyMfEQ_?0fvV*2mSg~XitGZwu|GAKVWuSO!XiRpvkz(ZUHf`y}LPNEDM1T z!x;$oRjyt5o_VKPdKCu8bibvYBfFfmK@M@;Ea20PrWxf$UN)p`MQ^j zOm5ynZKeCPr*;s?du>ZU&<$8CN3b<;ZSOts9-SI+$Zcq>uOkNpTg?h)`sD9I{!Z&O ziFXt743liY%#C0V-sZZzb~y(cSvnygzyb13l)}^zK8;c<4jL;0!EE%^o=#O{pOlZ} zPV`7wHmK7am3{$tGMX-3ETe!C(HWBtj*6>}G~(RjIcBS?y0;h{Rj05XTTh+{?GY%N^A!wkWljuC zuraxmBRz@r!SVWC=ys#p0Nq6*h{$~uK~+j+!_OD%CwSArEu(JS70^g+knk_Fd7&%f zydpypA3WuAlmv4;Q0q5Rn%aMGQ=RfHJh78F^DV=>>za@y=lJ5;V@%fbutc$D(>IEq z&d>~49O~$+7O9ZUVj!BBh+n5sIklDQ?hwmUvA+zM{jq%7Q>|=(5GJ(>oyvwAA>Q7C z&I(;{wnLuBt+~tBjd-xU&$J9%e?pYyjqYwajae^sWV37dpbe?U(LGyGN-GnTx^2cv zn)b__9*8ii$P;2Svf#XUvTG{H1wHWad6kL!xqx5_&PvHS+Q2qt@TyV4|tN{R?pkiLQI1Bf~!dacMh8T-yG4 ztafG6{wK=dlmvp~G3obw=co52PCdPE`F-I^NXbcI`o>k4)>Nw!F8%Cv&(~-+ecR3n zbXk$C?bMPMeppL;^>1arw0sIcG&hU%q39e4TYKvrBU#xkYzpyxU$VQ_RJR-v*PQWX zV6XV&`de zd)fP-Mm}vrPxw8s!xuBXwXWl@^=q9Zu$|Iix3#SEF54)3qZ<{pETKzp>6J)&tHq#^ zGPt@uzfRqMvp{kgn9<-dWCi3*6C>Uj5-y)xKD9kZ8{Umc%9!l0dag6OdD1H#GGNgz zHh#VUuoElBaU@huX1rN zu|OZ2IX)ZO*}Y|>>6VS7pl~wp>)edCh;9z0GPK;e7(r^D*3A>|UusMcFmUsT0TW)# zyt{u}1UDnbn_?kIZHqzD?7zFp*@`zZtY6}Esl3JOlqDA1^xnuIu&FV3p%bOwwo6Y_ z5JEhy6*~^uogDlR)*AL$C>@b~NN(rBCw7fV5lHi@-*%X9?ae`hAIeB?0L+UWuat`+ z^e!;4h>VDkFu0yoc4RH4Q_2!Dgn1;QBJ*(kb-mi#C2mVvZXq#=_Suv~`F1vxVIN~t zx`pa6@hmDQFsPEt{x~FI;b|i9>IgH04GyI1RK+`I zWr-t-4(PlrCy$ukP`}86r{jC5O)(>#X1bJL#cG8v=8qao;RP=2+VtS(Pkg7sw;? zj@0AqEV15z<3FPz^t%p^X=Jy9#V&EAwq+dATpnLGUtgZoE+@O#?AF@RVsVKos*7eb zc#ir4+uIKJ?Vm)qA*9t;p4TmhO#u z?k|gz+#K^5)Sj>(w$l^K|6lEZ7+_At?T-JI9=K^PB|y5E5`Z>Z{nI7Su*7KrHnP9> zqg)ECDbBlliXj*CU}XDT-sR7-gvTo1lRDu5e|I%iKsk=6T^vL`?XPf;Z~={DhmSw-1H?# zig7=|W+i;}t+YNT8!q|Argw=KscGkU_Gdr1AA@N#!{E-GA^dd@y?NuW73Vd6g z1JS2w@EGuRk9AfAq>z`Hl&&Pw1b(zCD%cJ0kktn5DcBPaM~IU8x^8I)fX)59A~xgO z%0#NDrn1d&nj=Bc_#U7(9LU%IT1)w(PypFCjW^%L_FtsgCBE}l7JA9AteV06DFhHqg#7q4WVY)4!WB&XCVjbx>3IPVg)@#XkN#un5*5JkdS!e zuuHm;JV#R>tCzYgZFo$XJs$V9_R5Ku2uUIG!K*7Ii&-!N*sght_74n)(Cp0%VSH^t ze@xSO1-^Q+(sC@=yK$nUb*J5pcYALreX6yl0%_1$xP)N$E2m^6w~g40u?5mjXTeOB zP&Yq%IrO{n=DJFC;6&?s43XVQ_$jQ5(MpD)SLo8(pBZ3{O?%(D$G~Q6K z{q=qQr4tXS5wVfNpJex?hxSM=d&7x-Li*LjC+i@7Nyoe`MS{#QTNa15PD&!mxl!TE zq21&dpxE2ju^CUeWXJ1?e-)OyT5IeJvPR2w`Hs^9-ooglM@Rdj`80Tjx9gtNsU~Fl ze)G*73?H)~z3=zo=E7rw;}0|mi*eYa@RAmevDtLyEF7Fr=0lmKNtVxx*gR7x6FuVo zsdARoo5~N$-1T%z;If z7Em$9U;rE7S^A|0s#BIr;`&}FPZW-M8X~?KDN?=*bUx9x(f07I&+|bY@I&<%w5GW{*%pa8Yi7YnZy=AqjLoSXMmRbZIk2U|cWFp5mVKP|eqSCcTQ#9#BZ+eTziftL(-CHhUtKZMinOd#k7c zeF5ZMY^B=z>PO3jeW{>RZYEiJe z9H~BzC7WXdPk4}Hu;4b_a{ABmI*X#4fug(QFIZFr%5r(Kk1UCQXz7pG!(66p`r;f@ z7oPewtbe@l(}75(7Gm(JYkxp8GJ8u0z8ENgXL2^D^isQ%qIEs4WnFo|6Ls=g!f@`Hb4d@xq*@@gVC z&&P|@D_BqM*GPWO6kXOvP8XdU>k4KhTupeUg!AWJ;UBe0S$BX()x)iC-x@}{C4&F ze=}w;yxJ+}E7^?QYu@J>KyEnB6q_9@?$1j@O=B?)?7 zzioG#XjWO@JX3w;Znl1xn&Ak%0v_6$FCGuglq!3NzI*qr`?~7KlINVAy(4X=mFZZo zT;HQ>!W)`N44KlT(t?gEqs2g19xE1%5}!|Tc6FN_(NybQeGh-W!0UhHNGM_W(L2Xf zh0Pwo;!j9}gw$!StsG^kEKO85$?((NpK1U?)ll|Vo{{Dk%a^f97%71 zKrvAz>?ZoPkv$>)IPt!T;oZqmKISS9KDf<82~f8VT`dz78+Lg-ui+dL@i_+eY&%^x z>5EbJ^bzkrX#R|CX(Pn2P2*4u_M6@=mE_BJ@GLXRdd~S`>SRe9_=-2=33+r@{S5{n zxX59NVy;1Qv_6G9%cr^7A?2RQPagI~(*@+=8_LgE(SusKYqa-WLUwU78B^amk_+by zly4*tGs^m;;Z7Nh_UWFNYGoo~qKam)^st!KF!0Y=>@f(k7~FF$)1GME|m?<5!0m#qT{v)1%^ohMPiW116{#u1LYOo)asvV&*Y^ zl(xY^{_fdA{Z3La>Nw{CvMqDI*ewhiM|Y=L(Ldd(I|prgkBdvrJmCXJ{D31hiJhIi zE$QyFlox`{K1&$2a~lcA7;kzj+Y&!n4fqhQQvDR_!hr#1HyEAM3{!D?e`>_v-nqT_u$}%^%E`#>Dd6iO?{O^g%(bvvNrnnKPpBH&4 z$G7dg6FxYdRET;IB;EANGLn533#P=>4jBTO6aYQfdrNyRW3z8GGA?CbKa3Qmp0zpJh6 zO2Y7!H}$ilgGg2~@WQ8U?_9hBK<4mF>;ci?t8rXb?;+;44XbaC(iNhO>3Jq5kWWn-l$pAE%)F# zva(s^;Z4XS?37J%7A=I^xy#@Q5TiHK8-@|ud4)P0@<-E%1?6qDv><*!p?4bxqtf{V zyZ0z{q@>#F*{Ny z#M5abb4jSt4vRU7Ehfb15!)*TN@y7dkV44|-0&?TByJ|kIoc?1VL&^Z3~L(V=-?QHd8H(?@f4c!JYJbcfnwy_dBaZ&&P^H*1Z$Fno-v${nbF1&; zT3Po{;P7{xx%o6^a(T!iN3xOF&ynuYNy*k3h*|qN0SQOatUj3wDfyV)?KYZkcnK(Y zix!TW3bRLti-QgS(S0yZh~c^ECL5mZp}JIR$T2o@kkK zoXgDlfgfxZO88IbE*nkZ0hO zo|h-46NW}H^o9=kJkN&N!r~m#QN>3=eRJM1BXs4~R@gn>NZ^vRkx7CD$JRhw?|a-J zCqnBQA`hQOJa%hStz>fcaDxHz1V2Ehk)b`57!fFHg9rY&azflq>Kn@`tQQ6+4o}fL zr9xi}Nsax^a6`Z2t~6WT&|#s7vTs(^94%jfPLxCp@G0)ZZaa>kPeomoF3`R$rKWNL ziDadC=mCXuV?$@&?@0AnW{j0Q^jZCO4ST9+DB9-^UjK6C`O%Q=YI)H5wVx#LV?z_- zIsMTF{WaXQ;7&&b*onE)n*~UAn~>uYf*W2SFi%JuJ%1z6wP3+)aZAb7x@Ml?`fuD~ z1vUhPFNT*pzF?`=>v!R-<3G{|V*}DECgy3wd?nSn zFAe=8n~F`vRr7Htz00jybsW+KvddP>t)0p@$@*LKWS2kA63-r7Q&(+StK47qBv0TT zh=-3jCYObwuAf|WvHc_Ue0wF0j#bNCgcCOBTW6SkoV*YSO2Crmu0Tx7Jg)EFLM-FO z*hxfuR3wUvYAf3;2pf;~98+vVnh#-MC)D9q5lW$rPGv`1cXb~@1Q!)ItKWj_s7RR1 zW~6x!BeV+EBcc>`*RJcs|9qD!RYjt3 zU46xHy}E*0Oc!vo*NO6*PxKy1ztWp;7{gVQHf>E87>X9|z=GkJ2+UvU{E$BP9L3?;T;q*qxiUbPoJtzSLf2=q@b6%NN=) z)y@4Ss*+86@+ zakzNLI*I8dHh4TuwVvcs^K&A&YOgbycT45<(?(ykNZ2eJvv>NVLgc-K3(Qm@Sl4OS z2+>GU^)mZnCKwLmvpSaNyIgzTO|}=2rLu;rNx_O8cZ7=G-*$%f=I|8q9o7Xssu#9u zX7`h&b}+}?{d5Kir-cPd!_R?h!1gf*x2lIiZ(Cd;S!lRHF#XuxqBA;5@3K2+Jvx5Q zDdFP>u@31!=DX4<>*+_njXYs;Be#u6^GC_!$Y_Z2i{ao2ss27?QsehowqO~ZnfRi6 z3k*6Z1>+M{U2a^`y1mk4bh>A*Q&z_JD}Os9Pp}#=(5dwR+i~0jQW<^hO#EX-^&YWa zwan78jpcj1ISWG_=fvz7A-!F>ZkWeS&5{cz&cl(Zg*ev-t0LBml1fLvhQPizRGBDn zw#%)HI!O{qLKvB2Qmu4WUF8(0erBoosyVdRE|^t?>jMt*@QPK!*@p7^&bB0s4(FsL zbf)u~CVF19Q13CCHBme(Xn+k{*!s677W6x{({OFTg3tX8K}p{`gTwb<^W~U6M>*3( z6_2T+8tD6v@r4cqRJ(O;_H%vGP8>g)D}*MjWedt*MWV}5S9$#V^stuWE@eah(6@{k zGK?L&57$g$p;$3-j{DyIF{NTS9!-waAxx~IEO5GiCrbhN?4HzRL-@H{0Q!){!o0jB!BqQJ&KchcE^ z>ZGIoA}#+ERXF;;chVhEPc41qnaGHxzj!usdDy;I&ZoicnLm_{s(k`~KjsIGbo82U zpZq~1{TCwNpBH2h2k_AP!&o?f!xzazBh8CSRl--89^NEu$3QZEoBJcKdOz_mZ7Z$& zS4stn#Tt$nn9bX&lItbgY9-VBI1h}4aT#eLYvN)e%TEF`q|&dh{QO${Eq?9IbKIdQSIkB0=+ld<<95A zkGU0XhxM2$;n;TUZ~C~$i8|u!tPFb4(RgkYxTHCnC;|IG{rS8@K8K_1z&usx)H{(t zsJ!?tREwD^5vsXcT59pojcDroX1XZD02eS8E|PYz>6>w;vE_t>+W4DV9aq};{C^5mK~wek6ZoF=wDMv$bv&J{p>hA7E=AH5%wdDI=gHZDuZ%r@dzC{XDj~}HO(LN!AFjn7 zeB8+P3e3nZkYfMDIfxMxRU7&AEAXnB-ms5V7nm^+bmpKL+{@W3@-4TNp1zThB=pv;;p;%Xoq~^^x}U}u}R+O3zBjdmuGmIv~vrvtp_%8HzLbCQTClY zVWE$#+E0F;N!Y51OyMCqQt74BiP^(^{T5tj^lU1sd!)~d>1rQtRZ=QQNIo;|$eCroLTQOz2QUTxUFTe zGbYEH-NsJ&RtZ%Q$}sC@tzXleeja2q?srsnxzV`ZWhrjV>R2ab{k0WZ+!_v)V^|*A zJ!;SAE^0%Xh+zTWQFmoC@nDr7sqE}Dnk7I35SL_gY;UNpT!e)534OTHI8ybrP7`jS zu#O{JyD-#LyFpseF2|FF>t4t{sk}rxh7j>WDBoe-4s_9@{!Nogj0@?jCTdqsj`szH z;Weo`l5bC9bGeY&QvFUfF-J%rDC_xiH;>pA1_ckg3(iJi;>)_l%DdqqFz2IEPU!Y2qaTh}TDFmPN} zMYZI-lhUIKXIx)lX|a!r8YyBA&Q-SfEV7;Yd)}xCl%YNA8cV8|yK|`+mWG6=KmIMk zT)I{rzVEUPY$(AwnEX7va!o?ZvpAS~1eL&)E-3-rsR6w0B&}!xfN$J2+!yhh~`u=HMhcLlH`u^=zYJX>JXq zr-#JGtU}qzpC0xy#^!v=y&u-jrlIR>MkDUBo|)>3d5lTakyG6qr>gNkI;1WGaf)c2 z6uE~mW4Ew-ED-Ga`79)s=>Yc5RiR%7t|2E{h)!gV3zaMF_TxsJ!z=224>ZfartueB z-1iXGWqE^;>IVPWEA>yj?H{<2V`L9}_hjRh@%oQR0T<}9IDMhPQAsy4dvfWm%;Tj) z(FJVY+{9OTJWcI+{mJuX<=O}a%(~z>^X;l}rf%pBD`S4}Q;GeHrzXF8weE3(NSxP} zBd1bJaA_9i9h6(b?y(!x;b@=EVxh-{5^c*Lh`k!N>ah5Uf@#cr@GfgIZ|TL*>W++;U!8Tad+xXpaD(OTqPu0LKt=k|HNwe8s} zDmwEI8LyoYUIy*-Ufr0#wEm{ErL>Oan|9LfO`WieDHV?a(C*6*r8v8V^u9har+V4R zNh(h3E@rYyYxQW` zEwoRppyCb53MR|bO}djmrVsX(x6Ri-=U@}(Sd1*PG|?{CFd={2vdipX_T^Zes>D)4 zS$E2U92Dk2nZVJ0&ZRqMKI>>&?G7n!rtz_HS(s)>B>~gY2DS>>TsNG~CkGz}iXdl3 zd2z(hUP%Dmz)rG<4wJ?UK*wgklIDMyw7%A76SY?eiq(9N2lGSk$+r2?nK=@C2I%I@ftu3mES0mfQTU=^1)w*=YTXUGt57^=wXq<9?D& zG)66R_8eKk9$H0Iq+XQ2E-v3Vx}S#Q+f?yX$P?P9B(@~ehYE}rW_2$z2fIRPfomZ* zg4BJ>#0-oi*=EgO&61tx+?l>>7$YAPj+;ugJ^h2R-Mj|mAIvFklQ!VV=JkUPqBlX* z-P7t{eu8dba@saMh+rMHa_#iXu!SqHZ_arzWcLijEqL16YHt3hzPhKr{-L%cwsO}5 z4-q+jqGZI=x>vyt8PB;y*xt+D!|Va3EgDm~tbA>3+qD0axmxBRd0Jd2r~Jg(LXgya zPC6?Y51K8%x=IPVw>o;50!!c6uL*7t!m@Qkwr*IQ*Kk{Bq0F*-S3?Iarsl)Nyi&`V z6tE40^`9H{z^t8BSl_a`!TXdD?A!e_R}7g>>psPF66-jA-!==H$6)-OeEacRt%F;| zqx3gdE&f}ti#>+v4hz|S-fg8fvspdFnTwXw%ITM>SSfIy!=da#UN*7Opqum0HJdl` z^uzR_{RQn8rn*D^_&)ns=+M>cl~a5w$1ttXv)H=y1C-Wk>F=|G5qH}?NqID}>S^lB z&y|bmI0*dGv1tgIh!f)#AROx0A@atbE;q3+;%)?Fd05CeFm>RUqN> z{Z>otwfrDY=E)k~Ev zP5(5W7gO`p~lkC;ijRCb7Ow z?y;qY*hFuKW=ZR85M9>8&yIcm`<_P=&8r*gH*BTaYso21>}yv+FmK|Ot+3{6u{f?; zA-PCrPaI*>rfs}%ay^}^d1B`BXox4)P>*ez(K8cVoZQONZn(uv#60~TRB#Vc& zW^Xu_jSfsCjJ9feyI&0LN1l7^^x_GB**@@TS9JwITCv5{8v5YP#|=_txnDiAKyM`f6ORU0R6xIaBs--p?2Za?)% z20c!k?QaT_2k>+S$6#vq`g@R?CJgs{aWi!rSq1(_M8LectrD~6k~Qd=|e zM>DC7O%{7B6`OlZ%X#^gd(6S1X2tooL8SAxzcYtup2uANwkQ21s+R68+XvdPEsiy- zYeePSp&Ubo@Na1Uh?_aCGt^vmmd={`eKe!$D`8E`L|Klyz;Tk!Ua%}#poZe?(oxF? zbE+5mng^HJ1D+So&tx^u>B_ewuSfVm-G5!vG6cIB|K-+Q0);x-RbCp(5j?0p&xn8L zZ8TnBkt>#7+U9aj8;9eIJM2f-xmpJ&#^hg51mdm`?9&EhbM!dkj)wk}G z-eY1xsopg?Jx?FJTcUTV1Do-Ybc7BnxAF&92tAFvl;sA4>lA@FIWCVc24{JWEtD?G z_ALvL#MwNgjZF7@mpj0d%`%8mbF|v{79dNDM`!Y&oi=!pq)AT=$r4b<L1igW(Mnr^CsgD$7^JD%s({ul{); zzGtpk@zs@8>cF5kjt?4XA?)5GB-qUgrgS^nG&B%%eto)tTY`|U%RunWB;!D&P_yxz z)LWe|Kg$&T+>e!BC=n3*4>&TIP{WL-ICr#CtPT@C* z@RtvSo&M!a3tji0A;xR7Tpw|TnrkbSEe?QmbxC2t&HiQlSvLDxt!OL1q_(Qf)A+W% zN#bjn^Tt~{n1jkg&#-Xx#<0^vbna&>HIHoxYW`Z6_Op{kZ66zTTxk*rKp?$V{nciS2hpsNt zDL=dq2v51rveB-9t7bx5=&xAE7)Mg)=wrkg<4fvT((L`a(!$zbP~+ya&Y9kWd|^3R z9q>@p@_W7<;_O?iIzE=nsgk_;9n}lmFRZxY$BfaoZ{-v`P$tiUH5Fv~@UKu0TO_JD=`j>8X0~!_Lf|)_4bj;rJgq6S$wcd3F1H?WT}iMm zc~4BW4z>#D;*JX~e&vT>$`B#OAB+(!;%@cRq|IrsAvUBjhcqF+>)P-#iDandO|Nc5 zF_Jn-yr_y4**IOEa;jVZn9R0c3pL{#2!etp^o}*OWa4P|Sk6k1q=mkbI7iY6t7e52 zi1nG)!Qz6Mr<}x7Iuw%n0!|g3;I=dkHzeD-!B`gf4)$_Yjn%6HruZCYhjsAC1e0Bt#YzwuSGE|V9{FADANzNe1eFBPLm@EkS7aC9&U^R(KN&C){O z3U28j=U!+(m!1(feUv^}P_et&B`ws10a+~ycuqZcxmz9AW82bq72+QEOqlj`UDw3q zV%;LU@~M7eBsF;a&#Lw^v|Nd3xt4#AM}KnZQ}v5@^e3NM#E9Hs!`6jAtYX6dD)O19 zAwSbmsrAsG!xxip!;kGZTj?*E=##rZ!5O6~$ayb$QLjk;Kd01DXt^AP{ucI}>kJp942P zD_lt*_{9_Thnq)xT2n1|z43sC#I)qyNo)Z!7*?Ay>p6B`!r0%v=u|3Uk~l54RCasA z%Q^gZlRf^8%cjqfLNH~+|o!^?>jI;-q)`SQT}n8DuT;+Cvs)BBi%mO6E=Esg5& z!WfvViQ}sstBwqnz-KdQXiPyIDC)a)9>(R#Q`*1UUpjk#3iOLfBQ&Xn; z=R@KLgUf?rCVPtJN$1gISP&}eH=jB1SVx#;;4B!_5tOs`3j=%fK@v{a7{+yt$*$+h zyphSRzj4ffmo?7k8=}#3^|N`M7Xn8vF?qf3H@B2^UhvTt|B7kksXtzFs}P6fKwKHY zWMK`=f2wRdL8vQ^g?HEoZcNSg!}EH5Vy@TT5kAz*olsUme3|&EWnG z-gwvhFZoIB_k_xkRKk6U%|$OXq>88cg>R@bRI#9!d$utLM1-S8F};mBG{vL}`AZ}y zNQQ33C&5Y$dl~_FJbMt$(9M6PUG1{udBpwRX0zxl8xrvJL9^wIQ~qxqa0SQlkf)*; zs@Ns93EmxemXPn7AaCAVQzN{``s;tX;Q0<@b(e`+P7C(W^$U0S#w)*`rP-VbNA<%P zZ`R*FLF45DrW?6(OzCjlNe^<&EEj2H3@SYc?!wYsc7OBBh17$I+C{WARoec7U-7w@ zW*R>4*@{iOP?|1@Rb4HULfIcz$4Ur}=q*+q)RxEh^wa#4-3g}o>Z%kTUFw}d9E;En z-GJ-lLMgb&L`7 zI#+S8_wQ_?smwLRi~g0u973iB<=@u9-9p=I{9I^do9753e@uHDl8Z+7aoqYD*^fsQ zsz-yKZ9p}c>0D2%)ssuNpbivTWL-3md07)9R#py*5D7NKvm$s#e~&nu?2+}lO!NVo zWNNLike$^WYe2rCP?&8Qm?bF1)5Ar?(A9p&@r_qIE4hY7;NhMc)p7_?-WBR@^5P$p z{l=AuLzUBHwr_>j)5qjw1?Y6rxkX5N&>u(%uP^9b;dCPoElJdAJkPzN!X8y@&lW;u zJca~^qU_Z!-=ot~1hq|INPN&srxF8kz;(4>kd(6gx$0Ax@^xMySj6Z_MT}vutHo?S zBW9|rbe65g*0ZfA#MAxSs5=G3`}3jfJ7d~_IykF|wUJp$MM(aX6@0u|hGcX07O9u% zlV^|8nplhR%73{JHq20iD2)2NkPN&0t+IE&Xhd3-9`}`Nq&A4wLqIU-mx)E z_L1>{R&va@0^0}n<7g|)R>%J8uw%Pih8!7)X+Qu{<*UgRel87YUJ9#>V z$sT(!zY42s5+@c(AKbEmN?t&Zz{BcH_9xA z7SQO{<|c>sFCy*nd?E$+gz=9T&Dz6hp{bO?&wbNGicv36t$qEi7>AIX};S{H;e@pSC-i zR^78rR_qF%W|?gJnCZ0TdhNNbQt?zhp&z6kW>LrH9G}d2TK7PQ9oa`>Qj?c*e^{)j zIj;rJ?(F_%Pa&McHTQV=%^%W3$W9cC0>)Qn6}R#1sbUn}Og@{<9BP)*@5q~}WmDUs zl5#1B*F$N=Y?1d~2c@`uZ#HzOL0{%yAtabX>nX(8m9*_`vmECsehM}hIBHo7=G~JH zP4p;*cxCX~TMm&OIECupO!7Gg<9c+n^r5Ut#d_RRCu-maQO5*y7uj6Ln^M=tc?uV9 zWH2Sy|5{w`T@DRh8+)jT&TT`ogH3cb>tWBV^240cj$cno?^2Igjg3zzueZv?lM^)x z_Yj-wY_(3JY;2(sRm?l_K*~0fbs+u4^b2#)(lcq4Xl!U%7X-r5AWV*2u-^%32XS`L z@n(=mOw=~F3@a5ySywt{k<4`n$gY1t3_v{{5g%&=0XPXWJfOPF4amX;JN+b6Q!edWlt?79ySiI_K~oRt~VX`qHl2ik=j9 z-`;hN1D}dvBZ$AMjl|0d&(*;+Ko4t?p=z3YIc@xeWDAqa+Z53(_iVT; zf1M6^V~BZmtcXg!_LeY|MiCRzt%z?bMIV4JFt4G1VFQ04hC-}*Km2BdCSI$1oX&cz zw0^1ZW780E(jO0+&PQjr`Sc(a$4&;DkfvF@nUw7js5dR^DQ2@R2ocl7()=s>W!F`) z8NOHO>>}lA)=3QYl%}w`In@WJW>jk@rqG5WBKMT_r9K^5D;F!OtD3Rxbtb3Lcy1bj zW0y3s1bc6~|J}hK&pNbN&49tD(|BP*giNcuOghndeu402{#9}7@3$svVo4H;_jK6g z{mA^n+LD5s-OOx_oaU9|2$8Kj#o)*&FC3hb_~l=>kZ4Yj6$=@T*M%D(eh3JjQfh_A z`3#g! z>Ms?^DgG6-;7a=o5^9-MVOn*}Q(Fa&@1gZ6rcw#Qix_C2TX;_P(B_oMVX_Dl2c|WY zGqTY1v1e##S+O(+;q{tBIiTY_8W6UrmD-)6X-XZPD?a?Q&q!?)KPmmwB>lg$fr+wK z<%cDq?5RxQ-w<9L&uw*|6tq45ZTpYzp`^O+$zBgNkEWU}_<7TcEd6Z(+PnM|>9Cx+ z(_4hZAvi*CVWAN*)8Fxr4zr`Wt!Iundr7v=%yfE}+gN1Qb65j6i#|x5(BplIG;vXd60YVu(SY z;>15_2`*-OvaX82pi~`mf{$g|Z6o^yiiX<)JzDYm6ApuAlYa$e^u9pwy)n`takfpA z`qpIKIYY~J6xC8T4W4V{==m@g`OLKE9)7+KT^f5}QMyva_Or*TL&vNGE9heL*;g`{ z{d9Tj-Y!e%ilVXijQXT1*5+d>2a-=}W9Xc^S?vi`6rWu{XFd~uC)<6z$Fdpcs^NsT zys-M5Sb(gvo=#$8njncjusw9JS=G`^%n!uhlluHuHoPK5?`B6kGh^9vC#YFKtc!P< zH=D_MK50N8P&kJ>rls2vab;{jkAcjLHfCPxfjl8*Q|(1VXmeB9gXNx`(|jskiZbsD z=!YtIZSWP;{nHaE-s9TPFFi;vJV~L~T7+bT_G^iu$tSW(+V)K9wqS8dASeX}wE1+- zIJ0j`KQo{cPh#l51AiWRD4hF8*dw7VxW_ge5t=XFvA5m~r^m6dtfT7xo?^6-?$>n9 zzm@>fJ>7i?PM5WUYfS2>xaaywKp2|0u_JF38?s z-&@sw-7T4I_^F6_4LV81Qy!_#R2pio+(iW$$4BJB8hOwIZEWuUu=eh8P2G9^ze*&4 zw)SGRDrmRc)~Q(8X?LeBSe>2m2B=sdL`2BUvzt^Fi?(Adt&)+;|Ix`PNLpb?--j~kSVYhO3a}TmR@{O<{21HJwQ_z{!L%fphM1wcDtX{KaNRv?1(#lsevtR=Bo;QX%hhpvu8(`zc7`51;d}LD& zwO;wGgnScQ7!w*`RJbAq*-X zVeVc2$p;OodAKE5*Z2dCN3IuIkqW+%Ieart?>FA^67ra-AT(96jdtqiSBI9t4C7bE zWXhw(O_kqG%t^+>O@ZaEwigr;79*4K)w;%8DtpUPh#B_1w3ZndQz*sR;F2&xUu4CL ztk_Sl;HcCU#5*@Bp7ia@j`K5~23XRs-jmdil-e%PXv|=H9HVBk-Xsd3Ck~dhr8@iO zH_JKVdYab(h%v8tmc@gNjX^TOZ#P{0E|alpD9We<8vni|PSZ8`-JH(>BwE3Du#nPdt6NjU_q|;G74-IhYBESBM&MfD=sn^zCR0uAG8w#4 zln`FwcIi!PSlan7acQy~`l7@sPE~M+Z)E?KJX<7gQo8$53kH>1B5D8~& z5IwOLHT!XmQfaZPe2;m0Z%36dJ;Xabn*+a_C*E=fda6^<1-OW!(Clidh`PbVEG`kQvb@W4{xcDBBAz@GF)7xR(%(ULy`fpIz6~oIT zz6C0*ktN-QYC(ViBo8k*K#sLHcr*^fEfGUzd!r|ViI5Y9GZcP9N%`b$uGD3|B-YN6+*DiY#4=I6=)#Anzxe}+c|21_;KC!2$Qi!-*M_n z_lHK)V2?zAM{BzAnxKICd?rZ)l3l>!7+%{82Dcp_98X8@hg42CjTt>&watC;j5BbXTV$=szWEdE z9AT>$Kf|PLZ@efRQSX|9HZ#rNd~Jg4`>kJ?*H-{mRERt`2QBP@X~*6}zMSd55^WCI zmF<2yV?NMbC1%98AnVg*jsPvG9srz5>b=98_e_uM8G?t1r=|907SAJzh!(%b9JKFc zO#R+y)hS<|N&IYroa_6M_aR1S26o=!NB^6hw|M2>da|z(TEIbQL0shO=Qzt2ZSGmR zHsX27;+Ou`lRdT{M|CZ}`mw+7$;$L#XfJwVL5#ibC;zZ0nvDs)ywH(Fu1e=_#4vm# zC+RWnQ&cNhuW9=^jlw$kYB;i>l|8pX{8;(C&azTm{3>uCQ_ox&eoE5{Y~II!epvtc z7Zp=m#U3!T$tfrUelVev)`h%+u|<30#ujz|q^V`GNtC=sHg`2vrt|*(ZuePo3Uh*5 zM1kI`siJaSUbtQ$m##p`baUPC$jF}?&D?q24d%ew$$!5(*(6XR1>_`DfeLXBS=T4z zcgPsiCXA%DISFGeOT`IH_G?Jn+&5LB*;xT&>!c>3tNVg-X-Du*?1v349MzTvK${R$ zKJ5DqlNCF4uKP_Nh+TMOGd47)*Ib6xSFhfd0U0Js)V_qU6X*l?_7xTYwVVXybVWt; z$TxE>Aa~Rg6&D{L`7nQMxFOe7zF2*k*(Zsu2eJhrL#!V~hc3@wGQ3+|2t>4ShRK?( zj*|}WX<8uVfB#!dxX$am|I`z_Bj*TN^WhLq2L3kZQ`;9_e8fDmMdeFn=9=W=Gq8Mn zY92UjZLnR?;31lzF}WbU%aK}qn>%Sr03n41fXZHt_rc3DufM_UUR6_Tm_E`&oGw&E z3YmP^Ml`$j7at?uewvNqLjTHy`ycoYR|@N0%fcy^p~)mA1mHZ*_(=>?GBg20Nt$(n z4K%=pHF6|(f;@V|xbwKhKa?;f63@I5E}}D)FM_v;2p^s2-UZp|yy3I03ER6Xc>yTI z7h+9pE1Eph?G3Xm^QJ=^&MGM-N}XEU`4enCa&BH#0w<@x@N~%YcroFNZX)0X%&v|L z^~;xK+Cv|oxKXuZzzWoUy$10%86|o)cEuOXrVon@#Agkx0mtBN^|Pot)Wa;T594)! zJKts~sp(G|AWkyy7pt6x&v(3L@F<9xng`~DD3*fs0Ip6JeMP5c1&|PMG+tI$QoY`( zZMf+O1w!iQTEPJFb5d_u?MnA`SM+G7Urkk#%9)nd{HA`h0Y*s=& zAP4M@C5yKb7)pw_uIc!E-mpWH5KpBtO_N{vOs_N9mFp+P2zRjyNBzsx&aRd{2?c>x zWyq+$pA*0=x6I`hP%c}UXF3o)41xSWPdQUu#H1*}Tt9c56u@WX@z<;xYO31FQUDRpW!(lkE2+Xlm1<&%ME zXv|xX&QS3MlVT8Qiu2kRUGM6xwEQ50g{^f@5V7mgmhili!-j(BY)lrcuDw=Mm_LgF zx=7q~vHE&&=3-?|Ab0HJuWmTbmF3DzB0+!O)HY$s$k*6bGbtufV9IFnufQBU1zpw;MmZQoagOO5o6!*<&vry`&IlNt5VAv>hZp&t`ZoGUX zdwjU@8l%P2+%%D$)A(NyZ96%#)a6^rg(LU}0hkvD@SUGLyy0{H_xkN8KbObF zGn@Z?{}xU_wx;2!)Jw4q_8otmg5IkZ*7E&j>w>Re3VO?zldUb$PQmRt*-&&)6!u19 z0@lo^8Y$)xFirV&AG%r=>oNCQ`<3#n%psbSNBLkxp>i^%P9X3cv#&Y!X6TinC>~%!uWpc; zZWMsv5?$P+;LfOz&b34Y>Iu;e>ebh*yIYX}nm#J|^VC6KAiBSL*FeDOU9K5}3k9yj z1K+K#D~^ws^$Mo!Wp0anv2`1h!Sdp841Axhhh)CP27~CWzHL)Q1HkJcm!p$O&xcQj z-qP@mk`owlN{3$!1y3oFy-8=s7mg!kV3Q1b*|(dkH`G&LRrBe|B9`XCSZA2S>C`ST zak!y5^5~b;ts(ES6rpttDFgccFwc=s?bK~i)jb0nOlDlklRW+i0jAMND)ui z^Va}yd_uPaF)^fdURH-_WgHGvCF4$oQ)+lxhlI8ziG1@pQCFUIG{MIa4-E?Ja*dr|_F|Mk+F&40+Aom;% z;fzOhn&}`%_Xo-ly4a?9Q&~XxxE&e;Dn);S9i7yF22gP3&bK9^V}gMt zr0uO5hJ7H7Fy0yw9&7B)4Z8gPC^DA;CBwAi9#LCatO6NyA52Jemg%9h@R8m=;*VBU#N|?;xGVSO>c_QesyPkN>Z-He&UWh$zm|Noe-_BKD)__Fa`n5Ssvlh%-7Tz#lDp?fhE<+Tl2vda&gM z#B&uWqnw4xFLyk*gnkh=ZZz?cOcG1#u=%4Ijbe%J9?){JSFdH)m`kW+lsQ#Xi~>TA ztHV?>Vy{f@lPw%E`k(T~>9+@g^?`rH#|BA+_aYWMhEB4ArbNg&icr}G04d69+jAs_ z>xJlm*~FM>Nh~J75uQmn6-(0sF#@Zj8P#{>p<;p&45*H|KT?n#hd_rp;!bsU<_>%@P%jqR(+udFANI#DOUBN=_mBNCp3KOCUkUW@9Z=T<8 z@Fb!XWvobJNT4#Bsy_`l1Ckv>V)!Tzu9i$p5J>gABQPRe#FV<_XOrVM)P>u7Dl4F_ z@Vr9r<`c*J%%nAS3mb*CE2`m8Lbo#8eDis@69G@&ElSu~l66hNqC^owdJMK00ohD7Fb8|@4$TyJtS21ck zhtv^IPt`DAWKAzty|7O}!2)T25Gy*i+Iut*c;*Ten|wrOV)vnQs-Oke;E) zDS|X}j|x3Z`s4_;OW4~}NsrN)`%9_a?lz;XnnIT-Uz#>K{l3gYk{3qEBK!Fs0tffy z!4OW*{eiKDo2@MJo%lu;?0~1<$ZI~@D_Ua>H*&*dE~`17%g&a z*CssERXgulEBwe8!9WmRU^>M$R`tT^N$NkJ9%3?cDzDHi*{Q-fo-WfeslKopc?WJ} z0hKUo2iRg*?_XM$un-e7Wv@L)&eS%VZ)f@8`XkWz$|Dcs;W#ir2=fAfw8=bvfFW35 z{0x)gg+2@^h;29nrq?JQOLdcf2(;G#rWG}bvOYbpGL8o6EvEt)0v=!j_^IIB%UQsh z);II!30$5=Hltak+3jVi9buZd%gpjmKC$+)G~mhv>)aO46w;ra{Au5jtNPoK#N+{v;(@|uZ=+m8v+z7^BCIONHV*- zx@n=g5sB@nT^Qp|8xGNWcR#2xwCOX~4E5d#kjQ&XZGjd+qx~hLrxPy${KIf^Za5G3 z!%+~!Ba3DSE8wDK2f0F)kKpRZ&$_5V5q|~4YyzG*-OomJg8Af|0Yt~ucn!F#>S8DIaUz3u3m6A18=$w^oixgJ z1lIv1L6)Z-jTBUL2f}-%#CmzwNHOjc!$phGm(yFV4Z7!uG91cNl$q}kCIBe{#20~F^d_#5A!q7N^@h+u(vwCK@@C5Jd`xSQTznC{kMy6ffc z&G>F<&0OkMXSFQJ zePzL|eRm|zGMQrLh_!33%IA*6UCr`l?GCgo@4ytG4S{kDyISR39g0)~N!JzH7yH-w zpF%idtA;g?Qw*uHV#CK&8j=#&IJOA42`CQp^xM=M-YL!ECknfl&>P^wK|I zy>Pj?av6bN7L}gKFKCRIFgLCmV|nv5ds~94!RnqPg<)1LWTBGTqc4a_85&VyV;Y{+ z$O%T5%N%HM|ty^_nbH)BeqHjaN|@2Nd2a|dy&f`MO76eC=PLyQq+w;sRw z*M42i+l5Fm;U<<1MPn?FYazG~K3!~>+Tg-$h-YGyJLgbxSzGI>9 zNon+}*V(8gAJ-}tU6Qes9My(fq6Ay@!d-7vnb_CFB$M?wkLGG+^*nZn87S@k*}`Cw zkCDRKz`xzTR4`Cv+Nf3aYtC=aW2dJu5;I}P?MM^by8|cS3WxVl!aTLs%eZ3{Cqbn0IwfMQJ!=|FJ1Qz7iNS+(6G1LA_= zJyM+*(-`?n#fh%zH5HRh`9>8<9!VOtjY5sK;7mkJi@ps+n!gx!c69l(N$ZR!@0IP< zvA(iFu7}YZFRysbAFTz6mB|33)G6}#qixwtmZMTSM@Z@`rc7{MC-RFY=>zdB*&?fI z=ZqTJc8c)NnHkR;cgZ5m8$6~LnDlHzv1#r$_Z|sbNF@G9B9D$hIx6NgbuG0bgS%@~)VW>5H(q>pCPshXb5j zM8zJ4MLvOPKVZvlL2dgp=GUc-*P7besnkiH&=T!YIZx7+2rW05(Wk^f90jY zT}yA*cRz2H4t^R&)&y}Yu>#HKzJ;`S)5aMW?El%4IDJ>&ZvjJyCRMsZm#O(gi}tK1 zVCE)RtY!vbTzXK@4+N&zKfdu=g?svT6klj5`MmRXul2O$$GPiu6sK%;x{j?*S)W(z z|Ef+Gt!9&ApNM!KNwJ)NT*k@B_|cKgLgmExqPV{=Q1wlJW;07-zXyN*kIWLlKc4~o z^Iy0dX$xnsd_f75h)(}i5c=DeuN~&hPH{foj~pnp{@W8*@h!`@uAZ-7Sswdj(7H-Y z{*b4&_DbAyt_5;jVDn=B_Gl^KPU_Ujipi7) zD3MUk724sGW|wF-^D=xoGCFHcoeFkWC6P7f_!Iu+I`{L62rF|Q`18IaGn(hRtAzE; zzOU8|rrmX%onk>RKIPK*f#JQr{EJmikyXyIS`hz}RDA^A`PKwvXz*}_Ay`qfQ{xGE zV~~r8Uxu1e+j zc(~bSRS+GL9q5vk9Jhw$2~o}t*Q^hpKqhmtLUP52^c@A>7i8C=gH7*e_6&or>D(@$ zv$FdN)P4hiTwqghSyyt*o;ftIt_{iosa-(a?LnzIKJ? zQnQRyPnPjzjG$ezVOZ)oZ}jNf@nM6nBMYghcS43SPm-8Q7SuPtDmJ(H6BqU`EnGWO z^5LZ}f6QC}u91EBM!SE8i0JOBj0HmaE6i>{>mutzekyYW-MPovbuV9rZksM5Y)x+h zYp-+8A_Rt6)5C&?-HIsvWhSL6PO6&5yW%TxA4*~e85Z2C33r+OVKkkSro%`!GJG~w z-d8}DUaIV1L@pGK)`?5aFJ`Tg-c+i)!s*|eg(l93Wi@9JfwXkUDr9d0$I(Qlp|`57 zpE-a6dAE*i{B01ZGi8L+(~FtBJI_aVjO;=9hV1=sD`W2(soj&FX?bK?pu$n}d>L?r zKBfpa-5`xAbKNq3S*1bIgFjKd!#V6hb)1Cq~a+bAI)7foGGXRkR5Yq*O z{Uhl%{rI0Ma`E8r4ew#f#&0Z8$5@btqvoGXmKoIY8q-B4t+9lhYOli~)ezvai2x|n zlR{MTDe?w3YN%gsM=6~{H#Qc^H0v2va5(llKdYun2e?1_90?A9!6-8iYh}P;5}SIe zWvbwhA>b1@mJi|iU5|ewN)}@R4!ipT-&4-Am^qIo1MkUs=t_w5RK%uX?sHB~`W`42 zB2O#XY}uuX-k*nYvOe-iH1l8o?eWT&!$ZhX zG3Xlpuo`(!*5(?C`%w&T(-bWXrUOowa6GZ7C4yF?Qp;{xdzy4q7jI-Tl+=L@SG>3- zuVdQadCLoIGSzqj;n=D8S#$WldOAyEsI8=Y=pU=&QrVR-8zRd_HBISHU}4kV(3(JY zS7Ggt-sy`z%+!B4zItr@mbk!pQ>ph8 zLMkIy)LV7k1?ZhYuEhC|7}D_UFYfrGXN66DYiFFp!udd1PnFW+xFp-u>p$jT_M9S& zH$0wlqKrnfI9X~bs;PoG(u1&bVL{CiRD$>Hpb~#E`0}RL+XCfj7+*{&ne(mx6zoG=Pz+V-sMoi%*tRk@v3jro7 z#|hTnu?zPSoj%2)*mLjKRQolz_)}X&Nh&;Fa3d_8)E)hn*@wuuRL4D;q3+2Kr}LP$ z*&Yey%evIuRT&!~YAnS7(=8kf)T}qknqB8Mx|7&GEVH-`1KmVi2wABcuA=e`xo6J2 zcwZS=?;L1fdW^jUfcxjww=}~Fw==zX9=OxKfWt;e|2o^$hYWeB-gCvv>Mhe0_7 z+_o{(EvYkz9w1+`IhReu3bQ{=)c53M%{}8nf{Pe(D|Q1~5KfuYXxnzb)?IZS7pJT$ zu15@UMlsReS06?MU$K@-H*P`0pNk1qCv)?1-8S<297so`<=Ca>?IHW#Sze2m>kgPi zso9M$_as4bl4S{uXn6D3q4f(vKWZ{`UoCF#mM~^zq`Kl5$Q5*&#p5|rPqU?()hE)N zyJp`j4r}C#FpovuN%m}B0vhQ2<|V!zPb+qO?io&F z0(ZaL$3P^m$%A%5IP7nxbd3!0uPiv>Zm&Gz z6}$$FL(NROe8YQN5t(hFap?KMgBI^mL$^`9!4+?yp7ho3Zd3Bxx=&!7$GEKDR^9bgjHstxW;%|S_gs?sC|pP*lUkj?P4gY(8CKTcZ&3qoqnv>G~Vb_SxN+{U>|U6jqjU;>_N9CVW6jq+{k2!5ib|I zh_rB4Hb{_Ts$s4X*rBXfA#mal6;ALmq_TI{hrtfp0coWnV+cL%UTj8%S zs$6d`lhxLv0DwSCj1}0dX~zIoR(zG7g>B=nb{gtlpUr|cBVkU?B|&BjskRl#u8d%W z5vZ*^3LRa=ZAsicVID>

    X>y=wM2)@FWuBiMLstrlVI7JjI8iP+QeNvAZ=ogm9Tu zJ|bsB;S5`-Y6m3cvbsyiwQlXFlM6{9rt3|iAo5F*GQ#e&62n*p_E1%^l)mN+d)Vo1 z_Oa=NvD)8}g!Ex%N)$iDwcB%$A#T`F!p>e*LrfaG-@?p+FC3Mu%m02E$_`dX_V}&y zp#8NgLUv4nwG8nZR%rEO%1GU?y^PN6w-u(~=*HY@c>G>j_eVXtt#szZ&B9iZOSb9` z=`q~myPeRGq|p;w6%1BuX#v*5W%w{jh=4(Se&-Ai(UMr_K=(UgA&t3|=QJ)|gpwpC z;CYilLMVwNo9JXBD8lG4H-N@wBHWudMq+y2Y5FV=qcSNSLczi(wa9qc9N_Yr@ZVc+ zyb<Wq$ytw+^U3e6&TM(Rc*){u_?+KIk-PYz$VWeBBA-g8SmfqW z?%&AuoOkQFLeAdAlm(3!q5!uh9r8Z7b=j9GkxN&|iRItAhga8z5$#m#Tv=YUu=0w( z6E_uqXY)fIkn10^Y+fu(UDLR(K0UT^?*`@ONXw0O>S{VtrL3gfR0mjvH5-1<#EV8L$Hj4$d`AOz*Z+M%wwz{zjM-~Ii&LHLE^OOZcHHPf8W4{u>I%Yqs72ld~Lf0T16wt1Fu z_I6iOLRub)KD!{ySIo3-cR zHH9;@1LjXf7GsY@iPcDU_4;gbq<(N5kVz_KR$MR?rKL60<(Ih5t%3_HntadR={R24%0M$s{8CJ?|&7XnZwNcpawCrgCe~*oVFy ziaK88U8bFB95`up*w2UB$`Tmf6vay#xOhH*X_v8p}VK#W;v5fP%V)=Wrx z_S*hS;I^O9AUW z$82BF-1kO@;hU0NU<_55DP+|(+zjgza+FK0ImFx7055IfO3}C-3Vc*^*1ZMT;|c~C zz~?;8|1pQHs}}0PSFbQTaijsgDikRrJ#C%xQZ0U)4u4(dX=_$*mGgMP0`WB|etZCS zM8mBRTvCxL9xA}}G7Wg5^V4f)2w?nA_7Eb%(0DD4H$uKVwICW6K(wqpMeUt5bEPIQ z{rC+xkBURR)w34yHloZ`bEbv*c@hRTK5%H$*ri(2h2K{gjP7Cp#iwXPjpMGA`4C|@ zotv@DFU~Qhf;>`>(j9`qS0{~)LwB-!tLIIKVcbMoL$WKk?0Yp9qwRdX3OiX=ox-j)1bWAf zuBy0HU#NNqSe!Oi!sCs$&U;>D(np85t&r@etC9L0_C|-9ALS0aF*th!hx0%Fe(`D~ z_i>i|=A|FxVDIBsSpCCO|3{sCBm$AxQ;{n<|04NstL0;I9Q3UI;px9Gkwb4E{6DRh ze?u+H7t*D&ID2OYuw-0$naxKtrY7=o}$FNAu7`#$ZuPC zFZKPt?(E9P^LC6#HaR6pMfS|ayhqkt{phoGQ>Ps3-}~BE9`W>Bk&#R6v48GSE)Qy6 zxcs0~I3H>av@Aie6PdvL=6;-^PxU++l8tK`J{hQqYsm6O1ajH)zQw#uof?P^Oa0L* zg1?Uf0ySlQ?EB@Zja`E4@}-^}Hpt{En)nKBAR@>nYe!&Y$O~YLu-S2eL2vejhqf}wqV2|Ke5g@VsbBh_H^YIkfXy$G)C*(~F6jcY%eU563pR~h zDiDRL>MdcUIg5b$k;JtoV4!OkUWLMr#;RM|{I$^`ZHl*hu-(HJ}5CmPK?SF zP(JuT3t+cY9t{o)2gVDAn+1M*4q{oWV>5`J>RflQtM1esD_;S28uhXVOHh9W(g)vU zc=N-DCz6<^d|M!kc)PP|{mJ^_WQ&gI)a*`UCWg35brNF|)sG*5oT8_y++Jc5$76`n zG=8zzbh73Q*lkhLkZHDkm)VjH8mS5HCN)~RPntLg)AfZIe(m!On@4r;VA z*5zQ54=wE7O@x`sD72%f>@fN1%(`1gIzm9e17^^D4kxD~(rZDM$a5#@R6z@-kEj*C zR}OoESrEz16WcB^nF|ZJ-YTCFqXFFE->Y3&Aw$IsWF9l^2TBSM2%;+^v3X?odWuK~ zlYe35>O$i;47>4jZ+VSLm(>aGU>xt~Dxh>_7g4SKu)FYznxnOI-9Hoag!9U!^BUZZ zQhJw4R75<@KqHXgsrn=^grIFY)6rW!q4Lx~I+1C2Q^QkXtlZ8`!N2ik)rLuuO3e%8 zviCZ@odj;9uEMzACK68$Mk`EM^NkYX=gK4-gpTfN1)D}2v z`5C60$DCR!6?bvnO)D7ma?TyTpXQw&=&cIFANhqcDu*}OeTVPtRYc8Nd3Xj`D^Qkt zcgSrd#G{xsT~hgI}{CL zEs=Wl48;pOZXIpgruS?WdIlp|g8I_CD3W>gvnYRyS&0v8v&nJTW++Tfc(~T2hQLqL zESPC(!kNR4+fl8WL=_`D>G99=oi2l{?vJZ$^0rNMtFO;#|1<2s7L*m3=NYa~8Jbtw zendQKaH#$Qhw3x`KWWHth+;CV_B}R{%C{|b-m$tbPUWiQT#W{f?%2PcyE^XTQ3nP0 z$NSMjU+@84x#7L5-eql`F9EafZ~U#_R|@w5Eo8DWr)(PSFDrQSu zCWVbvS1c%4oBz#~TXV)bf$%p^ziniMZ_-wxm_;Y!Ll?U>@aA=Dmm2M99yZYXBysn6H07Qz-kVb3M&4HcV=0q$*%-SS) zqyx<&ao|&&gHi5OCItc2&Vd&0NLX7{!n6%vLQ3C7iOIy41By5G?7-P)Uv+OxY`3zb z-;0+9V0N$u)nkE*aLL8WspF`{N2zqzU~jcOA29Li*dcya-Az1gAc6<%C9=9?3=cvR zf5LhLt1;F{Iop1X#3MSRnH$;I7>MqnMIxxq5?!c|_>-FR8(2A8Z0H)(f%_y=u$q ze~#JB;?uFHbBnj%d~FiKX7zym-1cxT(n6)|g3NzAiUwLI9hNudp7jYc$wuMgm_A_< z*Fx~>dl&gH8M9;4f*G?-AjaS}vK~g&^6x5R=P@{57=!vu1OyU+Ja=9wpx(u%yJeK> ztLpvse92BhP+c;3uwqK`a*_&t0=}Mu$wSUt*hp=H60AJeKm1kR%5onXZK;J1N!Y>>3MZ6ntGpT0s4FKXM|NpKIM-Q;>880FiLlojwIJWx zBF4>e*tipR^9xh-3?V+)c&)x|no2=^Cg-}qTO=yx+pCR9c=41F=`Xm2>&i;2)`tQJ z|7FEPY7Z7zd4?VOyS6eCX|m3H;f#U&i`8n8r!gPa3}5tQTi3|V6Ipd`jq6V4QvA7` ziBSA@Dm@pu@}Ya0^TY%jl@fr|9nxsTE56E@6|dL_18g!15zHF~2% z?6SHzS6bI}Y^>v#7SyDeK|kjdYw(39}q=69`c$RE94R@2fB$ z@*zo_y;R;(&zO6wox=hnA@VsIZVZS$FfGv;d8mT1V7>6`kCc)2wr%9}qAWIpS5$^q z()^f_!H>NZVi<=vI)J_*5QR#Xd?N)bHz&!YH^6$$-18q(^VnN2bew^E!nU`bcnupk z>n4>qXl2$hIna>ZDWy_yhhQywxA;ez$f_TPz#D%U0<(F1Klz`>eoLaa+jhSUiv1!;Mu>cZKK!y?pObN{Ck;VAtDh=x>-RQFe01cBA*IRanrba zmERNYwab5D`UGAH%knR!f1}$k-=6DQS?>813SUnA5=?fV{3^aLF20YqxlQpLlJ(ZS zm!7&m?mgG$Xpc7&;ixc5D9bxt!{y8E3PrSYOGS50MQ{+m*vN=?!zR(Ok7-*4(ppzT zZNpn3VcpZ@R3^vu{Q06?pX}f8UaK;a5gmoE&Dz4T;A4?WC8HeV(nr@YSyX!3r7D&a z864_Yjn-I#V?)ut00iF-m~Q#`O3pluqGw(AlKF-TbKn0vSzXisqsI$ih8}~D8J3W#n1Y( z+Wxw^`T}-=t!>+{!Iu{}R(k8}`Ht~L72uOALYLW|R2u9=&Kj%HR0e|>-V{kVQZwzm z=R>v0Cb8rBRLwbi?%(FBJQb2x*~?yqRbROfMyq&z&3DBjdQaQYv>u5Jxi##ou$R>B zyIu$b%F@J8!WoZQ?_>oDm=RYpLnhjAudFmN{kYi7>R=y?_fxT<(Xk8zil>rb%>cE%D)X~94hO3!_?)A_VNkuPT;7xD6YIwsX|K?N}i8N$GIJv*ZQpkRr;f%t7X!1|&^N*pf4+&)P`o5MsrT!>)k{DBkn@3wk z15`3xDbae<7N-4Qru|VE@4`N3FQGF}lf6|C+aR6&TA>h9Uyajg7;5K_HuX-1{P?Dj z5N|3gKxuW&=QdEuej#nVKL6fO0R4HUgKltHscG_4rrR%O`qK< z!y5`Mwe8&93ZaQU&>7%n@;jkQsoG?$t)AB#N&8$#xfHKkj+`ufa7E8yE5Q?BHg%N{FGUE*6f@XE$OJcpGxG2{07mvli^J9*w|JgKi=vSEv3L`tKA2TNDgnpv0xokU6A}4P z80n{r9*tb}>HKI?gSnFDQxsM_MRf6+3!b~Rv|jpD&?o2kT(Py5Ky<(*V^k19 z#I=t72MS;tG9qC+yBd2%5#=niV`^|r(x0PT%&V_a=VS3Dz6C2=-Ni~}updr~b&a14 ztJ}de$b3M+ir3)L^oUT33$<8oOrOtoRMW>(m654{ntuw#uwH#kvWsc5o%gUwU3Yv& zMMNtdU>*4Ir4B0=v(5sXc8zbeCinsofi9ntYpi=lN}T2^IXw8s2Z&Qy1lHccAtm<% zCXGGlD(<)cSNKxpVKF9KZF;EJhwUCF|P@B(doNdWfs` z9P>wsg|3lD4N{k-sQs5A&v#hXO-+CGMfUxERnfbIBye0YH~ovbCa`)v*oA|WK!gN$ zUJ?zq(q`{+Yk<7p-SkRe8Lz4Ds6H{rAERaUAC;|h#6*8RZ6;t-=RF-yeB;ZZ_R>l5 z{$)(@m^r3RUx8I!{f^Ir{Z%`U3-SPj2xnTd>Mn%L_0K?<$8Ksc2t~egl)GHpST9>u zp(E>VZo^hc$#pYMk5*}3xcyJE7C64UW2LA$)%RLBvq&4v zm{lg{EC{vy+&dFghGw9)y4-G_ZInJ-=F@wlgSVcT{Nz~?wMOx5Mk#W#U4M9DvMYDp zLkW%x$7+JTCP7+JLkR(n`Z&pq)l>nxS0l-U064Q_n0zN(g1>MJBzvWm>q8{9cR*Ml z&i-z-{8^=+nw}+F6_4m&5C5*FW0*=K{nfirEy=NFnqab?r}m?7S;m?+CXk%XbZVaP zYimXVYD9#*E?qRxcYPBMa4ri+&FXx{{^pHVS@*BPx-BRD5A%q+cw<0=1SC{uKwWKK zqxfgw=!3Hte?|)OiMNNSEWxDjf$MDz;S4gpQ@O0X7##W=Zx1&QEhl;_&-zED8xdm$dXKg3^cZt6hf34wx3c?bpuUG5Aw9n3TMEKieebw7Q)!rQQ>hqt z_?P7{1*Ugoo%jENB*OceX!Eei;ZpzWWysdE2rso46un7xZ{0V-+-=5p=tmpZ^fx{} zWS#6eKfg^1Xw}aNs%n?NID2TBiovvF!vB~|EO1<~Kh0#gY>E$$!+ z+#~uxN3NhDoIPhvP329Fzg}k9dPZtZ4KL85Dk@6JH*cBt#52u~S{=TH?<5;A)_Ne- zXAI{~P7mHaksET1DRq14gT2J*V23>Vj->*j7J=~y+vs#G)|j`Km$2ay-Y3&|4S^M! zA>B_4Y~4MfE|a8ze799?^sP7r*ybuG=ar#bZx;b7Y}z(9=xVNe7+f)dg#=kIa5BGU zdd6%h8z4uk_jojK(m4~o56=g}`_gh{_M*oM0rKndujp}g0=n2IRv=#@JrJrr=V=oq zI4;^*gHXHWL_tfGUR@4(wR?g#w!~zHM<$f&&Pt+8P{bTkRs;_FLiJxv=x3ROcgJoS z5d5pzQ&LeRDVlL+DwYWHdsod2%b376;vJPC*`D2dUcIS^IFnVifesHY^J5+s(<4UI z#k?lPqt@aD${$tCjkoxl0uN6GhX&ndomYc*eSkMZWh(|6-cs9rxRettF9#Z!G!xnT zZXure?1T)>t3>^u!t40mhB#X}d=~nkvX@ ziBXjmgfkk~bhtVvhcbMA)7ehtU_<4eVzqwe%&xCmqQuUimOgYhTCDMMJZ z`Kg16g(K5&?eM;(4vsbX9yFS^7c$vK?Yj!SmdjeEYaOrjDvAj9_{J19oS~izW7P?W7hq=wgjSc z>8LWMRWn3q^LxABMH3x!7`L&Y>L2dr7|VL-(@DlNG?krrmw7ep$Af{v;NfGH2%3+d zlbCY)*2!Srj}|jRSeXpfUke}z$T<;C4|^Jq_;hUmYR)vSnMe}&SBQ&@XQf@HKW|}5 zD)*=~o#X16pUN2puEh}nI5f?aWo77N!2}IZ`cUWa=%I@2>7q$j z0R4gOb;10FAI$5IZTz9Ri~pgyW3};{|7UaeRAk(usN_XYL@bSnI>cGaUA=7a!~d;~ zPXmzq0KVwCzi;r8e)R`vxx6uYrT;`;Ilp=$M+P%CUlnX#X5f8yiGfBgEfgeC4zpz*Q(^Uhq?wlkZM zWwPWKpRd_DnX=GDU1KJ+W2pDmUz6<)R3OoNCJd6&spH+bu(?kB=QFd+vY zpzJr#pBOLixgD+7UkPWC1)Wt>cByxTs|{Rk9hLloJUVO%DwoI0KCB&VYfTucIVYY( z3W)AG&F6s@Z;bkR%tv~DJB{0Wdqke73YVEIm*HXOg^M4rv z#vk!0-PND8w2LcRR)|*_CF@t+S{Al`++2Iko#I=;w_@(d6kP)-Me$GeQvZX&cori5 zG2gIR&}?`X$grBj6;)y0YVTLKt9Fycqw*N_mK-MMqwz>{&3Q`L>w6GIbKP&+yDMFX zPR#=;#8sp)0`qj$_);Ov=^6rkR_l(Nrc)Sy0Poa!X_b6$PEw9C#xfJmdh@2pD38A0 zrfu8vnz>D%kcX2kG5+_>k|!)uO$svR z11mB;;X^McHLpc{=L&d9O=qvm&zJ)bd)dz0;}SB5=l#Ds&3>*MdTLM@9W+*7Rfxve zPm}jyok(Vs41e=VlEn>^!2=zk*B5TkL3y-K>wF?^=;D}BvYTL81JRaZ%sBnOyYms0 zHd}oUBKzsULQaHjs|Rr37_U|y4cTHir?h!Zt0-Oq$v$(asYD(F6riMXOc`Cy>+O4o zlyh?f9>`ziKP{#WgU(Gvt;nZZU5>y(UiAhzKnEYNlONFsr*FRG8w`IeauI&cxF z?hrx}R;o2cg+1?D0y&+;4Y2l#ONaNmFvmPtFJBe{i}ENbqY+be>lmZpFW2RTvqqZM z1j*aWGlXnDQ-&rjTq4<{Z_|EW_)*JG1?~YwbOMH?2xw^3rRL^`(^|{M1|?8)CzMrg zfS)Ywy1H}lKZcqZzB1er4Ljc;P2O(5o5kdu=3CQ<%w;B`IO`w~n^0w-@PvJBjYUt+ zN?uJ7WYvEW3K_^_3pivZ?GECsqpug?9h|S;t!HxStdhD{R0-nh$qIaGr7k^19XwS! zc-B3wPT}(albj~bG_=I@5ckM!Bhta;?jbtobxn#)1JHFUpvF?w_U&X1$)kYzJ~4LJ zeWTHTTsYJFo=#lGXb9{MDh=& zxxhm<=6LskTyLZlX+_h6mMlS@?G>=N6*0bSlVre9k!Q^(Yqf;w73NS8{i;edri>DP z!Tg5GPVj{$%!(jss>~^AT&phBx5O0p7|#mZ+i#yNxc#8B&2VAE)c(Wdv?&2&(ip9V zFp|gTL9}OJ=P1Q{Wb_3&JCIH@q@Ijjjct|`v-SAF1d zmWQ(^Z=l5RWiG+&I$ELIuDSFvkft-{+L!c)D=-_Uv+of|aZ+z83+EKL39TkIupAqv zgOqh&mgS>~ceZH^o6GT~6ZCeC?1PDsQLTeMki*MwiA^GI?%>Tb*!Cs}s7+KC_+CBFZApXYyRhzhjL4(2+9BM8x;NmLsR-rt#9 zqDsh7`e1)c%sr-{_TSA_8%Uz|d~4ZjVV-PFhbX7_0?%W5C&5$yN^y~4(5~}z3>Swz z=4I|pO`@l6M_&(iw7?k-$?t6Alh)Ffwn-Wo>nU6$6Xt{pi=U7j8PcA&Od{aOH9k~c zaOs?ka1IWCL-&rhv5lP}xyRgneimxJ7-?H)_)w0N*vxl}?P+g?WPkOD$#8bIPe5zU z4-SV%=09EqZAE>?P|L9i(s6N{ z7+j?6>B-wXEJ4q9T3@BGq$_lNa0wM^hV?34xwM2 za@~a=^s%ZvO-^mi`9y*5+7CR9CCR9(9!6cY<-hlrFt)J1mWP)tUlj2uu$=I=q%WvI zRGhv4_#Jm|^8d%!yTCPl-~0bnAY7!{vtw5;pk3Fln>V($t7l@R+q@tkSRf!EUun0x3$6q9d0pZDeUdcGpgK!^Q-n)h328Wr{1$W}4@g?sezJIgnr zh!U?pEB0Yf)Aa&XwPa#hzUlgG;&#{gE4xrSEn}Wv`^%i-KPLQ=(`rwSaeHC0< zv7r|F%{tXXz;o}f>SnUZui1~GIGH`HiuPj_Tt?;9|EobnWjMbFgQ9BczG0yL0@|uK zhvaEjn!bZ6ZvDk#MSeVzZ1PH(OwUkz+&F!KKKOO{*GJV7>T%r#A}4P-tJTg`(RJ@D zR530XhPV4miF@uazm-LV&@U2HFL8;UcDS%}HeTg?-No%ZOV}hi^h^W8dZ_o?JrkCexMhq zB1K!-gGsVy{nLR}Vb9sI?-il&NvCr#AuzVCv!B-0btMUyg37)PJ5IL87K0BFqGkKI z{a2h?<5YgAqz`N7Z@zVEz$o_a?5`K2p6aQ6j`G@m8B87pbJ@$}Ewru8ruEo!yhazA zZ=OT=S+TU}@{hi-v{ia*Q+p9W9hd1uC%GkX%Jg^}c${orLG82Pxy7CoY^8Igt!qV< z@_YJC;G0{ozSJHoDmFL0sJ(tY=g-xCm zNG!1VeYy*-S!6U-8hwZaYMc9+b~Nx$zWlQGpE>#;Eh13b?4S#VN{O@T@chV=r!YDn zt$j9~)?;p{1E0OAL$Kqd>Ph=V^(!-r!%vO_!2N=h%Cd_P)G-LXaQ-4=D>X0rpA=ib z^4%^UViArBP>%Wi>Go^=nYJ2?i&uGB_xzNrzk~8qNy|TbOt-bX_>7-4f|}#+s6Gw*G^nXu!2m_ zYyZPaGrCLQ*D{5Js+EL8zc4ZS+Iz7 zFor4+R2YZ!+t`j+FjkB1GT944t}$juhF2^I(V=^{)|FtHylTck5g)uQd$xDeDEXwd z?4GWt>FIm)Ad{0DpCZt!V!LU&AioT#UkO2Ffgg>4G@=}NA*UPuMa~W}g*l;dFHSaA zQIjg3=|OZ5F8Hvam?>a1ub&wj4vRG8s_qKs$fF6_ONJZ6@tKgCAHFV=RhA80aMqD4RzO$3Thrq#c%@_vjN42^K-J)ap^p27;}n?%`v7blMyt_uOQyL!4xE2Xijv};abXSt7wTzPOOqf-XTqAP z4lQ{8<5We*N`qmivBuk%JU`OD!Xf3uFxIV%X{kwdGAYO@D$b+d=pv3by(p5j$%jli zQnqO1Nu9=Nuh{T3asT#}$IIu9kAF*b^H+EuwXH899d#GN`g=9;Md&L{+6AV#$>{fE z62HRFRw}Pj<`2^FFPtF;=)#Ambr&?p@mB~Pa~nNJ`!2GG8DBB$CE5Hbty?iWr2KH# z)v=$AG}KapfGe+aj(lniu^{v#_KQsx`P8;foxNh0i^=c|Jsy!tNspt-*nIZ^i4)DP zkyI(=dA4l$;fJ3@)K8J?fohdS!SxVUFOt8=RV>-|VPR;Xne0hJ1dy{~dUl(WJscjJ z=rs@fo}^KVTqmB|Re+biyI1C1tiWdvz?T%MaPMbP%&zs9Q;!(2MBkHmM;8ExyCI?k-6~MDrz1-`VE8$hz#0? zbGIsLXJ)sL9gw=54b6rf2r@C{F4J!t^{sS*vJc6ik48)E8KnxObhu`pi}?z-xc|$E zRnoGkaO|KRAtY4IWmjsWRyj($EHwzSA>U8K_UZMcXPynB(}9rpO0 ze*^-(EBOXb&ftKRjWXGC#AJ1M8qF?isc`aqz~5J6AJ| z|7k7l|K)5JKp0OzZ;byU{}n$h``+;*iB~OK*2r_84iV4O0^1QfuixGAboie!UN7?= z7|0!=-vDrZ4dcydj~BV>Kby%R*GP#r7H0dyUnHo$XCL%wy}A5l5HtLwbfZ$Xe^5W% z*T7bEtQgFs55HQ!A-yG%7^ri3E1APVbJdx};nnEjqH%^j${T*!@bK-k&Z{6RC;tGY zca6YVFFZ!nTuEr9GG>SB&eNg}-wMwagm@nMq@J-|8(qaz0zv{$0&hAB%H;nPGrE{8!nt-AQ!c1thfM(WMJpM^_Gp4>NfK z#xq>OW1Vam49Y z9WWTK(}$L3MU;kTHgtOnLgu2N~ae+P9C{NZ8X3;Y`hX*pG(puVLD*2;$eHNbDGJ!POkDnoDw?&c~HTEnaOfb);%0xZZ{7QA6%~4sO+BWNfQ?rp+EGE zKM0a5XIP`@+!w3Okpr322#U%S7dY$P1Q#o6tzruAFn zCty&(GA$(3G*o-a7TV)_Zy481(vvo)y8?T)Dr=^}u$4aSs!lZ-b_}&P%{M+DG&vjm zWVk(68_r?{JJ+gS3bubQbiF!t2xhuBE2_W2PErSh$w`eD>@ea6D%Jf*urDeRX=n z+;Dsj?c8v#&Mp7q?DYK@14Ml1N<7SdGo_Awh>)y9Ma&!aioQ$GnZ0)Xr9AenPN%c3 zqSyjE_nbL3P*Jl_7aApyOufOCJW9sV6;o>``zA(&^X;+C(#1Q+F`M*zG(O5(F}z~l zP?G&XGC%E>Cbvfm$q7(lh1Ymm^9tEUC^}ytT<5h zyIyiui-|zIygO`ay*}6Y5M<)HqsZS4#4Cw=bbboiHc-nzc_wG_rA#lCSy}82#Pw=+ zC?Bl2S!UuEvS&rGgt@7F8yEBoy&LEsQUi4W*$lCS*Dz;0f65QgOIQI$kcK7X-8f{_ zv-n;v_dY9_k+QxOr)Y>Pw7MUV7C;pDM#nX5v*}Ms2_ls`Vclg3K=8c70 zTQ}qNKdpmfod?(mB$-~~00do)HM39;l@5Pf$Wi+R&9_S|T`(|HVg;84@w%X7{KS37 zWH-g)rZfI7z06qY@=_zQ0)eOnWZm%G<0*w@ucE68lj62th}|Ylh124NVv-n*%@fLp zFXS`5il3f9#M?!&#`_u}h32OFUaj%C-P_}mdM26dk|31|C>AI-v;~-t>$c3z{^|B8 z=y?fAf%5BMk2r^;SdkG5z^rNN6cRdmOrK_xrOK8&w#;y`RifglzPfV3oR8X#i{hof zIT3+8dY&6ZEQ>$Cq#^-jgr&oF)Fe?bPbC#W|d<`h8EshgsX`;ppqcHhRt2DbE=nkbT}njlEryoQ!@hL$U&GGr8vMFNyko;h2+pvG;I4XgomWif zXPSNaNJ@_`HW6p)*Zbbe+R)-6kz3!vdzjzcC^Kn~s-pag1i9npPb_j9gzJCyHGH6^ z8)U^|Jok>d##14T`TQ{t1>8?tfBLAnAEx(k_YLTX=^ryX2wfpvGqEBny;6CFs!bG^ zYWHcESVagrAjC@|XMeONc{3qivL=r08^UlQN~!O<;e6*U-*NDLkmwiMdrMhgSmZ3b zQJV-|Ks+~ni}GR9l5Ns4sTSz$q90M&C32`nyYJP>PAx2dUKN!iEnZ@Alr-N8hpEQ4 z$K=tw#4ifT$$|5l$;P&1PF@8mlSp~{EhUJX0oGokyt1Eq9hr- zK|-t8uQe}d&#zePHpNcC8AgtUL@pCVH20vS1)Sb|qpo_C6>&^pb{kCC##CV7C_CO=;&*wf#y9NA8qbQhcK^_1hxmBG|LXQ8P2}(n3 zb--=jO+)qF+YJKh+gd{B*-oyY$!jW}*$m=QrFjqXDT3*C02uDb5=KQOb=6SycJPY) z98=l73Fyx1MyUY-6`5oiA$^gp?P+lwu`|83&3~g;hP$TNgQng6Rf`aGmuU9}mz3!c zOnXhY-{js2mf-$o+g2jkZGO?mmH^0;!jOW%c%Yd7R+ZKd!BHnv@= zS+A2iAkrJ`g>DWc{n40i_GR|a>A=x5Y+I>_yviOjs^s@f?Rj=LKJdJuVs3W@xUv`0 z9)L88^5G-;PPeT61js~kkN0{zZ=2M5n#pzdw%iW*tB7-RJr^!&2w|6#O4|X0A1KvK zlGpgwT*uo0!_`%nutkJ~lb?aE1p8gyo49yJ8mf2E78iM^d0V>iTiA)b;b;*uG|4EN z=LkuWi`_Sa6i?o$U7>k`3=SFZm~)E><(2IM0RNe^(2j7=nnlUL`>q85<0krK@=VC? z$t8gt{MRLU%Acx3F9ADjl$cypR~#OPGZ=Wu^`e9M|K}2#N)=_xv7Tg z2U0Tcqs%)sO{~vE<;b@gntn2l-DQ+#h|OJ;OnrOW)7|TfeMmMpwu$C!8HLg+TW!!Y z$K>QJnH<6$yd3H2;X0RD^A~ABs3%r%QZ^cF=aZbVR_nzqL>e}=Kw(U_r!&iU*AGhsQ$-hJA zf(8B(1L+@8$-!k$eFxIM<-L*5MZcW-6@ebKt(r9O!N{|J9e z`IeR9)tAJfZ85KN;=SYtxrwj+d3NVT{TM&aa(QiQ(doq2!owMURqiUb0cnhxe4s18 z{%@Ii%h+ahynfdav?v(%<*Sqw3)gO4!qsBq7kS!UbcZV1%mFWy+0>84S9?;4KVxy8 z))C9{*LDTuUSOHJc9;@AYGpO$4^Lb~^2$W* zhTuIaJ%H&=EGx;!pP*y2_tV*I(XDp|yLt)nRDHEeJER7hsMMP6(sh6y(qgmBUT=>) z>~!Ho^1b^oICU_b$&a)uA2A^HO4?r%JfGXDw)V(P~6-PhH0cfmgcKEs9? zNd>&d$U$4EDG^jO)Xz$g6JoU#I1Qat-R~FRhfsJnZD#nTO83{7v`MV7QS zgp-rxx8PsSsnR~)NC6fKM?MbLVrdl;g`m7M4b%Wi0e*-qLA?pZ8DEL_4!FN8QuM{5 zFE$O_Rg-s9I$$e6nL2uUbd47AJ(#ZRX79EBCQzi? zuAW^Sdu_h|RUHfHb+$zawR?&&Mlm3BF^lXQQz)8I{%|=eCOB$fvChXPMN8$La%lF3 zJ*cE*8x+aufW>;DmS(Dk)bDu50L3#l%1-(54PR$--X5q9YkP3?!}DWcXzj*RgN-t@ zby1_N5NR^^oab{a93$~o*#p+mSA!q`fV=&oR|zpYocESf*0l@%6j-(Fotg^i@b-$H z5aI3V*-PjD39y>65A95Ok}pOKi{^b;%cnZe02c zl~fv%9&%K%ekF6Lmm2FC6&{l{7i*lj?1IexZm+JtHpPyP<6s$8;Q67IsX*=Uwysvo zN|J!ST8dQQ{?xE+Pq?aE+4RX8vg^25iFBMWTMoa0caZEfgN#yfvkdRXXmk$$E4%j` z&fRM`cVGSQWA^`Z8;29NGvZ7nKl4i({mONjD^pmIlv9wq^dNqxC>IrOXmvH?-?H5K zM<|-yuk6wIcb*e1O^Zg6wyszZtAu^NVsr!%l6qinSM;j*e|cW+Wq^DS08kA zfPH2Djjw|@u3jY+ymaqh6l+P1{7%@;ap*gE8qZCH*!{;JI@t_~RTU#lhu!ewE{dP@ zP7?ZZ3^GDC8TTr13dAC2_YE@-}-YaNJrop6c0lnmtgP7`%re7H2eZg*l@I zof>rZ%T9tXyQHQTczPZHusQb3BFES4`;71cF<_;OxdsqInF4U?AoCZ&8?z)p9*u1d zSAer9;KN388s=h=q`$_Kf=)49-NKL{uhUM1C5AbpqgC9(G4y zqSJNNFcjb_G1Xlv4gh@W1VdqZoXS#Y_S(A;P?UYT&f-l*dJxO?j3LkU);|Iz9j%AM zV3UQ*uX9it5O~GRZo{K}vrMWtpvpg+uWVHEIdHm{+8<6Xuib+~wdxaDVnESa3hSAQ z_mEaL{g|B%jO~Nx*fGr0gy)n^m;LV-dyWlM+tDM;_qH7-VT1E}7cUbywR`mgt?fqx zu~>WHZ_Wn+AAXYXNedv*B7T2Xgv31(V-xTAX`7pRPFP%G{*)QeUc5xZ=UhB+iL6jI zjZ(SC`2}c0a79OFi^?E;pg~?~3B>75gtU}-14Ud~Cj8#;msGj9>R6tfOo536i?}|M zJ@_T92)*;9{)@$t{6Y~XB@W-Qalju8VbtSvj&|NLkxSIc{2n(ZOUXcdK*g zO(BISIEUABoyl&#SvJijJct~&^I)5F@FYS3mQshQj56S|wRmPq8^-$$kH*%Iz}497 zrRu6lJjm+E&=4W{s8vL}(Uc%;=u?HqfnT6<1RjdnpD!iU5i?qj=!Xdh03ioU+ddJW z!PR3WJ}xAC%wCG~ksG$9FxjQd{y{@eQ{O^~(;8s2PZr&e>GgO~NuLzJ5;oK)TSN?D zv>yM!skw$7iw(8XPSH~fuvBw)c)z>bsl1Y6T+?yoM*FV>9(*o#h?L5vV#+8-D|F`=#XZyMY2P4I1&G zDp>*zBA(dXJ^JWT+vC3oJwuj#4(SlP&zZr9r`t-KwQv4ChUqTqYK~R6{Yxb)$Kd#v zC$gVhhE%6JrNVVJpGAvk;~H~w(yd6L?-?u`kP;6kYwP1ufbRR;2#U?Yg?b< zWUODF^qh%|`HsHC$xm{vyNH_%lc`#UA}_5oK}o%C9wQ^1qo6N0t53aa+5M>; z&gbO(_Q-tu*Cu+y2iBE&o0JzMpGp zdXW{l=4u8$nR#tM2JlbzU828*ctIt}!W4^c>scu7d*3=e92G_yC>gEV;)^Toi0u-v z1#N+&jzHWAC-{zObsrYX{VRI)`V5GA01p~cq>Lb1{FVkM5x=cesU1?qMLq$^1( z+s&=%k&)H{QhvGi29+*$_16wEz(U;LCc=b7lkntVbV^Di(2cs(VW+Q zVP3ju)B^Cu8dM>?hgztwtljteI^$|y}|Rg9&o!`n`B)G6eQWw3k5 z8=&uxpRSo!Yr(#lp);h(zBr-f*k#Whx#EmJ+#cyMb4-u5io@j-O@`hiw)qxih10h> zae-+2DIYL2xOl__c)@c_uCKCZrvX?D3d7qhL$6%u)gmJzjE@e?3k?7nbyE@c0%|{#++ANyb7^Syh6{3AErFQ=+Geq{1h_mLcQnwJT_@R` zV9O^#WLY2u1;$9iaDDV7PDHE67>GSdW1?WJ*+5VB)pm!rAr-Zl&$VLGvDFHCe?L3f zSL4uu-~$@B$kB8YM@02`P7$r6g0iia?Cq3wmDzm>q+Q^!_2> zu3@^=pQ&QAD{vT_w=%^p<9t#TOyLe#Tf>rc+h>B%NVLKKA`h7kT4!V15j<2oseMa9 zr`?lUYF%)@6Yssg)j@OQkLH>fK1x!&-+@vcqL_-lI)d$&EG z2P$9;s`xM4>Jmg8BQfv&33Y6@-ZWL~D3T?(Gj!*X*%Gbm(k>EbKQr(3IvZ-b#)NOO zIco;qIwrk2BA!VwCwm8KPtevj_6^Jqi|K*!xW)N*D=Mf%+VqWg{MWF#Gf>7mB~EFP z^W87#+))!pz+(Ln32h+G5ssP%hKBRnp}K08Z+-f!F$Wi3(umV|$Fs{4;8zix^?nwWqtU5LoNRW_P~Sn z30F6?Ty3lk9cYi?%T>IP_G1qJ@#i5AK=jE_Fg1LnA{%NrGf&=-{1^Ex_2;`EjjlNC zRmF!CmtU1{>J}s|7Zd7eY}xQg(-+u-3BkM@P3PwOK3WQ5yXKDr$@{>@s|(}%#lcGn2Kz7}G)>{~DFAR*X$n1!hipo!|=BuNvW@Vq7Zhaa9 z|=drw$5NV;*im?L?_DM}*WwSL^$4H70yC)Cj-0GA01y#25 z1_SEhQYw|RJTIcm%I2(+g38P3b2ZJ2B2+}3?vxdTWUaU9pTzT)y zoE>2f=7*>squzBu5&f%qQJu_Q3>fDOp+w8cKkhZX$d^idg|Cjy`KL`v9g)HELrivl z$5WguEZf-#n^?7K*6gF}2gjzohex8V*P$`pGfAL2pFNJ*jx4d?i!h38J3WrxnME^g zr1yJgb(Yl8Vjv^+K*if92$9N5s(1@_C#Kh?-9xy;@2D| zLz|2Gii03)o{?4|`kTr<>B7<Q>LU`P0JHrNrf47O`NXU5V@6?q#jnU8y$Qn?mn-mC9XHa$j|w!7~diJ-NZ*#I~p zOpd!(w;dfrU#&3A9sxgrj8SVa?cx%XjK&+I&Iz2aEZ6aggr^Bf{hEgVEu5e(jYw|m zyx6H{pPWGxfM?@TF=>EMfB1Tl^qMak6+Ds!*nK!Td5@$boM-njsOL}E&wE4otoLz;f9WMr+!plst@))(eE)eR_6-OJip!BCpv}KS7q424d`w*3iR8= zzPNs*znlVljA)Ni&Vsj)X3LvdPmRkUNgrBaDMT{aC8MGTY@j9K0av;wDV^4 z1z(oj%Syj2xs(5)okoXs_u=NL|U?$BRS;Mnz%%HKeNbBcFw7N&C8)v;G} z$Nz}&ua=5HIF-&OJeQt8IAKZmehy}n>buugpVMYN&$5aPit&C9m%8r&D_W}4mtTCo zeVu4s<12!rHz65`G_PuhVz@QLP1Bt%E0iCZO;~z^`X`RUP4!K8MN5q{(`_ z-=M4Zh`ZNK@`hufBGhp=?y%JYb(I++&gn}e+hpFG>@ zFDq*OqOA4la3*l0D74?$*xEs*av=J%#q%AJj2SiG+y@VVEo$qRbpvHL#qOO&!RWJ= zI;_E=njdGu*b+I-ARnvE_wER@FNd70m${K;7Ua%uHg%i~_f<20ivno6{YdIZf^}Dy z2&nVE)S-V8*#{@*;UjK1X;hn4pEZ-$;u=6Ruq=Gm_>& z^==1Vq60|)8y8_GCn*oa(!!;++9W=pl`WrybuG6t`O}0XDWy8WK8aix;-rtqIzK_W zXaKYZw|k@`nnV4+#ua}Z9YT);t!TlWGQG2|x}4>>8bLjra)V4pctQ7Sdj1fLOyNdZ zg2_AAQ2kKMGB+zHK{d9(d%hIiaq}5IsP>4SN7S-WwgJf;_xPx9eC*!`Z&*{gX#}sIFfS@Emzj2(s?r0|Qds(@Uu~$hfV*y5D*hix z!EqpR9T(|JGB%i$#pVY5oipJDRn$qp1tsSkm2=X?%qY9F*qi{DGtcaQv=md#q;UBJ zOv5W)+~o%+6h&S~-IIFcaUoMaeB71&b$8f)ly_C>hH~?qQx~s#@i!)2qKWGTf(1j{H|Yu=aQu{OdB%q*}>+G z|EyOp!qu=eZo@=TOhT-F!G;F>ztvS)~Cl`ISzZ+w?Gpw`>Q>NJ-;{DUM44rwhzg92QK_9#2 z<`I7>rrHEcTHnZ;4#doNVY55s%&^bu`fEZKbo=!RBIZDX^9T z2|oK(0E!1ED10KzcD=rA9d}^zmj90!sxQ5^|IJZ?&9?oC-$tF`an@(*i^z*EUbCF<9 z-K|Cd;KNhL?E2g&Lt*=Ji}bmP!R3D{?Mif2`i~kgYzXb@#39)#q&(`z_dIIs1;>5y zQoaWXA<0Le-%x)Vtzb1NKWB3iCjQ+s|6eZ;$VAArY1-I=v(5_T1~Q!@JPd-(sjMx1 zzgJLu!y`Sr7o9)%siUTKwVlOmUI&%wUeKNQW15XY6Ura6uYAP%c$^b4;PKr@)!x<3 zEL>~2sOKsVydTkSe1y*YxuHs>qf&*UAX@z{P}F`wzfp+t7GcU}4515Z5(kkV^vGGz zbQ|%3P(N7x(6w<3ky<7x$R4Ok7);{^g-babBluA0YB~|?rtY>Ckx}mXoVLgZQgY2Py4mTuCmi@Kbv!k@7fHU|G1_oyxmsIB23$9MsHrwuAcTB0eGbtvX87!%zH+N z)~5;L2KHol13>&2BO+6d(3QT=sX%?T0UtA5TuJWMBHL-rUac0Ow~71jsms%EmgO(4 zxfWHt(f;8tL;)_KnIGnMLqFK&Z==(~j;6j{-qw5lSD*{#Z*@C_u(qyZM~rtWmeOZB zJtKhd+uo&5Q9mw&z&(ZPKws7jz;IEKol4S|CPb!le4O+ohHYe9pXGB(w8g!5HODW@~om3#ZKz z;(FcrO&FzP^?Q{kW{Xj*otoRH^2{1=BwWw_7Lc2qX6p6XAz$R#Vrbj0gZAVvA}fO! zbx|ZJ{p<;9ueE5?we=xE1Twnp`(DX zlQ(d|+?%(giuK)CQ<8ocn|r$3xYvHVBTr>`i7lEykol%?`(?2jR;XmwettaPf152L z|0}DD-RrcZdMx>7rhto`78lf99O=8}p%r7P`7`V;?ZU4LjNelOHdQ4m#?0p>YEQAe zzs8I3LOvHo>tKOQ)+p?v!<0*^Dt8zn{kYVf4X6f3IejwSIXP|L`BH*~wL@|ESFP zva0>3<)~@D4g()b+6NGo^PcC3OHc4m@wjO+SI6R@?u=AQ#qm4$frQ{*{r$An=Zc>R zHLpJR{F|Zd7m;j&BiQ}QpH?PDp6BKmuO#l2&7Ki&U1nO_(q6O~80LNdPW#9$yxF%? z^7y!j8-E}XHeMjk@|a{l2JP+)O5f;fqaMkgEAH%wau?!cOZcO{b$_Me9oDUi>$=Py z-l%*4je!)YdMq=IRK|lxk@a{hppI#-cDcG?V$zUcZPlNr%apmn1LO+3<#BsNXxArz z+}+Qo@JJ1IZzUvK){X5iHjTup3Vjh)@lnu{D#qO55Q1R&mLXO^=U5**YQ0?KYIV!B zl=j6h(c7?li4r!qeowI#`a0BcY2>?CdYW9xi}xcTZaP?#Fv`zsCz%o1ZU;o7Q7)Dn zaYoHh#=qF*qbu~#3``q8RTH}Y^A;08($yPA*zp0J$Rc&L(0Pg7xAqpl-`IN4U+Rwy zV?%@k6Quj8ySN@=f`+t8s z(ENhCgYJ}eE@`d`y|TZ$fQ`z_hYV$|dc&y-Hr>q)g2gm}^^KZ$oUdfxcrk^8uOml8 zvnvb3M2+r!udY4vEI*0eSL8cVcJtmmL{G=<3XD0EWgDC`b&Y=u>XzJ(4w`FqD-ca2wNw%G5 zodsAFvnl8`x#oXBcGw9OBHj);wP*0pSojY6ZG46cbj`UA?r;&Cre-Bl%+T!<%BDqP zcOfX4a7K$CV+KTWF9OcV{_qiYoU+X~R8KPlw%13)2xjeiG#Zoc^b*po>AD)>Jd@L3 z@^@7l%VBH3o-{V&ZF^0?Bqb}aNH9tm#zZ;Tv$vTELpGM1k3!aMUZnUBONjy~ikgHe zB)33;8n^)=sjS1bbu;qKMEXfk^O%tzgmvo6o1ybYMl@7D7?r#bRyLq%!#m_n0^78c z+G{rU>|GEIqPB0WMm|Xg&!XSOQqLY$^8{VxRpZ~V7j^L5o+0ABMuuO^Ww@plLpi~$ z(R?sz+@rDg57ZV9GP|TuSTg$!q27k1dqFyNvz$H5ZHI0DYOwK(0PEK7!qco2>8Pol z>0E&+H(v*y`Q{O=*@%CZxW8!t`QeI_g=73@W(!?eyT`R1$U&Mv z?Vz5Ug+XIcnFgGlCD0oy5$~70BXEG4$yvQ6 zN?XETF4&&<6gL-s^RonD!^mvo`x1UfWb@kA_U>}%gtdDK*pxZ?eXL*26DIAr|FGqA zcgHgG!vwioN$E@2w7SIq_~osi1i@gA+WebO{~3C>G&b)Srs^yH%^{Jockh$K^um*P2>{E`!s@Y9t7{eYLV$;WIre=?3lF7{p z(OJ&AivYOzR%pZ5M`BK4E8|8WGJisMzAFh`6q^xFO6jXbsio!xLuknUu(mfWc(rME z<6jG>HXF|E3KcFk=LClhh8y>PyO{F z_fH28S%RNDxE1uMf@EJUEznr6nNvs$?n!La!ZJ|7$x^Y%Nt#0s-i479rgx`dn`aGfNa zH3wYOL7lAA3|c*o)$qb_%sng6#O4Xpoho(_<>VydOcY*l;`zqCx@6+<>0*8*&EKY- zBJ2eXHCnB=_d(V#JU>($(9o0w&dzWnZc)idOc-5Z0NPXY4tn?93i}0}m*tSsws(mU z+4nS*qGzvQwgNMdAd)h?uQj>FVDE>!%Q3%`P}w`eHG3BA7;oNf7(ZgEAY(#aM0YwZ z0<7tb#yt;%EiVvS==(4rX-@X`?8Q*Mu3CX-17ac*KCNa96%Q%D?Hp>U)YDM(I0rhd-vZcGUtK{9k2x@N8HvueW4+X4{XAbh zi2pbK&dSNiytcZlVU9GrnN?o-%bJ?l$x2y_p`4w2$IizLU6x?|8^2W@H7Z2G>@_)# zEO?#Ubsn8B`mhqWIq}`uDdUTNz4v-wZ8>Sjv5!egPV>!DS8|D0#nYnPOd|WC5St@@ zktQX$1(qAs@GDfdLF1oe0Kmzc>xiM)aW=Qph~b+P+n8GvKg(rZoeXHrIds z=32kw=9(i?f53JDy0#A94m*1dtWPT=$Qz^8?(2%5Ruvy}9=%0K4MI;tHM9Mq(9sdy z)pd_}|0CxCOYoj5&tS=C%+l{9gQcdZIqyQ444)4~WRlkP1t1rMbWZt6x>A;CHRBnP z2#O2*|7(76?(O3u2^Qku&#?S)DtGWk!?-|LL8VOe?oA=s!$w)+m>kRMZo>|*<_VFm z>9$>CCb8oTe4g(%S$~!egLYgHA7}(h_G^2{!XnJ7qLf<0b!BrSlPey)*H@Dz{Ho&B zqTuB!-XH(H;@$l}B@?{m`+3jsp56b%Gtuid^H%@k{I&=O^l$K`d;YQF4L@=4|6eNh z^N`3zoGFgtFDcw2)Sb%>QR+r2O8sEv#?DCcVf=1RF0ngOY4*kMObjCOFJXDw%0IE8 z_#b5=rd6G^tY}+1fA*QPk~8G<6uo76G1g)bPaI$~Wj_-2ideG%X+uk?e_b|v&f`s& zFr3IPO-=msJGSkLk!VlKeyr45D33_*kM%4K8y>47!sS0l*x#I^f9h6v#8wUKp+6F{ z*YB=lpM9+B6*ZhAl{Y1mjqi<(E(Uo0HLg3Vc>3z_YX{VEd~MQ7cQF|cc4J2rr&=x5 z-Mq{07ZM%IaG2()HntwEZf395UBqG`CYx>UhzPm`EC}7@JINU2faR#RV4XJ26_m+s zxIf71J>x7kFAL0myR@xmH)ixIq|n&8sRN?1%-*d;RnOW!=IlI#TwY4evxR%IuYfhY zLC4ZP`%WzZ&^=s0HX7pjaaS%uMt1H(?S>tihgR_pOH1x)Prc%DHGa??I_l}SLlVIo zpTN+D=zry8F4l=>Q43;{mWSh{B=DaMnrc|)bL3oJgWxo)3)0k8F=nnV!z?tD6Ai`F z<{syTQ~fA;U=9%5Q8cSHS778V*De}=VLuJ3IVZYYO6`V`5ly#p|rIRBse%9*1R57t!^ zi?-SY_HU8fH&gwaMjdYvtv@9^+Yoc50Hrs82 zu-mw29^CauFrL1*Sd&P4$5NFZ1B3!1nj9x23xT6tyb*b2vIBpI;GJ;XcCGjGrFc=VhFb6yU|;G%=7XrLlL?B~TO0qN^CoAG-dL&3eLB zvzrKo=ZB*o*f|%7ftj^Q;ZP|D=L(9KDz+xUhFPN=Gfyl9NusgMxy4tmK%OgcgX~O~ zZXnLOsa)IX#wSfsW}mO(hCPvP%{;_UZu@F)6|w-((|q=DarcSUQt(_4;h^JF_p~)U7V%M#@?7W_3WMG-bdj~ z80dx4!0{QA@tdGieCT91SgN1QYSF*Q0Wda!%p<~^xlpt|c(YxE}X zuzH?*`O-xKPLj-df7o=rz{d^i4}P|$X2XPerQ>QtEn9T67?p6C-8IU-G0CRRZbuw^ zKb1plvuwOsLCtu*R9}q~{SQ(dG@Qm%*`yn@)e8$0rS9WdOm2a%S!G56JW?#g8u|ou zZ<9zH_MogUt=+>nhXZ4>`SA+ntZujiTq$*=8I#F_whR{7SbrpUA491#CO6;lo2!y+ zwR|gHVY7y7Vj+BY_`VksyZn%89R^K-+CQV0vQV3nE<*Z+St2!!s1=4GCZ~`S!FWw( zNo7gv8{ogIqjKo&U+F0nII59YwQTSIod8WO5uhWM@8E61JYo~(5m2;6XGkswqceCP z^N8%|{|xa|*7zTZpkV${{GUP(zFe^B<5SA%)n^mGFg;Igi5cIvTKN8wWuzLqit1N> zqu91~R$|Zn`hJ*3);~yS&HcS1cfH>5`Cs|#eQ&q*dj3`we~Xqa%+v<;PJn_g+Ecg{~w##VtFvr2IRl(0DS$TUf>2Q%BkbNo>xpgx~*i zvZu6dK*pvo1ybEAT_ieqYPNha5W|*rLxb(0WeNty_7ZPlFkLkoh_M9mo>nK)74qA?gp-WT&o`L|iAB?=`9cmVdowq` zIe^%6R82+}Y4N7M8rnGlKfL#>-ReJm^QU2NhAM(20T2%y_l-~Gc&PROrLgV;B?|T5 z^iVUH3aY4lZj-f8^Uos$L`-@}Pzxng>fdC(R{WcdRL-dmCN)IYPCX=WH$a5*l=>$XxOmo3+5c(T>D8?6yc3KGZ`E=lCg$ zP_8Kuz0&1@Fuah2zMjHkite(zxc@xoGxH8?rK)^!P|xBv5z;VnpPW15>Kg}_WS}Og z7#(?%_x5vC5eU-y#gQoFkMo!+?`R6e-I~DYr_se52q}xCyVGNzGmwuL3Xf?oY)`65 zWI6d2bLP^=UzqP$JYg}c46jUbgA#5wDd3I~>((P4C=bSH$7pnSHUAw_!!t@pl(y0&=|TO|=)=6tttO~)se30YRMxM1wVAVyFcyLAMS0>4XA zsPpIKZ-ueHQy; zWxXjhZ@+*HY&P$>d+cW?^5;=mnDukccjdM!{kE__7K8OX=tUF#sFC!<5ER;naWot> zI5YBy-n~}0xkuUjCt0G(OgU=7uS6rjVH^$xY#G|nfc^ZWbrK38LYPfBYq=Cw6*Pdw zaPK%Wj^|IzzuOBjRl!mOv35g=v!cJ&?jf+3o^G^|U8tJ)YM!!d@@9!dIB8U(tU!F5 z@0qWTS2=3vAU;U@mVv9kY>7&;1wT#we+`c#R!774&G1^4 z5Wi(dF6dUJ%&H-Pv&1{)tIwK$1HZ}T^}>X2hpY*=u-dCmM#+p#-j?P1SN4?qU!-Wx zVBPgR%Pf&`pIe^x&Gi{6ip=lUy>EWV$05A7^;g~H>&k3p|A|TRdntZw5scM(OW$ST zj4D37X>fLP^G(+8i^Uo~Qlq)W9!~s^s~_HJv<+0FOe=se!U7uyz^deoiq{QdZscZC z``oqmh*`rkNX2&j*U#G%Ce=}S#o%U?Zd9rM8g#-n?bKa->+G#k)&LzqatEmXs7d*J zXvGe*`w@K?qrE}Ae@OPLosaL)at_MAYsmhHhOva*l!M0%^VE{H^*>)A%juRmTk?>K z$0o4{ldCtdMRl*j{7_e8wO;eD&>q3Z(k?0>-akqnQ#5_noLr^qV0B2F;QYf&gBYYp zu~Nj?FN_!h6$3RYJ)-=A)roGLIwysSa~XH)#zsZhKzU}7{^l=Ny$Tz(S0|g1pWV!Ox*?;5dE z9PNnr=|DwnHf|#a2F`o#VLJ%H;O%z`&Zp-| z)3+>~JQqEKqwifeqBuzfXQjOTj>S85mou0|jS8#<5DL?Ke1tD@Mvu}`Pwll%@| zZ09+*jhZ)3_CuWu$t`DPGa#p-3_{)HaP6KGQd516(A>4PBT{I^(#|pNLb|f^ojb(u z%5g36Lk7p=t(#r`sPK{Q#x`U@FZ9VM5q#^slU0p!lau=9$uiRuE+?BiGQTAD=x*oP z#Qn-E(gj?>SdNMY8M?9Ur*sZt*M${-v+1y#wc7Jvtge}s%#*y(jdQub&f`hoom)vHx^KwURKm&TfUOFO=dQ zJ;gb&U-PTQSLsx5piVYw-_Pc3ymFe|hn>IuJVg@DccfTo`Ab_%6$BS$iG&fZ0m&4H zM}NF@dgddF1VO6{6$7>Tvpd!k;x+D$d7bm2B&)WG_Mk#a#`9*8&Vw6aamtW+h2`Z) zG-u58BGMdF1fBt}lbUzd&w2Q${SSnv{V^d2#?u`AFxh#YrYjq(UEW>Vfr*oq%r`nE z{M$jf^71ooYVB#AYzdA)ItYB1sn&kSd7<7tN{HKR86vlS*dK`kY@aM4O*}F8jtg!9 zygHqf?6|ETHT10c1VGIVjVc|YW}Lx>v=KyX_9MlDv`vf)3yhy4q7&kI5&E|20YU^O zU3HU-z&1(3-d-R?IY|8S0u3K`mM^z`eZsmgjYgWxtoAIMH(`u%`>6f6!t90+N`zpY zMeSawuO0%xt8Y(W%$z5vlnY(ReNQQIX1ItsEH8}wVf5C{=-@Bit>&1k1g?*z#WRKJ{!ABX4+s2_yTu0(o05?DQ+B zh?pT&bRge!`=$9UNTX4Xu0;-RwY~U0OnqdF@8!@fwqULO#uZQ2&c}eTT(L>n`b4udYvBK3>`kEBy3f4-*cLms)9g;bPTDkXY{#@oo5eVB zr;S&zu>m0q0h%Nxc8m=j+o0H3Qeq46!ZM8kWkG1_Y6%FW1_O%GaywHmwE;0T2*n1W zPQwx;kQ$J5gQR=!`@OX3WX`<*_xy8Ca+(v{1tIAR$}%pVP#My11}xz+pHaw&7)1D zBoII4PM7U>*O+;U+ z)E^h%mZ+Dxdyr1W6l*y0a|J|ENOMFFD$e}-OVW0Nog7ul=V3tXa;LO`bh_mas~VQ2 zHW(TVl7arXd_cA?{X+QeBl1n^*NFNbp1@{vR7;rcrgzh6ZW8RB2543M^fn*0)1c3w z_Rc(dt>vWt?Nt72L47=SDLK}U8!Va#lss5|TPNdlm^NhrM zmOeU7JPrKSOEi~%vo(!L4+t=a)F)9nkC_@z%xX6h%pH815vVB*5ic-;G4r;o^WEq3 zxmcX6TyvYKsU&;cYU)kb(HZ0U(ZFiU8Eqs7OX(Ex$2(HOpJ3Es(hBI4!PtG#89=y* zym6FE1Xj%IyZc(k93%Ap4m#s>zL#8ikJ>+Z?Y-$p)1!mVLR-8~SBx{1&OuQ8(FjQ5 zYAaq!=62VKzTY0y#J3Yy8V}k|YU374pdw*K5_87tY*;3GjTwddon1OpWc0#ZfYouE z6C4X;HCA^2hc!^xF6XT)P{rz)8S9w?&5vQ*I=Hg*Ahkc$KrF!>EmqVTx<|Y>8R231 z!86ZJd}XWQj7SWGyfxJRrd4wHh^sn-XQHzrj$JuaxO3)cQNSLZ<(@3}!cLQg#53D;RJ7 zv&bzevG(OZL2*s`eoDuS0)ZtRotRC``*KT7_Sx}$&ju~I9OH$(I1ead${lA_KF!dc?X zXKgK;LK~t}P1WZHT^J0%<1$_hOi;X61oWOj*uTOM(zjEByr3pzVqRrr2cV64{y%l&w-;Ktk=`w0?L7p`rwb{xCePf~J4g&YN!+ zJ6#i4OSh&o1(GS7E|kacHIaSRnbF!j9v^I1RsQILHlek6fXY4D##PVj(+Uu%taV!T zL56?OwO8a8^(Q#Hi1!ER++wCc6W>}M?!mCYnEE-Zji; z_F~43EpGt&@$SBHqy!*}{j=hmM+1V<8k1)ZxZEzoF0uPm5ygi$4O<4|0e&X(iI3-6X^MgcPgpFp`<#1=?&q zGf{JnXl4EpdHfVtEp}d!_U*hP{r`5!`oW!LD>x~4qMziXzV&TFCkic(xM%QNcYpVa zwdv3Au?e03ugCr0pjLLycb#b83FmbRaXO1P(5hyFnO0U43STbiGe`GG^Fovwc0_h~>A)31ti?mYZU&2rw_W3z#r zOt(5V+<5szZd3@a3&fH6nXVergux}i@9b8kSd}P+;G*8OU!4&4+O=^;D(CaZy1Dk# zZ+>KO!&v?Ryc{dMZilQO4Ef=_I);m$_reGx`0J|cTPOUHP=`8}5?3<&pBR6?B_C#? zDfRmc{-mpT7 z1lN^#L6u1H9wB6c;BlYoC#Eai)!Cvs=FN(yhAok=CwxQNXqUhuYh%R^{ma{Z^SJI- zzf9{1_WquUd(9Yv#BQl1oR)Ds-E$|ERe&TBr0ZPPo173@4w23saoZfZNWw5 z9kcp{p*iy{%=gvt>sT#HBvaozK5*O7RkOQcpg(>X2*R9RN6SRz8jIVgjTIA2{*f1L{4A>4k45liA#PuHN1M8ar2_W)IdT2T&N^i zW?Vu$eq_YXJ=&aj6K>JB1%TXoXD_e+iz;p!icS7P(__;;@LSD`gE-_#a2C{YglDAf zgDcuNdB|PE?5Foz@c%9$6?K;#Glb=X`eg5DB;5tbc&n*RA>XfDrE}>$o46L@to@$+ zW+`aQ2#0Cn(n=K=Y^grqqR_PFd$;J6A0E)gx4XML*SX3o*Z8bvYj4gh!~2KTVIZ;_ zSJr{Vx#9lh)2(CGpBOc9gees#xm*+HGjtnj>ts(UQa!|mQHE}R=QTk)E?LgvuWhfS z`I=Ut@Qq|J3INSh4P(XQofnbwB~)=I9N;RH_jXoe%74zov=Oqo;5FCuOvP7&WFgIO zFC%U_ecA-mVPf{Jzdr2Py(fR_o)|z%I}h8822>Fc%eV*Vyv%~3A&*%TKgw-x`q6B| z!=jSOjkBKin#*tYz0$BJlHpnazgkB{_znVh8QqbNpEUPJfE21dj(6PtTT>DVSLrGy zJH~JAI(Y3!1CyG8n0Emlk*?Y@Y`F40&t3acM8j3XnldBOV@#I4tza_nS$)?QSJzNF z*)!lfP&{Tfdm@1qd4Y0@XQTJzkh&bsCR6R@OElj>Q!EuW3?z9t*ced&S;@@FzS<mhVBl##;RYQ&~-4mHufE$v$S&gV=io2tKyv#&2mLLvxn4W$G9(1e3~DiL0-30 z!`&CX;SJX=5ku*?)Te;&iSbkgyb|1r8%5gIV3oG0tm>(b$liIt4Q_d?kWAp+v|wvI z30WJ;?H3zwU~TUlqc;RcUEMQ1Ew^i`aw*isbM?<}2~3RlD}4iXm%Rtn@nWwJPuM%n z#%kRFwZCk5e3duSwhQSgya!F};ECqXx(qvpshrM>;VmY);>)?;T$eq0PZYNHPPymL ze5~}ZnC*!$`~AVl1@93}yvqp4Ie??g-Magy+!jq-M2N?Bxv%aAc3*!26h^2#Roenr z^+&reP{i0#;q!DFS=g7T^bSxtC)@f!$By$m7Czp1v)YrvW#Kg6cp+#jyH+N+zO~Ee z!tQTD-39Bq!GW&AnhUe79mM&&mbBTi#@nZy1aqiO_IJxm7kBb+K#JsmGnQ-vniF%w~RhQ_H10=T_aKg^X`pwzcs*2 zFu4t5k$q!~qWdB>N@WrPtv)*Uh;SFpj7TK8eFxPJ%xRB##ECb z&CW&nwW*x^ot=QQ)~%eB}ZFpLm}S)08u3Nq&K$cD`zLu%WZM)Jq=#ra0G_ zno_krzk#K8UppKGwD3IOem+gS(T-)}F@?;o6I~g4*-C;u2+Jjw^G5XsbP+K_Z}3^`ww0XzrPCUx{RvIV3|F z-Weu$c!XRL+K3a>^)@vfw)C|F)jA5gwwnS~gE&i=JAHuh#EZkN7UhSx1r<+*T|?=3 zLeTr48>G@0T#_JQ`F6MO463D9Q7!EO@d%9&6i6Jl6T&Qe^1_m+`>7@Ot5|33LosU+ zzgrufzGKlDTk7UCZF_Pmfm8orl=8mILmagt{@+d{{=)dctB25wRy?x&q31VoAC<0+ zf96{}wjXaQ;UKp2JaEWnpz$F(fxWz*I_ck*R6cnksreyyolKH1;V4#pq`IPC^O3)+ z5;JA13FXt5d5=zazA^mp36!@NDdDP$=bz;UeVSPCT@Asy`uo8%`qYWEALW7wv`g{`KNW`V>pt-$m_?JRtvLq!ifY z9A+DJIzXDlZqA)>6WF zVK#J}&O2GZv~{lXD;_E6yr`2?K!KzM%?CrXZoD|X`A03X z|9a%+*+0?$d3W?z)0^c#a{7jL!||($w;S7jT>^IsPtLqKLr$vF-$*SPp2hJc_?qV5 z@f>+_4Z*c4Hxx?_56_s1h@*6nb*{U6xb~qJmOgbfx>_K~16L(B1 zXL7!LT$OUQyZd||wvMtYp9~sQiD;e29k+)mernOsY`(nq+!RgG`-g&!CqZX!+XY%4 zq)i4SHu%93E!llxGyr~1YQ0Ju7j-i_?{wB0n7q7l?i ztn2M792wfx+H=~UV4tOV&t|An4(fNwO}&Kl%Zk;r&2!`m3)yv1$ZMbqXPO>Ak#DWL zfTB8gxNKZwy#o2I14@H~Y2^I@b<)S;zzQjxnRDY&rH!4{%pUC$C$6c_tG~w;7Ra~j z+AMv?eN65G=7@{-mw8LhTNoPvoM zt;0WL7-0A$#2yO_F6YBE|5OTvD?wu&=$pa9jcg zM3k!w_S3P^8W$YLs(9-dDxe(ing!xCou}?=vqkpW*oU)~wXV_zx2eYx;Sb%d*wGH? zZ~PuAA6?NjUks0E?=Pzf(HK!E}4|`K(eYs@$G?#F@9w zyh_c`7vHXqmU?@e-YF4Tl=6qAEY*Flf_<}srAYB@14AjSg-pS`MDOP}zB=WS?I>mx zeTj@aGRFne=OOv zo;5zu2M&ANRwz?Fb$3s6q17^atH{OIdr($b-akn5l~NPju%!$3bJK$vVABQT3_%Tm z)m?P1Han6blr+5)?m0s5pMc-V)HbtW#_{yj7`6XxD9^&&Y!3Jg`|p_#z9t&3KHt(> zeDO@M>n>r)Y+|r`dn}Uf=&C*^S}1|YkgxneVRa3(Nl6r}MbsWZB@?_Q0~C+na9it6 z)2tL9tp0fyMXnGD%WEX<15^EJ+y(?Vtve-5LE|4=3^R4VIoOcFu){RtXtk2wG=g$$ zteRCq=>y_t=mKHi3Tmn*opE33Uw%h=)UOCzYF-|3HZ-+~gYqAxi4Ot}FM$jt%yyGH z+SBYx<%i6q%Le@|5rPyb_ zHEpsmxz5SjDqmUKPSI@bIbn!o__;*)6;as4zE7@_iFB9>tPnW_#MxU@wp2SS`sk27 zl_j)7_B1knoh+06s-2){zUZK(kFrX2*M2iw-Q;kyu3>?>&q$ma(f|Bp4Oki-ogD$S zYN_XxB4@^RUTZKj{BI)s37ajk*FH7y?!!{qlYzo(?>T5&_eH%Jg)QG!d%^(2%U%0b ztC{8x^=MZ1ymaQ2RcQ>h*FTGQb$^1KZP@Owd{#MDIW-nQ8@@x)B_Smf{hrn!%{w5! zCA6+vp!S;27;SyOCn5^SfjtCOUl^FM_7YYGm#PW%S}FZfUp%8g73-O&`3={Osy)xM zA8X}R#xtOh*EOS?`;vbJX@n&0Ud4`04(TI3EnCd%ocTfH#R<&d_ct28Pc5X_H5>&a z*okbFCzxm=|3Z9WS=WmHI-Dc>r3bV`*WJ#Ga>JbE%orac1^00M_i^iiVlbj?z$4SM z*?iJYHgO8?k{iOiwQ=Op%kcwb zONLh!1Dg}NeT}#1Vh9sPEI%_cvz-_3v9*)so;JRWn@Z)3Jrv2%TJ_E*<4DsxS2q^9 zjuudSL%G8i`;WA3C4;~4iOcvF{=4^orEQlz%1KVU?|aDIF8f*Z58|U@{~P{Wit&^X zzkAf~IE5oP|*4KqL!bj$y;W)ao?;PIsI?P+~T zrau%~{qN4CYjTL;YOw&k>!(wWmY252KRLDUmrpi@S z>)8TDL7rO_%G|g7nqpCV2&J7Q7J(^X!I?lOs3hde6bE;JjS%=48 zG)TXvZ@hc`(jz;P=YPKCeWxL3Nf-~|q^8jdFB9@V;ro=-JXVS&)immm^Kg4$bx-aO zu|rC|seep!F3Yiv(rfSc4r&u!MMsvhOdGwey?nlJrR!gU+U05$*4n!FX;H&Hz+|nO z_jh)oSrtxV@}3x}N^^apN$3&cnZJrED0B&GtFIOh_9c)eI`4F&iqRM^gwg79XtjyH z7u}UsRiZlwY)r$byfmH3*J+oU248>8qh#oD$Z7eTRnK$psuLDh(dE(<@#$qZ1KNao z^N(juWu0{prm}6)U;zG&&DYLCk=-IxKS0_ihr3Qs{+OIl#V+WeEa8C=lM_7QZ&szG z_Ew*lYE?^gO$;6n&k4r=B$8KGP5EGF>wBnigIm?oJCrWgW^?f1jL!iSxJVFOLGl{= z6MBkKJLtDxO-Un{yTD7ywyh+~`xD%U<#b;C62m%y@dEitFrjsh;x*BGW<4GCerpw6~|*?cQrg^^`s>k2?pV=D(Cmsy*Hf*n2^v{cG8ibFlbK z&@cHv9~rIH_fomXyGO@D9f6g3u3@X}`N*DpdFREhR+AYXTsyAak(;LujBPQ5UM2cO zCGe;ZjJ-;z<6t+245s?7NzcvUkY&!|FCMx1{^^@iqT!a@17K?$7sTE(8_0jTdaWx} zSX=2jO7owU(*H)P*-~8{*DDHBg6Yl1YDYsv(6FgIvd@`McU|=AW~)#5C#rTdSY4VJ zvB=8sXX?%eOUtft#;9jpjHKeLFh0t1I)m=7z92=BJZ+$0-)^|i#B)`W;mQ81#jHiP za=V)8@UI-^&CZR@J8Lp|Mm&g{QI{3C4_SooLK|#|h zIFN?-ILg~=ZnpKWSI9n`0?BVV${{aszlmg*IXS?j@9IGGc3Wuns6Gv)? z+C!Ge?#xnowM_2YfXF#e5=AM5xI-nJ2TR~end-7~6gdG$)?-MWLlzTxfd z1aj!IWVx;B;Q{b7DQ|pjnU>wlT z_^jI?6MstHV^h{Qe|(7gT9!QL%$X?y9C{#bmq=Q0@5Pz6zluPr@(}2Ao^;qJ*6U`A zhU^mJy$;#xL6O)Fbl9r49_A`+ zQCMM2Q*rFDEdJ2r*ufR%(A-l+ni!G1zR-@uQW`gZL0SKGBzr(%i?^Fv+v=2mkv#$2 zk1EE-V}h4^=k)>-+hLX-nhmJh_^xRpG7xi&uWU&1R394_l^ZKVVIX+@t_IiPpAn;b zvcw}O2i@(43vVkrDDQ07xn8G5wb2Q*CmT#sVBN4_^nDk9;?@L`Q&It<^!nbKiWG(_ z>}&0=t`LeB{4qKkm341oa^7w&X45fM$t^-QsQ%j+N+tsS8t8ujd9%D(lx~ zkngae-7#k0d|A7Emg!SIW*M!LqePB2Ws6N_1*p?wLhf+r_?6DwA_ZVsIvvZ3a_wfk zw}Y|ycyS_?$@vqDNs_vXQ{vJqe~;oVJ&P#bAv|U?7hxLgXo$09>5@3a@PPhY41&N0 z_VmsL1VPs3a+Y$oua9}5l(Sz&#q5tsSAwgL=c|wnN`H%b28rm~p!7EgN~gS#`!r*B zE$+RfoxhWuc7F5wq7Zip{littCq8URQXcrvtb=FC!9@{k94)AC(eXH;JC z*d~sq!p(UvF`DIT9JdQ`Rg&J+P9qo5T{TD{ zd^>jI@G)T-dJXs2DLFgttg30n)2kf zV7!QA)k-&xiOCVp_KFtz1`krk5~wC!)Y?cju)%f>BwLNf%qxCYhs_EurGJ| zh^&#Zvix^{+#Tn0KSSyYu5a^2k#z>lOG(@(V$eHh-R>Ma#q&!4Xj^XLTG! z{&7*T?q_JmkDe33XPF5usGk~ho%0Y%MA{t1$A@MeJ=)li5u3+1`WmF5++_yXFBu37 z#LYuYuRNGhIQ|Fpy`tt}JYjE~lm7Vd6++TzYbgzFG7bG~=qO+uObD?2Ve8g~mm)h{ z3!^XleBG{tHy){P)|;CIuFzb)BGt*F!o6t9WY2d;()7KSn;EtUyPFJ=^i>iR)8S7H8S)cS86I8bV1^I;HT7dHBx&3vLPE;O28N}LP$RWh(FXZ zdj1$`AFFzO$^bCpMB^Wvrx;tzaR-(T&GJ9*u~uCQSzJ|qQUDN=M{l-Da)#}hvr?81 zWCw3$Jy0x;iMooe#cVSc%n$}2O&4%|8cAtuFSG9wz7q#xw2J!cJgk_NA&{r-2(}J0 zDDa%=Ti|Cquit`rch#DP&}?7q9ODdr{1gxoz@~HOTRa?l? zDdhbkrccF)}N_Y~IKS4yuxZ9%qvOE78AsaHtul3)o#Q-%ssn7mjv;F%Xiiz@Y9?Iry|8MffQk>Vn!>{Ik z_gZwy^aT#?Z==)bS}$3;H15|}7GH9I^n;l(PpcNi**S<#V-N9z`!bd-<@`E_bD4|$ z-epcx=rX$1rHPvzEc^(Y<5u>9`6rgSv03UFd^&K@RQX#$pc;yS~;Rge*%+=Ikwa|efGg{R?=GwT&>!~!$u6&0_)c_ zF*~LuBkCBJbTW{jFUfFK=7%+$9yGOQ&R&^3NqrL8LtHUdVj*vLZ)7V5#?c|)K`gGH z9Eqd}E!w56p>Y@hd|5M`^MgH^$IWyuRL`*5Xit3H{pK8q&%6kUAqwodg=>UCQ* zQRui3(hY&4_Q=ju{2?wr8G{AGoWkxZHIq81T^3N#`?P(Lt%}BL4OUZr8mJz=a%2_}0tV`au6)-tc9U}sAI>j8Xq0>U`j;|te><thP&t*KZjsGq?wp^;_`09~gHkY>{0fu9IM}g*}mb z_x9J%8rJF9=Pzgx5yvD~90b<`O*)Dz2*l|;woqnWzed5XysV?h*OJR#G#6E6c7>&lN^iQxQn29aEq}(PTCPtqr=_AdvaFh0&c(_t`DMOCTF~}3dG_K z>KHpWOuYA5@K*s^^`+NbTan9sj@b>^U4bTsUSDq5hgY6pOmj4MP=}N+)(_|LaL?&5 zYzM<5XY4%q6;$?!n)zkO>5r0P*c^OpZ1>1`_a5O`qeATk9W|SG^!DbT2}bWq1=W>s z+97TMaZg4E`ClKfNJN~@JOS~3TJ>2{A={ddk-IkfHBr7m*>IgK{veVz-E-P<-FdW5 z>6(!x1&lQnT4zl5=O+nzE5Rg>Agk3OL)2;QedeT8tHb#95*X zq*x|bnyZpePmEO=tS)s_MgT$iclv`z22D)5mvmOmiLmuvl|(jtyO-i3rDZ1(`h0404lOG!^bDBfA#_rR2^u0lwDqFvVG z-pynTGmqbDs=X%)vc3!KgHZUTTyY>g;#$&gWd!!??wU zqV=P}C_DSS^FQV}kz@X=^@=U_6h8aGxM|w#FPZHg?h2!XcBzvT{mqXMjt`aImsHD~ z_Gg$(!uxYzD7DeEw?X``OzsMqXWns$QCo8L`d*wbu5^X;BIB1idb@lcgiYWNe}GIZavLV7(3dVWwsN%$=rjL_?1DvujIiDv5|AfE; zR8Y}l>L{)>6EeX|lYVt7>5rD80~FPOU2gATNTNjSD&pC@=G09hm?Sh&Iulkon~7(< zWZPB>$9yc4iq*S-+Nzo#t2`~@YdHEMK6^HA%A$8rpx0Q9MEUPl1>PU8zlAnSY{1pv zkM>-g({d&(jW_u9WecIa(F^$)?9VAnKZs;{XBuyukg|IkmGX$-+F{xjNXQ|1k93Tt zbYHau<0tgPNwwiXENw&C9NM^qIDn*na{D?2#`A@e&a09EO^oBYj*rEi=MUn5F`)~b z!Lp0V?#e79Fh=x_QMv0J=w>M>-GsAPrG5P7ryFkm8__A7@l4R|)zE&7A<~ zI@0toSYOj!J=iD7K^-!B7XbRS1(q_A<`gb8T`C_5#JF(cvY27izEE^zj;l27@TOzW zM3~C#2FU0{+0YbrYO2yiKy_eWTm+V=4({5h45=80UI$q;4%w$K9~92XGmBb|F2|FK zjf=Z_K6>x^0eZW0A~HX9l-UMcMw|6>$4DSn@97=?Lx})np`zI&ITlXJ%bCmt^D}Td z;$hb?;xhO8noiByYTXhCdIB@BE_rJ&GILcovsg$+xOhGOsK>0oX`iwfI%htwE+seV z#&3;^hpDWM0%H}h6(ILnuP7S|hTEJix1BG8$O)RLnaLX0L{FKX52ezV#!A6$TS_e_C)X_4#9jELecq4#zh1 z9GHx;imfiGskVYA0-{jzXj2WIl~^?qomAGgu&a+!Y!>udwic6R+QT5p*HmOsSMgo3 z2Wnr8l%~gwp9IT;u^t9bSf0fEI__CGw;9gT< z)Z3H|7nPrhr;pd3112F#+1i6?=On9lApzs-s$@JKXdq;(wFuIl%NB#|#^~@9&YB1o zd5+RMoDD;XS_IN95=W7+>JnAfRJcHV371uu?b_#|*{&*k$UR_v@9;#u*uKfteO`Q! z&UsdF?Faz6=dpQ@XY(LZv-~t5c1IL%gJp^eJ|dXW#%A+^z%R|n8|!fy&iW>%e&mIvfSEeN)En zs;odNvzKtDJ1n3-E$C;M>``_o)Lm6=^I2|UBGYtby)O{oK!}=N_hc_2@c!v#d!N!Y zm&}RX9@08erz{$CR9=ly#c>?6h=n0eWRc4=LG~Jhq9R}FiAk(3;-H{SH zO>K2iyWDxwe;BMvP-U}v6yD!i&ClU~@BhEm*H0F49^JX@2g_2I?K%@3we;Kg?87YJ z?lJr({&&mlkK2FD;+n(k`OR7S1MDe2{Q6={WJ%J;D7HDzb+WkT?^7|Eis-eR^i8{f zYmUhvI8EL|$j-LJrg1K(h!eMHA7ULS51nTB%G+573N(ZTulIU3pG#eAlPvv3e`|93 z;f&2SQY{a3x>c9n!m)fQl$X9IdnN0J<*p26NAIa05KnWL0QFWdjR=VnywTir2HPXb zh~XNuJxR-@KGDRuZRdaW%9Za=tog{Wv&YqWE+Wc>I;q~? z#5fBz%k=1}@2;h0;XcR&iEeKs<11{~QKzGU8^=;&GlC%GmCc`PV?uf{QGVo!wsqD< z^_9LBC*KzDF29Mhals?wSS$knagahtNy4~ z5J(&Gc$XH{RZQ$Hdt5sZ72{jDFEY%(Dga7C)R=3g`I- zx(DcX0nP)CU23*Fn$IL-i>$KLxBxTSk&Bt zE(I?QwRKu*LV2GL_eoftlTTsc+1=6hWDb@BCqlvI<*tRvKZf-)A8(tgTi)e04#eCM zS%`90Rx%ZgUGQS1N0y9eYjgoq68XbHU*q>E7J+73=fZC9*co;Sw~)>>7^^F80|mW^ zlI+`WBwkDHtP&Dd33Ho_&n(5Dz)WZPCu?hb!GRrPC4HZEu~(-!(vDB^;%XmUQKk`e zyUc%Wura#??1cenjiBq$>W4>a&hdx8@?jTym-%z?CMgYVQGq(T2cts40ou)~zd>O_ zIZt3E^;ACABeJ!r;mV1u(gk|&ZD#u*B4zMPrh2qs5VJ*4^)ye&T8@P@unidh(gQ5)A!$_#5;8KjR-u__8E-ZxE}wXf5~_c_V2S zLYl0LaGiHy_g%N1;>vFxR!cnHRb>v6#mjGiV9ipe6hI#DXcXdL|T_ z!6E~B!?;C6;41?dKO!AA|J8fXd}9liY_-wq5I#|RBbiEDCp{)&;pXha-7LKs*>NJD z1_3sVdIX$Tqiy)B+YVG0=Bg*`XsKB6<2o~6l2bY1)4`!d(!-N(iAfusGr{mXtWs3m zyNo6s8cfHysHk&DS7Tkiy~j0n&HxR?_BOWJ>pLU|k`+oiC*G}@rlx)3gEbXWx)@(T zK}9lc?5x@Z%~~Lq@M2aa4Z6~SX3#f|IKXzSNE%>3SAdGfc`kzy@v%9k$+1S9Fx{D4 z?=(iXYfZhd)t}C9`+HmCJ}J)25Ao{e{?7m%wd_e1TkCQj*~zc~&`XN|&{_cPhd7z- z9A)a_5$RhzA~BhDCjNqWNJ>K%CEbk%~A~GKoI&hNZMVkdCzhEN*KL0Bm2% zh|VsyBkp{f)Z97P^oHUzher4Ydk2lrn%-Q~DDJFe8*{Z^gWWLvY0uDSnT`U=J@7=B zt9ndq{CMhx=5dSWLGMOO(yuiu+!B#0o{P)*jpW{s`YKLL+Lr4YF`WGj=zu40^?6v7An8 z520FQux0?N?a3R5K!b^M`TAJ5t18+hLfB?^N>i<oCG@-)Ufgla{wUPZwiTC?fmpc-N1*v}+d{Uovr-L2{@!8qc`e3DNC-867#&6m z;>K45*bHn^L$aCLcl5?PItjI5i3ofjJprP7mIy-A_DJPKP>PebBH6`W<*m4}Wl@UT zoBKN}vrGBt(Dy*S+7kKsmBt(Q9JuP1m`}sK*t$OJT9J{s*#J+eDvIkwt3J(NG^K%H z7|^FF*%PyD01X&?RrJ77Rdl<_jk!|^8K-OA%?O4q_l4ID`V**p9Q!?Cw)`r)b|{>R z^t7F28R7IqX^*yjhE%j*c1_K8*>QQ<&7w%LAF;O=*bGL!ZD1WbA^|(9K{tAZnSXt3 zY-C3EO@pa>^xSbCyT_ZHJH@VVr=|qsO1UHQ?NDu23hnl?NOsR=*&0!f>*Z+);cv;e zFnfI#dFde1fUE^X6TOb%vI`8sqXsblXJuP$4xjRa>r7TI`;8yg8MqXfHdn)kF(PmR zcl{h;dnGc$JY@*CH+9e1b6_C_h8Ud5EFD(Rxx8|-*XMz1%Ow`S#$*xJ*CT?;2}REy zfW99f<1GC#q}$Ychr0<~nX60?YvzK;&Yq3FhU;t}x{Jm6`06UOi^g<{L7ShP@JL z{dV6lyHyd4yF&q9@;A1zP1)n>dP$!TBX*l?&5(TTyv1jl>8>h}+CjhY%o&Q;IZG^S zT~_o|Ujv^Lh7@gdMnJB=K7}UAZIVP!KXkYp6GNEQBI$FA>yKg%*X}xevTAksHh30r z>uIA+cs}mCBvS>Zf#S<1-|idqHPw`2c+y+-gn)v+oMq zg@w@1^+^7rI4KOdh-XsmxKc?O%wo2s609krgW1LUegI_*u`BAOJamtbvE7Q=b6ylJ z`PfYJVcU2`9ej~0;Clg;QX^VUwqBITsEn08qS<6(Qof+y^ZMx z+sxaNI^K;bL}lxMfA)5BO$DHpwiqe7WXZB8JxgbvTpF6auq?{_&1?c^A!fzLL`78C z%bWKb4s-d64+Ko1>$7jid}0*DEVM)L-_VYy@UMCQGm#Usv}@Vx4@E^~<>2>m@z;H< zwuFygnE%~6xThJWz<;!x|1J#t4yl7BEG=6L-%0ekQcea&g}^H*7eO8U>gdY=J#X2L zj+dm~+T;ft+z-FsyztQbyB>SaowPRXAsN+<;KQC*CFK7~ONY`KLxD0P{o!I0 z;}=Q6jdswMKdSh7*giuWS)l$${$)L;Pf?l$)N!QpGAD0fRY=1j{7LohCdT``W*HAn z=1XC;DP9P28NEMx-EnFwh;h^G-Cy=gA6*uRc8Y!ia?*sB;|@On$g{HTM?t>L{KI2Y zP}}*gGkaHct!%V5qfq`CE}r4k0ZhMnlVmL8Qgh}glzAOYqB@eEN{v=2nC;ru4Hd69 zU@xYrkkQY512@~vn|M56k^d@;2BC41?p$*Lb8a_15~!0SP?ZlE;(r0dXp@Ew0+Fa~0c zy*#{x2sG3k^QpwMi!ci@*a@CjyJf;ILToXcy`xvF&oH$Z%W-di;yG1-H)zj#S7&Nj zZAHr_UtRON;0Cwpx6L~Rg!nVr>f;BiFVHrB0&qF#8nk+@Fqsy5r`!uj<1u7RI_Rw7 zk?IQe1EGz+!|vUuVedGyld<_@fHcZfj?|u`oRf71xIPLfkw6nYf!k`vIFNv;BdREL zJ)5_LEuRnJgX2xL9Qhg*8p9j|i}PXoSI4ZKzf0{kUMuPUrolI&ytHZ9{5fj?J8d5} z8}6dlXa`&07}|ZU*x6iN0gGFI%&4;vwQuj}7tXuRm+{EP*q8V`C2ZLCw+E$X%SqPG z+P==@`>zv(KgQ<;cAdGg7EU3SMnA>qBatl7`|MKdeEsz~-UyS)p6;cBxmk_l1u8Q0yK3Wd)dl)bZFP>Uu49+6}- zyBceQvP+_=I$ADf(r!^W5~7 zgN)F6)i_pLb2=XfZfh$Dc8l2g{@7iPSvtG@rRu7DIXk`c4w%0*_VI+6Kt`W(VQ9wY zC%Oxyrq;jrC;&h7vlE1Tt1if@vzi_;`r2mS86;V?nc}m17PH9i@#2AUOp5LCy>yn) zM~Jjx%JK|}KMv)}e8bo|MJU{kmxRL4_SVe18`<8M4=?<&Kp1fBMFZqDRBYX&_ks%Z zO$r#KK-?|{9qH?s`A!XQXRO6&9J2nOnhFnPmjin+05oYfm+ileodGx@Mz{bH6Z;BT zM*lfiBv%6s>NYAb;bzjyY%3*(cW}MXd%aniJiAV4tYGg+0jml3-U)!NeGxo)T_9u2 z$Lgw1`~#$vCc-x$bfp(NOM-l%wE#8(RMRB*TT*h0Wh=lY8>DEn#L(OH;VDsbcU3FU zcoSg1_mBiUT6mw$5}W>U!1{5(mf(Ob_%8T)@kl*?-_oTpus*m0_#;%#izB>TPI_{r zB_^Gdv4_(ns$Ym|x=*QEwsb{uR^l(je?khQCvkHT=+9E|L#M@l?3uVXsD(fMg==~( z<9Uy*mY1&k^ia~C&D5SZJe%M5W%HJZK3LWG*5I1PI|nL7=qmWW3UH(Sr&p3{!~jj| z+rRU@?$^W!JxRajYL=2XL6&|}U1=?b#3WhEb+&4jn4V^Gl0G8arWI{F7BH=f6HepM zP_oFQfGV!kH2z+Ha6h45Hm!qFqv?riU+p7P8>)vz-L(}xcsKvcD#cZPH5GbZ70WB_ z0W7Jv6kZLlNT!4xLK& zLHiCS7_P9H?~+HJ2aX8d_=PQBG6Gq$VO@ZXBS-pT*ccQ?>;T-Km0tv7e9*`3zFS*i zG6hl5GSrKNn0{RQL-Wm_Q8_mb>DPV2aM9D3Zh??D07kEyj%cEWxU*U-^9A`7pmC|=gNn&pP%h)+sHf9I7O*w~6MbhK*E0|P8d}lV+l{eLfH2={e5r)Jof3oxH&4){v zElSzZbL;J*qg2*x2T?lYYdSy>0$g|RSH&DFm#sDxr2r5t;*Xwh_l3=bbnfrbtBuYH za2crDJtIv^6`|+J1Pn4^DP>AhT!#xRubaqvX|d}hfP2hoRx>ka*B1$a)nfa_Zas+> ztXTvEaz8JFF)@1=T}9xr`PxR$2N_Y0Eyg2B#ZLwBVi;9P@kr;thG(grc{i9$I(t1c zru{%2ZXY{0=v+jrc7HrrZYZ{RUkY$sQy?}e1U5_U86EZ{0Gkp_^h{lmZFTVqH8-aK z)w4+ze`(%=%)_wI=sL7$fwkTgUG*KIGbgI;7JY9w6iZUjbi2lgx4b8a%6ehT#tdd3 zt-Pf}Y-J8#9eXpt+rVF>p6{+2qBMb+G=^VLmAvT7VnidC|E0fj{1oXzN`)NYfzV%D z;ncgn4L&H}E?~Wa_60qX5PKJf_7T1f7(q0k)nOie=LFvU-gPG1zaA@NrH@pP_y#&w z>x{P8zygz*qeJ~sdKHik{)7vwtS*g2@08P7#F*{VBCfox-w0=MCfoWiT|fq8|2L!Q z7WVWf$-mtxnP$f>j1Z%H9RT}rr#mJKCH4~K+g+{rfmrCYh91|@4qYU_tMhl<5yuWM zm!XY)zA<&qz0zS{!Pfv6a?AAFbl%f+)&$8f?Qy{m$aVfB_7*}t%x2StY&QJ|_#glL zZFl&qMU!7A=h^6l50<4yKY4@g2`^IXp2ksq0l)N(@7BWi>JI(C66ybwpv1}i0h+^9 zOge%WN;Y_bwy=HW(bmK*DHOn>-!wdQI_W>WLN-ypV0oH({rjOiuM;Bo+DLes)%P7Z zlkYjf5LtVe(#1ycC!MXyip~>FZ)kHk?u=EJJ}~`L(xoGRunyt|EgJG`q9V9YdYFiIAxtB zOve7C|0*~=zTFe~c`b4n6T8ulm?GZKTBGY3t4T)>$n4rul_Xw-(W#<`#Is`#j}eX(sYIi0Eh77tuo@Jt;Spx{kn4J^=XK26cup}--e|UYGHX3_t zS?f(*jGtKa6iNeelyhP9;9W9qkNx22y^?U{H<6LHtzi~T3Pvyjpf?X@2KdVQ&&<-= zZ2qS8#wv=0ZXx7LX7)a+8~QNfaL>@MLB+w!l{}6Q|7JfmVViG!{NEIGnLi5WdgiUF zWP@;14{xR_*`wLIm830I3%Mksc716)3m*8a z(vZE}8_C`TZuU7JmW2YST4SbkC8(TRm|seKv+tCaqCF}_z}sS$h%o}&17`}NF*Hjd z+A8(7O?~a4B(Q=NT_hw(Afu7C{V^zYl?29#-PObMgP6gwpz%tByw^^hZt329@ILfQw4Pu21Bu_YF`eXR#RYa?0eU|)i5uAW0SL_ za%+AMNN=@ONfvC6Mq}OloWz7jvnqS(=Uz5|Xa9PqDd?w=5DR9vSn_a`##k$$~)P z%*RJ{>gXYE7u!3Z{Lwwv6r5m@K5bCpj}v)XrQz9k=6T_Pn3mRg_#RE8_EdxYS9ks! zVfO(}|!Y>j(bo*CsU4%M6$la0rU|!-bK8j`i|lD_Nc0VN-9(w54|x>%Mt$tmH2OdKHvGo49mPUR?d}fW4LQR z`pCLo3iUiF8F4J3V_7zElHo$CT_6;;25>IbfLVSsZ=yK(J zf+~K3E)tB55Sj$I`iS>#KCV2NHn;G`Cnb}i{6C$D8de+%x$1AG+1D}!DV1xO$?94# zt`k5_M_`z_!tl)Iy+&L2xiK8K+>_;+SeM1We4;fR(Zpm^x3B{AtHBXl%T?29;=N$S zYOgr3oadP6Tz9wLI|k{Q(SWY`-pKcrwn!T3I!VS~z0L3%HL<8uZ~xQVk(u^&Fsevd zF69;wGb39UQrA~kU_)f@m8#?p*WkJ>Sp25i;84Lr54{kIfJ5q}Fv4JzM+*ny)vYYK zSYdRAfUL%qUL9@p=ulY|>bEPf_m~CPyAGnlN*+VOP!T3}Gu&olm7C36SpKbxHjbUk zk`lF*;#Lo-i5j#&f~m@5H&i2iN$W(j{wVJ$n260+xtq$NsWWaJ2$}mnMf)9TF1;7? z8gp|V*d5m&4Z47w8v~18fwV zHg9XWedF`jxLwK*wybAv@HMekLUd-(+gas{1mhg}Ml?esX?c#E&Z@Aah>+avtm^SS zuUbYr&@uXq&K@Afe`AM)93-nk*P*IMWqj$gzN&^no)6Q}Rw9VnYDUO-H&eS91-%@k z%0@QP%*K2%&bR6Y?%m^0em!B`py41Hmt!U*jjk%Uu|~!d^6Qk>(K*K`{}~UKflz_u zXriZ4JY=FlgOjYLB2S^L&+m{W=LjdOtFZkYm1PH7N@thhymLmj zdPt5h#}lTsQKP)0k*s#4aE9|wHeK|z;XLVPfceH#&EY81OxR@K02v*n?D2HtUQcIX z)o7z`2*{91H%4Vu$rI}_D=c*tc2+q;1Z1%VB}5sL*}>Q$BWqU?6;K7^fAn}Isovk+ zM8`pC6_r@`r<*U zw8i3XxF|ekkL24F=C604zJU7+4_VjwRy#(Vr{+h~i(Gf@J8lR1_C;__1MMU59Os&jAq+>@xg#7d_`seHc-LA(G zQ?Xj%MOb4%>J-RdUzl~iJRi7Ah0O%(J}rLr!{n-gDmTy{^V9Z4m{Y=lA<|{7Sb(`}AaQ2Ovir3NmN4wdCTCXO`FWco4)nKB?#oF=Io1HUiwGbvQjCCUB z=ps+dl(AnE_Ml*#dH2;F?QcUoKLd0~IByuqa;0&n5H6|-=I84k3vpkBf~~zDpYWsD z>fjV%kja8`H}LA#9goCZZdF9`gyV36bV$hZ?H8GTLVA$F*kT)b39UC5G4vXg-nInx zP&}0?N2u72Z({pgaJG3xYV~55XGsMYWR9KxX?q9ykImSl=((dvifhx)B`Oi!IHMc% zvL$rskY8>;yI%)u^3=+<3$kz*e>P+PJFP2}i{+TxYusu#J4 z3O38MQ`FQ({tGBP%1BFh|LHSW-`%GY5Bi0Lm@Qyb74d*XxrXTWy^>*1bO0jC)CP3~ z!E72E5x1VE;0X;&f&4bT-?FQiEo7z|on7pqmwdZ7Ix8+}Te;~hZZzE9T*gPmXU1D) z;~VV?DosBh@W z!(h-4%oFr}F3z{5+pWOma)8S};-b;;7K#xt!O00}R1V~Rsoib46FP&2v^35w4FTRS z&AnUgrg|d>1i78%1q=)*hYOjsGlcAWCv%4Onwua(_3_CO18LingcgRXnDN9ey>*p4Hr#fO@KNq&HBa+k4rOacNHxR1eF~#xV&( z)$+|CQ?C3BWJ*hduDt=){sq!Ke)VdkB(S=^%0rXt1yCohk~AtP_XN!KiJ+Qc)&HZo zbGK)a+xaH+(PRAuO_c*oAIe7Y zCXCJ9%0G`=2BcB`kZx}y1R{K>NbS@<^0qQ62xVA$=_;eZAB%?}9OkWBXu%}ULxurU zZou*un-&;KG*0~xjvP+;b~~8C@*6xStd*bo3wj`rh;zt2i_L5TR^B!-zLFhu$_&J9 zoThlFa@C~77fp(X)!~+WXT^$KRam1XgFVpcKjs-b2l;no(;RX3ORm{fN7!v87I(0< zs&qg)Y}!~Pee`E}%TJ)@=nZE%jD7fNs5~rgoP3@73wR|`RjOxBI{6asI zw~S4DA*4hm!fLs(Q@f|zFT`@zKy*TqgQ$dn4zDie`@vC@_!ukaRKi?b`F8ydZ^3`XdGlmRT6_Ml>-3CR0PA0}T zn43qSqGWe9lDfx4nl1D3M+sy_qrB=oCiz?>3Ht`iJB|v#^sF>s?U0?K1DH>huTCY( zcd@~ec*s#;7fqSP9L@s!$eTWHgecvtv;3>KuxrzJ0#eOLB>C28U31E3N{EI|Nz<0& zOlo$26y3BnnR&P9UN+MMeduX#PTy|{x3BI_K3pu^C;Y@lH(2h5aL?JW1UC|`oj*KS zQ&~Dg%rYD0@(%;#z@Aw$9V#jd8wXJ5Sq>(i*j|{rYcS;$+`R65c_g)f_`L@6K zo18F+r+LlLmeN*1za&uivUxu5NLDkGP>e|%TF7t<0oVO7m8#*QhlI%HIFA3?S#B|6 z&nS|>gOW1YYI#4y8=AOh7-0`&TYp<)868+on5cgfXpcj)lgi7c)Y+o`C~PK_P!{4g z_=HKF!DL%NLaBwe>FfkMMOes|-7Hq@*fY8iL7E!s71S8t`%ghfcm^tVP6(3oUqTR0 z9R1OM7lMQ@+#Ip?=u=T)i}yt&EP8R#_s&OrchTR((O?UQ=i)aV{kz(I@rsZC`gfub z2>&?U-^GQ?!d`&#j|;BlVz-d~y$4qEs}U)Sq9R^97ki*>9*61XgOr{&>u=g7v`;rKKDO$)s7k@|I_-~$fIA_xnmevc|R z@U1W~nWE_d_{9y%g;UQC-&*+Rw%^6M9!+^--MqdqVG4356t1!wvUJaB-B_8$1K5~c z6Bg1TyyWim`RSao$+2_pF)V|xW9?S9lGFS}-=_BK`sXtRG>aNT&!W^0kXvV0g_VQ_{6xjuaj^V)dYmOU`l zIlaO@TwM^zTf?Tl=&le}*S|O8M5XH(4CpT2ldZ{`U=K`&-oo;tAfOy8I&O`U(!+|{ zo2FfvbfM|}fVE}!Mv<8-rS;_rG|i|Ynt--EQe@ht+E836<8}0(A}RLXxH@v2Xd`<2 z(P!(J61+HLn78xVI_u}$WBV0uYG5yj;pEZHY-Q=7l<-EIEml_We=WPrQX59?g;*6k z+*x_lOV$&enD-jrKwgc%*m1$lZihUGC}G>`yTudK>(DBU-y0ZYh>~KF?3yIK{=;!M zg}J4`UEga)B2}``j-&Awon|$2=2emTj<}dfOQiOBvoVR9&kVzdKrb=Y3oq#&jX_`W zo`YYytMyHmhX>9%f?cPbyn}DhcD*MAV9X%>;+?Rhh@c`tfZ29=ZGB{(o``7iEZjgpEN*})OF*UV})2jSa!y< zzRFUK<%b~3%T{3^AL+9dwOs6gb~X*nXmj zr60s58Hb*`Ku&H7hb?7OxZrz~$|X} zL2T4$ud<>ztw(z}U%6NtDo`yGbUgaSuy^qhT8(mV$36DY3g|;}=Qm+dJXAs; zA|N2ho@Shs2(fl4z3PcRGc$+pS1jGg8&*a+nG(pnISA*i z_KUWHA>tfBy|lY*&jc`8GOGiT;iKkr@3oiCRn=q#sr^>jM87o08#}Y(vRQUl3WJI* ziC6ci2Su+9i{BdJ=g<3Iy8C}|#Eu9<%E%c8#NY{vuS)eS6V#|rN)LKsZEl*MfM^bX zvof~n7L)!+^|jgEBSU_dm|s!e?C$Swoly6ir`}XW84)mQ9Ci9v~=JVLrD*s#tD!KkCzHXD#Pz%^iN1SsC?EjELIw zGerA8kKJaIUC5<43)*H+<(FH<{T$6m=ht7k56|H0sZ;yx2-`B*S9;MZ=CA%;8CVSD}`Yx|Q{g-o>-x z%V{A`gLh)t6%jS znl|12)r1=K{ppq?rmmxn@%WM?b?U`6`PbMipIE0ioja@H#aOyo;aqL`_265|Xz^et zlR0e)8yl|cZgt)2EBc%QtRL%sf9BoC%@5X5WKqXu9ouuMGf?_*fULXsz&{FBt#%u6 zp25#t#H85WW0#uSz~%2cfpnFYL=w@Ci^oger!yN?>VoE$8z-g3tZ>A)bVyLAEUDS- zUouFjo+$b!?hoYGZkR!A&cS@d?vj<|SwOmc_Jq3j`R0h=h_>sXpXt2J6oYPx*R6Ll zNOM^w2S9XC$8_6s9|cNwO!V6oGbe+dvr%M`saFMU>)}u8&*-hzrQKpT@hOa$Ni=A| zy1WSv*Iwt)Uw%LbnDm$J>R8)o=hi7eP#@iO$OCiVlH2GeGx=p}w6g;TNLw8~ zQdjY6!IUOeXDZdiywE&wkm~R*8#iv$DSOPNYXywHWgm#S;Nj*ohYAQyjMy;EX4)I> z<+%icWe_i9>gK90o)nBy!p2*&Nu*YCv}uzvIt_Y{!w|e<5C@XyR-)>Q!}5-fk;>ux z0$?d8n%js^GmPyOm|4bb6wJ^^65J)I7F zP`T9kLuEjxS*-IBva6;Hle&ZHOlmjx`-bjInS(!IA0 z`i{{dWM9|~#O#CY_aAKxB(VLll!7^;YkAy13y(`WVcAf0FAwifY_c?;PVcK1s zE;>Ue*u%M0^6h5OVZ{PD_fvV?=b(|)*=+jNgtb*}Kstoft7o%XbM;qj9du^RTm^{nGgRP>k@Jzv^m8EK$fZd$$FDyHv3ARpbfx{&8zHD_SuK`gf z8{L*qA0aQn(CNzO`&XN#p_bmUfalGb1RN^dzBanic?$>Q*ju;fsK5Hj;Z~>IEoVjb z9p2_{zb=V%_r@36t?iU5L1OOd>IDY zRhz>WKNY_@Z2ME+i(d3}*sK2q`a~FL6ocQC`}f`C)_?ic-*uD!MYI8m{i$cGBVLGH z|BtYewT2}`?%#`*eprg#5T^JI7W$_nx~Ib2r(?J6@h{z$w76!;UfzYZl#qQ41S)%cs|)nUO&A8*0wmP0I92}#RU zSB>p)s?Mtn59r~lwd2m?1NN+@i3g&wGO0!#YkY=2v|{31yi7ZUIWC6=5JLdKxQ_vyA@td%&X$Q zWu%ee_p%42lY+&>wUl39`Q3!0;=&vN(apLfWt7dCOyvudk;3n>S^cUeL3;K5DXz4T zs9l4Q*yS*XPg2RkI&I>N^A3Grx$@kA1*UyZ+CpflqMNntrdCzdgm%=i%`<9CG?2ZE zPZnGT7EK+mnR8qo6Td*58^^pexrrRBz%Cy6<|I1x>`NY-&EnCyxA~Uv%piZVf4b(^ zwuTV%g|IDOtagIa5foQmEWfexQ+?l(A<+b~+Z4-3 zqyhcqV7I(>>5ED7$G)*wg0rd^A30i$fYz9U60e0xZ}WdAO;F1mY4iTeLw3lsY|05Z zX`y@tG1;Gv1|^yp4Z&UClhDSAQ*pW^Mq1 z=D6OwQSdeBFS`8xC6w8ow@S*So4X>31W@Vy#bZ|jkhC74o(3G>QCk!sHW4x;KWsg& zjuCZ?Yl!W<{#b%OJafX6MNX=pfiNarFnZVAT#`Rl$sGdGF4tA|);vCJ_(Rx=Z z(K2b~^b#?`yyMgnVIi@}=zjE`zw$!e)U4&xOvX0jD$(_Qa=4{$!rERj%GzhYx((tn z4`DE`7L1(}PN^0YCjrpfl{?ilaA1UIrZetQ$Rg;td>c}OXaUXrlm|u&I@_D{^`d^&T%vq zeN{Ou_|LX zeKchL$rt^E@K8_@b<)$FxV?&|v;y5q&n;g0oeaBE0)^$*X~zUpir zIxxt@KWxvwzi?gEg@*;hk1kDsTYi>q7$~Hx%I$zV|CqKe7TCeb?ymZg_B2s>L15gG z$z*b2U;SfO#5Ht!$3ac3xI=qIbYJ_n$lXshihxe$xnz(09hXQ+N9FF0Th_YrqM!o;(8HCJa@G~_J8_DW!0L@h~ zde%5iWt<-Nym@Ve6y#(?SHT1h%92q%-U;vo>jvE+i|PS&}_cSEdn$ z{Ew|E;$brXHHydAC+I?NX`;mhl~EAXx91)w1Kwp$Vg_GVe*0xn5c!V0uYpCv%CN_;#+J#x=dmGWk95xp)+7hQK<|M)X^!~usgWrT}c-|S!um4JKKy+b` z!n)WUnlqpO+IFob$m1=f$6M%{1Ik88QS~bUt`Hs&jH7xE-F?I(>h6n~M9>FhYno=g z%LKL@HbY)wv+bixa0P=xkv>y8P=Jlip&{k>)%2>*oFc zNgKUk>r$RtAHMK-SgJST1DGv8fZ37?zxkhB)`PLzT%QM@yPWv^S^KS@Mt<<}Js_V$tOY2lxtuUxpy9*MiTxnK2~q@nYY5p@dIfA!Z+YLw5QgIRo1e32Ht)Z40R_V>r8 znVHOe`A;S(An`pfiJLUB2A-yI5>g3U*LJ6BAyO@4jx?{{*xi0*a1a&1CNAZ>V%&L> zO;1NL1tvS}foyuGZ0JF{{<5J2=?&M}cU{PO^NME9KiSlh$P=o2BSNt-mM1BHkjo_B zZaOirAC2R-sfk)Dy;~jABg&TjGQZ#PHlfVuAYSt=MH8Mrr~LiHVf_{CDjB}%>;x!X z%dq8$bT$J4WKu`Xu-Dj+%GVNz*y!P9S6pm$o?;MTxO+Ys7MXg%x6J3KU%YcJTldcR zn|)6Yl7-b&iB}p<*Y+-U1tlObeNciuMwC!+m(40$lbqk0)Jiz$)FQ?5K)gf~-GOd} z?o6PI^_M5z6CP!>?o_=&GOTQNy4uDn=P-^xd{VP`kRZwsACZyUdHHr;eAS&A{bd4D zxWhwPrbS3uS?2LGZ2Fn}vcC+vz02J0e!ND4oT~CblUWs=R!4l=*M2yo(^Ht|F8|p; zeoK)khOn?MyOHE_ zwE^QzAbkO{B3RO_i4oQyMa=W16=;QJ!D)Nm;jZ)Cr#vFatbUO1R9qc!S6m?6@Jvbk zMpdK>GI6whSvlvBVz`)FjeL#dH}6shj->QEikGtdnHA+zXLcYhv{#+rAFCkKRg0T? z+7w?Yj_TZgRn!s5D0R5lSzUBmTr`k$`v9W%DyO-6D8-I-bxX_V7%?t2Gso~87HgVj zf?ViBpmfi;tDEBIR@-ZgtyT4c^s&o~`|DYRm397UY2}-dC7CTQTXxl@0I-)LPr>B2 z+HOC=X1vKff9FJkkQc!Yn?H4rsk4Zo14O95p)NnY{aIHFqFDwd%op1Ip3;f&KDSfC zrsr388MY*=V#r}O%OShL7g@%tnD}HSBewxLoNT2NYfdnCtlnkA|Rn&ReJBswaDL<9L&tczH z5kQGaS$vNooAA>t>R;TnJ)V$Z9TJ2&!^XqA;%h&6N&|}fD4Tv9CB3o9KO20{+GLDR zP{oME0Y%+SQ=&uu=qhcw)p7B(ZHSP~$=0Nbe43`oki;K5SS*J(L#F2{cK4*mt(k`J?u8^J3UnW+IT{! z`aa4{?~)*@THAQ_t949#kE4o2_Ux_4{X+sr**_!@GGb6LRQbirMAd~M8zFDm9{;$H z2kxKStk|67M=H(jW3c9pmVU;Fd!t1*#&Z6C1^e*2D#qAzKJ`-hzD6sZ`MVct-?C;^ zZ!;d>F;?N_fd$#;f0S6FT<=b3Mo;C>WR7&-%bOFGl>epCiTJA_Dr0h5b_7ss@3Ka& zRv8#pK0ttdnUGn3sc^7_JV9L%py0G=Z?z`&kn7oWY3W)vv-(v9AQ^P}aNWBd*per1 z*E1RVkuK8)@gRekWw)M69~!UT=C;<}-@*e@xTiB=sz6Xuabd>oXVNoDh#siO+4Ki) zQz>hib6kOY4a1Y5o38rxho*gyi~xykFG5QZua05Oq?%V!&>xKu*jx3>ePUl<>}2RH z%b%eROd3p87x+Rwg%D%kVnmgtw~CqlgZcfDSp4(ru$8{0irHSkE1@!{5cweNK)=Vu zMcH!w>1NV#C7$QSOve)_aD3zg@rK8( z8m+_p=_OSBR^k%@(Q#QAGEmgvfnojox)4oXc_1aw?;E?xT#m~v2HKy zdy)HhK$Z&oo`s{jO-AjF-J$jVT_!SUZseaaM`~Wjx*|Ex^`BF}UXj+&!C93H_zNID z`}3(URu;amkS+?AoN=99)L7da9#wEfDcQSreB(gk>5SL{%{u?2Tlx?bat!^hPUN!2_ zYG1WMFL7J>oFR+-_}HrJLP-P-f3<5JojR74+OH>gVa%D2xk=FF4o6*M=(QKd?-D-lSD&GiDX3Lmh`adnTNUqD=d`AJVud>1f+>ib*3_Rgy($i# zU6hcDX+PEh`S}f5i?acI8f|!s7UU>1 zwkCC6w&x?E&Qx-i!Ss`li_Gd+b8~-p zVot>c6*I>O9#ya?b(8$JJenA@&Q&+%r!#){V#mg7_nO@Py8HgY@!r^gu7^3yZ}2aj z>SmFyvet>R(j!2gp?vuWJEe|pev3uflQ3i1)P8w>YNG{TA~RrfH*<%a`ccW;*cI$5 zkk*UzmIt-^%T6wMretUxv)Q+-KoC$KFCjfk;JuDk;m5#N#pe{y{Y$O#2NtUClLDgR zLY?ateWb96O~IYlzr>3;z$fzMHnVj}D~pp1@wPLrOwAKQ6{R5(sac}JR_DfAYitlf z&Br?ro3;BCP84FkA$1J1<#;>XJs2Ugt?g-MYMrx16YUj#*cG!w6XmrbrS_yU-%)RGO$JCu1nVVX*$3~!GEFGAH<~kVPS+>YL5>mf`(ixo<2(Ox ztBeqNGi7@?=ldeR2>!`pXPtP^t%9EdSC!8qs}0UxDN7kNx8x~|zP>1N!A$3_iI(d1 zh2jonL4Hc8|*I zUTzH)@D|wGFua8O#o~>2>Dnrj97X;p^r&Hj1zem$SI@zmUVi|X6|V&JR|f$11x01M zYeKB4ZeTX^iY%mseT5&Sca!7yKn{XNe~^9K?OUv$+St=^I>#Cnu=H3XyCDic)I;y3 z@~4kOhXF4sC-8^HhZYSfV;%E^Jb+#2>Whxa?{(I>04|i;v%yO$k4vV9fUOEiAiw;E zJqZ4m*{PL4)%NID?t~t1j9@eS9;;}3kgkq2PMI&g9x%BZU)G?iKy7jXG+MlV4aJ!*wJ~Z^1WU3^7;!iMKCL^MS?VwV}Ir+mNJcH96D-~2K zs|D;fUutI4?v<{#vO}s!ZNl+KUPv<nTN&{HWH3mOrZ@q+7Sy~M@Dt`y16@#p1~@jFq1B&|=IHY~k6#0xaHoi|kCh@9 zDYCBV2u5fFdqW1YJg=MNm*?u-deiz5&Nf#tW#}X%MUI1Yp*G#_OcqkyC$hLXxxS2p zYek%q+TrId*0mfOyABTrBzNN+cS6Vc_Q$8rneJ?-^G){vU~KV5KNOi2)z1@-14DwL zx;qwRdL4|)aU{Q9vUNII1fqxQc^Ns=)O zc^|jn$)~=#L|4AD78f8#GJTF+6!9Gn-}Kb8ix$FR^MDE45V7u;^8}}o2i&4XQ4oyX zRtXLEQ0zOj^EoMJj+73p6VHpfyw0*R{O#hJa)_INgR`-=Y0{1d&+oR*5q zgn+ZsO>BT(6s%un2UNe6vwBCxB~FnK^>d~sQY^RI+_wM`qVu{0V7hsQd`0yMe{P^s z79dU#63)GyuP^(?ufkf1k_`A&I3e@Af_~%=N8Yo&8Gn3i8D_!Z4AW13wDhI?#|w&; z5`Zi`QQX=CCh-E;KHRQerM1{m9&lm==F2Lp#`2PojJqpmsXf%HCm?dl=VDelWxK}; ziZuKHT~X@y3#J;V1kMLd@jw*5C=v3>qd>DiLRJ8aW+B20uOPpNI(Wq9fWs*P2n%d= z7>Lkb?-#)vA_<24g!B_n{#IxE{}~IkPq`D=mfe(}U#0jzFSgs{>_~fg0!fDY!?gr( z)&m$oXQ8_uwO?#@wJK_`7pnm_X8@j|4uY7i{PYw%e`1m6-16+2U?O{fkDR7Kc6UBA zHN(!1UGedi^;r#03{WPG0fVyCc_a7u{qDhGXn&8Jo_Mos?<#_vH!w}O znN4I(JMF_BGuj+)V}T9S4VVxmftK1Cw`uHxAFEAOc+dsS7e|&WPdA(<>=&dK2ULXc zY2#Rw*bCeK>8!q#rnSm2mtlrYu@6wifK+_a;fYt9r#0$i zD1S8(hJJ>}uVwZdMCwSq^?WAn;f>02VpKCxLbQA$4$X`2{Cc&;3IN6+4XNoiHqq|3 zhPyzS&8GJ=QWgRr=X@rE)5YFTV~zarz+-fsc`2qscp44ec(9ToARp&DVtUR z8QYjJSGh>bkW6Zl+bR$=VSr~jQp2XLpZ^Z_zejS~RaP=l)$pd1Nu@J~_Uh5qT)Tk~ zGj~g8xmDmVLI@T_*!IlMayhqefe$lBdYrR@-2!8(qy}?X%ckmkc43odv1N`)t8RHt z>M{-d%puiym*~J(&jzTPv?xNfsE|!;HmM@c0^rHJZ;IY19>RI$?AeRV?J+9@;hK zZ`nzS3z0yf%lTGr?L)i5#l4(b5XUK^@gEL2vH}0g>A&w-up@tJ?V=T%!(LtV+~%_{9gYQg_;LJ>g1>KC&TQk(?Ee}0^1m&}zey{P39Fw^y>3di^s7bUJF^I;t*-J<;t-N?T|bH!9fXgKVNQF0iD1+aNXG z=!wv#SQqT@cc{axkyF=L{+cfy^ftnH#1L;Ztdu*R!_pE$>+OPdl0*qf|HY{K(x_+sB ztLr1F1|En6V4sjIqgrHuayNzjcs=0IWG+~YbZWco`}%_(&MX5w6dd(V!^ZylxMF`) zQ=U0a?v0#jma}}2kiHJFG%xR%{=qPb(aF3uM zpXC)Fr#_O?A1e&4E?=tM`zS;!&pcUxL|qbM3!sbo)#Ukv`fD!6qRojK3BpGvZ2R~L2=AR4~pZulZs z7B{YK1qWY54t@Ugrd}0F5FQ3=h>C*lm(*T64q|X!8&)G-$HoyYFd-7VKz;s4;sQX`L^>fv0QO*=L!su|Nx z#wMBa!YrSE|NTcgRPX#Rt}90xbcpB68 zTbwC{O)Lny{oZJYNaRCSVZ&!qTVY-Kgn#faBt5~Aw<=2|cK)S&s_yAj0wHEPEyn=k zwBNeQ(n5P1pp0w8aG8hE3ekUFwCufLK9 zYF1;@)|+hl^48M{MeWy}JsoA;qL*Ry2DJg+%nric=E+8lt1-g&Z*m-yGOecdbBLXc zarQv4onJXbGX=Swk+XEfBuB#@5=mYFg%p0nmnqn^r;{p_szd_dQL7eyV4} zLgrU|YA}NDGE1VFcs=CUFQ6ORWkByGe_a+AKrlg`h((&gdfm)zn_zfoZ;g&7O0sFO z*JZ9KP4)}(U)z3JWK;=Fm<^){3Xsv0@JnPQyRFbDZ8Lm1EnMwZ$Zw#X5^IH)-PAQddjp$VLx$yk{8X2Qo<5- z|G*2Qbr4@pc@9u^&Y9k%3=4Wrd~Q&%+85bFt5REcPO8J4=(*w)r>WgJ73GW-Q4a+{ zRdgQWk9d=s2v^2P+g&PwS^;IkA~rz{{KygXq8HipmDPnhpKQ06HT1@u`2pnE`VU7= zGml^O20gLBdw`LoRfk!iz9>QV*5IBrf6&dK;TUgy9N5r5um^&oh=3DZC!RPT;XL`7 zOv-R)DI+TB=b!m8b=FN|x(N|pSQAdv0Hm|77ANvw&nFz*cgJS&EH>V;|?J7*V3y(JZ;Am*dSp}`h5>)YQvXv@aIsx4&GhhZdc zuD|qN&0R?o^QL+cD+LIs``*Sg-eP&S$})=*oK549N@S*XZ31G+cs#)R^eqExZ3XFl zU#nQWY+TF<+`n@oQC?SCk2*|ZCM0XPvbgBcQxgfHu`eQiQv~3sW9;@|D(kqA<|XhMMPOm!s6rZG zp%=$U_8OIJl?}LQ$AtotAdiwiWcRY!W#fV-n3uDwUKCIHr#~V&4~X4Gs;`|v%3#fu zu8mGD9A=U*LZO)fyH>`%sxu131Ac3DA#$ z*7^;&zvM3rGN?%p_W+ek7>O1%{dU~YaFs(*ozUM=4v9Y>c{~C%5YAA`&{ou2S@;KU z^xODlL17`+ZLy9jMR1(m2P~b{f-B)rPidTkg|o!%wn(b2`PRs~U^n~jAD64_x@;=d zY?ut-1P(9e6lI_B#NO7}6Z(d#yVNMYW0n ze9wnqXlU=8Ch3RJBDwUYKXpSd@3`1JH3DPNxTy%*j=ia5F-}F&9zMomkI`bT&kWr?#>@c7P18WTO=`Q*LNN7}+!_7wTS8V*_0x64+`!%qFpsLj z0wHwKMV3z*ONz;hEFe3fv7-~PRw z0?@)Ff1ry-)*%Ixaw~x+m`ZtfO?^X4zm?0p-G-zVcl)m)ErO!q1+-uj zl{!WJ^EcLFWS%vR5GD6sY?(|cX@A4AJyRJj!lYWZN;JVH&cDpGjdQc)wqKXK+f_jQ zNBBYC-Hh4`ldO%9!O)%lC?xNlpw!M{$2S`Xc~1QKXI;dYknsJ=4~J-Ut9 zXGy@kQ#aDpbA}uq*v%w3Ywo1Mh}TQ)Etnz8p^2E~a(Gdhk^T^7pQc@fIkw*`Tz+IO z(OmT@Tb(KbCk4o3WkjK{1BwD*KFrkqkhP;sN_VhndOaLwgMlv=J<*pVl4T6;M2?z` zoP~58=-KsaHMe|C-S%S^y9bb5x*4!q2XgFAhsu}FpBrgevJqKy2+Glr`r24|7Lsg2o@;I)*?a+==U5+dm ztebF^WC=wWX!x0ZHhQ??x*J~S+1k=tcC)8Z3M{f$8yczj*=!~(nTBilFhrS`o$yO> zk8CW96QuV=wKlmWV_ym;bmJPgp7@?f4Erqwdm-)fM~CpF-o|y%Hg*9-L4I#!69b6A zUzh8CxWS%N`!dUn5NF>nT{R>1`WN{_%J6_?2c~wTmYowwgyGF6@ZwYw4uAe#6X8Nj z5Uyb$^on>OAQUyP$1|zsu3S{ZuL}x_kilEJYRKGCPFkk?rVkt(ljj)LT4{npLfYoo z(ob}jYg{N^ueRjbCS7f+2%k{mAU{GL&-~D1YGXQ=9}%)r`)0`iAjGhL&ILNk2-fMx zH>6?u7YUi2rGDI3ZS_a8czjcGD8Y%69pW6o%A2UFhR6QnJ=HgRi7ci)VwUwuF0d6`&;Xn;z%z_YfMlXd(s%a+y;j_hZfwrqt3+%DVGQ6XbM zY=R5i-9SC~&BDm=Apb=is@W?|hOK$Or9ZeX_phE3sFw3Cv+u1S(>ztXoM%^~vR#eJ zR1;ptn_wB}wr$HnaR+Hz&(lCrtfJrBCzB#<||-L^aAqjfMnR z7UL|xxmA1L&9)KeURiTJvrDSMFx!0?&<}?)tbm*T-S@}xZNm^g(fjFqveM}mu&NqrHvW&-1c_RHkMu#VhlUH3pNJ>6%q+G2TnVG|8wIO)a;u%QDw|rsa zn?KxM+_KNFC(dI_*Y89VPHpDSrB5*YS-`ndPjcu%ZksGO0Jx%Uak&0(L*g8a8lksjOOKIpK}6 zOR_b`nvYD}p$ly|5y5FtZCRkZJO}ej-uu)6-Q_jM8NRvla>zKNeCMQX{t7yxjFftB zuqgv$#Ra#$QBEYYX28-u)k9u@zzEHuWeOM%gy?l7^Z-wr)fY9%pj+oCowH-*0?gx8 z5sf&C(&m`4pE+CTeKbBs(>O~Mr;jOdZ6tM;*90X94;1^xF5<7>Y>l)lpl zat7W~y!SoSo>PKc4hhQ8gyaKu7t`aouyqiO+cvdkwx9@6=YC)G2%G&y;XV78J7_M{ z!|6m#L#3(^w;&LQ3@4xTYWfuz!j!KX%x|ehnbjNFZzo3|tb8~Rmw9&r5q~y4BOISL zxjw-6-=Y`gV>*+-a-eT91KyU_Pn4vyE7lj+Um??{k4_MXx#>Y@w~pKG6}T!cV4m$C ztt_=*etDBN>UO#G)pxfG>==qAX%^T~kLrmZvOKP~VzWnzpKB2)}iX&jYL?F}VB3&x5~n74|?>$^LQg;kd%ga(nY z%@UTM-*BWuN~;!-xaIsE7li)eic+(Y(0}o$sIzR+h$NR`(@xC>opyJcxI|G+m98qt zCrjrUA0+SsJe9xqZjZe4qDqUA!!;y2SXGfD;&K1$jnv(bC_6f}CY_Z|?HM04=o46u zcu`D#gllq6PVEjQ=&qEW6btgYcC!PafjUoEBh2TiW-eL<0Xm1R8^B+F3Y3?cH3{Kr*emlE7VdmoTJ=r~ii^NQNbjG<#95RAnPltRp2KJBY0)ifb@(OxEM z?7LDvm~UE-w6*53i?d?E5ET2So&7kgMYj>&nA&ht#JmNlpSeLM32rXmDIsd^NSoOm zB%7K!_we>h{6kV2N1RULmz{6N^-JtkCRANw6UYz^DncBs{@!U3)aQqAcJ}Q!Wssu<2{Q z=&avyP#O(|O~izIdsW*X+qD|3{Ym&&4^4l1o!SevYq-4tvV_LCFDfq14d5g}6fRp* z3)YgJo3|pzs^NKmlwtH@@t1%60Fa*3oyg&yYcGRP$`jqBb@WG^662_yOsHdzRL^uU z7^fCWdzSy=)3_U@tLi$v(SU>m4^a9oVrS@goy}+i-dEgByMHSs_`Jv`vjr;%91tr#SD3~g5ml?LOvYiwj#IIi}iyM~ZZV>0rgCys%AI6FyT5?+z1GsRSiRDeb>0MG# z2SI#gsg#!HlV0hr31o;SlUCprl6j(D`44^*wrZlmj^7xCYvT5_0vZ@3>dX?hCc{Mbmng_oRH4 zzs7M9J3@1RRGQzdxTY}qqf_C)Is}}aZQLI-V~`e8Ji-@K6C%}NVm3#2ag_-8D`u~; zY2bvVh<&$(OH}bi6NWQV+zIjTeCaHIf38N!7XZ&4o-7fL5+xIx5v4;^mWqSW>^jQo zG~&t&BK@TsPs*#ILIIKj2l*ktBffwvA$*ayH^vhszOp!NoBQbe-6gRYIm!>U%fe;o znnx?|Qrb-OIOZA> zXT^>yr4#Pv|A(oi811m*3`z0Hf@?jjNZ1cfTF-KBFnT!Vg!Lk zg^9v2QHMokNfnrw0Mnb!61JJDz!YXVZQ>GHhF)cu3Jm8q0SPlO)4dqXRw`2vCGrrAvW`y=E|**Je!+V z-CP@se&-P$NE3~utSSQ>qfTn_MAzJq-l-#7)eoeG^=;lkl%N=QO2s?ci}C$G*v;-e zL!h}x&HATzoHg%mYMN7W`Y(3OsIxcZqIPLUveHur6e+W1qw5dcjNQn9-Q;wgAqu3fWH zn5N#{VMB@ZV`i@r>%apnAG9O=NX!BBc@+|kX=qUgM^9T(6bW&xs?6G6QIu`1=8#r> z?UGc$j`Ia~kGu1mYqV?y-d_ABziH2D<}M7#Eql$pSNX?A)Ak@4i=L;&idmgCI`Nn$(gJudh3*7wC0k?s#tm~ujQLyl!-?@Gffb*`t^BW5 zpV<4tm^fvKmE1ZKcUrL^m0%D4F?=A=s{a(0!i7!f+=yBu^Z#dES;_3&0syHTgZPR}~ zrbZ$A&!#@DTm8NZ6xB_x5G%yo#WSuTT3Fx)(5}opWslz!tYcFqYYw{x%FLZxFe`Jk zbxQgoD)=gk?M-otFe@Itap%U5K$OmE(P|9wTu(QI@IBz9aqDJjkBqGhE+kLTzn&4*|~YB zNEfdm@|TJ6`=oQ+i)@9NC{BTLo#-YlN`Ewmo_~w5Gjh=}-A34NPQGBMC`z>*U{msHk9^HU4fFGwgNG{3nOF@e_-l-G zVQsn(o5PS({I*Y%ZZtm@P^mT8lwq#ZKQAS})ZUYlt)Gg?+3GuXHDpkrtq;{-F4ERUBasm5~9TIv#k zo5=B~Y8WW3))E1piaTf!prMF&I-!A_=83RGZ7ShiE#67`aK%3i&y4!!TV ze|Nz`SUe_%%%C%Js%5+W9Jq6(BEFGHym{{=EtOQ$pcV~Z>IOK`9irI~%kq&Q^nsSu zH^O{6NW7Q9C0hV{%HJ6T9;)Oo}Crq?d zJ%v1gYN5)$1v{Fv`aIo?C-?z5#PGWA9zO-jRx#F{iiHnJ+~T^2e>GHR`X<+|No5au znP1|xjiM>^Db_JVgt)?=z;`s)Xvd@0fATMsR&V zrQVxI|Q7`xJgpoUFVmsu~mA4D~)s;oITfJEY^^iZj>2c4Kp6;H76i3(_b5Lxefmt_3 z`lCG@i?Zny8~;*e*^oQf&HD&F;2D{+YR;rp_xr)D$6vf2S%1u$<&5>URNYP?hyu=^ z>Vec)0XU_>B>(XU?duC|+zyN%md^^b4-xN;`eSU}t;Kzuywpqm;=ckqCfP3s-pZni|`)IJ0EttX%# z=;u2qa`A2n=!A@y2Lha(x92{=awbh{sR_H%YP-p)m`?5qo-4TPvc3pM*Yhpq9sY{U zTH;+ebnD(4OwFegbi);;mUK_(xN{5cjk(Z8cz$EC1&cHvk(>Sgi1F_B95%tp!S*#} zMWC;NapY}6EZ=i-qJ@c0FxSxgM*ImgX{O(FYcB2Zl!P0np+c~^kE&wwodbKUGzMh< z@aBP}+KRErOvx@5A2N##Zqs&uv@W9wHeZSWl2q*>3ck_r)ZCI)q=Ac9GLdl{8SQXQ z;SRKJ(~~o<5X-D<^_WqwQn_h0s^W9tc(h|T2c)Paoj8vtMKE4@rIVxV4bqoM7FqKrE!tLqcF>kChitp`{)ghc5>i)$D># z7c#7w$|K)?cSZRDseZK8l@9L-fr7TPMXP2(8tqF>pS+%)33rRz2~noIHhCkr66M?h zqwHO7(Lfq5{F#SN+Ck+Nf)w!vc>+bd=DTm@kB!HvQZ^;qK;83Ff&fg|RR zh=oZ?JbPMbvV|j?eU$NDv=u(|?h13hP}T?k&CuDXta24L%Fa=+y z2%C}?*oPPP3HXn2o1lj`=N)e^R-ou35nY$2ic%io-z!5Gkvk;SGUO6EH!A|nR3w{d zr{k^3HFs1#3NGin$H&OZq75*@eT_;4Y{}_HHMYhz{zDzN)O(^?CvpCkL7d;l5gG^Y zWTvFImEbW)%m|JZB61@gEjA3Eo-Dh53f;B0>Tg7_g_5zBMpAxZdw$87)D|87p|9$Z z);`$3H-fFBLin8oC9D2|IyvDt{y=B}kous_MYKoT|M-*X7>XUgtgp8 zHRrr*Mg_>g2OES^7=`r8AitQaoU?5!laStkSc9Azp6FS?0naU|EM@_XerGN`br9`% z$Nc*mY|~_E)Ew_rV;Ae_gw#CO`PoQ&Ysmn2HLty)wmyPH-+1eCQd0ek+L3|183GDV zhM(98l%=q73)&R&@4W5Bm&iBFyYr8ey8$QnF`V2N{x6o^oP%yzAljt@*Ml*01dN$= zzn!zt+0F#i?g5FqAwY%_+iiQ`m$QfMFwV{0kgB0;sQ(%M*(UbQ>D=*uYYk8QEO8za zv2Vro(`VLPZ#a|sFd>j#_!q@mVt zy!xoa7ytRHp+A+Cw*7Tv?FtKZgGWqrEFTbynmCsLA=QMsyvKp*?EmG zUqo?b1&bWR<(fWESiiNQj_q8|#yz(=yKD6ErP)|t*22E+H?l*iUCy-H!%J3x?Q*nn*QDv`&6TJ(h>E$?R`T{$CHg_Ru#FtuAa}1*_h- zj`ot*ku7#Ysm(?HYmeuhf&6Ghuz-Y)&SUG0W@Kr19B!2yyZ9=?PT+ZAjxPRpC7>sx>7z3I1M>Hll| zjc@s{@Q-;HxL!%@5+7MFcWi%z(Kc}(m+L6n-2yaYGQf-8AgX05uG z-Bt%zr=5q+5^c@hG){Msc6#v#d_;vRY`37XdUm_jH~aTRQT!2GyI#FJo^-lHP#XCL zlaQ`|cM45QUx}=RKY&EYCTYiLg$jMnw0o$(&8fJ|War<0TlA={ zq|Rx_AbgC8ZX~JT8Me?L-_X^AVwRENQf>*+6MTwHmsPz&aL(hJJ38++LOfEunxWf^ zNsC~XjUQ>gY&*l4BBs3Un5V1S`5iMAMH_gjQ(vgRmYv=1PVV2+VVg7-Vqw1s=RSjGH`3gSc|9w!icj&fN4MeX2sFioZ7b#h`@a>MF4xCVw+aNtAqWWdvf8V zDnu#Abn30|&ffWQ7JuP$c#$(gbJ0!7|2uLk7Odr>n=c;;Ky>pDbI@t7eQ`eLg61al zqJN*Zy-)lP*S`1EBi6@>8nBNkxCg?|dnSdXGJPnJUHz?*M81%ly zP4571TFosPIfXDpl68jMo+8M=1cD975K+a?Us1^z&6sagC~ZYD88( z`>G+l_I67=Ubl2Vo~JD}T{Be=ggWJuUi-R7pZn6)&-VR?`f2vSAo7^2#r-%LJt&d~= zT5@R`#ZWwc1$>8=U-ep&W_(fykYa5`mT@|M42wx2J3G4iXJEW@P<5LcK9uNs&xsNF zmlv5X*77W^vq5loX@i-Gp9Tey}}Y2!4R@deipWud}= zV8MT3{LU8v5tILj^8^RZz!RK+dafh9T-aipQGYwj>_gYWU?q`v0<`Lqa8+RhOix0D z&b;>SZ;jKPC?t$UXN~iXA}QlZo@qOu|f#dQV&D5Sw^ZvU)sH$3~%kQ5n+c z0O0g2x-fw$7eiJ_!oZK%I3d(PZwQj`n@lv1(3XtraFSr3+t{oO;)xRPzKPe{ zLu-0ZFq&oHIS~q>E@EV~CV4`=V*GZB z1B+NV;122VLUu1q)9;$_*W`9trcfYJdt(H^X@QLk6LuC@pp#bWp(;SBy~xrqe&KB2&J9k&d4}iNd^OY&jacNh9=b4zRa||NKSPE8x#FOJDRm z>OBYn76!3~#Q9!zLOm;vc=U(=_8olA6_~5YWg4GlqgIZWtuF4app+qllCP|7WhEVI zGi<-Iqo4E(XQ`jSp`6|q=yi^=M<*}EuvZ=zd2`=?14gv(n8p_zIwLjDKNpuD@vK1A%7g_ zlOQ0}dBALh7QhoTvTDC1JVmO%9gW=bI|4-la|y=Ie#z zS^bMXC&o_y2%WGczOux9*6yeX@$ELmNr@sC{U zr#S1U*SVRHFosV_Uo?8;8k=Nb_r8M0&%gu+uVsqI$r6nh2d`7_7>l&a-g0w_=(tap&PI7M?DX&n zA-Z4d00fLnxD-HSnpEI(zFolHz@@h@i~P%!C1H_8oL5oQFocAhM&1}{Hw7n% z2QXau|4h~SqxdKrue#fXHlCiK7VoPd1TpbG)NCIRMc{scA$FF04X!z;AXYah{3Fb+ z1VU3I)a~GWUdCnveB&rQ8+gG08^j5;Q1%5G)c6z~H0*54Z)!&q9aO0;Ji2D@sZMMBL-DZ z{S5%xihK#Yw$TMcCRG|-{qbjgJ?~m(^|^3rloch4&$0<4bTqnpvA4844AfS--SDFK zrtBJ5Pp?()Hqlv3+(z@}5NTDZ<*cRZMWhcw8gJ?gJ|$As<{16ddk^Wv-XOJl1_M4F zV;9Hj@A9W)*LsIfsFTVzI!#nCq$M1|He(+0iTXm#EoEXRX&^*veE#_1S!8m2Xl%i_ zHw_;kf3|A9aeHL#Tl_ILVXCFJg5vBz-uz6o!&^_N3@gpw%+Y}tOV*1H{ z)13dek(mp0FIfM?!fz`RkyMEVA`Z0xaRI%Gz>gti?AU{5fTl1qf>SlVSyrG}cEK6F zj%8PIE#yD_h2zLJF}WBohn-)}kNa$S!aVjBE}ruCk6aN!#0tgE(S(QQqYv8k7!8#F!hW6h!trCZF0KXb*U{NLZQ zuBu6-0=c_~J;I8&@%8>OT^dY`JYUdVhd+1=--1p5R!=RBb^qREDs`6+ehEk{fw)& zx~^E@Albw(Tqh^=lG)*;k}*3@_5iAwjeTz5qbzILr8ZB9f+?&)LU0-o07wD>Hk)2n z{a1I^5q7sbzfEGxfK?DFRi?eRZ5qQ>2#flRfHSa5zXy}a7$?O>_6FgNv>zM^8ux?= zN4Na7!5lOd*qT3XJY2PIwp0Hx^;hkC_sNoOz7&oQA~%lce=Oy4gbSx=Mc_Jgi+~6c zC?nED&!lp7%SOi?HtG3sN#(tsac%LgLGy7OfRa^lg1OiVq6Q+jj7#GnJeOAW;;gAR zD4B&BEgzD&kjlP&c%#PXPOi!wt?12xxK3C+Cj zfS(6X?^g$ok-JAtT(uZAcoG)y*wj=YIc`!R(e4~3P6O`+DFY^5?fikdwzqG?Fo7Wqk%yw2Gnp`;Jh;N3F$9;|?T4{qgR`o1UNq>>^|B z<;yJwthFP3lW+S;@bCkNrhXszhSLO(&)CSc$>NWIq#NXLW-*7y)Ca4@5$z>tLKHN! z<4#<^MT$R@Fz%U4DWAMr^oWW zQ-(^j#aoDqQA*2T5H719se=uvQ;aRzBS+de=?bK|JXV|9hl6mo>H}@06Ln?6dQY(W z-xe z7sw;sxB{J$ch$8QP1#{fNpJlf?eIIFL;w14$t+DBF zR6KzKj`*{#lUuvni-lvdt9_DHzzPS-nvzw&rw05{9li``4zwGWI4HtuKUD+AxuhmH zZ}h&#m+idp1e7(M9m-nu$ORwKOdg*#7PfI-rhdVcrm;cq3gHX*FaQGfalq|FB{|hv zG*=;Pbuc3kk%nVvU!<=mXxM_>Di=~6Q7Ff4RR0&+nsr+C!|$f=XDR_UKZvvX^1r6< ze-kKRoKtXhhkNIy@0Ng=3TVs;0kNup+Q_JHV4RaZ2%I1GQ(M@s9Q3yA3fm^!kD!TS zS%Ib!L6fv~ezE%u(tqBBU}v;q;ZmH=AAi}jV^#CPCW}0j-n`=dhR+69z3*-<6ME%K zxd!s3Kv#=-k8v_bDq(U+LECinBfW3`Xn06gf3&x&C$sV6!kJz=cLwTP<_ThM8* z;TmbbmV3uS> z+dlr}hNQ+qCYJ2>`Zo6hGK6hpj`V=wx;xaC#vVm^sJa_HOT6`T;_UG83I?#mdj-@N zbmDWW3nwer5XTU9bS?7W<=(9dN>=Z)`=)_6*1j$S3;Rj&IQ1VUCONVGcD8-cv@}(8 zls>wmW`XaPX)$g60h1;+8V#PM8eR5|DyJx289Lk8wysbfGPMOKz2*DWUiYSX!g^ru zD5CTm$y)n4Qobm+nn}A~J^yr$BGi^2tKCN5rGI_*!h__J2_#{f_t6~3sRKsk`1@Cb zuIziPdU@912}`x$iI=S93yk>H1{I4E#uO=D*Y4XZY2Fx_>=Ni64qxODYdTEpyJj4R!JGl@gb%6?F83=`zp~&|2(B z0OtA;oqY0kVI5$J4yv|cMhR%#lp?f1m;?6-P4CNbFPo&2mSfzzXZN6i`bGfJ8*CPEwY zhv?*_s@Ga(N)P}%%kW>8hfoxl?zc+zy}ZU z?5w!LWYc{qj@q~3vo6g=A0K)TwEr%Y~BOkOr?wCargkzVVPf zK-YK{YtWWp`KaP@Cs}(ULV$`IO|K$U=wuJ89;9E+O#`@8Ts$t2T4LNbM>}!;vAF>N zyfgWe;W|*ULZw6U)YBGE@mIcc_R5dX7QNsj(a|B0)=A%pWRE=3L{Bx{VvfZ7s?I`B zt1K1pD9;z8Da1WGukEtq6e~C@iK%OJArI}b9n}wC9q2Ge+x_(cr_mfSW$m97daCZv z`euyhuTo2;PLfXjQr$y{Q+dJG+SbbLKHS~l%)G~)#VkA-G z{QBFk3yj_H+6J<>tXXf>K0of<g*HTJ!5_ODuyZzTAjXz~ zF+~+BwRM%W@BvoSY5hmykKYa_)ZNyKt!x&Z^g7AJTGCxhxOU@^ZnKnlua`p@j(ppA zk=WMLD|ulkw{XnV;P3#HCYh!iBG{?yR2oK~7)X=pWVwvs2#U_zd_!a(y`Rl8{IChd zKEMomfrO0~wSjqVnIf%dD!Jo7k}XUt+B>0ZmncU(Ra;fJ^gS~WdO{kB7URW4#uC%e z`37?&{ccuAM3bS%O}6X*q}6Z8tv0;ZTl-wBXks19{TXur5*9?Ne{PUWR6Djrj#N;* ze3m~w`ty-G`K%ab&|sbwW=o>JmC{g4HGQ|Y4mv5ifvhMlfQO*ne;_xtu>G>5i%vRa zi@DicwD+O-cui^>e&#ryq1|7GsTlR^x?=IviIFXiclsS zRY7fRjJ=SpEHzuR9&DcJ|7g0d^|H9BFrT=1RvB?FnK>j(Z;r)|>G0^Cy{V{s2+2Ke zys$n(pPwoPvD!XGAI@b)MaG9NR2|mbH#ap6ky#%a_fqG&J&Q%-OyWjg*_OQViXwGR zpRzisEQW|20E%2S-Sg`RI-h#7bK08ymTVH7 zBy+^@*d#P!98RfzDOIPV{7L+A*$Ra(m3qp0mOf&td1+%VQGUrh>li8aXA3{-3Dk8} zeteGcCv63gT!@Pl>rcWicM%pB!zXXaL$#gVhKrHo@`Ek6wB>H|7A8J|$hSJ1qvnik zq4CrhSo1?QpOaH;(#?U_EWWlY3c^(X!G;MsPHavLuqGNV`tNji%>| zZuht;!wihMbVRwxBpTOWknwvKxTlBW&AI; zUnb(wL{|jx__n<(&is7)YpRp$BI$&MAZzj#Hq_=sSYui8LFennyF`qiaem@f&gaR4 zWeKGN{Dqc%k3PQ^o)TXCeHDU^`%9vfk`E(9{#aE)sP5W|YgeY?*Ie^1lYrR$ln`x5 zI}XwD%Uj&Go$I1E&1dTp102_AL7D2u+V+mt$VfMTKJAc)=446!q6%^3xZO|jb*doE z0knnsdG$KFi3;&~zGk2Uklf0S6FbvlG@)wNW?F7iRs4Nj_P#-7r$tNcJo> z3y;$A&$mYpgcHD*O&Fzv$ABIuPMdx^?n0MRj3UrVuu0#nRQ{NWX)j4#&nC7%SKld; z>=sVpKXJa~W9XFLe(WR@a_X*l$)`9(LC&%YLGcF+1g< zUX74VnN|GQk;*|Ym5WVm`q=1&#+w*71o_O7X~{%fbycq}Gq)#@|E%G>R9>XI;CsXM zB=j9+sJHOp@D8bK$+%tHR+b^mi;{y^HPnsAj+flryC7MJ?!mG;YtY zlcv?LV6u7^Ln>RuIYN(2N!0dj>_Kh-AQ~I-!fs%9qt`byRVw|(HQ+g4(5i(hoJ#V6 zv!Xq{$!yYKdwC+wpu#@8k3NzF^0pAfPp9|D4U^jc5f1Oc%153d4&_YuF3RP1vx3Xc zdcE0@-Q9i(L5TgClC^H|%yA9Ff!pbSd`lH-#wP?`5B#<8J^W){xi!&vF|J>BTpprs zD!6-NTot4icQ^kQJRu#-PHBaVP<^U57_Ym;?vk~Ge6{wH6QuWtb?CQY4kQo>0geqj ztS8%V7n*v)X!2{&%`HiiKX+uh#Y^aclD)I`b~Y(bhvqn{?TUv4pNl;_b}zeul!xX~ zXzrS+T_HTKSnS>Y@bP)4^u7r*6P5( zmji%@embKUtshQ9ej962zkDiD8ES`#Ac^SFWDE@trJ~HPF(MytsFFQ|@c_NQ;6JFP z>7FHa`-p0lAlfvxcsmnmQEi7_=r zAAWe&zn_W3IerGCwq|qLtL}8|Wjhy@4v`M^k8Y&%tM4nkgQ^Foob6XsUhq;U{D&i* zh8}+|u%$G8IH#vYsv(JtJTIT379Ru7Foh$5_CJYbMSM)xdnAGgfgU?(eFka9u@ zkfl<3@AVCTkYPDnG~sJP2V7p!3ycL#CepeOc>2EAYb^U&<5s-f+Efp;-l^6y2SRefewA$^|Q>8G4gi!R%>dW zGE|L1@Fe{{x^WthE1gGX>)E7ZT-8?}^$kidMbzR399Q^L@?NSB{BzQwe6SsQ@P<^70T19zc1Ap%1aZ) z_3RN(?Fzo2290V%bfSE)>?MF-d%4(OA_z&0K#*^;xsJ zunCjmarW2K6=%e3mgT@WL(LUuV3R#l{;{mN*Xr>1$D9!=dJ3mpuNEAyx-YOX?K0_6 z!#-(#vMG$5Vv;tZ>qxBibUB+Pe@f#;&<8ixK4*c0HP>PCA4#sOxQt3#eK$Hg>@+Vs>Z72gcJVlUF@8;e`B#K&`{>ftxW~FCad~R0=q^S@E zyX82(8Bx`dy1U^iJlw{Zq(Q>H#GpQh?tr8oGf3nk<%6O}#)}a&9pj|JI+$SF}Wn=*3Sa0c^hhkY|*_oIc<#w{q=$vsXV(Pl;iaGle8c1QH>3t(zP{As@; z7Ubg4?Rsn~gj99DuV`(z!NrQ0$Zo|VtFumeuXaT=?ASOcwZuh%uA-!qB+JDjQ4(=+ zAJCWTs8r*Y2;zKF@lOpl?J$l>?$PMhUU74UI(p0ghjgB6sjrhF7YT4%a@x*eK1A`N ziTV2$ftK_0CXsdSr53LPU|JQ;V|j@|^mT@(AN>VN#JKyUDnuZ_%}uJUI+OwI>EZ@% zoHIqcC+Ny2kB~X0W*HnmhvW0xFM;CP8=#(Y{$jR&d zdytn49ZUtrd81ge7D;B-A!f^z5BQAcL;EfI$irFo?^x>hEf!4qlN6@f)5LHCZ$2?{ zlV2fS?Rk~?fuELxw6;4x25?DOogzQ9KYO>_-27ccH9@5lz3clb2rv%`n9#Oa=3Au39Hxkjhz`-Y~ROtdRizD7E>N1$5C zAKmdV0WW^kQK_AN;-csA-A+>aU-mPEJx`SSNwKI%-_#Ie0W6geg_4k^Vb4*p>#Sxv1{^g4i z3;D0CdLK6O`Eg5*{-8DCxt+Id(Fs8UV#PIizH-eqS3(#!FNIA=V%6?42tfs5tJjVl z+StClF2`1TGJ2Uw2xW+4#|rwOKdrmjQKwou&RqNAQ{w3thm=c5Rd|KA^?h3S7(B^7 z_Sd79vTn;0e8TnYY@|RDMt#I)^p{;CYvfCq2#6s}jL)JBq1MwW+n>|+4r%W@TPy6w zD{j*gP1f(j+Iya48c!0%HI#6&#AeP>1UEU$*Hb=3V4la`_sb8{B4jhII%-AlaZP8*M^U5o5D6+!(&Y+Bs1a!Y#k{Rwd_`>T3I zh+TY*Ib8k3)CjdWK9_4Kk-T(-n?r2rAIpk~DH0WdVuz}Lk_WrQCngV*+&lcj@0-gk zqA}SAeV3wVPS)u*e8Vwt{VZ2@lg z<7(XM)6Gt&O*{IR2cm(x4+?IJ>$F$ejHD_gIv32*g8&hDNAH`=(Xs3lDvt!WGc04C zC#N(X!-d23E1C+MB>M_@9^*x1>#pI`$zbRMtaF+b(8=-1H5;7F44ZP5jkRfAON3&S zTW0oYFX13N>@4ss7157IE_*kUZKsacXH;Xu0NF@!i;3;0+ZDl)=my9udBLWw>KdX6 znm^v}kK?LyxK>Z7Kq{}=aCz?|SBkA$vS&LII=o40bWHm+VyiWucky7IoGf0Gn%*3R zmILF318RTFEy-71;(L%J1mmq`2K#b4{n@M~d~FVfKDOW4S~k^~&&SZOavddIPZn?` zgD?9JVWVB<)M=UI>egpkX725Zq98mq*bQ{j8K!?fXU8(98j<4CzwA!$cZ@rm=iXO% z0K7wNN_rn-fd2>m75iB5eCRATsm0K@_!K$B9+@zh9h7CPXA!pz2_fA;WcA*W$=6fr znU*LMd7O|ulY`=~e9Qg`-y1zkDBSJGCrkDYv-M2sus=4pr67PcnZhzff_l%B4(&jD zt!L>JL6Nm|jiI|!h}t3jzLpKi%3vP)MW&0^J^=mB=iJ6oh3Y@xO-t{0TaMRVzo+iq z%GL~5YPx$iWajjLG|RmkXe`F#^?PFx=qa;-n#QkZ_d6)<<%eW)OL=eY@*%{pFxCp# zM4x1j#(op^iGp+fCa2__HK}KD2W#21F1hTptwZ=q6AAl9`eTw=oUPr;A9*y1^K2-) ztx<)x*`i7&s-YJ8ZV{5GWbGC8cAep3ZPDIbN2lT5t8^O7L!BPt{3GK&C)vDjntWuf zXc%MTXj4QQY>RvMC&0|u|%3oI4r^&Jz3({@C@Smeb zT5tLy(e^{5#XLx0ks7kA zKgU`z?t7I{8klVTRc19m_kfZ zMQeplWvIr<-`OW%DoUI3ebsf3Wh*p=yu`)sMB-v5uE|JiUQ#jHu{}SwHrBG-3|q3- z+<5L9%af>5b+@WtIwvv@_>*kgn1ifTvdFTPt&^Uv_aAglwq7|Rq|OrJX4EL7U{jsr zll<3YSuN?P!EJIa5M*?$Ik&KqXz*8>x;Yg#b6_f$7%rDOQ<%gN)EPt&HQR=>VTMQ>z6>>}qJ{kloA$_PP*B81d&@BZ=oXW(&|2PM#7cThd#T9_e@0!aH3PyDuE(M7 z4ij-v*QPBS_o7W%Y>@xW(s8S`9J>W&NCP{YP(;LiNqgnI> zP+>Ma$Qk3Bs5W0WM1ISDX=7LG7uvGFqQgcRG7UCOl9QIaktVv{6Qn_1MLJ<}tOfCmk+BHq(#hTT$}Ijv33;2n@x7mz))^Q5GK| z(G!Mi%1|jgQuTBfigj%N#A=@V&i+vOFZ%;0UcLXn#H%Nk?_01Cp}R#77DNQB4GCEG zuex9%DqK|fLBIWX@hYkN5C7(X@N*hg;QUMTp9)yP&Rc77~DCz>w1qUe$G{V?a5WTxW=Duj}V<<#8+3m|8O|`{ZC*P zQv5EA7iKSPpwq1x?!pF*DMW|HUHS79H=0weRqN}}O=fEyU5O_;C(Ew_&eM3COGO`Q6|RG?F!2k zpQ886FLbEjxD27`dPT6W&@#Lgfmf&F=HT#GZdICmz8fdr-SF9Df6|ov<&ImXuv~UE zQIx*sy8nO;?ejvO(@^;3iVAiXat#lKx=Eg*J(Tv;UtA||*Wmznh?Dz5t-Y?I-F=U0 zet5&ZSOgJzy_t>6QG`qY43IP+nJ{{XJxf7UPiog*o+}cb=^2Op;XVWuWr!;^OD65J z1suPaQ$W2#um>gzceLyiLXK*dtTjtVd&anx!~=6agzDNAWF57n#e0fLx=tTrEbCYR zr_r*qV@w(x|Jb73h>Xy-p;h7w_b)fFjEy`Np8OJXk3Jb(%YG=p=6JX^7V#J z=&r*4@{U6Lc=IXYERz_;c}84|n~YlpRG2kO89WAiMclD|x8hdO_z?d@$~PMg?NpvC z1>4Ke=_%s9^*I=`rSjiaYZbw#Qja(8?t5H80x~_pZbt~sm8rQ!ygNYqzeevQV^6TtJ0sb(#ac&*>n6wV$BST-<2>lp zth{9mEdU~x3qh(QHi1-dgi}{D{8g_H=4N?ZitQ zgW5nsh)>mQd10nDqmawK8~acyGf;JT+*zRioF=$fNy5V+zYXtieDB@-Az4*hvu1ZZ zWRk*WI-akqxWIPz-H0ATe#^<$vipbkTVduYGYc)qhu7XPp2;(=Z(#~OAb}{Ro6oq? zRd7+m40AYV^c*1PlHIA*tf1DPD7%r$v4W}b3*J{Xs=x$6&G6r*)MrgZWeMC&u8`zh zP^0#HvMu)8$4u=d4xSl9A@Rq|vlT@xO`3l$YmPv7K7`lR)&DDMI0sMqm-9dx9$Y!0cN+gErDTkI zZ8-0+dzP17>9X?C_DHfQ;$p6HU9~dRO?SqoXr!2VE zNq&2MGM(WZdt5KpLWA5pWh~(Gs`-TYui*`<*3qxom?l!+^`OHQjAU-|57)@}`b`3x zc!4iV9z+B33@`zHgplin5cutuF>7hZR&akLtL*1c_f96c(3;fOi?kJt+J~Q)s%Bb8 zr)m@}+S-xnx^juk0h$iHcuf&~wQr*6Jg{j4dAo)4HNgrNKb@%myfZ^!xC1BE!O4tYfPIN1+1zWtt1*!~m!cu;Z&K3%T&?<=5^0V(oAg%fe)JWbi33t))T z`C5p=vn8v6+6aC?5vtD{nyc?p=p<1wn`%ts+Qlt}5AhYo!g0(XYo8W)MFHF4yM?)l z?e1TDfd5h1dz^1?fOHSw&}%P6ow8D?yUE7q`R*O(oYMzr;Nt_;MmS@S*vRvRM0@ct z!5&(_1J+}$KW+;CK-z5p?ak|@`%d3op{PnuYkqU6>JPEJk(S*)pI>(E&7#%gfZpvR zxktItVd#A0sO+rP+v^T8d&m>rt=E%zBjrE!5pzM3FeGv)VZ)xj2!zrFh^oZDWOw|G z_90!JV>cF7`}Zky-94&&@GIqk9qR0}*!0G?^z}a`Nz`9WL<(>NGJRqz)R3jH>>>@X zL5y-gM;_9tcbmlsb zhBYYrs=$X_Kzh^N46{>PYIjnAqwocZgy>~@FRhW!Q^j5hTPFIB z+d>p@Z!wx2}W87z}i!&6pPmk$D9TwEm)T$VB(Wh6wX(8*-88nV)_2vf<#y7tP>!S z0ie&Af4b`!_S-G^wSU`!SN$IsiI#xx2mZ&p!0ik6gy1gm^1m(;Tnm;OCv)|`UnI`z z-$tNt?VL|<y86wy@+C05v|Sn4}l!Yj%^#^2_;T(6{_)@0Zy#&5`1j>D z@aAdcY}A_C82yVPMCdzxSEpCHz@o3`SW8w_0EJ-EO+pAEG^lgy>p0mClC|l%QwT3} z{2?9%Gjt<+hu#1DIQeDSD+*(~;ZBN-jlCfW=L_nUfks>TgJjY&wsA-CFWvNG zhZ&n9rR+Y1uh$cRh0mcbOc7!XX4P!>hyV3OeR>@ZGF6}%vS0lD+VVbOds~r{MAU-a z$H3Oefqu~s*x4+r`ooG|XmuFJUb*`qH$JAxmtS^WqBqe@4YDl9WkE zpc?MJRbW+Cz87JKb)4mfy2q<_uuOY#lk+4y_x5AUy>S(%6t7lXgp^Whohx<(u}*u; zpNF@$a|j_J<1U%lCka6~jtbQPg(+Eu1bIb~Sxoc<32hNWEH9akQ5UAV1K`)0maI+$ znyyJ#_vt6iUIF)8@mPgvc%hZm8?8wduV{@ZO9P;ytr0B*2`s+hxBOTdf(GQ%Wq_l1 zw(0|d11Tenm~fx-q$6#e&*43C$H=#PVNwrZ%?PDg2(!RFoh$hOCi+|7uijrz~$rb~+TA<9uNRJ)nx$5YNpSI8MRmx!;s zF=&A8V=h8sX#>u{l`$}ZE<5VGW;YTK%h;W_#?bx_Uo_8H^=^ySEuirNY_M%dV`i-o zM2ztv%M@35ReR&~am{Xm@zI`OKK5^i#@}d{uxJUSr;UF+;$-)#xOc$}D{MwPK=fE& zkid4XlDNPoSf_jbvPC>zb$6=qmge#mqu4xQPzDW)29ztk`zy;xr##TfGH`h(X-k@r zZRLT3x-~)>B&gPlQFIfS&l>Iu4Ku~7x{7j;I#1qn?LC}`VLy2{40uQMP9WnhyO{Hch5(w3Ix<#{bfUN~4z^X9)dSJ%QF+wZdr zkN}5w>3=z`JwY+@1+u_}{Q>IbxTLAS%{4~Pc z&s*n*93YT!hNG_#4e#I2n&)9A|8oAJn}Mqgad-rXt6ZI7|EgN=fYYM?OxUOlBxHb* z4$4zf#sO+mgDMh!{J(GYYkt-zcYmY^@b3i_p!ls;xi099_G;61_XHk z>P5*)lQPhsnteRAfcBCxu%vE5i0`-nrydxGLb$^Rc^=N{MeeeeIO z+(qeHTWbaF*4wE2_|WA0{e0e+ z*X#8}lS%v$4|5NDw1-u0 zT4dl6%sK>~{EFRXwX@wFI!;oYMY?L&HtwJ^j*~}f;N?`}R^(@8+sRLF4}mRH%qDmC zzy|G3(_9*meqywI#Kq?V>q?d6moMlEiz{%^vV$kqB0`Dh?AU#3+P@DC{ZQ~u0a?^a zj5Y_H6ku+$lM4eH0dc2zyrWDH3yeScR4yCa_Vs~?Cn3wX{q}!mH4eu*wrXl`7Qnv; zn-kpM+<)gG>((7MZ6g{!yi@;BHqjI`M(T~Cg1eeMCwO2O4&Z8V_J?&cZT^>!Ro#*e z_NNkGKOIWv98vPVW>0}~M?4yvIzNP^*5$%Mm3+gWaQ`Na+4(D$vcJ)TL&v29BLZ(Yf{=t6bqimkXc_~?fw9)@N z{qBSRrGDP9?Aahh;H?gNC1}H^!Qc5u`du{+-VXdm*S=joUu*l*x90HwGbQ>tpa33u zQ5(E5AaUD0C?5hY+kzT4{Il?(ApGiLI_cy4Hby;>W(}X53I9pVMyN)$>yy^K$P_#& zu6wd2VYSGu+PviXHL^`}+9(+U@bb>Ud`@o;AZ@$ur2KGKmW?08Lp`qKEm1)-;r40*(?e+*A3br&Btr>+qo3BHrB?4({AFt55*(5{0YaufvQKEs3R$jRy8Vs^ zp_0oTN~&SKK^~y-ZUyISp}cOEg`f8=hNq##f9VsJa={gtnk19lim)O5quNVZ#`daL zA;YFZrU39-q!GM&`&|(imu4#lQDIH1s#xG_sCGIZPE`6a5NXFe60=!em;LUIw=a7X z6344-(gKq-QxHCZ{eAFOG>ujxS89&y|Df=pcITT?CmM@<2ut2d`ed~O7}j-*KZ?=x z{K`~d>^Mse9J{M!_qL)t?rkERtplM+S-g7kuLN&!Nx@A2soCKlLTO)4D^I}MfE;J0 zXD}pH2rkLP;cNG-oE5X%=m*EnpWOB`v(J?8JgPP7iQ-?0qd@WI+|&l0ewG}iB^A4+ zOeshB!NJQ@plPH`}oE<|8+OX987Iw_I8gA z|5smz0YWa&znLFX%|QvKHYTylUtWh?CoZ?O+uXX< zJSI_JF5-Tj(dn5m6=^ETitTq)mj%p0W2LGSxoL^xWD~#Nr`iB!S8T7SEyS<)U#K#|vvea*Wm9+$5ACH@4vq8M#yl5`E=C*(P2Q zi-#<8E1PY7-RC?DKRyTkn)ClhAA$ahHO>J>>;D*cXnm-Y5IGAb@Ev zbJk*F8Beg6%VcHf%(tyY#Y|s`Ez_%|Xam&W-6Wxd&&~H5qV4APYqZjZ@O?~ zy*x#{U!dfLIwe=1^stqYNqH1wY^RYs#!2e zB4tYd&!Xo$D#=d7tHh+jK7LFw(6p>1^)kEnX^DAAQZ_$cd(!wfw<7TFmGUHE40~|L z+6JUd|Guiy-nCs0uwJJp6y08c$&3WV8-q`~>qqw5$BE);|G~4GDM68?f^lwF1PRD@ zIxb}>0^L)+sXCZiNv_fN9C3O5dj_>-BO+7^>l<3CF52e|H)$?|j&=SOgYXHY5DIWq z*t$yKzjftpGb5OZuim3XkBH5KrfW{zNudSusdXQ&ey8TX3W1P`2;(?#%ym?1D4dRg zf84xy60b|3J;>GGmLU($;x#Kio3og)n+=*FW(gU6e5e_1qP5~ABDoIEv4`G9lD+Zx zu)TPs{s=CCjI#F`JgsJ7jBF}-WD zB+V<0-ER;g!y5U|ukJtWof}y0H)A(awr-rQ_wUCw&^*pctIAlT4fh$DRV=G-cYi)o z@3<=J(_Um}k-3yJ6m!EgXe=TGMd%ET*l5*EfsdDn{yY2IDS|^TGF}UeG^Il!_yb z^ZrO%sK2t7rdp-Rhl+tu8Da`~~!) zL6lO*@M~`!nKk+?7f*{MSX@-%fiCp2G$Hcm6#!`u503%%9qQRX_%4x{d4&GWVf^;eAPTf1* zmJH0k8Q&l)>Zby%OIblajG;>!^}s;x-{ls{U4;hG}Dx-6QHf z3(71uIlJlyQ&?FhveVODGVs571q*F^>U`X)FiF_M9x&hlEmc35?#8!|f|iR8p$Wy) zJ;izQAW>>QYEK_yqpkQ0QI?}|(-^1YYxE`_mi}oh>zo7t3Q^;nOoB7JPseaKc#Kn9~TEtzTq~(YW%XRpFA=2 z`)vhyD4)<6{#f8)Vp}-$u8=3GXcGEnWz`9!6M$344Q_y=cLO$Ad-J3SE8>Ujttovu zs$)Aa6j@p}bF5X>E7Qc+-#)^D5ImAGSAq?2cQQ18jDovD4nu-5gX-~F$3`u|1`hfpRU$=Vel`xvMH>7cu|0O#qi z!%yQw>H@Bp%!KcZp;w(JYrhmh9wmL$-5C)ccV{jXU68^3t~}g>9Qd*o2fo zUe@A`(EVIeRQD@-F>S+$`S~^1@Bd{c^7^1g0MC@BDV&Wt3N|7Q%M@^RD2@X_T=ggd z>dbrX5V|}KbC&s+q&e<1p+$`6djKZ(UU=|e`~I2=+6Df>&mdUqFD zb0HP!#@n^ol%pC3s2k&7iGORm%%wbW+s6`L|JypO?pWRMe%`S@LH+PPNaO`YCN`7T*a48mL4+{d^i|~^lpldIuM%%~&&XaWw`(fQ zMRM&B666|j8G4URAeRZiMD^Lb%Ix}C^$qc^5ff%Jcwn=^EZ?TUpG@YWe@s01tVeY; zU(#!yN40&Q8|Qc--bt=nVKQQFFb|`L=nifmNq_hvM<7**U{GzB_a@66FmnXsoJi3f&R{K|1NGvUJwX?|5b06IzUd zEBlVxTHK?noK@@LWT}z~TWbrm3-myM6S$Q!IE)@+ixCpo??Jdiix>!F*fz_AxoFOY z1fDz-D3$y>96lI(M~natOsS28P45mH$968!Hh25odoY*=V|Wbty(?ca&!I<922=AE%8cd^PhUl7@G(j2jVySVSGUG5iHS@zy;u+f`_kn2N}2!Qt=IJ%2n{TVtkW#GOb3$thV-o7arF}kR26jKhkp!_EBNk*5ho_4MS(f zbhAff^R?C8iZhcKhJE$aP_)bz&+g?Gku3KyanHFu1|r7si99DszAm!nTP|u<+>@;< z*E_q>OL!ti_gm3dqrD%;bP0fXrsSxR6Mj%D6`uBIM+xidB zo3^saF_uwLuC9VoYmla}zyT2qg)py#__|lHNsrsD7f&9?rj>Dcn%W z>0~0f@;+H;sl;N{6I6Ajw#%;0xk@x` zZ8HwP=;ux)mGU(It-q9hJy^f{fa+ znJ8_fj&1kHku&lo-8X>QY7WMtTpp5|T30nQt*;uHmtwO*ybSma2_e=EPHNYvs{r?e zm(%lKQ=%9ujHZf_2F8u-K3Q|6Nx-lA)ZXU~o1@auie=W6nWoD=)B?|R7Zv7ml~UiF zE2Vxs99nR7IId$2i@2c9kX2suVZnNZM3+*0GjoT@U(oCZuU zkm3?-_s!n^W0JYKd71JIq=Uv?CCqXEJ}%#1+BFGMM$}YHj6cpQM6<&Uq5>1G0{j+w z@?sn{ZYj`7-W1TDD`oZxs6xe2Z5z>)o@VtN3IOMOo&U#{m}wuaPm$<7Enz$*jo|+ z&SU10EI-Q0dluzy1^EnrgI(Nof3$qJ)7Z`=*K%5#i4OmW#9Aq0kSTI7hu-HU4L9%c zIE5jq0Ltj+>l;5QW$UZUksETmaH5xVpgyL#t=LXggYX_UJ=h=|H6MWdZsG}XH_Y=O(m-y zp^Bm1R;lG(-#30&B=Q7XP1%4R`Xvujf&P(b?m}|!0d<^^0TRGF!43zXlvb_y8ep4f zO7*b36DGKPTTcj#&`*kpQWny;J~r1}Ur;K-E`f&Q_=aJlNm6xj$~TXLp>CMF+1B44-*90NT@Hl<~kA|gk=r|^hdSnFC3zlDS;?NT7Ka6>`D5JUHX&IN9z$Hol z?w@Purl6>R7oLA))w0cP0jmOb1cLhV-(r#dIO~z;wB%pET}6-9{QI}lr~jYPs;Yqf z&muR$6p%O*&`=FoUs8aK^@eY+hOBQSd?$3~XPv+Q_NEc`KFRB%WlK*dSe3k`&R;Js z8Q;9T@9CZYv3iim6?X}E!NM(T8h(3W&)Ud_SKm#j*n_!BI98Or@MK;P8U0viK}UI( zw<#2(mIMC#{o#PLgfh;Q1`bqsP#x9gv9x{8s^x)jR~?LMNbg^kwc4$P>O9m=@ThR} zv?9RK{TR!S9IqeJ)!$_CmTXvGZ(?J)P#7o1CPD%bavwt0n*OeqlLxlNv1vQRzcqsl zm_}ao`Y?xKg_}`FI~9Qos`nwbIHs6qV=--5(S<0VqKc>%vZg#VmK%(}c zjC*Mdc$h3_Z(f38BFI>Zg?ah9Zc@D$IYNuYstzwP5*$lUL0>V5$lu5zUSWR-)UJ3O zD9+#G-;e1*nUBF(Ec@XReB0bV_yYfge=Ye}ujUO`7?*Gm)Xj-f{=BA46*uBK=Dkt2 zdrT0`#sTla7W?-yF$lU8^3ux9)0UNsVKYSpINq zw|AoM)(#V!t*vyt)*NbbW1MQRAP+`YZU>7o*NYq8zv4~e&$7g~w&vah1q40kBv zg$NNaFjIrN@*y5rxQhsEWUxB)hEiQ~kVNS~;#`sE7(!;kvOq;iTHoNQ{%)K1IIKYe9baorWhJnY`mj5bstC*@J}*!nS!s0VOPK-D79o=6Kw*Xg8SQ ztl5VKhOVeBAclhUMrS67o#04Np*#~e&A@GgI$2@s#$zNzVa)r4eL7*0Mq@koH^n0_ zib%w*ClgGvs}xDCVEkc|N&=o{(CkSn!8L8SLN`8Db#0cvl#X;(oyRR3#H5&JuV#OFJ9!72Lxt*9-TT>$QZqf)@yV%=NbB7z zuWV(dC=7_I_i<%8Cx)LH=bnGo;lW6mNoJXzrmJJZM#C*lA5r?Dtk!*}KV&Nl8(FCS z>(Mf3NUmT%e2B^0*|-vDOht@lf;jVzWk1&4+H#g>!au7G7Sje~$O>mIm2I}}%@~A= zK)Prhrc-p_EoLw)rrDnYcrFn~)-i=H$kRB^0{-~(E^H$S8~ZADBjo3WZE`nLtL?pC7kIFL5}lr!$B1|38> z9Tj5*1K*V7VH&*U8F0UnS}qLE7G4q|`*mR9b(yTf6-{_~I-LIrRb7 zcEE27Uaa7|ACKyOTsL^8K!1+7$r!WO)F<8lII3P{{&DG1T<3IuxO)bZfCS_%m>wwl z%*_Gr{Z7TQ z1@W|}+}24w*4?#Tag1<1+NsWAa~@WoZGBJ59-1jlZhn-!%H~AM^fB_%_~zv#rIZIb zj+;)(6fJV!8_4t*#IR|NYajk>%y0(4&QPb?bFQ{%e$!V^PycLx?Pn#k?r@c3=c%S; z*4u7H$UN|ZTuUq|uiK3HE3q|GS`nyx`PREas27d0#X#<%&|%(j+4~i1W>Y2GjZ#+UshoF*_)B0+ zEsL5XxHdK#$c@y-hG$;G_)p-I2RMAQglMvAwO$Do9ooC(?ewAJs8N0M*O$F@rCi!= z)9FlR6UP^+|JxAJQ8tU{f*_%E^Gjfoa@pL@kv;taE>e`iqAT6m`bbYqUc(cjT*)oM z@fw?y)~skjq{K3$Yz9tiyvK+$rT(OCY=;Yx^ub+6KO#y{lREvz7x`p<>t4JJUtusa z4loJsV&cWlVoo7<>nKAHgz&8*-GrGixI;=r9E)!loT-tK*qLrj#x3=? zj@tAS7n4N9Aevb(KxU&oyA)%(@_V8p>=coYU64aRJGGImF#!RLGX|>Y(#wWjW{1E2 zW>(2944T&s;)8PHi{mcArXZE_=uS9F-2XB5u;#R5;ylL`@svWRuv0Xa>nX2uc7qr) z234XWXvW*lrkpDFggEjSka<=by=h|1v zlMUrjdQk?ukIc7J>RI(1oqTfC6S{!2IgUG7pOduT{r;E3|46fE3+Me6Mtp0<)m6b1IV4;x0zaJ@q?y;#!}SM?6P zE9@*%@!GlcRx|P+A?Wc_+>UYhNe7%}ciartD#_JlC2A$i2Ypts9wZYGV}A{Iv<(+< zP}GTFvqv0t(#+RYOndEZglHc3kcw<%2S=}z$>xC3o7~{`+2-Yervz?1xi=hZqFLNb zn(e*sx^s-TWxny&4@CKf+gg>fO#!5?o;;E@M?HSVogsZksz%L}EI}2`MOc6Q&mPXEe=eq< zTNcHE6QekA;#K_b*8^Ty_utht$8ssh?;-zoHN9}|;J0Sd|1-s!ZdvkZz>7%%`}Zyc z?@wBm6mVD{Aa{J`;{z43YCECgG^M)>14%};IzD~%OIO7i6a zEk^9gJ@w3f9J;|tv&hed0nuA4I3s$p(8VoAL zm4EP8Ys$QRtqTbPzCp+gjy&kY?mmvwDucBHaiVT(_n7uuc)kA?G+WgL4kboGp>kx(HLHBN-D%A)MBer&YT z96qZ17|!3u>%gLx$61~1AxMEISf_g3>ZEBF-Po>`Z^cmt;2~Zn|*9{6AJ1(*@1iW*YngBGWX#-Uf1tu3(pWzMz z`LJ}X*!Y0{2>+u|GeI7`+_T&2pw)Zso1vF428W7c?oH^f7jgzk z_Acxs{ymQEIx!CZ#5>F$tE4}~WOR^K?@W{q1-C$!Ja&9AnCQx2lSd4@JLj3b!`8W% zu0E6}pn9G!U+c-|DD9{lcUa-+g9glU^fYpuePd8+2p&{lQr>8K)O=^@bK<%&Ddq`; z5(WBUPu>}pj&+mS!;=$LuO>+_z*zM4Rn)=LXsIk_3ox|c@GIOpli7%goZsPS^~WW< zvmA&!&yxXqalCC_Cq8S1Ai$GVVIrn=8uc3D~uM%0nqU;(ebns z)dhDI^WD@4oBXS%8o!VZO#CTLeQ=s=+p~?tpcXgF2)HlPgdjyxl2&)CPKi*k!)g8$ z#YTdB{WSC}vlyi$XR}xOI?8}O;H0U>lPo{muuB!^{~3v0qs%!DRZVtpE~k8feBX^$ zfWO^P;#^etYYE?yRXf1@$0l? z0<=`iev_SOy;3$}f(2)%IFdeY`FSd2c!;{^IkB|9{e$o+NR9(QPg zAe-iIbv8AhrIGY4M*w7QJ;ZeHsA0$!McAMQ4L zx1W#6dchyHh`)WSGV+~sUd30ufaEO!<`>t11bQc`W&`*za zT&3jA;dYUgAJ`@jR>d9rokp>=>!?r>@I0IOQq=gLTR)XT#K1OOEb=8cMb-=Uc-^jW zk%Ajn{1JOYB>H8^T1`bJw0j?Uf{8-x#MZiO*?$&sjEY_Gc;Ny^SX$x@MROAOHl4ir z7Tb*$i)-A61uzzTwdUqeZ&W>VPcje;<({mzu`G&U+xpY|kfrGzpeAxU6sT4_c`l~+ zjKe?q87(C%r8b!Vt}a4K&72jzDR6<=HVQW5(KDMS-x3<;sStxZRLYJ)Hq7$2V8hSW z4UH1yt#`An`LGxpZ7>V)>)-V0b>%6G(gn`d=qdk8Cy*~=!mPFIVNNGlyS6Sy06ZLe ze-38~VJ=b`EftGLXI(b|Em}53&M|Q?2v_R4X+b?m3Cf4sin%QC32CnyFLz;T4#w6! zyG~*ZqBnByV*RaL-;L_B>;kv1m6U7hP zH+cjLdgnAg%H%BTt7tK~VTeB#!-0uP*A$3hFV$S)$$Hgp&x7BQM8ASsbVZ+}q0CN7 z?fp(JG&atMIAsJMAq}7`%Icf^3}=Dg6+$81IClqohQoexH#iH zz-EjXJ7?(T;4E|DrI;ba?(^!ZQqA~Y@(N5j3~!Tw3ghJx=RVB*#N zm^!=#BE&LCz4=grYWA8qaWmgDJipCZ4aYTIKb%Mn1}9jtG_P6x@K{@G!`zTL*A-UB zp!*ZAmq8PELMS+nA+GZ%Dp(Z5$~o~`fSlnLL~LdfgTa%&%+0Mt+H79z6)hvJ_YtJi zkaLpewu%pbJ5G-3D(dX2_L|>S_mr}#S^Yo)J<=-i!K0G205>GVRm&s7aarAMCS?;l zqN`|gJc)8R+B57EM|J1fjh|(jne(j=EtQ#OOpvs!50mo3p52`AZKrinB|o^0a@L&h zLN38pGdr#Sc$V@63whuv7%ck}$m8ySE;I&zYxu;fJc$qZ>wO1qB9maEp{dx3)jrLg zx=gGP!mr`+p4(2Bjy=o;D;=NQU;?07U*Ra>bheiL-33{m*(1|N06`9Ow&P9YMVjU# zSi@F~jZm@psARC=F*MjgR`oV~;uY)k8iS>hS0ZtTQnqdiGaS^9dTqst60UOhTmCv^ zedQlVvJyr1f8j`0EP47HK>2@T$~WQv|NTE#+fB=&0-k;Tk?%aR;Yd(m@H77wv=^i6 z#nW9G#?{)~NTlUkwf2fNCx88|TKj+Mw3`$qFGd9%BO94+5JV9w2*#y=bwit8LZjNgI!mGU` zkS|Fk)-*hKzcjMJWKPr!Ei(#mMw9;n#7oY{;VxH%pbi|Zy!U_;AHMWJ{cFpxUFfw` z#3Tb1_c@N}=~N4m;GFC?zHF()qH_7d35q_ApcRJ@zF3@f0bS_$lIu0!aaqJtwEsIH z=~OSs;dvBtpqOLwVA6aI)|u`otSd>!E1XAq{J||#5plM5{WW*!l!#**P?k&n)G2H= zG3`B=qbpM($T|?igJ@Bmg9Wgam^a+=3ymnmxiM@ihUy1K)@b8zw1(c7fF$PJ>dL!dR(`uV7^3U*BbSX1 zy~+S1iJ6eqy#k22)5myWS$xf z*7{(@PRO2(=+rZ@e1ty+DpcxLV5&WNc9`jqaFp~VduUQyW=Gy!NI{mw^3z;6s!Z_l z2c6N2qYCWZ2iU`$gaI%Y0ZzB)xjRwfkJX$Qa)r6A#h0oM>5AONXij##h5IVG4IA#W zsoxaDXcX*s8`j(%X$B|{TXTwEzIzrHse?t>07Y)Gn4rpV`GMy6TlfMG=c z^GJ~^3@BhK^aM>~I6CCtE1K>oSJ=6l)$m6<6mpAxlv#(yPv(C4lzRJ_jMkTkZXJ*` zsV~Ac4PD#>8wVSrJTRp|!e-nt?B2v1wZ4vq51Q@XT&EM3s)TEtJBgTGqzeT@@euGd$?!!PO4B>p#d;NknK-txm#Ubkg5~ccVn3- z6wrlqN;ieb7r}x|xLzfzgD-zdqi?9?Y_%FCgQzTWe*r>`0}BLv&82zRO9k0Y!OT&g zxD0{diCKGlzF!RN-vZU6}vOYe{2@9RSeyZBUZWSJ%k^9Nzfx- z2dQ6Nwsa|C)Suk9n4@3FyyDF1Fv%| z7m&7=z8SULZ3~}th3}HCI>%JQ0TcIxSd_l%^Hn0#i)*gMZmNvDwk4>f4d$2o;j5IZ z&&uF{$=(tm(5yahck_cZ&#|^R{t}bs1wV0#gD?Inz*`c0#*~8msRq`&;@8@pk`6c>GZR*$P!<*Nb_h(I&t@mYW_EFvP;MbU6j7}t- z5ey+kZ=%YVgvKMMfB6FOG8Su8HyT<+fXUIXQumbaDOq6o_vk&W(G~8@zua@q&rc?k zjQ;%`ek*LYM84b{Q@I-$#H`{e3ip^Ok9@~8C~6X%l2(_=d#&c&~U*l z&5t3<_gYf1Yg4P+$m64~Uv6_&9kBwUt#X#2%hdKgocwKOZtWuk(N10|6kvBd(#we+u$j!k$Amex?~gv%dP!vyjwC;pl1lA~fDa zHZrL<=!7gNRw{i6#_K!Ik+*3@XdMmG>Kc=z^+RMXXLwd3XkRlsrJyyg2uHWS_A|;o zHTA0<#Si+q8=#MgPa&GZOv>VTHj_{Ew~Up=Qf6K2dgDX)N;l?L8Dj*Q=N=zeVLXAX z`J%FYQ(nP4u3UMjaqD>XsK8iN;k9iiM0`VR_sh*;W-W+0(dEyu#-`sB^A*$MqFgXO zR71nV`2*oH_AZlA&n7HH`)VqOt;dy1{llN0&Fx>_*0mYgd>yHZ_KB)25``#bIWdlk zvdgiZNlhb`sYv&V`P5PRu-!k|bXI|BTTC`WBDdKGR=CVX)sG{F^lpK^s#0&eA^YF~ zlZGg=L9%3;%)d?aWo#FaTKmZ=&J`O)vkjqi#u(F=6uV9&BXNS#)6 zpT$d{cnK!1jw+LRs%c}c$dheCLu2zA5LD?nAtA;Q<}4XlAz`*5_*JX13B23Bqz|m7 z)8b33O6+|#l|+hY_S5Ep!5}RC^Y9PK;QzqCmMBk~C&&|iC<}+eCAK&=bEN#4Gn%q5 z+^I!$+Kj-ZFRPHaa756UXPT+qtfyAGQC@~>eECR%1DV-r5brxh1B8tX zxq>cv1Qe#}alWBpxo-;tne~>;PIgqQsjV-u{p$rc7OW) zBKn}pslT+e#71$6fHN9M0o=J90LH_!%` zja&Fhyord(^PI{?_y)4~XYGJG)Uk;$-z!4ziEhz{5rHSH_e69fq<@Ey!3v@@x;d0B zfG)s4{MTebw&eY-S`fbJO$b~xpN~0(-Ik_cUe;EYZz3!5-4S**<|w6Ar@>}`o+B%tO*?`#Qj?}BRE0#&OC#@> z%(StIc&ZRa??7hhdCMVkrfYNE9WyjKo5btgQ-)1$wXY2@B!8^qq5g6*m< zxeXuW5~lqYYq276f!4o}PK_hNodXv2l>kmK(e~#U_9L84Lu|&|9WiDHTv1_G{4fH3 zw^33$O*<&ww}};&4u-eb=Wm@kIieq*0c#CO`FQYJYhI@%@+Az6>}eIx`0mQX(o3dW zpPf?EM4!1%`hnZuu*SN87k#6OxS(y0aM=7~!+-5?N^B_YGAT%6ZVa}i3)@a#&>Q+( zxNutu7iM~58PSeNQI6N7nr9MEQuf}MHiR)Z(y8;cxBWuKwX&P|M{ZgxI$#cRqxvS^ zhs$_q{hwWLU~*9O)u0WJ>-@oRfxIN#mW&YiSKZ?DF>B-IR3lE-2B>;rG}zY(~82J?G@I&iU5aIpp4~a6BZ+Ih7ngoYwV+vlDdM zzdSK~NIvm)dp|48@?ELG>&Q1ZG6yt$u9byCNylSp9U?f(YGzm=n>_lU`|lW!B)be za`wwZ%^Y6Bb!wy&<^esiaRiNjns_~h%0cNYp);o|W_qA&<>wG*G^Np=#{_2G;E8s5 zXqGVM(vPBtb?~mADWKN#t>A63Qxelq&4(Ue{h29y;pd?q(qf~AmYaDqdt&^x8|JYn54EWz@QfecQv9$* z=uHWWi3Zwc|GDMuS7~Ge$nuhOx@QUR+v@lKWxjKsIh8XkFhc1 z{b53GsbUx3u>ch|-G%t;w{?&C^vz*>cg9%E_KM3b{XuFh$h9>;=h^gS`^JRGl#gM{ z_GW?V7va#mSC*6%d3~pK=LI8!%Z)oR#y7-CVmNxxluUBFeEnSlH8=r8-*VZwwuqlW zXU5?86!sv^*+ugR>M^@_s41qet0DOmLRGc?G|AR(b)3aL$G%IWbn5?j9w#~tOpYps zHHxQ3X7K?HtvGeudg6BPukSC6Buv?LHkPihZZ&ZK0t%#P*On4&VMNT^XM}!(RraG?MXG#e) zjaP3N90-p#3C1?Z3@pD#0hJ=LQEd9JIu76Ydw#YL3G+qbXJ%09XO)c8>9ehpJJym$ zCVg1`7&UdJl3-Ny!%%u$dZcBigca1jB$&z1vs@9>p&+B#1Q$I*McDJ-XEHQ#X5*RS zo(qh+-$#}06{Jf1FOOF2jYb4hnHF;_OG$mj-r`e=Aks%%_{0z!&*Nh02Q5`YW~Z!v zfH=QtVX_}gq=_rTBF~lU1G3c_lqw|vz0%?PUH#4T{sVqY6>&f3$iwtw#>0Gh|2oH3 z5Pbk$W;5p=3=Xo#*^HC^B-BgG?V4Aa>;=mm%|pOwXP;)f$krkleXH- z4D8}zE;@tG=-gg^7qXSKn^mVZTJbZ4PmgF5+UpLzEB!##(71;1%^5a9FwbP1KK9*d z&!aP4eYMX~?rQ@?5wtNQcnER|AuSO=jAH>VcZ5Sd53dP^`jt?IgVH6G@}&jv+Oy33)8_X7bo03pC`N#BkQu}Pi{eQez*2A&N{R% z{v?=PW4$Ds{=PQG-N}G!dR3Z2I%X^OrJFbk1H~jJk@*UchVq9xELlF!$|>6raUnmO zOj4BI9|+5Wbk517)4qWfDq_Cp!lqnQ++3Y3m}L{S2>8)n?lU`wieB?sl9Gf)+Di*N z@(=~DopMgpAMy^8ot{8SG)7C&Js+E_q_y-OQoMs1-?^jj5?qkXyfj!1jsvHus~R zju(>k_5W(_LKSCbSZ9lRw&As8?lctjhjXu*gzfI{<$IcyQ^p~zM68EQ40WY)D~6>R zU$W_2-5)hAcmBhIipD9#fr?7~f9oo*E~W(pE&X9YBzque3&H}n1wD6<4n|r)B8FQt z!HH(94i>ho+`fFDJ3ua9@l(;RXQm$C|8!_;dH}sDTDs~yQ|(RZ@73%agvZS>iM9EbB+WV2cLT~>QOvl5yrpMIL zv2>>8m;NLv3bzwixtGD5Htq}CdhBZ^L2lPLaS+jA^9H{sSC=ItBexJ=R5S*7bS z8gVVb%6cVLb+OI26@zCfPHHycG<1BO(HJ<;@mxJak}oj4n`9EQUMQX6E8{SK1sy|Y z3T~Zu4z27KjS~X`fC3#h^RXTF$=(>DBG~jKaW2=SnGEb4)Lx{hi)`lFNs;P6%_Y;c z{M#^ugko^{j4B5z zr}t(~*M3*gAtsVjmzaDke?lyom--J(!@eKtr@=UjuGlM((aGC;f6e`7=9EArL_x9f zH}LQ@^9lfLnic0>x_JcA;c1@t+z)!Y2Ah}jggWN%4G=X+pZ;N~x#p7fNjhz3!&9Q% zftB7Jc(%L!iO4#OFuSD|RenZmtWZ*2A;&YWZ1GKGZM zG}z)BwjOl~L3V{c5FjVy@r4$qLWeRTu0IJZ#!er+3P7)x(mQqqC9oe%WjTcIWOYhfQ#NduE zdpen@zr!lei0}!>)({ST)kQ4Nz|v51TN*W;$oACUxz8&m&c|CW3Yoe)c9qAUmZTu7 z9F**cz+K#P9vl6aJREh5QMUL0Mz56o~~mLv>IZ;RlJBh73i1aWd6-hCr(Tl zdS-O)KV(oiWv2{10kkINQFgF3{sf6q7!CYL!a#1c78qten41_rV739zn|^wv-?T93c{D+A9K)T#@-GtHzTsJJ4;b3#hSoIgp=3h>qCNQB zyTcZ@jul5Yg%-##!2FuBoiTfM0+F4QgDS_6;@NFPX`qsPcp@5~+LcO;5_rAOK?iZY zXPzC|ui*syxJ$ROvY)_JJOnxjoqCGV#B~#fi4#|=FG4rgaFEOw@8$ZO&^aM$T~a%w-DBHEk7^0H*WEwhJC6a9~=yaqbGz*w~{CI*;3!eB6YaFvUsWd0l7 z{&e1yI#z`qRj~dy*rbzb9aRe7M9;4uG(0sOLpCqZ$|o)my1^AZ!X1&SbQ*>xVRlw^ zZN1+VL=j9HF(Em))15KA9|skiRxe-f+bUqwd>k5x_q-WWSbX!Zdy=ZauoWCg_Jok{UCQw%-4ACpmF9vav55uONV_sdL1CzE8OELW0B zxDQ&$?st!CFH5|G?3+2-7_*x2GifG9ZBv!nCx=dpM(8wuYyU|F70$pJ7g}H{)?B&G zroKNCKh4hJsu!=H$tNZUvP|RFW9`kS&aj1wu!V8uFaKtA)!Bqp1Uc?cZ)tD#ZRFMI zrksXb$4syf<6>nbX5&P3l%H=uwne!P7LNGPpM~Xlf}HiNByx zmuV;biG9%Sh83ucsKHN?_zc?(v18Jac$o@~!UE2g=sZ`1nPlj+LDRz_lhe>AXL>N3 z;~mgFQjiiL?4b|$3|3W&Ozzx~fge$gtMd`Z=sfKSm?F*(Fo(09%?mQlDmBXX z-65^;_~I5i%C=Quy4c;WzkHfk+9>HGPpBu$_7e6@3NODY!uG1)>YQ4mWobo=nQKg@ zjDsw1a(bW#>5Tjw_OrH9jpjo)ZLBG04a9jf|KZy+290fm=cD=6sDf#g#qfHTJ!KVh z*Sy(ZKd{oNGSF$6e1+Fu3S{VM32|Yd;=2hn2we`gr{}^cOs(w(P27l(V>}kPLdmIt z@KmKQ?Hj4{62Uh!D9ty)8yipo{o(I3sCSocUJ|_Y$Rke&ta?6ZLr~Q7K`#XDJ-n#9 z;Nd?@khu}G3qSD5w}((^@&Et23xx4B1jvBr*v>(fw}fGM1R-JqPsb1@o6~qs(^IvQCMh9fCxtZ&^ZT0-$`2Xd&zG zj!2ozc=8u%b$v{}l^-@s3l2Zsv|LG?E(s%myJV4Na~kA@WRs@Ia7f)=d&e*Jge~m& z7wAVOOSRxn`P{G;!~%T7yEe4kM}l1AhcU`>*)QA=dG@HaMxCFbzfvFrUm2d>%+aPF zEYexsShwiK*Usir5i`y!_mt>097pwjK3Fi`dyyX+5dgAjNd0M=BG_>v?dTrMxC7E? zj`o7y%6g~jmkb{fxBrK-caLlGzWe`cg#^&ruCujP&~9t%s#fl`UAu}cy*p2UiUmSM z4!L`vcxF>Wijbt$0HO%1a;PmKySp69F?SJ2C5P+Yids2@`&L7WBwXLMVoCzZ3OSS{ z*LD3~7j|9uxcAR*kB8kJs|O6p=X!r$@7L@3!ryg(*yyQ|VYoWQ>hy~zJd$+PLhB*$ z9@J0!VGNidphgMt#hEp4w@DO- z6R2dV@$~9&Tj(gLobzQR$|x2}$+48QKh``v7?mx>cg*40z(@H5+<={Q`Utoh?&I(x z!xU2z>OOVXLDA9?X3}hkF}v3nGs(>50d%8K#_PV>j?G6ch?>;7*J6(D`Y#n)R01Rt zsFOH7Sgs(`dGoP!m;)3vWA`n!34N-gyg*Gs+f*Xr89~RXIzFF zpuhmh;yFE#^on&dwjDXmI2>TurU9;nA#A@kQuR~*!m6@5rWyu7-eJ%AqOUca?v51Z zjkZVX>u%AShvtlp9~3!c%~I3Fwyk7tJR@Mj8OP8qV3H)<_K&H70$EEkS=k-0O_R*e z*VsKuj-vG$W_K}j_Gu~X`Q0ae$Fns)B(JH|29I3+P*HOV#%!=Q_{`jC(~!TpL@Wns zCXyQr>3f6@C+KayH49vz60idrU(d4YejUmDibZ;|?``%CA!a zxoa-35V4IOPBS4+t=E;qZ3EX6$DYhtq{wJ>?yRB(tleVnr+K>BPab(AhCo)3jeQzTLBisl6OvDfPsL zY#Iby&YYeycx>H>Uxq@@z|HBA=r9jgrr75QF&nz#Il{lzvNm?9?`bBPuy3D%*~Yg?p=6q#KTEH_Ll^?+mA)?R1qJu{*sTmGxNMY ztS@{K3f1C`p1t2K*(hFmf$HZ))n?-|eSXU-g|jyPW=$29NS1yuXqMIz=e1Sw8CrN?n@Cv)(UhY7+a_Ui~qzH{%b*;lV zzspz3rJ!m0uoBR8tkG8e&7g^FZ@Jj8CNKZam5_eAEof~3vAw0`B{Z0L4@`P(6(Y4a z0@8YVAg|5#BaF0b9DM@LaMDJ96!gy=z}4^zjl@`2m5;4p(we3Su?kGmuJVA$Z>#JD zhn5GUqIT`9W+40KH?+B0wkMbDWVRYMrNYx&N8m#_DqDGJ_Rcj(alYtw&w-=WNAmnp z^x=SFW69K#X|taz$Tfl|^g_>kN)S1W+8cBJ=m~v)?Y80U!Q0abdfO>V&;Sr!VBdKV zdhR)d9~j5je=O)+(1KObYjc+if+TAr*Evu8zU#fXSr^8HdZLCb+iWWBR&hhxTf}<~ zTl@=paEYu~Isj`>%;p5xsN)QzZr;}qS5blS9$8ob_U3vx1_cc^`yo94cw%_+XTs?z zy!wf&j2U=W+8-TaW^3LrtUD{y2k{&Dkef;m2gnKYi``Ovbs67>_h-Dmw!mpV0N6O>!5i$`SYICrO|Bfx= zs00eXpQ)1svU@7zXg+3kQvj(PLgGwbUKTKSTivx`o^gGA;~EhgFl^PA5|TXQCcOxq z5^{DBU_*pTHG$D8GLx@Va;#rS__?GNe;rxN?ZdcTP7f$z>dt^s> zz^;EQTahVX;g`glXe-EF6Z#|PPA#xjlx3SxZ~{t+${zG*^0#0?-%-#Lt z8$$8MQ~$Ss<{V&BEK8~@VmWus&*_LYah%5~Xsg`qn(rbT5&Gf$B_raKy2YDKe+n(x zY*{?fu=IopMAj467boVrKo?=pdEZj0Z<6gXV2L2x8L1DkPWL=~>a~FdaYGAuLG1hi z)c*Rz=2*q;qIB}zKssKGR!wv;A-(&gLbY%*m^ym#jZpgX+pA5_+htPdDPOFJy>KV5 z5mA|51+t4z@s$x|?h)+xGwa**E#LxK*p30+pU!oaqd$%ujATUhuvMdDY*(!NFBjc9 z4;kJTmTMxM*-Uc(stX2@U+#-)C+O0lNTGc_vtw<2A2VNTP&+oUVHU$EmceB!vn_e` zSHK~|6lrC_Gv342tS&8F&gFd33MTCU#=5Moia8eRS!A&yQEUxwk&kQzY?*lPD;b{1 z{OU4M$X?+JVv4*a_Q4q)IFwIsYaz)~W17OMrh0MV*Ji~H*OPM6_P1xMtML?Bo2kJ1y0QB2cu4+BU>?n)t zF0p#yzGj=BI@k_8#IWar7^w}PEo0eAMcoyX!Qq>W67fFm3rbp5oE!>;hL^+XdkXNU z^kU1;hEgcpaihPYHu_^o5qK-w)&Wo6;kHNoH>RudTm!N*L2*Gj{yW0PFJlVn({M!V}!VNRlOpg0alfzF$40L zi8IDu$b#ic1h|nQ+#zKQ663~SvXFB|bco7ubXFCRaw=&e)N_5;M>V{C35xUKhw;uE zVe!h^`UEkd-6Cq$57$ zg~iNPDt&sqYpHS6K_JXWfIQ?L?nM=B+C|+()-@Xns zw8aCf#FldPBJyYQ9~d9zGslRtWfnNFcfVD0QjBQtQ6~G`+F!R0MP2tP&b{lK#Z%o{ zRQq9m{LPhY$kFI>f{;R|w+C*%1~U}~T-Pm{mxtlMV_^JLqCLQd5iy}&0Qzj0NpB#( zYzP|9mh}rP#TDPR&v^L(k>m~p!Q0FZ`*tB9!wzwYUcXIg}5D!;R?h)flTg$gjfQ40iBG9PR;h#Hbs9Yoz`A@wSdXDpVGOR zAHwHO9GNm4nLYmsTs;pjUCKel3THK()_WonxR1Y&{7OWs^H@aVR>T#XBWAMiPxRfu zFudX67~#_RmispRlzExG335@Lf0!(J^n-u4*Jdf}L>DOu*>- z$tBlV*XZ?zT2m+?RUH+0lF1vRzW|J{l~r8tgkQURYCv@frE8+G6LWY>l)*za|A8%YbOlAQ^L8qKr?1CeE+BQakt?Ud$6-Djs(~%!rhOPolaLs)2l1&AzY*9!T7~p z<nkul>*ET$ z5$a!WS=CoKDAlojGyNnl%k;I`7a1T;^sY49d)A2|wN`JeY5H2WqMaz7@kS%qUa{XQ zm>{H2r+fAjQ~Pv`x$bfzj->(F%AMjYjGcG^I4M#-zQFkylJ>CJCBd}>F2^jSUM&rM z1&oVckITc6+y?dwGDne850g=x9Zs=MAnV@i8E4YTLswq$x!0%AnFuw6JXGU?aVzFk zm4`MGlDDXBbfCMOMD9EFNO((bLzmTp0a?i@Ws1rxUqt4LCq-Z?Ol}{Sjsp7`4hrM#tW2mJNrxdn^w`lQtm0EN-Qr98b*ic)_ zD^65Yh43LWNm4upkAlUlU-yx{H#bcyQnT}KZw$DHk^V7%!b36VFxL(^4TMQ%!WZ4) zF8DhLBp(wn3j)#IO^vfuJ$9P??EehG3zu@Dk`_L*FfN%B8L{K@e~u=iieSN|9}mD6 zp8kLTGMf00T7rkTPlKq6Rss-J;WJ3Y-%i0cc%hPDa?!TMuXbS46@j5D4K6JWegkZi zZ{5A3c0>_Z&PNfC9$3!PG-v#0JXN|N?mIaz;_Zr{V7cPs^AD}48rf4-Nlqesj^z^* zHwz-(&E2MKTb)$HUqnkN^?ltf+MHUCMibR~_*aY|uG^j8W2JXs>Gkw-h350#IK@a# zlBmPHm`ybX>>u@YnUFr`9x*QhfHYb!^=(gA$r(()nX%s<-kYHvZb~G~5zW0yl+K)A zr;Z{8*S?C;y(dJ=Hyf$ZL^@E#2!K-hRM|Il60p#jB51ogOj01!wwX?tFT_F~(3!}J zrx07Ry@woCzXy{|mM(aa*%gmDc+$I&m{oC(F^SVuFxY?SI+yJUf+O&eV z+V*(N^_K;K0w(Qx^-1Y`0)02;R#zzwRptW93#8dd?9jkA>dNM?h*RaUfZ}l7y*;&o zKsH!GhljhKN46ot6GZs3_h8?wpPMVhrJ15r*`F8eF+E(=8{Ts9_O%&lL6xlCRB}LZ zZt79ykuR^_2mwXPBEPh~y1~sqN8ea^9CJ6<27Dcbx@hB6y==s#Uf^b9ZBWWW`xCv+ z5S${vv=?os z*A>J(@HtTHYFNEIRX8O#UJrvdM_CTFED)2ygM)j_=z{{OPS#Fl`vv$m| zrI2`A#+W^c#olPFg~0$33r7{_|N031yis^KR3wYjnA*HCHt`Gq9*D-XJp*hc-Xh8i zXZE&D#oLSRznLbE;$d0yoDr#cF+cBIvcVri?nkO4OWLSIMY@?hS#D5k#$rg zi)m~pIDBhyr{>#0G&azUFN}Jl5BuGdM4O9EBt}8QtR`?amKWX zf;gr{dOLYpsPSVy^f9)#ge2!t7D%@Sg}ERVj^i})x#(B}`6UM3lGe6WnF6;L==TG# zB{PT6DY#Z~7Iui}_EtY};+@7%t1s^6-4&KOJ)ZeNaRbe34>yYP99MKwcLF{ZS$+Ef zmMI5=S!X}Rr(ICa+3q}IdT&lHLY*aO`ZPLv`D;W~GX9~aw?%G!r<1I%-(hW)gu2Qt zf)XnIQh5B!0TD)-p^CT^%3W3FnW`$Az1wsbJc8?vW5n2|R?mt`2+8zIm-Cqt@CO)= z!OR^N)zRtQGa$uKMdPR3hfq&(b?;ofM_YfFb>8Ye!tA)fY<3r*U`Mbf)KSPH`q)}? z##6hMj~dwlMv7$(!trAwdI+KyfP<)gbRE39&t6C++vy)p`)Ewwi*Z)>{<8!PJ3S=m zd+v?+DI{nZ>Cevx$M-~Rj|(+p@;-i@qnJORmn^%nWMks|{_@-g`(kqP`_ce^-O|!u zyp-|yZ<}MkFx`xg4BVJo7umY$m+`{HG9u$%I$;Cix_W&2MIHe11NuFC(m2S`Y>ov6sI^G4q#c;-^r7o-FZ^oDB>)nkd?jt{8kuK-(4isKLY{>k z52~I>b&PEB1fd4co&DYPIOGKK+xeOR^pf|MAj9oHpw*Rcz9n`Ll8a?4k9q>lcdMBB z3?!88P!LPJQN8Q4nKbsM99DC@0Z=KOJym7Hc0PvNi4Yhir!j`%aYBkbit|IVm1A;S zIc>hXVL=Mo$qqb(q;7CrMotsr)gF`1J3hp14M~`WX-jwQ6F$0kirl*!1E+S&2A+=G z`$8fXXkBI6(E3*F2`IclyVp$>NDBs|JRK;vy#ZZCL9a$v%JOAx|JWH`g(IERiv74rez#tm`!^YF9ooT|X|1^K^)D(!r6%bupdf z{=7U@wgY4_F7P=ijxD0oA;FhJ6tyici&F{3tyKPhST#pE;)WmjdZ@}zfl zQN67)$5KdT$V-T>h*_{z0;uq0vOw){4vbgkK~>_)o$f$|@&Il+(8$n}RHvwqE0M+e z^2tgSBhjijVvz&T3Vq*8H|FqqNm|KD)@UD({?pdq4HOV1XT49HT`MmL0Y@hu zwf5^uTs;*dQiZ0*_h~pIy8zu>ro*G?uZwME*&Ps+TAq&+H!<+nR1$50@!Ry)=FroA zE`=?7psXQW7*3kEQ7&ZHCidnaAXsT57?x1F9Fxlm(dBVR>TrHv(vM&1*?@X@3w^kC z>a80MpftMoGq_kyS$!cqi4HS$SXm4*DN=T0Oprs|WRWHBYVk0+-6JGSPa3ri%+|74 z1;a8PF{QLKt{*Z=)b|>&{(FDO(>Nau|6=@&_(ck4;79jGJQaA;eW^-CreZ*!+SRAyhu9+mgL z|0omp3(l&U>npD&2J4odetds0EuPDswOrTpPQmgEX)DgfU1(h<3;94@{@?F-(UTix zuJp_WJZ(*-=Q>M2#Sqf2i8iI@*aS}gy&irOg96tb*JCej%1OHehF6A{18z(6ezrcb zK2Up2Ub>J@fDbgcSH)@F6HHbQD%aewY&H&lJDH+cAk@UZ&uPx$sOYqKy7UmzWnc3oUr#yv8cNey&!F3e&8nz4TZh`Z_rbw1*$8In1l%m&UGB zET1AG4K~EIcD8X-dZZ0*X^62coeft;z`Tfbcp$CqG8u#k1AZ=#MZ>Tc|3g*Zc>rA~ z6)u|@&_smBE5B75ZZtGLT(@=+@!q3nG}|<{bfogTG4hgk5n>zsH_KN#NOhD*L>Sg7 z0vc|HJvZrPq{@``A|K+3^bW?CvU*tq}RE%ONkVJUo1XY3;*xdM*R6u}(S-a}Ip-4(6fH2F^Q?~OX4KgEzA}JLo*@8Nl{ZClJ zyavOQbsor_=Tuux~e2Aycl=jxr1e+#RDC?L}J=B2V{~UcQuooxAe}neV%ZJ zZ=zOC_UgmLl^d*8wmXCFFt3oR z$Pr!wlf$0;cS+1`L)@F-L+f~}-N4)oCW9_e@?no5|F*y!B$RZzp)uFq( zcO($Tp0F7edLVR-m^YeHJUfrmF3QiUViCQL{NRjib2r|dA|cmRgi&Y~W7@I~u}){0+^ zP#ylr-tA1P9{{uxY4~UCH{C(E8pr~`!L_J5%Cug)l|96LIrn)&oLCX-8`IX~s?gYd zm=R53N0>S>vdz~)A06Zivu|#5Aw``Ypc|ghfZcG_ECilmyzIgZ(Nkg9Yhn9=$gFcu zPh6YDop=XGw!9)b8BcfXwlRTqdWthIR)eQ$-GaIwK-g6VaHEj59n! zLAe%hOxOby$l}C+YH2CKQmC&(&uB-|v>M%td5<%8=_~W6`jMT=*q^;8+FgQKRs^B? z%*?6_X*KT#ZlDha8iqDCGr}Uj^-V1~l*;r%kD%PTMz$S^cR1ttQVpr-(><|T^1MV~E`n?TWd zBbL^?8v|;VE7+15p*gv8rA{{Tpt^xl6tIHRvg!F!k#Ylxpg{D1z%Cj5q=-%mpxVgDY{;&< zVK;drgKQ}vY!rZh2GGAUT(%;++Z%;D+`P=vHs$k9s3Y`xT+i#h2XM_eOe&ZhgDlPl zemc%th|nTFq=J%JfOh~_?f^qL3x$E>zUU)9L6h#o{+nCI1Px5;r^KdI6AnT4*v1~s zgEE~>jj}j!Ga#5^bWUT3S00{@8SFXDjE_9l;@v0_VVLcJI`5V+)H7o3(40AudZV*)3(Rjt)kqW)N^DLnV67`H#1m5);PfxiQB{r#T zrp>e3@D`7u@u3;&5-Lur%21Dn>c8HLC!T`ML$9AbZE041dl4m^)&gwvW*V#Q7np7C zz!3J1D;QqSBj&tm*-BgY_rAV}>yV0fd3ekgqJ0bBxn4oM*H|aUe!;6VT@|?3tW`G@ z*efT158~tr#l_hDhIbyzl?*|D5zv{Vefyj!5O#@0b!PRXj(x>(WuJz0!MB$H5f@Hc z4}|$OSzL;E&a)RMTUFU{sn}8eUBeopY*UeFv_cuj7&h7Gn515^td_BL6Qx%TpT)~7 z&Z{Kx;}wYiYa*LF25J;76LdN;uFeeF;5|(Pw2`fZR|gA!X|Wm;r;dq!5LU z!A>CO0+5-~XT#|NcJm;y`lBpGm@pJgCy?k4oxsu+ z5(v5;f~k1-_@&vk_vd5Hd{by7q;Xnu`%(UgX?2IWrYT`$yj&9);ul&ey1QIUcn)F& zqqjRHiMnzjCNs8<3Eypo-Q}j$kiex%5=OR?0AWs*#Zs8;fnW#O(9SdszDkyF-hh{A zkUI&PiD&G&ppz!}qj^TCg5k0_A)29lC|bDw=tn(e3KP~T5((&BYnbZiGIE>f*E$=| z&ftM9>e&B->0$sgJKF+7uzEE+u>beJ7}yv7VoyZmJ?oM=zv2Ai#P={g*3|tFhy;-$ z{GvbqcwirW;FTZOzDs%MP3~DFzLB&;Jl9WA@NpKW|I1p_e$^TAG%NC zF6*xMy)GY&z(P^UUA??9>Fd1MMzYEdt(le})wX!H_KNS4CW2_`W0F_&t^cO}C7bQK z+$NjD`LABUAC98lfO;`Rr?q`8=s*jteo(p4>Vp$5Rr^0Ma3o}_BLz=0nQw;Ey4suj zd&>Caup6g;-~K~meTM`E%KO>Ay~CxkqgQ*Bi92XM7-=yu?dSb+)dkXhn+PmH5$7=S zl*RqxwkmM0I& zs-tEC*@W!NM+4J_8ZTAfQ-x^5?zhVynFy zG@|F+GpypI^NoEm1a-UHHb;En^1Ps4$U~p@YcpLR97E%v9`(WFWh>lvFFGySP=bDp zww>;ElbDs+liD9e%8B>7*>h5mH`8D+e9N2nv$-gWms51p2~8tPD9t1H;~+s8ooi}& zRx?ni-K;>nOGE%QG_N_PHCzx@i1*rAn~rmunFJb=U?V^vx*`b|15R2uN8PYg5j~gg86#b>mp%2)=+FK zBeMnb!~!_e(q@J~LHU$yvyMsdn7KTWW2$jf9dSg!l2>P{-tLzhj!h>JUFBivN}`lr zR#m17xv=R>hzIg-tI_uCA$4Y*vlC4c#5>J+PVc1f0LL8vN`n`dP!_yw zXYUn;O;S;wO<=m%T_&_*&SdMg(FNz~Jp18Df#aQaOpTElaKytA*3}^II4sbc+L?5? zSd5Nv12} zoB{V<0hAj~LobGh^qD*36`tprv@FwSsJG%4`HK4|hOsNOj<;=LFH)T2QvRGtqB=-# zgJ^Np2$$e4(`TwcSD8@s{Zy~!r0}trOtPOI`=aRV?XUmM`g*M6SHAtOrsHW;=J;v6 z^D}Rkt(=gyR+kI;4aWavf`hlOin7UlsP!V`bK9p}Yd@U1{gA%KYY(+=@pw?AShiBZ z7{@Dj@~49X4@s}=6%uU@3ey-_IVn0CQe5U&4x#|%|u&3I(-%&Ht9o!L!Vkn6>dXj$g?<>b99KV?77W@Sw z^uiUtWMz`@LXc58_x|MG2k%+9oY}WvdBg*#EMGHUS)R&Sy%S0_^uERjmEO1b)wodm zl2`Aq6D`#ITWN+*R z=hM;g_N~`k>mpDPz+qe{2>1p?<{A75-k#Dbuzp0%ngt@YyPRtNK3YGA3*JY~$f>|AS7%QXb?PKfcE{UI~596+$7_M8zLd!n;MLiY?3$ zR8LJ;N^_{po|=kQvwuWe_|wz%=G z95u~NzJ#8}x~Z{t6eSyF=O?PMKUA36!e-u;{-^4uZy9gHB{XY0Rb1LG!r1j{X5HB`rkA({`>zNd>=|Npo^TrfjkDj9)$v#>7B7K-u$swy zSm~FJdw)NMiWM#Tl;ClmGz@;?7l8~u$0URhV8z=(rW3OR2GVOm`AN)HcKB^g7R5+K|y3Erve;mY#63&dol-#S9#bu4%)2M+(9p zc6$cB5#$83>lsy)#je&oo3<4U#;_BOuPROkRaf&zVHhKKVNbcL<02vX+avDC;dvt* zS((SMmGhUF0lW|$%yvnly;6va+=S6P=SRFe(qRf#!H2z>54z5_z(+o=X@+=jP8R1X zWK37>Y-cL^eTKPdJht$FN#YaTGEM766lhlcp6WQzIv8cQ5Z+^=9@%%P4nNm}Qn=LJ z@C};jt?1$kE*n;==~C#)2H6Tr18NKz;3+sMf$1QfD8S2IP%CZ-)CLlK6UxX-K}-g> zJ3jFpZ*6N0$fx!JxKC<0g*2}r9#1=={Djhav7=$Xk8&%yc)kRp!*-B;>2!gIC~6P! z)DgUu=wFB2T^x2!)8udo59N5wjC%LjoNaWn_hu>sitECT5cX-sga5W6WKhh)J1@TE z!a}FHtI~xq$esXhOj+K;*Nb~(ro&mx){3}R5;q6R(x6O`j;c9(L>{~`m~=tWVC_W0 zSa%sH@~;)M9nX>mIqq2AsJra+))1b@roe%=jxAx>$1}_0Zt+aMXp_Fkm!&|LKnVNa zppZm@e1HVzjskp|PRF$>QV5)R`{9pw23o%yWq1y_CEHTO>m3T5-{?ibMJ?s;Dunx5K+ygBwjLE?uz<@on{ z`R70KO!xht@f!_{k>}7GvuNSEu87AXo_siB-9J3jDm(!)@C3O0;{kkb!M1Nvp%Lbd-?f~ z&x|ZDo4Q%r+>n7@EMO3rxPWnxgWg5gv&w}?YqQCifur3w;hgVHYzDzgF=Tp&&_qp! zno)7!Q!Qo)vvQK^_QjCslT`RQ~qvN>Uu`!dtZi;?6 z0W+-QDILw4vNLjZH41@&*nHj5ai`k}Z`e6DP(fNB%Vw_^jLCrJbk-Hel*gu9D^WBO zcKT>7Y}*ueg^`+&6fAkRznVR9OYEm`X3lr=68P+f=fiO={U!<43MuT_lOoPHN#&h> z(J)a{=8|OlqAbFY?0C1ZOPX6!ce%S2VVed+k*;Gv{+1M!w;gHWSH$09eQ7@Q(Zl4F zFUD{8oPIXWRqys&u06W1@e#jVxwyGCF^drxwoIE1#do)j@$pn|K z0+)fIs2oIpzw}A8YECX2{g|RNyb?mxOG1BO@|nDuik1F4jUUeKz+jYlzDP^&9!KV; zNn}5chctyMSJlD3gSidmo2gKXxxd9><{fu+SL8y3=5&{^n~3@=*oSXq_;VU=t20Bf zDofTd**m4;dc|n@`Lzp~Npu+YlE$m4-AwAY6|w1rm93~zan^%thhWG)~VYod;E%(ZqX{A4mHv@w=gD-lmi08*n zU#FGuAbF-xNlbQixdvEN?{m}j$5hU?8byH(Xx-T%F3I4!mQc2mK`=)ba`m9TW}<$I za0UWVY0%_e(^Xrt?Vj83@aJ7O0|JNPLw^O;Aloc-daxzPvu)R`$@WL*PS6=o;i1OV zUHNzO<-Ie6TFq~TIK~3H(i6md`Xu%*xvuY1hW|*PpxZV*a^1bO(}xg>rYWok?|Kvb99?mj zyHrRJ3)Kq(=ax6Fs6#L9onJ6PCCBp#wvQHXClO9Hfo>ScRcjWy65aMb9I)$}*dODp#p{RvHf^)8?oj#=Iir{eI z1dk4VA~sn!n@CLrUvP*JzxgPkI_P*1c_UcC{9GSA`=%BC_Ywzu(LC`-s)fB9AY6Sk zRkhPr0yVr^mO7@4Y}tT;ExU1z{^Ad-L*{9CaJxR3Z0jkvku#{oiaSyWSCl?r_=&Zl z>fL!8>oFm^0Is3;i||HFwqRdAKh&N%N7w{J3sl=2au|K){*l`=CbO;bhPc5SU8f%= z-o4{JI9b|LP8ykIxe|*PdR37T7;P>2>Z`}ezo1(UU{-PSrnDxsx&$YG>W*@59+}$!f zI5h0k??%?);>&ehp1&bJ`dMqUrR?SlRjeFJSSqdfELIlpvr!W za2)Xd4f>w8dQ$2LCv`KPusSjGKM>R_zzcjoqLNWFU z3hcA!mp>v-%4#o%QCo}ot;KcTDd;NhIFL|x*@|fZ`YR-+BDovlMtlJEaY!xsRDp%G z1ok%pA4M!PblQzMy`i#QkJ!RF$S$ze)>k|!Ek*Q#5j^iMAvFD{roq1GL48xp9 zdpHyCTgWl4yBZ2dIG32s@Wu_BT~k#TW)ZG(I0H3`4-K<%H^M2xtntcbf`s3i5}>pV z3W=OfYUmyN_|4PE6zX+UdTK-UiPjJzA9;MynC10}*|OV(j1Cpx?QJLga(TYgortfj zJg%XYEgP6G0GR6?CrZCoh=enyqEz0Yub#cE@aMJoBW8&bSoQV&L);P`zrC%5t!AMP zK|^BwYqWK%7N4qm)@t$6Su}N)XYkB}UrWeQ!&TPtxG=|OWOmgox2^Zh-RhFf&My!5 zC zu9uG^grd>*0GC+$oN3bp3A?QbCG|&a<@Q_}ZE&su*md&NneeoDYMOS-R?XoPae(yw z&{K;+c-}jG^&j48HbD5rzZix&7>1WDd~)HhYdHxK@eeQf%|C`=@JJ#*!7sb^qhWZ- zBQt;ek$vPJ)h_ena4qLy?rH=GSGOY^vwa(y2qMz#y%7pc}08$$6Q{imi;^S9&v0 zeM&lS$D5?LV^xVl7#xHupj=xr6pR%yw@%p>8>znYXLz866HMka^v*zj)v4yz?eu0M zfLI06hY_A!WrW>=c*dhw_BMlU%gx0B^W}=che~y2QVVvD#2UxP&5mm>_l};E?9d!q z3k`Bi7VCnOIKbNX#a9X}g}$g(Pr^K zBhns0NgG^@=xRokJj#6lWGQTSo~=5~$ZMdHuvxlfiwJ73XzIMYt%`JqjS3N&3DQFX zKBFZ)NbY6r6uNK{xc6KI@E$v+mNmYdJ}*SrD+YYj z-DXz}$K#aZ`1R1qmx5GN=F$GZeL!3@mkC(?EDcp<0t{tpP7$vVXCX-^`3i2%c&2O0 zjx?bZ03-qNg|ijohF|R$7gtv}54&0}@5Um!w|7cWdv1OVR&mw_*lLw3!yAUbY1w{~ zW73AMaG-+q;A215qF z!IwSxtfSA4DjNsE0w)^JtJ33R0@+P(%jb{pHgy0yGQMz3d0#Q^PYjb!lzbabzdqVi zW-7^Il3DEmHgY!6r%xnrK04ACYG$)a0v@|Z9>6OzU7v0-x0%*MvoL-{bQwP5Z4jfQ zgWb!y%!+eoh}Q)J5Ml!OR@NozP0~7$4t4a01Iz@WZ4P7kz_9(os@~%V2+0K|#U1FW zm=R+BL``*}XCH1mU}*U5{BkC3`AwO4?iOpcBhUd^wsMTgbMI^R;Mkslr*I)6Hb8^q z(dnT;o&4^zWx9QfXugV$Vb+0DT(0!d(`+YP0lur4e39?T8RqW#DTPtpji@A%@= zNI<684$vYhxz&B;v5DCV=XWO}hr<_A1X;!}^ubT@fBkhsc*U%`>E<>;@A`NRM;ZVD zrI@Jw;AlZl1;2MKvi5M_x8PfNSQt15!sLF>CB9oyOr9o^MdUn2}%6z5HY4nJ-p->@AS*53gJq9RjLEjG7?Y8|n5v%Ug#>%a#D50*62<0jYsFu_)T&cI?&M)XW)j}#`GVJGY`hQHP z;-1G5#8ZN9d-mXx$=+k?X#Q8!jz@daM$pXS3`BbhK$Ghar-{Wa{Ciz6fS%*#^xe{_ zqx2n@fq7EKrI)CpJ9?GWE;4VUCJW@!n3l1&CokesxQEKx8BV8CapgMW4CQ(ki*xI{ zM_(RjbCAEnE%J%kgA<%c%>&LCn1U?zbH~Y^8s8!2^-lR=TefORuRDi+qv!T>9Rt-> zeqSe*-zO6``G4vTiHDX5?K7CwkNHgM#UV5E`j=%t*9Xw2K-H_4xOK+zZy%|xIXYci z;z&sCsljRW#xuH|bBYy{5?I+qZto*Z!kB6?c}TS_6z=#%&HxyV$N1SD+vi$#Fg#P& z!wEu|!vK1?rK>)YJ4R&#l3}4Fpol!Je7~gWtK&DSD(w@hsL9@0V~qC_*7XSx1HH#K zfMd;Sj8o^{9F_gt)ngFPpjBsa$3gQr)j-vUyOYjQ)>OI!-k-A7 zrHi!gTTB)ntOFzNVRD+`7vH#Dl6CgXq;}RMJI8+X@5J*(XQ*Avd^_p}J%1=vuJV|b z(KmBPt%l~o+9ySMXDfQ$26>dXxVp^EK)`GY6qerZXX3nTm5b%dp5V;o!(kNHTrB_D zjch8dwYA~aeN!^s;Y2E1-cyBwk?ylc8)(5m$14I)UyNrbT>rdaM(RuE#Rx-1vuDKa z`mWRHY8+gW%M=kOo(aDu_dTEk&4Jki2%<}}Ht-XsfXaEXwJgal9IIYouGp4nCC)9o ztS2f%{a!PHID7w9KJljKqojn=dv5pYg^>@$9`;^+F+1Y6p}t z@=s>$22G6DK^C+g8-6G-9^T_Ts5_h6z!ELXwjB`_YL(L>^AcXe)Uo0l!;4Xq6w@np zK(0RKYEuV`7|=A^8aJM^j0#%3<-cvPoh9hQc;n4AJpzy8;?mqabVrzur&Bckf*r!@ z`|4FOuC-ZfboIp=_Y1xUNc>iw>8lgzgmMnvN|8FMiOJP@|6`1=s6MUPaGvHlr051| zJ^S(Qwn2}%Eg5UTIZgCL>oA=K-}Z2;a~+*Cp^ljd9l5g4F}S2TxX~!hYg$g{sutNj zT5D+9{--ou(+5_z{eo9G{!}}Ofzc-%J#C$;=&Zk@7qj*4WVvaNzDSOr2+Ws5W0F`Z)s3@qoPV&KwW+0w5*z zJz7_$xMb*^%YD-8lX!EP(PSh=?{U`QMDrpMQS-5NO5QzYykizSCE3at!67Q^({R?f zTz5v*Ix~JaxyZVsIoMXQG0bwLJw;6B1!^br^w~;2q4+=y=4@_5&zWup#L@%8CJ^BV zsNF?0e&&9P*_{{A1|s~t?4HCEtGdLiDcZI^C**9?5JKHs{T!SAmqPqa)vl* z4wbE%BL}0!xnuP1R?BL}I%kyZzE2tB=uyXHiwkTsns|?8N3L)by-@U+>EwQFt0pBP z^^!n6or7kpfFSG6-^^DJ`9+{vZXgu-JzU~thbVI6U@E?oD_u<_>Of;mr{?|#3I5e#%E1aq)|+|y~D&dd!_ zsj2~L&sw2mWQQK%z2n!LiNSc(35m#2dUwBRSw{v@v5gl(x!0ai_gfB@o)a$ zfBoos{Jndi^474at^m-mDc;cePD_4#*(jy z#T!|^jx_Bd>KBhqtk`vZ`O=F^3MONp*ttw`Tf%Q1#y!D{InGyE7<*LV5G{veY^`EdtFeOu2`|a8G?fWOI$}wxP6Vt9on_k@jh%yxfi|uQG z#QN2XkJSYpp^2f!#l7C29@WI~Q<(g75AXasI=~S2_w_GddUWydqK=<3St|l}(3Opf zjT_4QR#W*Z@^?&L(8uHEenjuyu9P{dPwRs>rCiEc@z-a(KOuS9%IcBEbR2Ed!J&Yq z@NA|29E8Z~PkZk1n%cMTDdk!f<|X=JCP%fZ@z|i+QGKdo4NnHpZY*?o6j#d8(A>Gs1yI{?I z;dYV!DCGAz-+*S3Ua^(*E(zOzjzYL)w`Y|d@>TmJDR|K3qirem&i??Wc|T1YRdNLk z@=OBn?;SmrA^6pK9rX6#OZbYUh!pyDl{tcXD(fg~7gsL2)N9kmqCH0M+HvPS&?+>o zDciSwG^`HtQo~kU61=~^KAE`ygDBcmbqT4eXwpdWkqJv9P~ejoZ+b7QDi#Brb#!)}t&qUT zF@jM#^MGPGldn57-73Zbct<@=>dst8o(0)qtO?VH7b%>q&y7k6lD4W;J~E-*Jzb{} zH;ML`W@nxqRmIRoW{)qke6oeSZ8kG<+gWVi4MU4Ex*0*Zi~rU~>mMQq_vC&w7^ClJ zc)MkfxsE}D#Z&1;sbaa^13K~my*u^L?TAY^GVX=+5bfy=SYJ#-t4OnRn0ftn+d5s# zO`VMD^>UBHca-fI3`$ZpKoen}3Tcx|eJDN&cMJwFgH3zZym?}}Vf8fq70Bl(1c@XW|_7hGMx(BB(l@i`h_PY_r-%0o`wL9;_Ly zJ6p-?Ff%#%reve^29tr$O2mHDq!eiuwXEfB9dy@JxDWk*e7y}^llQ&%?*jx-TYJu0 zTNP@z)7JUOy>@FmaIyzc5flrA2ne~?R;ePgRYQuHq}4!B5mp5OO+P^z|JAWbHv$wZFaHUymu=mr@7iZkgdz_KBhJ` zFV7MQZycB!ll}I>LXrpKWY}ZGS7_4Mzk@DkUI`&dw*yfo!dHo z+0}_~G<=Q@XsFz9p0(C#oT2l2s#iKplO_>cOXpfoolvY`YrJpR2aatrmsFqA(yF^7 z->3YKyN(tjxNna<(Npuuk*yqHg@&ZP*u1pe2368YR8%Iy(HvwGv@Zz=j(WceNb6+7~7tlFHM8&Ry z_Pk(DyEc(Wj9&y45{E0v8KrVg73qg~cyOBCy8 zJf9%5J)0HFdFBM+OZJu+dq>?Z=SvEf#R5Tky0>JK+S?lHXjztOu$ScPCEqoBCQL*~ zq{D}d@;vKu;}tjDeaxz+yFUKWoBoxuPzheFj!t(Y+Gr~WPvmSJ5zj9dzv<~1*-MgT z#Z)IC5c`&@H;U`_5w0Y$82=awBRa1yP{UkGAD1Ny^m`5FWpg(2oy1T-U ziFod0ueH`I$h%v17x{^WVzGcSb?niY^IxP22+LkJ`%%rYX~~~*nP3Qwju9;aN>1Ic zb``kKJVb0tMZ1O4c3&8VzV(2-H?XqQ9-?zxhFf~Tcpi5;XD|KaDv>|f_|u1cp)#g# zD{iH-CFnXumIobs7{y>t#do!IhxGMyyGOrC;Kn1^-zY81*U@-FXA8CG+qQRw>v|+1 zJ2DHooWVDK=~wULVzf`3Rr9EZcUy(=+VgCj0`TO3GvAExy|!t*b;JvwBwR=q>%S7FtpJx`*i95kjJrTuEe47Jlahr+i!iLRat>_9njR>^y-IzO-C zGsN1FxhE*)56~sAz?NPaBW)9vVfpYnA=1=TW#hh=qZdENkfi(QpUkX~PnzcK#97J* zs(h$le|JSz8I?N-dQg7D@N&)Ya7}!E@7-k~BQ#!~(KO&a1CI{}%ELKRog?Rlk>A)x z&ouou?@@SPzu*7Z$)1bX1k;wwxnvuA38j^NGM6*796*Bci>C#Xh!7%kP6hlmlHjHi z;!Htfw{j!lxNByTCiLN)biqfUrpMQh%sO0M^+RE1;9!Uxrbpc+n9emXJ7NFlzT!Q! zQa?c}W%@>V`G1qSFPQ&3BGQ~gE0=!ppRreV4p39`n^%~3#7lP!88bOC z_H;N?mCw_UKj(P;#KpC|y4I3mp;fSgu6oXKxn#{be|K73U0H%)2cg8N!${m$2)gSn z!J&WkWC7FEVcM9fTw+O~_g{LfrJBymUd%y;%WG>JU-oVNJ>qV3!mjGi711g#nYjmU zgfyRv9Tk$22dfo-B{S$p${5dm%om1IyYEV37 zzoJ;y4+6jA;)A|dnSpv>r7jC$Fvwj=3-3c(`3hq?nVGg)@qV#ld8-rYGM2$5^&Kbp z9(AgU83|Yoxj#MD{Rv+U-|MUNfo-uZ@v<5~(r~f5v#xRAXae+Y!lY(D2cZH#Cbt{*{ zi1)^&H|E68S&Ee^%Q-;iAya{kIR^9=;!hP%-Bq;h<4bY*^*Db*b38ZJPNG1@ zkaD`<_CRR?TE-3!dV~f#9pRhG4ps6F3hs=g~%6{hK4{bXQHvOGpF5>Kx z(rGNLm$eBuRgd*jd9yc*eZt^Qb|jDNqq(OQ zKVUy-WIGa7bsGe*0*GId{9E&qqW(zT`$hWOMSSxuz{1MFqU?>5jAf zsc;cB-F+AmP5ZBBYk%%g?1E%xPV9a4Isc@p=5MQ2HLrR!pIGhhJ~!Fbb656ZlmD>B zj>H8K(er(4|Is(;U)Ct3wx+0!{GOWM2kszB!CZ20*HB#6--1}+R%9O#!bd3K2lh^KKw-9 z!Iy6@rv`aM_joaKdaULGnX)y6uFLdOA62a0&6ClH;&|y&^+Q7_FnQK0D40n5T>LR# zYj{7|{>v*ZxuQYSa?2r#-TCMjslGfLGA52Dp1C&KsGMq>mH#%-M3e;$vR{}%6ga58 zSoIFCggP)tMBTA^$jmQR=|A>7RK$4sgPoZQ4%<-;Hls=V{o`DViO#wE)1ttr^xb~@ z#TPdUJf>4Uvp0^9NQWd+)u}=26 z@VK(=UTjTi?_X3~A;XdX#t<}Atm5)Z2=SIpLkBHA#zCnLrt$lum2=I(nMP$PZ!KAn zKJZ@2jW(HQ<9z>nGgF~cnesP@s41aNrZig*(Ff*+lExOYKki)o{U#|fhcVbl+QC3M z$uU*WxDnR+{f^+AcK-q6+1unkFJZqZMeu#i3-9FP_M@#|VyFGqL01UV1AqPB9kx0t zoo^ZI4Rvq}4Z^#&2`e9uIaLX~P>x@+ z&Nv%*EX&-hY_Qw6rE^{(4~QC9^Lw@D)pzIv>7j~Uj^LgCj!#?mbDfL@TU6NneQhu) zi8wn&vPJy6kNfiIoX+Y8xt2q=nn}7VoReK>-voskLZ#h`$K2_&Z}*>kqf4^;zTM+} zyn`5P%l-rrz=On@s|czw^`4*O;Twb{>qc;soA1Z8)l#ml4j%P1Nhhj5krJ8BE9su# ze|@A>kx~V#j|oc|3^m+#3O)YmlMm!qK2rWcD7(lJOu4$Koa}OqvqRHG<_tWpS?$Ua zGz_VAhy?|4dN<`ch_GM25K|2KGu%MPws8jhojiwOGZj$8oaWpnvl~})C)-ozJtK(L z#6#9QzIcz|f%ZJ~35pmibC;dfFPUZXTX74{Zjv9NJGB=^*$;>_9Uc1e0Dp9*y_KFu zQPQQ-OUc}=bJZ7RBYO#As|wN6%Hk7?`WOn!>pdBpm-*Bt$s8iC>OyzVAe?Pah{bwDzK?N+X z7~RZzj9k0Mw_=dYD=567$Ixha#ke_p@_|q^eAdUr6^D*vD5Y%)=C|30qs=lz+ASm# zP03gBYbE)GGHJzk>JH{_AfJ8-zxd!7p5~w_raz2OAnuW4uf*$XWr|kB-zZ|n=|i>G z)_Eq=ID#?yz)SQ#s|SsvZaSQEAEj>I)N_7Rgs@~sxMJ5kmKoz6CH)YYNEVDW+2P5w zeP(1&u7hXZ{s7}CjKy-e9GpNQC^wpcEh+Xr4un^uJ=i(4ET7Ydtk3$F#tew=Cf=NF zUrin-rmb^Y`mNiFKd7=CfhXpJ?c#|!-Ne2AQtDuiVjE~!z9+`b6q(yPH&nif&lyuJ zYYmN2IUN7Mgi5HB><-r(&)V;(W8C3#%mrO@WbP<}Hv6}_T9zHeeNC2-R52y^XA`yP zt7+EoSJSK)&`|y7*VC-)h?9v}(y(YV>&5P<<*Yby#EV}KvYuivA$I)BfBp6#>%ic< z-x_57PfQ5ey7-BR^%=~rFe=R%kue$}k7G!AUy_*SnIxH?hb&Ku?5|^v)f)S~ctI?o zWbn{%9ZLV#Zvl|r&Md3?)50niBY6Jak~jV(?(F}zp1z9An8mHx5jyGW=Bu}cYoCAMs z?YXItwHfAmn)}Qe&CP)3Pgi@-tM<^D)ob;aOsy_U7K<5`_VxRG2d@C7q3e`=$G3n< zHJv^2=oGCs-qP)*^NjL8rQOg@)=2tX4t(^pH@93DAf;*^{YJE%t!j=LZdrQT43xsV zu`QbuhDUbW=c~^;6F1Pi)?FF9aYQzf;y`Sjl2tZ1Ud`bnV)$ z(p3G;dEyZE_?aDB03@`A#Ge*#8j6B#OUZJm{1m4yoZ=i*u#R&HNFwN46Cm=@bJ8E0 zN*F640X6PIa)^Fl3@3bgSjo!bbO!e-S$Vu-)Boymy#%0Um=oYC0;rnMGNw0YUMu)g)_(J$=<L)}NS<>ZtD(#m=0C+(6P zCyZ{7EL0*QUD!TABH2cDIGl0vLCufqF%R4CsNYVy^T91e76r5(*>DW?&D3@BY2n1} ze$T8pc~8C;73y^NhqA^RpKE$&eC6Z)IF!;{JdAaN`Z$5OQ zZCUBX3OBI@;x+I;w)ILFV|c(QAl}De?F>vGDG21d6%lP{)3Zv{lRm2aGRT$DJ@*wri9#N`2rBA<5uEf0oWmej+i4>Gwhnwj?0K>4jg zRdBi^HSnOi(%1z?0yi*7?=|e$9)NDSnV3a#2LmKD4g7NOf$4fPJW|8I4oqsamV?7e@)Qy5Mvi@jorgCZiI&V)?`1cX_TOuv- zG`A(r^Ykm@=fP=P<~+riU9RxLX@&mfPS2~TuI3-pnFF!sO2YeRx_7xYZ) z1kDNp_j|s9&b$eKVNTu6kY`&Unh(AqNWIn^@b_W#V)5(6JUQIUm`cMGy1iUp*Xujl z+glm1L)vE3AJ{i3y~zOOFbF~L{I0-}@647Xt<@AQCmzb{YAe^(+o~^yWr4@1pt+9$ z5eIc$TL~}>yxUNi(V=VacG1@xT3Av>ADR<>di-G>2t&s0_q`DUCMkqVr(nFsau6_| zWM?=1!bM6y$|SnQld4Ye>PK6msH2IW?ql{7WOW5%KOoO`wh5>lhZ;L^O^ZLvY^!bh zNV4uyc=!C51Xu-2>QucQQ5IWQXN-5m_)m`4!r)q_Oh)p|iY z-3r+nQpx1t#wV8tvLD@^qL2y+!#GNnjaP_tD#mQhD@~-Zv*XP;T|e-Xe4j^e%C%A&8WdLD8)_Z%n)_4D_VtjyTyl!Y&VI zPIXpimfLeWb}BtxFt`)%HVaFvRhB@WlBM(5H0R2j{Ksq!Je@UvfM!c#Z5-(FJa7y8 zV@gHHKp>_Yi@8cD;isWc=7DqfQaCkVT9GVOo5I37lz4|R7_PgSM+eG=PuxQAfQ;UW zz(9Y@NqjQzN}k@D}MP0arO6fqM0+3BG1A(Th zmpdyeBSc6$E3Mb;B!kfAN6z69&)AYmrC2@0KnLSreMF}c_>oSKl`K<>1o5QkR3EApFaBTMYFABhvPO^#Kxt|mR2rsz_MndvrIE1zw)`g3_h6We;omlYyIp=)fBjqS@;9<*AUasz$%uMhu`s*LM!O6mQ(Hv(eZ*FO zD|zt?Bv?l$9a*~M$zNNaJj2kX&-AZ4y>3BIx9RSh_1a*R+qXG_??uvLfBa(6xz&xS zC)e)CI{ECC{v89E@vJ~b#&9;vx4>pPm~QlLlkkI^mw2+WB77+y9&LIPaa>`K7jlr4 zJHw^4`5Q)4Bh5PpMw9eI6)!u=l8S$9EC!)o1DPDJSh2LNEsx&2Iksk<%yventESV} zHN50)X2_?}eUMM9-T^8r%fp%BkFwo~YZL1P+84_bnMS#9dbK#qv ztiC{*sw)9V1CP3`pFD5*!uSiL!vn5Ra5YBx;w3zbh3%Uv0JDgc&_|a$*z0J$Bs-=zP&e z6y^s1aT}dF;;FkShjuw5d$eu<-2oWUcJn+T9BhA7Wa;t7$mm`|2xDNYdQS6tCTvEo z;r9P9U4_`%b(!AFpib%J5e-fg8zFf1>5}O+hw7dW1*i1`VW#G`suS>G(ZF55xl1)}HDLHibkn z7}^=gg8=jD7kJ~p$@g2&sli}MoS*h-jCw?6F|;{p8wK|c;+PyJGR4ky^i-<&ARmjL zbq?Op4%#0KMLX0XZ~G2-$of>hglMc>{F>Ax`Ky~pR3x)Qr!<5R9*G{!Smy)z@OAS- z(iMjv=%n`=llMV!-5Q!fYT}RS>=Mbd+_Ld1UFfI|W>r4SokR3)(F1=>D`IRj{jG#% zaQxgIY>lg|Mx%{5^$0KA6yC)+raA7CS~?3jxu8L@b&l_^Om?_7##eU`JrXtrmqwPU zys>89)bS`jP*3llmVcC62#%HWeq*fX#$kw~nOMY z84DJaTTkB$;D$9xGY1hzgrfKoz1v#iJ|e^9aDQW+;3I=)jsUAX#2f~B7S5H4C$zXM zSc~PCC94g|*3QP~a=E33^`X#PD8SE7iZm08NSUq58iYeC!(Te^n8!Zz$8tDe_D&nF z=H*qJClf;o)|_41`{zV@ylMmz!)$#xGrJy9Eh?jf=2paM%3ae(7{4iNrXO16%tutJ zv0aj!%lM(%+le`Z>hO>ufSb>0Sk3km#vNgldn~dUYC*_UJ*5jX=z=!m9q*3^ONKZG zPdk!zaC;eaTxuH+HQbcCv4o$PxXNwo6xSp58U439h>XO;h8>3T^j?s5>CA;Z4Y`dM z6oy(@_brEp)E?3s&7pm$4Ix_^hs@SWCSk0~2)6uQ3lK!Us02S2rR!oWKuw3ueL+yP zM=Rr~4s`AGH_$sVifuHC?dbn4k!7K{UZYvhr+mRQse1rG+OsL@6<$Q1f{elP|t3Q zJG)Kz`Kf$il>O4`hPAbE4Y`eP@`Di2K{m4@7jcaF!Qq+wS}`o65&g~^^sZQAcd`CT z!ki+CahOCo@IGHgcy04LLpl5w(21Bt5Dpg(GhR5Qx9%p9saT9|c8@Z=Km60gbSS=) zJ|9-H%Dbyey({xAGaqN-Q1tZ=EmwiOxOr_O-82yDYJuCZl4h?_P7ceC%yD~%m($yt zV=OeRhW!EO7i9`%LLTbeso3A8Hb?RJ2nD<>2_Xl^<0nM#O5&!xUW^&M%U$Y(`^`)F zc)CI^bXkGoEnMw6_Ijjdtdrk7<`RwR!9Z$ec|45mLpU7WhG7GK$^_E8ln<|qOKliE z+StBR>ej()7iPNK1FI(Qw7T$Mi{m=FrjO3?g<$6qZ+ZjECeciEhf@uN(_o4|$tkC^ zY~og-&jE8t2|m)wJlSa+CQRteWH6oH&-7b$O-J(q+R&9Swpj3wG+iG)dBMce5(GTe zE#YJ%kf8w)zEh`CnJz+67qqz-Y*CE)l}qmlKht}nxBGh{_rr0C9X^0axts1pOGA_@ zg_*tx4sNsU4NEO~H~u)Ie%QXVy}CLyjZIAgpu zE0X(TIPNw99D(}xm1y9EJVLTVv=wrh^6(ya8`wowt`_h#>Z9FW5&NdLOaSNGeV>e?%>lZNXz#P4sTe^VzTmTyN z`eMdF>B#;&YM{J5uqZ67{(awE$MJYkJ?_I5aSxgMu!nNzLlB_fp}M2z3mHREJ~V`R zZ&j>oF4y%+_#$p69u0oHfl6)_CfcifOfT#jj?VPSfj4jyV5(z&;<2lDx9lQ{M(3o~r_shmleEj;5#A)2g^qa0@j zvTF=8cFV6+3p{RP2(H!)Bk}`;zMvfE;gxm9>;KL?;w>t zF7>i--Unh5Xg=~aY-wsX0d{MvvzRy~q%!AW@GQYirut})!4l#Sjfc%aW-j7*SA?s6 zoA6OM+BI#f@-wuk8N?dg{MtkBtd+S2DDcl`3}k#A%a%IZ0M#e;A6u)kFUdGy3{iHo81@5I#I=n~3T#B9;I9D9^{?1g1P9+~^ONSO*zfIwSW&}p zMPU&s*mlM)O{asQsW0}@-fBbz%EI)Q{q6ntV}Di?G+*%Ep!g$mEsHa37l)oOuV1a& zF!$;@l_2o1Jh(qp2^=ErN_QorwG#=>;llrS{|_c^VSI~}y4CWzk0)Z~)2rQS{^f!s z24&PT^tf@8z!#(Su5h*O+Z(o{mkpx3J-xPixVL8TK8gae@A@}=PVZeK?fF9b5j4tG z%ri$Z;jXOm^zL&4j$0XF1aM?N@Ioh$tN|$eQvD83O$AWmA4!R=$U~JdT;!uUiXSOijOqUX>lyijUt%{TBpG3z@u8? z?UGG@Fk=c_=LW`xqWX$Ngs@B!cbiGHt@k$f%(N$y_IX9*R0t%NI~`b9%pkeix*D$n zZvUDJ7ac!nt>EW1M{LKFhm=gU&l_RJhc_PfErvkf??HHAA%KT-MCnM0H-b98l74-a zN0F^>d`m{0D)oSH+@XldCUxz93uq?o9BIpAE@Z=T=6b{X)PsiGd8o47FfutG)%=Fi z2H9_T|79V9Hk(~f?^&3x4#jFcdh7WS4$}qp=awMrOFdh8QRZK6@Tj|w-3q7H(}^X` zQC8nq1jGyb;Xu}PO-By%3-s%$L^L?y3Kofx(=F6ZsUiJ=S zArDL91cyM&ck1-R(f2tIhF&Wx@-O4lHhWQ+%*qVace z8+Z|~+myoQP)GYN$iN{Gn=&4oR(k4mhQ!dVx4nT-kHpb$hm}E-$Lr^oQh`HEHXqIw zc56%P9knzl;OD?~XQXc|j7^2}`ebGNT({T`di{K7t^9mNoRscpJbX_ets5}w`jc>Q zpyc~OuR)UcjwioRD^*ED| z0h5QNiHF2PFOHix^-A0Vo`Lb$>fhztkYlBTy5#|tHD$P~JjleCK)Zrg0->0U_z z)3Z8CYtESQ5R*Zw%llt`qiv-qej=SY(7^Y@GiE#AW|!1$0!<{nvobaSa;O{ze*hA# za+v?e(-Qa#ZdhAY0y#zq@OvZ2Fci^g4ufB0-$*OA1~?wm0X8%6KHx9z(6*N(hziHg zY201Jds3vIxQJ8#hr9jWET(oo*&~vL6&~xj7p2G-X5&I-- zqcb9%NgkY!Y@CYl?OFMACVBAZ&J~}gezkUkzgq2k`gBs<6AYz)BRlrUhPO9!d7JlT z`Ja40V=KA&4|Fj0?!L{?x~*W!v7@JVwG%}ZNVchHnT zh1x5g<6fcJ+tiN?)#7>2HeB#5W!*P=m62>;gT8f3b3{J58Q39w_mCQH`G!EzHm!t> z&S$#vS+Bdg%EM$#`LH@_ql+;q)K;`@$1fI?IwzBVLi;)|`MNEm^ZqEfT01Y+jHnV& z;+{@Y_z&IK+#D|=c-9gmq-t=9hE+HL|7_)>qV{>VqSoe&Zk#F}`7S>QCZ0EX&d2N! zpg`h>&MX`1t`bcmy9m{~InvCAsM}vWszMuGu78m$rCwJu+)s|NwuI}&3ep$TqjKT7 z@>=eKK9mmAX$Qq7O*FJ+( ztB~UPj(ASZ8LKY*7U_=>SSFj+{W!fj(udlau2MP`^v)nDJCw;O4`;dF!B+zIfuB&N zWR06A!7MlIup11eqTp7<_;E&SuPw7kE?Qz%U8sm#~P>+G}Q z*i5c%2(dmzSn&lcLiq=J{f^Q?IvLTAtmC3Xn)5$$>HAJTsyXkPMT(r6gLL!RN5(vl zp65wS_eG0}36TukIbJ^SNjNMcGX)}$f{*!&4P=S{vm&h;6^wN}6geuauaAr}yU;0r;s0_=r$==KUqJrv=aiZ$~V&#_w zU?eNiY0k|Dj%U~#0(mAdF7y&bEi)1TGFe(;cX zds%K32sxSg(%}>2yQ|5p7gD>IbA{ut%_q_zu=U`kU-4|XM1EL~A8h=bz;3X=9axC> z7Mh}3jcD=x73=x~?jr>P1X|9hN=m%x$fcSmys>r0o*&RNccTIZPXTY6|Cqt}Ca+cK zk`!lJD~F6vBlJf|`n>zIyqkr@^SQBcfdH0!l7s%!dFR%;vH#qr!ze%!S5w zv|dz>JF)zZ=9a-dMx%o;>g*VOHK}*dsq`+tIJRx}Vg-V9W*XkGnuvc*^o8nfxcc>& zfa!sp(j#1)&CK>sP`kP60E=M`sRUA=MzmeyjTx~J;AH9a$=3OB3T5h+l$)jW0U(D4 ziNL=u%#vmU0cK`cedf5V=`c?h(qoq2YpHiKMsUc0Bpe*d4B4*7!!>X7ml)JLIqt1_ z6#Kz6cg95SIc_08`l`!b!v1PFJoYVP(D>KQH6x{9EqQ*?zb%T}5*4}h$&{sWtmRSr z4}9HRFFuJ{ejc^l`t9cWkMaZG42XXhVrqNDk{6ad6%l)nUK|_o#Pevg7j0pJw_78g zk6LezNc%stWmA^#eteB`MN;tIlc(*k2cA5g^6cP~6JsMKT~T%O(k7G9|XC>qTj&W-h=N1V)z_mOGI$vN8rxc1i0MH6AF6o zx+~in56fDjW?b8AKU~`*c8O90F%~JtUnQpv~OrsFT z%H!4dzcWUs+I=zc2*}lNi1^SN@qr-zk@6}U& z{lK`r6Z(S;EY?BZJ#+i-=3O2p{0e@WSv1#}B1(1r)zC2gszd8O`VM)U&PH%r@Aw5e zF%cJe63=+64lVVBxL)RZsK?#wUHrlR|2_P**m`T24=E60R!A;FRcn5AAOAjc^@OqK zc=OA2vJZrYc@1VoPd6UPET#Tpsy>r%8C66DZ58(trk@zD3R}P z0g<8=hX?y6#oR%_@ga-r1`Ke_C{V}hiT9quOm|*esp4=Vy5r{x%=|KI zRkLyH1BgD7JMM?m`TqJF!HpR8jJ2>r&hUpHybFqo5mQsZrJJZM27QguM=J<9686H| zX6KX=F9wA;1q0kkdjCB*?wfkVDiA;4k|g_#o-X8}Tc4Uk2&e(UCr;-ynRT#1|E_1+ z7jsaAJ&)wsY>al~Y7?1hFRVFd+zNBr2pcO{hX84$+Dd|(7^*hE1wtB?IqHfvCTcEL zAX?FXX{O8Zk39NnAfmrZe);bK+j>EGXW_*Pk#Q3osLV#OMiRCP<))CJ<}6^-?C%qhu7r-~(QJTuD9k&yTJ49xVb;+IAo<5?GLwr<p1VWxL7NRB*b!7barZvqX(sxGmQ zZopjJp_+4=E#yxJW7k^<1-U)wqtEkW) z%L- z>KelqT&PgZm})8$&;md&q%Mc`BuC$^>@pn?24vKJO}NHo`Ei}PY>x4ga?sk7Zi?~2!DPu5UiKG*Dd@-%ndykYb5=fgmhF-W54 zY0j4mw?IpzHI3$;Wdv{c%XY8r&MCdC%#QFBtZw|?+;eM&{iL)w=v|peLJ4*YgrYj= zU{x9Lx*O>1KgK!!@s8~bo|(*%xGQRJ>&uXz2?ib>7T4eJ3$UorJP<{;TCv3k{MbP3 zR7K;#F5uCKmlaXG7ho7zcg?V2O2h@K2xubgRsfChMo$8T9Ld+BFBgB%GBKD5DiE5C z4$rC7<_I4_lvg}eD>n4!(R&cFnNZYt80o4N4!Q_M!&Wi7?#F#aSS%uvVt=P>_d`SDcC&HLD&g=MTwIgr z-qjlTfiBTWSdu##Jm)>G0Q1(2ak1r)FGk4#VjSYQW+MjFRy$C@lWmFV^y_W(PNv1p z2L+Ca?6Mc?6$BurWAN zvq!U2OF^F)!N+UFV5!JMrKm!Hd5jUF+mg6JP7Cg#7!sTi!KR+sIdb+sleGD&FM=+% zAGt~Vu_&j;Jn7Uvx@mgQz7u_XHpuaPI5Idq1Z7EW`gb4ljabpyfemC(e8)rKQ%L8M zwmEy{^@qb*S`*Sy*=1)Ef1;~>qZ_+MN$g0;P&9m)y=Nx2W{>U;wILr|8YqevQ7Jss zL5^`ReIUDXoq8756>=02u!MamDxGZyTIUYElSfoKth!B@u;N2^N>wuootC&B(cB8w zARi|p18BAPHAfO-0IXmQNDnqC-C0m0HfxZ~g<~p*QFSG*Bt)xeL$X4Fz*#dS+uP~P zq>5NNR0ri$qNcinA21)jkx7e>1^7nX`@1*w_l1-@Ra^s+W zcRcK)2nT0VQeMYGR0@f5x_5B;dqRG;>H1_FzWYRFoCBrVWe((_L#BmS34txmf|>Yu z6^_R2o0V~cgk`sNVOo-#&xlC0?fXlZs5UhpjRK+*Y;+3Fm}-QZFmtN@5oR#OyiwQ^ zxdA1uuWsV>%~hLiqDfSDjmPgD$hG!*qxyRZfmFYPo@%d5_VXS9tyg0%@ReVzF!TrC z_^P%>cB6M@5>V`4Po@9szctRRe_I-zvgmP0k)OG{Al;vK0>EFKHIDhh+ zs{cp)NqC`7V+_4|Q`E+9sQgiBLMH2KQ8N^3ssBx(#-yM;DQf!?RJ7^6wVU_#JSnF3 zZC(rme8Y~^@2*LDCAjNPtzT?At4KYzmgZNZX}u2PR=)gg7`xtxYjzEe6Pe{b8>%x@}+VhZwWA8q;a6F>u^e zcflsBHA~%E@3MoCM5JCk$Mfj_67n3weA5nLVkneO?``sz6wo_;V|4@b!9(<3$+PR@ zl~L>-?+OPM?5Ue-j%ap+4*u4oRSvu9`W_w%Ft%9X{Nm}=NPxNqo9RCWMkP~OX=09g zy-t3yK8Snph^T?ep1o0+ZxPm3;PFSGk=2J~UAdm0FO?mVQha)UYj~$|y7BOwXtKJ3 zphI)j@m>BX!mTBQkMzm%M7sM!L19njlp37Yg*KR2Um_s9I1)qcEp3hdCeLPx~Zqq zB1!}Vj_8|cUeTtWwq%4KwJcM=bfd_8=x#LOax?39b20R z%WAx!vTo_$9&pGH$=fhMdcz=;_hN8F=5*FiD_PmTGX1-~Km+D8bk;pBP4p-RyzXg2 zfNur8VF7!F`mQ6M9#(n-d9?rl9(UC(_8a|?PNw(xj@HhM1_2#s@e=WVM$d<7F0 zMkxauDl5KTA7|GUl~3HpKu7w5ylp$Grf~<&fZx(NTNW~L?Ndrts6>9rW*VLWM%wMO zB9XIYZ;Tg15mGhW*teg5B+e3eleK9e3GA>d7ewnA1(LS7D0}iBb z{3w^*)O#T)91g7D^0Moe`D64lyPt{XmiV0^DEk#0Zkx6k)V`Z3_3vOue&_RI;S5Fr_{j69l4 zJ*w>pfC9`m(pg&XBR*(xT%&3q^9e1oH4F?3CPA7kX^Fyqm)&E(D9$Ido1^*}QPf-1 zZro+WdLBgx?v1|(-xcjLZg(}DX&j0Yg>(fNf&&ZPHFO|>mRWWS`mhjsBb-IMr^OD7 zKzcVCP`ZDPuo-s#2~_V-(Nr&4NKc7av~&sUf8gd8)`(1m_qTBQsMio^psD6}12*?$ z?#BElMHZ;wL9AB zIi!f@N@vwwn!iqXS5Qn^iqC!lcYFQ!H3!8%7v;65`o|?!->3B6wKtyV>iFy=F>u`K`7&i|^ak21o_`F~qd6(fjq+o@$hC8j>(@iXk zbvq$U_a7^1Z_v2zouqsrYX2R`y6HkM=Q5O1+8ynCvDGvu~_PiH^#2rT9}z9k!|H`pJRdcoUzz06GSEb&D+w_^Uvbt;%d0CLI5oX7_wDf5l!<;8&#sUS)8`b95FF3)zhPg%z3!)XITWS%E-gcar{n;n&A!%^7rXmA+baA1m zS`3q2uLMf_TKPr@O>|uir9uwQ<#sAFfFJ>ggte0NfM_(F+220;**g{tY!H~RZ}ib( zSY?s%PV*^$v?@5KIoAYZ!~DiPMWnR^wN-_&^n+fB!P8#~5z55Q9@BWG-<~rZEmeX2 z`hd(-KBQAe1LbrI&Ptd_ECNVbu%8e%_QIw(E;%XcWHjphLd{Ns5(;r%DQ9oIvWH96 zy`a-Q9E$EIN$e}jIWV$Aw=``O6?l}xKI1=oZtAO^`|n@(+!NpKxg()uevY+DE0{PYq{K@-j6 zFO>58Z`_N$ZM`uWG(`~4uf8(JYF>B6$8w4gG#HZ)h0`JZt2XUfFZoEZl(A(j-Ed-v zCAxs7`|p20F%IoU)-orT&dG?ChY|M^WAwb!5@AOz-t!D>UJF4RXk`CwZEWLk@9n_2Xh zrpbc>{Y`B0GCIBzt|4BP;ple1(xal80~{E#9Nrj>>H3=)9?Y$S~=*b%Jf>Q!^# zvXhy_!nv?!dJ zUtoMuhx>neHt{x!$Z(+W-yfW%v-DKzOt5$0&yC?fOQ9K{sLWI47*)vE zXqkr6T(s@yI{PO+hF)!SAEPoHjTa{q%j<7&QSGrhO7+y7p^hg?28eA!V^=dP&-}ug zLzq?b^6_cIsPaDf$P`6wK@(UJH@`3zwIXAN?k~SZ?_XV4q!tu6M}|TZ^xlftNzq`t z!=3|+A50P0Av$`mZZ9fO==zHC#?RYvD|e8+S4r2F#WYly4f1o=+uavtW!+T-rug2N z4BCnV=^ph{qNakQf*ccvg?3wbuQk5_@2cBWQxOVV9F;$xQtQ$F6^tM4o5NNP3%pE1 zkGyvt#Aq8u%`BP`r?*pCbIcjlhV6Q`c%5->NC`VpG;~SnMx~Mt zknmB0hFoBV`OpE6lr_J1I10x9A(jAM;@p+oTqUC-aBne<9Z%_U*Im8r?UY@*VetjwHqeJ<;H0# z?_OX`f2&6qe23n9g-oVkorxF7K}m0Kaaw_gl_R{d@(a7Qpl+{2jg1IubAqlid5&C7 zXXqL~DxK_p+(67t+=hZ2X)ja#MFgt6@s^J|9+KBNnAw?DvWF%M{4w*Me^yu_%H#!< z$w&WZbBn3*`Nfe;5NjP1#QHt-wmnhnBhnHsK_j<_zjVn+#I>hki@vrZ>7PlgU%(sv zz-dNPhHi#PM)@E-?noiWfltpy3LCV-m11s zIT39M8_xek`neVNbR?I!y+8b=Gd=84B5nH>@vnJqF$DKJPhv_CI{Ir=#>;O%s+M@N zFuH7OjsS{#e{5rObe3RB8RHyNF7=9#3ZY)*W%Z}sjX=k^_qDa*(czl1oazdy#;c6p zFy~z^0)jZg&GdjRYcv5#-3VsyIs)y)oprxqfagT_2V)br1N;UB%Qbi-f2KJ?MJ-%B zj@fP;9_7t@ql|Yb$R_C&BNw|Aez~Ny_4M@N{HJ|LL%AwGx_87Waw(St9};KY^c6#D zyqkRFjq<>%_Ev2r24J{znk`r*d4Dzf0I5`(V~y)-=>5+ae^-CE-H{J}02R91yp*(H zOdRicGB7%^2rR0oOb(98V|6#|WEkFtld!nC-47{tc1t+b^^$Ly;1H(JN#jM>J0hc~ z@?0rCWo>PCq-)sUcY>nMW0FX-!aKRy?UM*%U50ZX-=g1h8anqi@}k1P@p-bwoN3Jq z|GanT`s@Qj1oD11cR`*PufUXh5&_?6(icn(SZrlX67Q|wBw5GJ(MXxgFzX!=4}Lym zom#G9rmOWwWz}O9(n;+Wk6*W}g*<)l-9d9^dARQI}u`_<)IuL-?ha`4qKw zxf}S->NEY*!`H#y3q%|BWahz9({+`53zZ@Zro|P>FTzUqbh#v!DV!ljUvZzyWrQ8$ zN3POY>o-xUR(bUmzPnvsFRgr5rrJ!WEHwC<{yF*OS~~LuSP26hSo`TBGGIOo<)%ZX(Lg@Z(_QO2M!E@BfaQ1sDLKNnx(r|_x$b6fi z@J5R~poq-m{%Fnx*Gxfos;($$7y|WjLU?iY#q-=jee!WZPERGZ5!Y@EsP~1_z+H%L zcDgoKpTGdR$CbbU!?I+yx_$gYMT>{%8b5arsqAQwQv(Iz6nLM%rnrx+ z)6I!v%SDAsme$<`hmh$y4aHh}G8ey-9uJMJahY+)47X)54<#Tzo!-OH^XCbi1le5d zuQPSX@ikjbXk}w<`~r*|Xdx`M8E|%E|41Tm&=;+Hi0&A+_qI+t1=GJq=7JOteAq!xl7AhmdMHW|?XG_@*z0>l2MuAL|XoKnq%Jf8dWE!=7jFpm<*r z7GzNrf*Dc~=;Kj!-r4qs!2=PrRuT@Bjl4c@h3N`E)_x{&wsdq-y%AQ9rax#!DBAc$ zf?W>Jo}IMf7UDAQ@Y#j}fc&U;-huBR+eKzt5h_0ER^|Kyi|#ukpM^#O%u+^IC$L=U zzKE`88Ak+MGg7pzOt0)|W3D5Oe5uL5%oYGNtdrWuCN5TRbwol*-EK@3l*UfP#8}fr zL3`M_)ruU7yKp)3M~4nz`acw{_VFD(EuJpoJE7X~` zfBEcxbia$%q%4iZ;Q2?FSZi22B47NN>3AEYk_Vdt@Vlj!&NkkUkgB+n=aoCP=;8=LK{lRz@;#IxTY?0^M z#=Dwdi~>-FSip{5G9$wM8oa+Ysdw4Q5<>X5RqeYSpslbTS_6!7F1+VHug@2)2FV7I zO0LeKm|5KNp*q|6CY>HAPQ{=(WR<8iXePvQ8iKS+&`h8|b#H{4S*Yg&N>`DLPv(Ao z_|}1Ot}1@my9^Kme{`Q4SM$%;43B*(-EOcq@RE2${Y{auoMcOxF;4r9x4rdZ2RMl+ zy1sy%5!^TCjXtP7*b&0rJlpyT@m@OOc5wL6GuN66>jw-jO}ncHjsPpj#AVp&F)~9p zJ-U0&ie`9D5|=?}8u$x(2`+C28t*(hHEQ;~$mUM`io0hy;;2om!+A5<4uN z-XA>VLsG$n8`&WF(1gmc2b}cVs&J3RLM%+Y%OfZ!AAurSmks}r)6jqq()?0yjLMUA zi_Tt6KC|&bb+o~#7dsw`3Ij1A3})r}KME2uYbCxMtIcsgwvRdej7E4hC&HPiWQfXO zdo<^MLKuYIbuY48`vLh23gM5t6JLKr2G4Kx#|K*5xox$*MpG zA^GjzEjl@bXG%x~lH7MIrVvP0$e|>^!~gxmt@rNd|6I>?@4C8c>xFGde))Vq-_Pg$ ze!XRK$Kw0pz%Vww%+Pk|kv#(whq35V?SIn|woCusg2(M#$_t+5s8!Y0} z8Kt-0b`QYM?BmNE$6hP!jqzhMH?J+)N_9el&SczU6G=?0+vB6&Jyth)Q*URr5mOim z(oKJub#zoR0te*S659Sz{iU`gc(54V!+C7sA#o7veD<~?CmS}#;~VgTiI1~OX7~nn z^T%iM6?KV*CgEtPtFoIwR$Kn9W36K7Q-qGdsE)HR%VwG(;snvM+$**e>y+f4H)D<5(Nzu4>?xu18P{NasuCr2AH!cQbr z5E21yQb!BADi_@CiuwgI!((0?IM^=`p{rEJSO;~(^qZuB$sE-Kpr^U|6XLFb7}<{_ z^x>Ty4t8@&+ES8ip|-smB0&85s{87c1y?r20nI7wWlX-#X*4}W9bC(d?zf1rPM#wA zXC*gCekf*--$qW!&(Vv+|;8-ZE`` z^E0k$$=00^)QPGqM8OpDk*MykS0kSq43ix6ah7Tx{O_JC>snp({`DJLk8ad>9|wb4 z728R1>l_7bDW>mNUqoeIJ>5XPQT_XS^bvxyOxxp~C}>G#LV3za2goFE$4&lcQo}~( zVVg5yUKwLSC5vmARmRc=`IQ%?duMk5^S9@=>4x+n73atBr>klT=(~(g-b)RKoz;Kn zzQ>6YbZ)1(yzl_K@7pF)hPS7Yt*@fHVcvLigXa4op8j$m)NxS@$^UmZy+7W&)sfY4 zY5Jb2qddU9H39xBxd+OIT` zCy)*@w8}u|0=+@yW5)? z4y)lBc7z?nVazQBCeFOh7c<82o!&F98QGkr*!u*%?LL!r<;~N*FNE4Yc8~cVJ8fC0 z`%#zq0$HYtF_`g>3T8$h<+bIR2(z09M=)TrJeq^Vm}NL=z9r(hIwaa5O$3J4*`*2k zao@*7kv(HfZonjM2+sAE%?V4CE3~N($_Zkqp1DpR&6gg~4zzIJXq#1S6=bdqR8mKt z)cyPiluV*k#t=EX&I!t@_PabnRb-y8iOx=EIF#KoJH7J~A(d{H(SBuguI~!Op;vykG}shJ02XgyY_bf=YfiE1!_28LGf@=Odjmr0`6d#~&JGjjH$LM~zSL<= zHM)hqRRPTH7ws)02R)c*zx#RZoAUBOi;$M?qZ5*qD}>;zy-a0No{5@vt>Hz)XUs&n zdIwjE3RKZk%xg?`G2UAi>wQH!LL@jkE;&jEW8J%pjpt}GYbQ*9phi?*oHC3dDIyR8 zEV$kOhlxC#g*l)St7GW0w8mfBCaH|J#-}G1z%qAJee0<#Cg&Jau|HQh{s0WxiOV=> z-0DaJMIZ5Y5rgi@kFI)G(3qW{Z(bt>MCr1hSzxlp^cPS2?o&q+63JBDv((W+W{x=b z8M5X@9aD0Q$$=Cn`z8I9dwYS8&&R-=llyK{=`(k(s2vm#M>4F^oyu zJaX9|o)}5BOHK~1)SFtV%NKaOf=aLX@`VHk;Lfr+I?a~%vXYL~v+ssNswdZ4n%e5*a7oj1H=ofGwpac~7vco6GAr=LFq`AUzwdwWl>pXUD9qo%goDu^=G~uM0I;W3=~ROB}&Iw zB4?rILTeEAg3-&fF1uGZ24l@7S8E>4eW;2dx}=BwEUpm9;d=`e(8b!@8@vlu`&3$M zW+U1yzy;OO>0r;0}9O?DOATWGUe({yux$|A}`vh-T_OXNc!)BH7|5s zzQ}Y@IYPViS5rN`j>~?Y0=il{E8yvRuUWU9cz0B~*>q#loP>zHY`ISc$mzTr+@PvTWX>7EgVipv74_{e_Y z-62yfGWjvSHc>)l9c>%xzA<`m%(CU&rvvDORLTl{b)}61Iw2;sY;xnR2hHL{=ZpL; zOh$;z%ABmf)7caMF_lASG3>AB7lw#)8meMnfT+D7G6hS##X32|pph$`9P&&T0F9it zb+~Cu*36%RH|QM5(B5YTYTrpO?O5Y(Gj5+3mC)IS`G-5WfpKl}tewg!2~xjs1pbif zD3*T6(4G`!tVjZFC7D$y^glEkf+UrdC-yPjLDA4kN^d+bG>^-hqtYCBoZk^;19hZcy)2HZ+W+p|<48>B`ja0U)ZRkwb?UQbO zU1=e@MpcZKeX;c;Ka-i&Q6-23&F} zJTk{tf-m|`me}2-uXYccq}gm7O;MspT3=Th=rMo{N%Fuoy^e>sK^+bMM;2eEIL2>v zQQqyOyXHLvc4v)%ElKxNS(iqx@)yjF-2^EgjAh)qA3nHUHXN(z8QmzmW{!Y-f|ubp zl{NQ}Z56$f15O8hgez|Qp6i4vhT^k5gs{*q?FqPZ}UP8ATo)su;Y{KL=4?E01wPB?SY;&$sh zFXdSNt8YrgU3dX~lk2|%KUqWY%>U&gd~EsiVJi+qtO4U-cf~)}Kx@g3Rx zp6S7kYUX2QY)fijEo0NFV&IU>-SF<*@6rm&#-%Rd!@-paqg)Vb+k&Mn#ou51k4jSM$D?$V3EPvF1>k~HjF{Y=cx3Jm!pnP~G z%&|EK%+Xf;!!J{%KM^))R(MX)Z!C;#X_@P~WE1|-cs}R$yDeSSVn^?Q#L21--t=jr z%f^RS`r;XGviFRpZc9Q!{CG_1|qxWILZt3fCMuA3+G2Nj3N9 zz!UcvkV|&^$=i}oWF?Ne?}F&#TV?R%2QzxZG`hxfKh_-}aQV$>3Y^M?lBr7-6|~bi z+|ipxZDC^9dpw2l{Mv0zPX{J@w`z#%rgK*tes#8lZGm`0k5eA|?^0#=eB+g0cAG5E zbBi_6rXcjE({G7;cKKEbpIi4y;&?G4)_UwC2L}YmNNsST%DV^0kq>L8S zKQvEa>3Ek^&x#YEds0D~NX+KlHIE zVkED06MFIpub^sQyDLC(<(k-_n8x2WP&1n;LD$e@p4LWm8`*lZ`ib(ulT2<|)wg|R zBdJJz)vOZaQ%4iF$=6dJ?^?j&Ocka6Cy-FDis^^NDrXj0VqACM7#K}RD^|x&_V}eg zNaB@w|Ik_}qxt9_%{tdMs@_(-$-ZXvjd7%p70b4_I(&}@Fbd4pCynfJ+#fmUnr0(p zb}XG16Pg%+@AxKTvC(!#=NYGR(wvT~Qz4@&2Dx&1({0{QCpcv{Pap>c1Y}z=lY56K zPYvW~lPA#;9^tBEX-TN=ZKHIvqeYyI--P^I$kVHe5nB94>5pAEvn;ZST4PXqgE)VC z-N^kN{2bp(Iv#IU#pX_Cb|e)F6^d)tCGX_Ro37dBtOs*A`5kS=IklCr7RJ=OiFTs$ zt+vnWTfCx`ApB(6)iGm2Pya6<1}gT|o1~rFH7gvl_8O**&YstC!duJAMjn=p9@G)n zdy{f(?!g#c^7bN6+dkS{dvTglKwUs)XVhzxeJu%JFv!R-o*+|gc_kAyp~R1=BaQ0_ zV!qv#g_c@YPCsd;@#_-7%tUKX!k(6#0IhC&fHRT+|r0*%WV=5g8gx8CFg>6(7pl zkVN6Bxu7!4kw@;S+P+9A8;TY1kfPSxxGvYK_OEaoXWuGl8eyI;a=6v6nYQ{8#ioe}xlA~tIz3>VIB!BwP_m53YHssBh73(Q zyV>%TgmU{=n~o>cz4)m>j{^GN(ra_w!>X%augBFi( zJlGKcl)DuuVMTqnk9r=|JCU*ME=soL(?_l|fPGEW01OCt`-l%dI8whcX>@c3 zX^+g2P-BM?u@IC1NtAb*KJOs~O}BJ62Jf9IGfHMgu8s+5RWw}|P@OB)L^soEKz-p1 zLaqW&Oq?fihjiCm^7xtO$kECVouL^j>)!pY_omrE3K@Asu@g@fCvP#40)d`qSZ?NZ zVldkWWb<5GbE2F|MVfFMbLn(?+@;gC{FZ8IysbP8}f;iEEgsrD|gNa&t_3&$ucoqyCj1h%#-CyH}3-HALsd z*~bwz=TN_-(C%;7g1gnCIq|Tp;X!#Q9a*Z2!3}RsWkx3oc)C*KonRsxbHTmgrET~9 zt9rD4Z{9>FR!v&#^Y1oe_J^ee#m%mJR%oi)%e>rCCF;XU+)uy%-4hHOFETrA#|5fk znUg2CvaBF zY0e#`L_n*f-Qw}ySIQ>30NZ>VxIb2zs*XNIJ6kWA+pi8Ka96{CTiVV%TVg7FNj(UW3}CmV)-!*JM7-ROW=8NQi z{-Cezqe8yu=+KIw4)25a)D%g3%GuRVS=A*brrO({o9L7ulezs= zUSq|6o|d7S_*UJnauyCr0__$p>nVpV4L#C)XZv&~k;G(;R&1vAUY(u4cq|YD0cdu! zT8;WQGYB$Ggh&omH0hWmvs44U6%wJ?yrSi-fjDOzq&Pi>wvI~yA>QKw>kQ(zY}L*= z`G*DCA-zP987@S2Yd1Yi=cfC$nIV0{on#BHDhW(B`&l#P`bGk`HuI2BLO$WO@@Q$U zq0q+(^RdxcqX*5_$>G>e-PVQoj#fm-%I<-9t5pIRkGwF`ehGb29R(p2=Z+>OTcA4O z)mLmDW5k`~&53*lEx$u$8sWr9Lg>Mds#n{rMIBG-Ze65u@Wu1Y*e=ie;E7p`H*NS! zea|@d*oZDlK<1*)h&F#l@l$hNKArVq29uoll}i~jAwIwCwsZ!PJ;iZ|Q-)XZ@;nTG z?(!QGIqDdhX@JR_@48hSn$xrlP)NQY5dTv6)q#z zE#_F4;YB19yWb)tyrF1o0hOaV?GeCIvyC=a?llR_vdv&nx=gD zbb&f1Pg@pGNUzbUp_hkONf2?S5$S7uF4bxSoYQxq^LB}oeUJ04C7KS`+(^oR&B*ZE zdXr8SjLJJ(`yE9!m7C!>K)9!(UM`wfoh}O4t?riHttROM>p}VS*v;-?wc?nVua0)i z_9yoBe$S9OS%n3WqwbC=7pBFdF4OsYp{|SWv-l)E56azblV+=BvPmYHa})j6Nn*e= zMr9?lxq*fORZLMH;r-*ZziM+*plmRHM7+cA`mX*Xxo~*$A3}wn~X3i#!DIrwcLI*q0q4nImuEBcKzp^e@L> z*#G|t|FzWZV6w*hP6Tm3%(lr)=Pj-6^xwFF&`@kA=qs{!My~2TZBM*7>)qnOdhXPuf;#SDOOpU9uk;2Q zsN8w=OAgUB|F=9n-nQB%ua=m%U6xq#iSvD9&rWAeq}O}8I;tl)&|vi%`5Fx*R+Rnn zU3|&1u?5^8DApaA0t4nE?;DbQDyC1Y62tS~lo&7K@BD2^`pTu?Wh~!*U}^Z0sIX;Q zmc$)fzBw%X!15m~eJD1Aj`jvRPK~V+*$oolOUq810iv-iSFbz<&u5AHRaDeO|*wCd-gl28^xOEew7n9 z5NM5$@@N*eM_HdG`LBH~=Y*$_bn7Pp^)jxIVYb}w{ospJ8}2J7%XeD{1IWBOKyi{b zU1i;Ui|{zs)~8vX3(IxJn==WT=-*61{LKhv0iH48V8=DTD#4*Y*64nA`4*b4?im zcmg5*%y)8(D9IqakqZgQpiV(8X_q$e_zyKvuKAXao41fXU4xNG4OE7?m~kfUoxq87 zW-ryETI!(`b?|k#ilL=+WYr;*gXQnod~-}LnbA$AfZulO9#cX{uCvlMyT0-fWgJgI z#uv|6$k{nTf9YLeU=qqcSNVtAt_NZ=ZD%*g%WOa5 zpQJfRfclB2v(iO~utnEIwzv4bFA1%zs?P%t(*tHN4O3RCAPYENRTPI&Ylf~j+U?kd z?O9ZH@B;if=Aie)8M}5b;u}>FcjK8XK!&j#NePPM;m_a{L$BSN#+Pu_wFzY-UqS*9 z?QF?oxMJg_Zo7J5w-YWlTo5XDiyRtr+KtNI|Gcck}F~ z+s&-l_KXNx>N%4zRp9aJx@syMGFDr9vmhYvsDv_VIO@1HFKhCqXTEJC(jnXSK&0ik zaLVFW)V;aRcKgNRj%$l*xZPhcX%%skk_0A6L?HWy?YhEGiwGQfBlg`KU?)9ovObPc zQI~hUB5u^Egr(@lxmVt7c)qiB_*~O_4`1NW#pANR`ijSyso|Pe#_spto!o)^#hfd6j|?qV__VGQZJDtXKTA^J)h7m$+& z0E~rFBTuNnFVH>_9~f15w?eO1%M0{-T@%wQRvv?10jWI^d+|6{4}?zNaU_O7oA_{d+r@tM?qk zQ1#K7cH}<?KM>soDy|O!oWm=yr$9^Y{6G*GO(gq+Y9bsi!x$5ad@Ny zl$zOKLJq^}(qCv9#^I*?qittvT?x=h!0KyT`j|scb$ra!561INyA3P>(5|Tas`1mE zawbLP(RWrAF+L}m**+lqy%yPMT)t`RRVJOuVCK}30byy6WL^`IW+_mHa0ShlNWM8c za!~ZMQS|42vveI~eBsL$LC>3){0!DXX8Dp0A0adS|EI1_2LUfEO&-?h{w|z@zA%L@ zc9-Yvz^YyCYc8y*(A5UlRQ!X&v22ax*X+Jw+2fb?Z&+7 zwCVlKXvw~>w@2u5(?WOGoO4WV4-2ZYH%R6|?GT>jMi^s%#a&9D6+B0-^}_V6THRvu zWkyjdb+~Eg2dv}!PUG9H@gmqIuK;ZHzG_7?eGf|o%P$|qQ|hITk2YKa$K!>Q8}wwV zJApS8X$8D+@A}>cyMO1bu5|TGD8m`>_n$WFa&mtzJQ-LKgzFG`=8u`Q?ZZ6&r(hrT zDkB#>4-iS6JJ_~gAsnRdA$IV>**G(RRcwjAZW&0J>!r%i>p^oCqsxP zge%G*x%A1hx?7#DG1c;N0icxZ8PG5ksZC|LfF$+U75Qn7MJwFbaE?sPwCy8#9#y3K z_@@l_8Qt$buWE7zZohqxYUr#q03ZapE4JqsC?RVi(T;%_?Ju12k$dHq{R&eW!|kHd z@gdI(#y=6>sM_nJxDyvqhcyv4A<{B#z^gl66{iY8!AHqi)pOMb9s$NraD4EH+3F;d z5Xl0bzKsI+ovi=XJb`2ljOQxmV3cQVV3GxLb2l4C6@cL5&?4Tyn zr`qt5XjsMMOCQV0foH} zq<;1AN}d&3*p~~9k@~Tg9R&;*?hzJ1CHbZIYHP#exWXjqgJOd>+QP8M2Ax8csUxRB z-p(RZ(3tnQMBU5HFbaOgfb6fY5KkT3@*3cgIb2ST|(Nn;Kx zaZ5$T=9UfIDiB=@M8WomU`Vq+YFg;+Ns?u(w=>jNoNrpu=Duzz2eTrpMbn`pa~Ib zH0(&O*Omrw^5T;n9Eh{4mDZS(ER}-Wgg?1(hk_X^r91ys|vj2-K zenf8FATvhuNB28mSnR9<<-e4Wki;IDnwu9QW@?)Xnm!es4b@gar_Xw#5@5wI)$LUU z=M-1dSl?u!u5vnk(ssc@(}11(C!po%trLvrp$VChZajk@F^OTi%7OZIdfPa|8TUua zJp+WO*fXfnvrnVMBJ@aZRUTs!`vDFHW(x=*L~vjGPl^fnn!A5SbFe35#+?{z5~AX$ygf);>Z+CodwRgKIAQW1P9L zJ^bhz310zNQo_!!IpEkamezx0E7sF&DB#Z8xJzz9wQah(tOrbuFiR#o(Y&_)%7$wg zLE5a@)zv`g|G)VS~%g*I3McM$RB=r`;AtIGLj5@!W?|+tt)rmI&Uo) zjG{e*kl$TX%52Io8!ty0HvIrSFL%3U%YAh?UDV9*Q9Y=6K2FN)Ch0%Z)RECx8O=>u0L&e5KeoKi&qscxTnUJWO!#42;NTj(H$% zTLC6Mus9HNa^5nVGVvcsmyyv0o5x?`19H(jI8?qe5_{FOV}$X9gf+Xj&{O0 zxO^7BmXN_rU8gxI@EN28w%waEefEWzuiFx}4 zE{mh7+F(@#B3vFP=zhA>UY&4u;-ZFelZq=@-ol-%C8YtOjI2bqDsEcu%|_6M9a7PSoKXxn|Vf$LlNwJ0nP*MZsH@z!|xlk$eo&Fkl-GUYQoJ56keyXdhwfX zYq0^RIjUD{sw8^Zu)?7fjSIVw>p5TbdXCR|#mFL3F)U8ILzJWjLiQ?y1of9?xh4(1 zRNTA8qa9O5>~@HrV-A+A>svK2Xh`J;RZByS@aM&KGVL9VUkDl}li-J;zPo+mx~+3K zQW4a`p(|7FP;D)?I2kNxCuhlj!|*VQXkh}CIpd}3a0Kh>E`knH-!h}!%N3#V@2|0x zS@kFW)H2XfkG)D)A2~iWgAs#@SEwFA^7)`D&>14c6?~jrbNbeF;8EjvfDx4%UFml^~8<)N+ z=mBUdNg!ek=X=ILu?#E7_dM9Az&vN3bxlM}z(Cb% zI!UIp1XYH4ktjo>X_&8kFl^bvBo$W1G4ASfEK@LB!r0q_Q^$cxC7A-aA482oYiVWO zWDqDJqu0Z6&$R6Y^|YhPGNmn&pkX!balPngsRi5{6>%k=2Y=~Avd753m_60$R@0lX zGgDhVQcsC>TC;*ZV`Nl{OHwv*F^_=z`vjHDWBnv1dce^*Zfwl&)Xq5yocN7li8aH< z&Y5ZabO09zro{wQbdl9pikIYo!)12yT@lih19bmxdTS0?o*Hf^1h!nw+Of{bns@W^nRK%EkJbf* zuXuXFY~l$Q8vo@!@n(wvuaknbG;^MA%+Z+!y^$1}k}{7sdQ@oRnxkBXUXyj8RJ##w z@xG_BA;93yPoxy#!dGSKW`it%E@jg>BhCh_Q1{ zP~I#BM&o}iiC`%jR{%R&^2G9GuY|2*4n}OH!?x~>0Fgg3Eh1$#D&@DoSpHGm^4}%} z!+#s5@lD0iRWfVrP;m8w%$2(~vGAjfKTY4XMfQ|LdlX>)xUY@RlE7&M zy(y$*A1v7#JOIh%%NV(wnKnIpzxRuych^sJPHs1v!=@Ae${c)}-n)~&WuaXzUxc;^ z5{c|rUKh^R-J}5(6B`fQWx$Y9Ikz(s%jschtp`}!a9h>?AmVqC7||Cq(qHTJ$RJy3UR-jSt>YC#o> zmE>JR9#sNrJ9#iI5QWX&!Rw7+{g?nPStM%MhogidbX%W2quBgcNpf-H?)Jo4>Bh;w zOiklwr5iLR0Q(t9fjX=kS{*KRSaY)DnjAc!8|Zf&0BCmaVh($5z73O|?3OQp6eijQ zKYy41xSe_ZOrm#O6CSec?=JiTdek`2w!)4JkBD$R5>U^`j6P~V>G8pDl8Zwf$GoI= z`svDEj3?!&b6=j6> zfzGNk?X}RNj%tZBu(Gu{!BHq?xy3b=M}JEWep&1|Nr=B9Gm|)YJ6j49 zZoRP>j>X`UGARcVJlcI;#soMgsN8&eucRzqYI2m?E;>V6uv1FNOv8<)-DNyLkQ2)) zH!yTfg{sB9OZi1eyH~CqXAaMgP8{25(C(WM;V=!{D&P?8=?ScUu^_+UoB|ysS0XY2 z;)lL53$`a1MxyRJ?CPhI&&Q3 zxrvKr7@E8>lZ%v8+O%cTh48R<8b8&u27Dh+5j6B^i;8@3WR;bn=>^xqBkC)lif4{@ zGH5Pn(gurC=Nx_Dt~IN!tTQ1CnF|uRolYu4DFn!sNr)%JEF(Q0%`(o_7ISr@Q5_Dy zHtr)62RWexrn;hJQ5f*YvzR1Un%&1;?sZm2De`>WN8=f>E^bPU!O$rNPQ#GnnC!s| zyzbC(+$^nudO~u0B1Pxb4@Qbi37FyB*X`9k^7q=xfF?nwWNqrbr7K|$;+zUG?V86j z#duCU&N;^ghus&`Vyjnw%lBh+Pd3gs(}SqL_qKt5^tNCBqqk)v{KA$gm&AoVRUfe` z;^n%CJz*Jt_(yNMjO}f!P-qwaxwrjr$7Z&--N07fCI1*iJnC(qKyM4R;}0UY&_FXQ z7v~Qc7 z;K^Ci`CWC-vRc6?usuW|k?9hIPwpDj_`o1D#1CVH;V8QKivdqJ zuS*p^owiX1z)*-tmoxC#B^`N&(Rq2P9jRH&c?WUSh9t6l*zEyj2;&t!Zr}3$J&aL1*H#e z(W#9L#nK>(3=^MCEOb>in`Ud9oWvhHcL5eA3|)!O?ZVD^FcJgcR&_+~Ec}UdY9N0& z+I2jQ$#jzk67B_7NC>!r+87 z0eE7h+GNaCT{zlMc~#=7nhfNPw-rqtXD`99I)qPY`%U8b{8|gYWoIeQ?~L}XxYu(O zmd)~zB@oLRIqMk~fILYbXmJp?=J~Lb7FVuEAG-I^BqvV@cBhNXu$7W9xRiW_e8!oN zoi&#$c!qHx0h>N$=+~Hou&+lD9^yK~G4}pikC;MZBg?sNC3WJc|cZ1tme zow(@kWgU>r_{^|p)*Ol1HXejt@oo$nCJlPWu>$EPT-f&A19u!7fvxcwROu)Pih;@N2TjN=$)RSM_IWFuL=yewZZ4;G8owXNQb~99l z2>l1``uq4rv$eIWD#M3=jR!d~hyZ3oE}0WFCx0ZJH$BjMYhF-FAMU63r?J2$p3CHa zFMpwZiOg*TsYE1zZNoyQ$r|$|CU_{)z0uaGP$5#0PCJ~RfBMyfzol{P05u|tGlR^q zvK;Y#37YsLPmd1N8_l(HWKR;E8yex~pDz4{9rs6+<2sb%;G>v7RN!aX61+6_0^+FH z*}@aAggq8I5ccfyt&uMtq{GsGKutxaN1PoAOF2x2D}s?}0tBI8wdVq8!@H(jnECaZ z@|F#M%iQp1{`3|mGzF8jWzV-|dk9m;7SfT1v_H*>zvwbJ;rwv4&wIXD_THVi%VVnA zsvw6G!%JSLSpOmD^?B77t>47`4X*xs2(+98+vZ^7pFg_!{`l>J5l?5u^v)NUeVeXX z$e%m~pI&s2CR%InZ+@rtz+H71quNmS>fmpD`Re6bT+7lBUNVglem=QhTR7ad7ppno z;otOXmiKpD2=Env+Pc!1jOu5cKKp0Rc*lqn4R8du%G`fl@4e;r_YBs&XPumQ4-EmT zIJ?+0U<8W|z-0?9RE1NWxPQNP^KC?fRCY2610Z2>ng%06;zUh2CSsUrrZc1M`-0*$ zI+HzTJtxU8`HGGz(Bx4K%lRYcTLn2ceiR=J3}gOeQ?jHjjS=jt0q_bf(6-!2~HLGHGqf zJ05l9g7(2Pb%E&iU|=u_OQ1;*`7*AYFq!5%DkiI$8Xj;&E}{i0Z!5D zw@0&^fMIaA_li&U3Ko#z>SsR^oo)x-!v!;jY7+c~{r`X9zm^7nJn0zSU&xUWWy2L4 z(gNa|ie^U4SB42NExm5vVJA#mc+)$;kY?`)@d-?3JsNwaH9&~IFzxA-7`!h5*Aq5X z1~@9PPizgM0{-^HyYIn%GzqW^abp;ZRkpcnm%fDQW<`7GDx}>RqG`SKrLz*}V5CAv z-xwwP`ACWi(SG_+ef2h*;YQ8MZ8ieHDzfr-W}@nKi=nlDFfuVf!7vvgEE}nq8c>Jd z!)cfSyr+l5c5sR62njIo9^Z*SVawU+FX>c00x@V+Y)<3O^r`@Sbm$uNORhImH(RNRQpBl9r1Jq zMs4s>Y5-uG9UHr?yoL1Pno4&-x@T;WcRH;_c2u+Sw>O%8xs#6p6PshtVC313(86(B zLDwrXc2pqDKezr>0}e+jr$b!~P{%1pJ(VdR=&G8}%Ob>WWajAtb`TzF*H@)VIP3wY z-D{ShJ{N+GI`J8F*n^S7cm_s7?eRoIUq%1{gDUctqn~+>Iq0G@eE#YRoJPZu1OjFr zRwNjRCo;e#;Y^3HiErqrRIob-EpGj}ZBzzteDG^IvN1a<9X3$N4n1u?$lM1KNwiS+ z*kppC_qH(bV@#BL#dk|@7eLO^3dkc9_czq~^o4;)d{y2eIaCWqC&LofEt>hOf%eRCUlkz_ zO5%xkOJ}MiXdc79_4WRBXEn4@aM%dhspx>QaY(MG^CC+5|TLN5>&)_B!%Pwo3NXG z%dW8Gus+3WMJ#DEv<=aOz!o#mQ)KY!)dvJ-C8yYy2L_-U6t;uwCOdP)ZCj=Wh9c+q zAu7F_R7aFs#@Zs)5v&_ALT~nHxcBQ)Rj%tgXOe{ItaS8vUS@Jwc=FbP&PrU9(&un| zuu(AfAiIli557FHfWe?j+k=35Tuf%$s)YsSu$Bb$TUixxE<3Y-l#P^G&~C#B!~U$5 zZf{&m$#r{qJ#4HAiy!V9-F#Zlj%ye{m45pEpkUT)dOjXVi=kR)Ic6c5(X3Wt{gh0RgBpxLeMxb2Iw@;Wl-KB+1odUT<&HUdhCMU zJ&FX-E++(|ih7dH2oyKg7>OH9QYu4}gy}3dMDOV9cr`&hq*95n(_b@PV7{>H#Mg+m4oP zp|(R7{K8J1$w+0C;BI0nTf7R)njwi19=%-Qp=smD#v5Lt5%@qcM)8LR6hdvjcCVfo zCo_^|I60a_h7Pkt}7$#HsO8QwM18A z-4|GVBoK9daCZ^nJB?R&%OCuO56UfgUYWR#bC0g=v`&%;l8{;U=Pj7zIKaew*Df-N zjq00Z@WdywOPKJfgikWm-IlzL1i|n-))-OEzA>IMog>4=t_d1!mlbR*|;tEk9qX)i;g#|Ywya`p6{y*o& z=@3suJn;%LvPpE$OA;ctwuhzFU~b$Ib}3SbaO`~$P&9`rB%;_||E+&UrG)7~E7(Gw zXRB>O%Ki;6?0u!*^~!&yCW>uoux-XC7jRyCPln{c4A&-=m)oY}#CpUpocl}FzX>uU z^UfeSFsRsMS#wU%3|~iT3Q7GvIWTB=f;kkY`8ZW(2wGH21h5!=*f||K}b3CqJ-7bvPn%W+k#@k*GI1u=$1vuN9>lq9WVR09T zRH2c)zC5bx1%J+iZ9O}3?Clg6N#q3~Z1YeYAz*KVb@EMN}nt0az#^!H4 z>>qV_q>ZK9>=!K@zYd` z4^4XriO2rgh;26}1Jd=5Pm!_SKP!E?JwAbU@%6+VO?+rL%9eN1G3FYWJyynd;N%Pp z{@B6FLxNDyXXN<@OAPY}5&)GIFgY4vHY$U0`zFM~(c!3tvSLEQg52h)>10aY)@HRs zw_xFvd7~!{2oF0n^Bs{aMyi+&km>g(A3chP*v(#m*~fNcn01oc%>p3(>Io)5XV$f)F*{w_ zy%Q9afb$h`r#$}XPDA`QbF|I#$#&~Z#}ju;&FU71^Z|B#nsALy;!#}9@7-fGcUAZW z*O>JGlAECi=1~yZyLw+g)6`W3MpWjA z^?>i><;r&LIPsE}qMf&cEYDLQbfT>&Y+NfTc<>ilYYwx|-t-4uU{P>}7PXag^uZ<< zyVr7XoQ}7Ry@6<6@nsCB*tCVB%Z8cE9BIS!falmw z===bBg@FK{mi4ft+2M;8=gEk3w^5xr2w*oF9K8*Jmc+{r<886U^3Ak5{_oRj=I@y1 zWlR41wEEd4am$}Nu>ASutHNGRj`-F;`|~?c;DMQ2w)CId=Fc93KOZi$<%_iae{kx) zy<|BnnR|q3UUcZUho#hDVx1Y5rU`3IV8c%;Hr3HrTITp3A$0tZmJ`4EjS|*bjzq0eaX`Mch*@ zg;F|oeaG)MHfqWv49Dk{QSI9w^FhwDX&$1EAD-W9RY&p&;IkK+>NVSE45n|v;uk=yYrID$3%YTkfqZ5;h4EEd zVXcLkG~H?j%l054(1{x`6MyyQ-^Q!0-Jb13F}BXJvp1wg>HMRzTR|~T85R)jCd&BU zLMNNhgcA#=5E~{Ms_R1=f~`Ksw}4I%BR{GO;N9zJAu!lv^1KlN;ir&Lj4mj{v?0im z>U)Jo&vwYP>xp;SlpYk610E9bE&?xH5Q1UF(X;58uYepiaK_)IT9L|tJQ**gl&#v_^PlgFiAu0DTg|uh^KH=$xPZK z9>{L-(SC&E&h0i5@4jW)i%b8lw>c6qXk~50u&|`2(q)niduO;cmCZ%*;5wRKU(k;QErR?jhGBK&IEDVysHamhi9p!G@nMEHZZDj4Fv)1raq!W> zahjWMB2yAPT~)q71Dt`k3MOREI}%oA5|J!%pmzmgGm}vKlA;HgLn`{`66Ws|V}205 zT1M~dXcqU0c?v>2T)ELq{3C~i3ZOEz+BbP=?cQ!kgVQ||V@|ZKN3D}rzV&J=N`;84 z-PEHWjzrL$H`=HxV)taPYanBufS2tsW)1;I4pivV{!bD=3dC^Wfl|IRgP#mCtX8ja z;}kji&?Z6h!Chz~LBnhA+2-m=KGLN`BEYguO!8X`#|>{X?`$xT9Np5-}KS+=_3=P~^Ql>~m0S z=Zmp(oGwemEa&NmBV$mmy2m=I3<8O4Fv>T@;P&O`YQE5kTZf`X^2CngGc){;XFeAg zJZ<)iY#!sp#n~}M-Fw6v);l_z%TnAfBYMnC=D@5jgbX<*=_r1tvnn)ghUA*b6a^7` zvP~5C4VzAe68ttw@G|t+EO2!Fcd;#898a%dmPb5BV@47A>;aaGp8zg?wlooW5GniY?*Wm|H^*Cu7~cb8E_zw#T@3JlU~* z%l2=Xf@d$zaC^THoL*cv233vF(|O_jx)_ zn4w`^W9Z-Zua};!d|Sh$2YuePjwx)^5{}~=>{CSx%{{K(ehe;@%cslMp}D+ZbrXiR z&pjiYrGW#`GdIqXDLp$*tD=Nch_w_CH+AyayC2qu%lpw%qlj}iydWxX=P4TcW^q|R zw5ef^c*o*_SPrnTFiHdj`5e3!O(XT5T^&*uS>JAF$&o3BRs??dtcsycWxWG*doG1aZJ)ZRl^u0}6A@{-yP|!3sH&^K7cJSjO<%F1E zBbPlaO`~>tWKJV1|kUB_EmR9abKp!M|E8hNgOFp(cXQ+<{8_ zKXPd8p#>C^%)ACVwceXFWk|5dEe-3xSb`0bvQ`-s1a^?QUE!Gf6pYHSHvj zjeh8w<4@(}0ik$wA821phSvQ-!2bWS^)7Ht-}nB1RR|YR+x4PUsNL4q)f-#8wHsL7 z*{W4kP#_=%$mu4f6_p(;qzFk`4Hp&RoC>71gq-6@QW8ie0vY7;Jv#?=0){gsq+CMI z*~AnA$r&X1E|>53`+I%qF8!bV_js&(Jo*?d=JNTzKd<-e^?dzf`f?lXGVxgK!xO5@ z9Y4Z=fxgw{=7)I=RXRq5Jz*9f6K%17Z(ET$KEp2Rrq2$i3zi3 z#GZ|1NJxwanuyLgXIHMsPh&q|;{)%o{e5X%CeT{Gkfa%o%4bgvLyKT65Z)K?%#TDp z(4)-yKzKhFA*9lvrw9oCrpaG&%;k+ADv~B0mmD&9(^>>#S@^&L3@w}kWRbjaB%F0x zP=F>w4?3bJ#NrD07|7UE>kOM?P(}@LOU_@or&X$9muCMgNc!YBP;(2vqCH>KsF`+r zVZllT0f$9?xmF<)E!BMph5<**U~$1ifuAb4NN3tmFv$!N-{2MY)RfZnG;{dIhH64T zefvkAf&3i)bYtm)RtjZEWOH>4e~!y3UL}ToN7C~F0=jG5vm4cj%76zt3d>w)8(hvm zv&kcQaw>P^^G+x`x7Ar>6V@ca$d2$q?9^ecnC{D&?CuPrZu>;O46JXSQ6T)(8$qy5 zV$iy9R_@Qp9sZM)Nx@!`B=Mb{pE?L6PFp0sJ4JrgxE|vV&7QAQHGHdJ9Pdw1jQdeJ zl{GWn{9>}biOHLHR3CE^H>E*p>IpP<&Bc5sk-1)F%#Si}qv|_`A2K;p7*9Yc5t;B@=qQCyKd;`(gd?v!J&)S;v55bC!T3EweT z*H=;M9V;uIXHWW-uQ2`3e&0EUWtBIuEvoJ|sc#pN)77OKK_E$s1mduTV>L0{w-f5m%z80| zu;$-`UOc_C(Ja{b9fj-uwg|BbhhxfvwpC*6dJgeBa*CKe{c^7=a;xK>nq(E|k&sVA zu0zXb^djr8?=xdB+3YR07)wc+FWL!Ib81bZG?dFj&(>FOLC-hzMy#c^a3wYN*0hH@n{Vak!d!dj z#3H}U60N0;(wDr;m8+pQn5=Q<%d;Z*nn)Nn*kp#NrI1dU^hVokyx^4wJ>?9If=bVV z{OYgbsj=pPBXV=tx|d=nceX&3$F{-wA*v>)kg)>$tZtS5(Ot?Oe6DZkUi1X~6}dx= z-`K&n8P5dgKTaxY{;pK)JN^-_nx0O8EyQO156wmer&1_`kBO}n9t{GA!r5BdByZeQ zxJix>qo?flJL2-?Iy_e!2ZJud9r7OyhftgBf$`Laz=o*H(hl|`{w1{ekIE?b=kA|=ojsfY^ubzO2vwZ2ZSW9^~RdoW)z}WsC|BMMg2dfgsxZ- zmQV~06rCwDZpN;c{ZVgePrV z2?WVL)bS zN>EfK){SWQ6bMmjS=!%^9Wr~+8?<}vI9QJ?ver4zyS88`7fVTxUvlh$RI;Zus2jwu zg$A9a#)~Q9BFP@AA051KtE5}HYf?!8Vu2w8`Y74YW^eTf>4b%5$B+_*tke2yTQoox zv+0wjkRG${*q6BKGns|euL;k&^+r_<~Kj*=oa*zvp@8M@m0)GG2Q2&2^QQzQk$Tu-uG>pI|1H}olg!P-LasozZ zcC(iykfP9Fhpu-lpJFY79Vp`zplwa1V?zY6NvIb`RAM_qf>O^CM1}gXd~HdI{}X4O zl}G_6oI!0%)?K|dM`nC{LEw#q@jc_Nz6zcZ6yd0KH<|1&=&S_Q2(=5eux- zqx)10ZJ&zIe)xrJ-t%5MmK{;rU04H(rjYdOoq0JKGalFJS4IhZ71ftfT$aWy9mjw$ za{IK8w-ArEb|&Yl`Bfu*BQ>{{Yacdq->3P;cJ;d ze`L#hs}>_7Wt{N{I@!AJKzeBH0q7mtb_7r04)OgCsT0>zV%LKg;Cn{sMD+qgob#oH zHzn5MB){C6c)9g#eN9u=3OWVtQxT<=5$F`uB%@Oh1!jznx#DOX5USwGLrn^n{^#-E z#~y>Fko{#>y=-f%0*PI#h1iwQF8-vW%zmqwaT{-W@|4ls{j4JK>B#57{E--#9-^@a z+lVxG2zaQ&v(%5pSWK`6^u;#i+5BEbl+JU{zZyM5tLB(*FxeYF>;Bw6F(VqX*OV3# z6>mRF)c>x&dU-(qgU=FGJOUeb&-Ilfc>B4q?a{mhX^A4D-OXdN%~WRZSn4RH^+%$_ zanXFIh*_+wdf$g7W1kM;syz1JdXhXbi$iD$UOd)a{04obuqweLuD~Nks&vmFfD!h+ zv4os@1?p(kcy2!mA4r+(sU@M&vDrL^2SG*+5fklyPhYOwGL2sSCwv5`$91_J_ zsm1xM`_hGXr3AjyPPR8m?2ReSIc33b(P_ahIs{`|b=OuVyLyi%xQ)pyL6x6K?5F{c z7^*#8z#L}v*P;0av_mx!ud1SuZp-Xkwzo~*Uvsegf&l6+*Q|zlT2a^9`Im5A$_Lli%p>kC1(ql%*8J> zdch*o?kz=m2&UNT!~l6mpSmoygw)&MUSLnmB{IT}e@}-bktN{Emv=n(?J`0rH`Z+t zEH~A45@?m)=N=l4s^F7_!|jt-Mkg3}ub3S;W5$eo&=_5v$3;}VdZV8eeOU92Yeyx?wgsevMCwC5Q| z_nje|u02|hpkk?D+!7T6KMEJ@%pq!j5?`kOg=(?q+7Llh;#mq5H3!{)5AqHP)KM+0 zCr)tgh3|_SB>ep8soIbS``JQtrO)98@iEdeAc)!6gr`i+EHOuZwPE@jz1=>pp%~9u z)|;FvNk~PtZx4!rRfx&6-ihwdvYVVoxc!c)T8oc;I|tUgO3~#W;Wl8`{e}P3Iggx| z$y(iLbSaADH}Wwa!;w^1#dWJK^%q9C<#d*-Q<*)2gYQsRopsVtKL74=OqKRpCtFrG zEl4g8Eq5xI*>C{siNWVC+lI#h{Y;0n+%pY{ z7>U|tB?kKa-5pcoO}F|W+ljt#93+BNPc=S!m|-Ld z>B7KN4dN)cKT|n8d04mD79kX4YFV86VwY8Kypv-n`Kv+C^5d(VCApI+&|IYNW z>RE)jBceG;A9JEtr2sU`d1YnhUj-BdTOzTjTp9DVk^J%XOW%ct{grmjrd?u|(=H(W zf)Rnkgdx?E&ZPEF=|nTwk3U zwJ5T#+pT-%qk^>#3a*cOtTIy*z6HViCn=D*Wo**$9L(5A8v16TrPL$%l6G}4Sa&{n zww0lh#yfvE=}nlX@7w>&E~5J3jcj8MZIWk^oSZ|5ykK>p(H_6{2lFTA$nKA1ZL83? z2XW-(rF)#sOx`c&tKhJ++iSU~jr%mAnZ!ZQ4!UkdM5q`M!j0XZexR4Ax87k6KdZ}g znoC1Nc#e}5INJx*G-u*|M@fGz2 zHzj*XzNxOdNt*(CK6$E3dOOp~T0!o-yTosX*o_-xRGt{18l%1@ODK{zc2p-?i@IyU zna@OhI9bi4$KQJ>4-Z`T6^`x$5KI-dxH+K?|FEh}AqR8lEBk6cBF;#b>PW9qP}K5_ z(}Vh{EI2}js-80tr3pj~D`2Gva5Vj#WdntrY5RdNL4inf^< zOuVClA1qq46dy>kVz3K?lPo38&PhW&Rj<38EJTRf+D->ZWwob!(sN zMGKXU3z@spce-cn%cjr>P$vjuqYTi+&6hR4#X1mn`3ma?Ui^?igFE}!IWYK zMP7CAeIO3R*974ur((KC4JE{YHd)zPV=tnE7J!tE=&7Xz+E-U!+L*De_G z9pzW5JfPEq4272j;=T2!-N$i>(9f*-_4*fY~6Z~B(ZUH z6J_3oT2yfWW}KaD0=;T@{q;&jygvcJ;#@V9!jKpj~UG5heGM7kQ-emu<1 zgzgk1YRn%tg?V#B-qphVX~%mvh`uy>ph!1o7*@mx?O5n%hST^W<4fk<_+;LT_Vs!0 zJntO(Hv;jc>pKdWIYK>HcVn=uHN3$7{r@!l?}i)qTk8y_g@LRxC(g8>d%|(z93qh+ zy(OAwhreZaK&|TDAocX3P0X>KIyB=rZkFrC8m+T3{|uE~Cm*w+!NhoH{re?w@-s=; zRa8tBJK0jkQt=LkPqf^~Xwqq{pyv74TEW&hLmwmyje`n?#l7<)up(2S7ZN9P)q z0iI`|54XrRSO^FPGbGB8THXOIKpAyHiaedsi-6Qrok80LY`VVA+22d$wn#LJrKwBD z#4xpfWSlyL{YK|o`RD=Sifjb~*F5Ohs>7XB))ba)m~vqNzS&)SPB=s7Ee?Em)-QQ$ z){_io=j1&#%vrv*lvMT4_8Sa$3sX_2LoBlO-KEl8^G8Fi@yC3VY?ye2$_@;OlXW$3 z`N)tmx>NVgpU3#fSfIs!fnz`R`DHxRij^NOuPfPe{+~hkV3Rd}zpjMO?`(uT5yn0_ ztB_+)3641NSB^MeIGaGEP%ng+BaGi~n*09izfXLeRbi61B+R~i_KojnuCF0o+ao+L z#5ZRSDK<4{DN{%(m6GPL#gF%2^6&Ou_TvEJo$Q#i>Md&*rj@Jjb3dbxo^;fcGSf#@ zPfj(=5PoG`xM}{`anFyn-ho4F|nLtWxyz(KfZ(ChS z=9)hG)B460CyE;`!WMW;b*Sz}QO%bsQKl+}a#1J|UuPw6`c$#ThC>Ua^hhSra1n>K zDhAM~qj!7ja!72~CK=GMk21b24!i1q&o&Z=pk>SiRZyl5A5F?v#8IDm3%IH%%FuLf zWco^&->f7J`Iaa=01lhu7e1-9jS&wsX41MpLXa~WS}}2OINv`o)TY-RF1pT^A zEd|+eZJyqIL7s&KFq&dq_l-v?I_3hNoo&$$;WPiybzo|;Nb*)E&dj6!VDm=3e@&JU zg?YQHHrXrMVrbfE{^)pHEP628Vr7<&Ro=qkC)DRE>?N%O7c6a>`=x=#yWp@i)Mitm z@Qj~MzfTqV)`Ug~3Cn|hoz8+>azz(3(YcLOI-xzCa*3RK)9?(H`@~k$y`shlxUE;8 z5r&xDVi$nnw%M$emTUh|uZ)qZ`-M#IxbnO7b|w3|OEtj)3R`(?DSkgVf*uhXB)YWFO!L9GHv^*-uhaW38 zb1iC9GpSzsXx6rsrPKGz=N}Dtm6E-#MejO0>1?sj4z)$)+d|CIa%@**)0cFiB_`KY zEyX?$y*-6<;#+ku@{4q?1)`G9%Wa8A(VB0K&xw<+<6c>jKBY5c_oAQf5e)K!VA{3P zhfrYs-^PJIG`-3kot8YO&H(;cN&&iu)6H7WP*^MnvvCCwDm7C{6ae4eL%$#o0zf!WopzyIzBa+r!o0nsNA^NTp;{-b_c!#CaJitTo(H8?wRl%E=7AfOA+M7PXaiaP~gYWTt zCPe2A5s|5s`Q8)Egh?Xru;eE{VA23(Uel*3P_LM#-hQvx_qeTe@%~Nk6V@iWpflXq z3&w}qVAx6L&xC*LNyBGgFJIjR>XsP4-TtN|vs()&-cu{57~D+b5# zF|oS?h(mjS`g>&k#e7+3Lk{{}?(_7QQJz8{y|;$cdi=_mMe71CS;s#U!2*23Jv#k z?cB*r({t{kh33sZDSfoFLlw)-pWAz%^#5v*%%$>NXx7Qmhx4S##K2K|pyL2&j5bvi zOQGpiZn4@Q?bgHC!--4^le%zZo|D|FJk2zY=dvQ*r@jsq*ipu+uc=~1LQSU!9 zuMol};}G^A585~sFk9*MRxovcAfc{2cxqm|Pc|~bDL$t+GeTIY5VFj3sh*%vkGH1iG zPYzT_I#lLuMhmG&8xz&^@}N~t^Q`y78EE@l=NgiIa=FnYn4KR>TPg*%(j!h8?qmSV zWz57G@&a+?qOb2nI-Oq*)bL#0^&7JH^!X*#+O<1W_4&``&#FS);kcY~oT@2T zE;&j@nQ3C^J|4y~E`*db3)`A|f?a^vBg%brJGfF*8Toi9r6hc;)PF0%5Tx8COL}|B z(=I~tF>tYN?XWGv^JqKvUfq{ZQ@9dmoA-;DY-Oy*fL&VWKiY3dzPV(7Gl8*4&u|hrH`7FA2DcXh@+NyyK@r^@2Dd~ zt}|Y#9VN}|z;ZgzR%RZCNJ-_&bgoiuaxs9pWy>EiqB`XLOcA4T5FZ^=hSOY&J(sr% zJj8{~s2&oVhW}A77+zOuA}-`l^jwCXIUF}P*mP)8c_*z zm|U2;g2W~8z}^t>z>5u!^GM#p>Cm}l4&n2iq9Gvsd#GHWmLk`V3U5=~(W|<%?zUJM zCHHUjJmN~{NJY$|-GpyN-oUS&meo!(@Yc++($JuH?X+D^9lh5Y&-YE%{ZKVm^q0A- z5m$ClIajX!D$tCT7rRB?mo3^pgrpI4Uj6Z^Xij8AVl$R#2ulBuvsM!I=3h5y z&^4n;{M4GSU)x{*@85ncvGKQt)MaJ1k@HN{=5NuFo3A@p?TU{~|5hL>y?qrol6OBs z8jSkHo<~e~ttn%V6uo6+I<+@ld$4GFrGc1lq-$ z&;Q}-+lg1t%+>waE6J2qK1ru#KWR;h|8zf#1?mjxTi;4kJZ;a}_q_AKe*62Kqu0}h zH!!lS2zUMS;h(*`f!6rQ4HOzwH$IZ2yE1cD+C0~e9#nnwNEHVN@}c7!&;G>xPTG{j z(Wh98EZ*U%XRX&2i31_~@H)r${HiAkN3+bkxZXI~a@F6%!0fOh);cqPrA!&+7^1Sn zd7t{90>(|jvG%~Wrb~`Hhqk`9Jt3&G*bW_ur}drc-=?Tt^nQ#fHv-ApWUhjmOdbM-*0QhUmPH z2QH{Gk4tQY=vrez(r0mSA1kMtzZvS>PnV<+=L1!nW~V_oCY^M4muht-(?w+td{nB{ zeJLt&)KlbVDh}~F^LDfUpQgP5dwK6AHEdqFRnN~(lWWUzcy-;uMajRP(VNcSX^D3@ z0stfJlG53_9}_#9?)dcQKe^TL`h=JTQM(3#j;7Q4l9C!~?Q9Da8a48B^_w-}w)4WG zD;L{$i*bCQ{Yg7S9W86MeHQHOzHGOa47}MqhbjLN3Kg&FMKDU6>1w}*m*5vc-B{y2)v-$MH z?Q}|#f1ThZ`fXQzjyGFU6-Yyiq|ZINU0?HyOyXXgiolgJmp;-C=VNp!`dqrqUcV=t z?g>r0x3$n7=?EV66)o|z{los%`MFH4%T)cPl^UD6LVLn(F+%&DzVd zS;%yV*m_pn+x;AM<%>l@GnIRjSd~pEVi!EUG;fC3wm@_^>L0+W62n(Jn1bUyKkv~k zw!D{yl?1OM3EzdLx#1Drm-kT(zjm_qlj4AF!QgpF9R>|~$@qZ~A?F^C#?;Uko|e5g zhcrQ}zhqjQx&wUsY&>vgl(93O>^J|Nk7t*w#MN0JkjHBrTGwf=iLDI^qty?sgXSQ~ z9DCT(++%rUIl*Tik%Dk~k#AimX8H>yr}UQ$+Edg~C%Mj}9T`13S)xGap?>&#U5(|X z!4O7D?=M{20LnJWn_ovA+*GcYneogI$65378J)Gyzb9z!z1)wku&cvyDwnM-4iTGg zOC5@sp;FrpCU@(|KwxY>WH1ruXZ&aM(~6h}NOT?5_1flbwsBeW|2#cHJyldUa~{ap%3YAs3(Fk4axm^0cY--PdL2%Fcrh+)i#tzIFf8(Idpo`4V0Z zK^=3R3?Fd>dM_L1=ILXjqT41l+6=^)b?Yvw=2G-+YvCEA^O!=BF|~cL^B@+k@=sn& zs(F6AYG02lWw-glr?xb=zHS_E)RpVPFrB-o!_^{1Jaq*qSVgSLwMg@us#SuJUV8T| zI+P44>GZLH{5=Bg0=3!sW%kpU?JJ$lckS+Tq1I21V;HUgHT=E}<{_>%yjR*5y`b|G z=hMqntJM{O;A5Sy_2{}}{mGb2(5<4sd^R80@DwyUP1!%wopxAav+~bXzJQ6*0R;ao z`q*ivSG%v@LY%K(q!^>*IibESMnz1bQp&A7>hP~wDh-&=Z_cTgQ0Svm&H2sTOzD+c zy=KRfYf#17MvjYjniqVPWlJH@>AYdGtQSX3d%yGm-&->Qhr(piT;72vxv+yurJj^AiDC7YNu_}W~G*irk7(7&dRJSfe*b&_!1WOxC|ruHPf z$Y<;xd|T#Q{@ISl$J}Xxt<&?bb!)-{hYCsqswnk|B;6W9p)PfwA}+L&B^G5crIe8V z78$AR!tNBC+jWp!Eoh~4PBLji*~h!)jf>o}D%Bn11@&2aI1VXj0Ofu86A$lr`IgdC zqc7&rDK6i-${ZB7EohqRxWeNA;ga~}Qk7`37VKW!e z7JPUgYiQ03{{q=6BBQ@FLjD%01hbsM(Fo6M+|GI!Je#9`!nuBKd5^LBb|QDpLl)9S zJ@rxIpPHWfDB+Hqzazq`*p|%w!JDr?|1I?0#cwqU-;g{VFl}4;=9XZ_?(XYh?^CzuXr>e78R9uX24jp>7rsQ`?N?n54^EvECKL0oD?c8;01(oeM zaj@ltO0~{>jLN%R*9OqM!=?I$uI1X}1EyK8a!qN<_3<3jX1(p8h*U;dA%zvqU$kl2 z(E?SXnr74+Uq4nEL)o-QZ=qil8ib+D8)rA6H)fqG_P*-0PIokTs_r7y<6{$w*h=f8k+;dFyQLo7 z0B(Qi)!NcU!mO1!eck~7EW;#vGgX{kG@$MN?EW84mz+xAujS1lM z%{$)qUCm(c#T=^v-?J)2f1JZ?{aH*_6I4BN-J&lb`($b0!rA6Uld)fu^GE)i-3z2Nluw3g*~^{!*Ec?M^eEgO zzEO9|P~%+~a${j$J$`&NS+ykzZvj7>=AB3xbK|#;axGrDzUdi_be9#}SY2ob(-vc; zPSLq9v!JX;WUMYsT=+opeEXoiKHIjLI*bX-)}x5ktHH6?D`NV{@Ud*?l}nv2qi33% zU4IFcS>D6Cm%{yy;kAn>*T|LboPSR6NEwU9m%N$YCjx@-L9tVRxrdl$j-`bf{!xK} zYBh$n+)h$j&Lcy{t=RY|Vn|uXx+XIQAhz}{_ogv*P?o&{x&f6dZTusV5*j#(U3ptf zaGv7HVI*!t0`=sY*Wv=yG9Q!l0{7J-H|mJ)Fg5Kt}=FrQB>Qv9B8=6#oRm5 zw)dQ)+`n#?sig9Xs`lv($%5PE{+dx8Vrp0N861ej_3!-N=xFlzjl)x1k>ex^ea3Q8 za1z9Rrfkf%;NHc`9>c!@Q{yWwm(Y4DnLJPZ53Qg(z%{9#G?^Xx%e#a{<_k$7U23JT z0FAOt)m!;Fys6nTCf&y)hJcz=;ni`{`7c|;(4FEI02KPSdU+2QlT7N0Isdh}OUjMDS<1BKOeso-&+%+6khTH=nynVv#-S~3 zu|Y2uuXz(n9#v6)vCo61D<}1@O%=O4zA0G1ABP_4zI328pw{2ft{}Xv4Yj&-oK6tN z^xFNSr3JdW@6909?pbhKOczc#(Q0KjKD3+#v-;?~WY8gl$JD&5#NtCWxxuXOS662ROg()Hz~dAJh59Q zE$A3F+^qQ$%j>B+5OUgL&TuhO-yts0g(GoD?2LTSYQ8|ZgafDYrH?Eo4YpRf%J-YZ z)YL_k`wF!uT;W`6A9Vkuk%8uBi$&{6dy-4CFFyyj>xss*_Hq4X)g0T%h-N?cTt_vxMe-KkeA9kq#AZdx zH=H{n8#^G^z)~xcY?>R=?X!_L|5p*at7)pf)DXJaFp-IgtdkKjzO};q9Za5PY$9*w z;f_Fx0gpa8c7CeU=lB8T>R`~{^4$eo(SzLyjf$9spu@cCz6WAe|LM!bN7F}g41=9> z6_lRNQB@A0&knaP*NM;0_c|tYqKcZmh%%y=)zeAm`ewTcNu*8M zAI!IEjx%Z;yC>)!G+1k*l`79rf&A zWTeP`xIa*ov+{|r%SbuHto!5tvQC4n`y*oISi~j-*S|~8MtqmUnzFf32U*g`^{8}y zM2nysUhD{Y@IL(5EbQt2lb7T6uh$k`ZZ8> zd?`%ka|suZ>01<|dqNh>ixx+&BpI&huR`? zu=nBKWe%1Sy;TdS1wItc*xC6AEY8(T3J;yALbF=}r+t`gC5s(ndoxK_3tTKdkfRoA z_GE#$R1;ryUOyT)Erm-Pj-!mSs^@5Z%_CqdyfIq!G=lWFm1a#V`v-|$iAwznld%l8 zXek`TqZiQY$>Dt`nFIX}-JSwm%E2}qL~P1`qLUm=u#fjvsZ;p$Vfemi_wNyHQ7!!| z=Vz+u_8pfOPj%yBw}W-ql};04b`3sbb9QRrgkeF*TH~cJ0`B)+r<^#^(KewDvaB-z zrAylWM?iZ&r&G4tFLI$XOA?0!1yy@ox~{G#|3L3oFaSD~*?n-26@-5(@V7ig!`3k3 zn;iVn_o!3@liSgF62|5#TR$^ti7LoJ8W2t~1l;-Y6cI#^dV!8U6~sCU3L z#_PTY;OAaD8?IbrjvR;G(VzzcacqVBGZ&e7U;sYRyX!=Ldeqyi9 zq30$Dv}#fNn1%TbHZBj(Ru!kfx;>bhVsvxM>m}|jg8GlgW`3(>sc&|Bk>lMv#y8K& zynhk{`9enJXE(@^nl6Ls9{E$_?cL0>7jW=Y8^@&8<}=wl90TOkWdS`Lm7+6vZ$ zQ0NTQ?aZl%h|2$5-!g3u+j`p~-O!-nT}}pI(oy1FjZ2h2=CoJ~` zt@1Fue{QI|ASA>vkO6OCfsbEq;kY!N6gRJ|?e{8IS9eV^gDg+g2IC|dD0UHo2aXbe zcvy~q0VN)1GNyG?8mp&suWwESidsmLs7VU7zB9@)T-vqg@P0E#HfwrqesO?xEpevF z60@jjX;>@*;TqKesLD}t?%R;ZWiQx(gv`zzWROcd*xx()ixfuI@s^M#Zkq3ig)q@I z-k3UAj6+sg>W0g9y!A#8vXIZ^kUW_G)XVrl?O~x|u%|jnC?`HN0Tv)A>0z;dT`EqQ zL+*I*jnLG=3}I;D$DpyJ%%Js7VQ^t|pM`OhgUJ{=4c=bMRc`Wu-fBA#g$r2g%uJ1U zy1Gz*M6%{b$H6%`bvgO261)pFi5fK65Lx!WRso1`24BJ%{Eh!_H7Vr#ODiHDhj!n_ z+Nz(5+Ke-IGw0yGKSdo}m2saF$+^%T!Fv$#IqS#xJfg+?ZfNZud)&?+ATfR(Wm?DB zGA*3Ax~Igtbe1bkqA4Z&Ohz_OhkDZfW#Z{)H?k6CH-e3ZG{p*jPyF?^jh`i6&+h&& zmHvX;h7Bm_rb-TkRYO^8stVM+5nVhLY-QZ9w5_tSnM2EmyxleSlHM9^8gnRh)2B#) zxBz~(0B|9`1OHtk40bfT<_aG$-)y`c7AVOm6c;^Y_S3LbFXc4(qVZ)>M%*t&c%eDo zx!H~50T0qcUu>LTds0?J8tSU>PmA{FbItc6`@tQ`kc`OG+x$_G2@i*>67rmlFD!UI z0OfzW@y_IQ<7RqpL9&-}^r>P!x@~m!44Zk?I*(bgk2F>#o-OgNg*XG#8@Ck1#1zXP zm}Y-$5Uct!qu8j73iJJ=6Vpl#pKIq4A60r!%jSRIwCub#ld<$w@T#AaK_-u?WLif* zp+Fh4BCKxoIl3h?R=3d~3VcC+f;!AG~a#ORkzj7494fQv}Q z{T&eu4AL)$T31uZ-z~tg4jhO@`}2`<7>IP|;Kj50a1Who{0{h)3Wmfhh{MctGn`>+ zx-IN?BiAuPS*w|hXZ1Ik@S`VMTJo&PlL$K|F{!&*7;>Ez0le-SL5`_&FW^czt4DJ1 z{8|9i!&VMRu@<4|+&c$ftN?+u>Dudf{R(_DY~01L+p*_oeH62qI%P8AxgfQKE z(iz?lyfT37ITiA&OOiwZpsOlI-_ug5t*cQZtiOeU@&l9gBi@)LI)Hg6(*yXNVX?pR zXmw?iSTi*q7K{N7WS&L|Mj&ayL$wW z5WPed?1IC##d6F31s^N=YYW8Em8x^4`MUXk(OaU9=O6Kf!D9pFz|8@)g&H3h8ImYmwspT z$W!=8QWVx*^EXsY@oB(m-4#060G-V;hx){B1VRDwgF`LLVH)_}czu!!(u@8Pf7Bn_l}nKC4(HEO>s|<^;M01F0UpGW>!#HhP=A-Ho%I7GzjabyGEk98gqBTHS5a!kYna< zwwqJ7)S|uxm?qkT@g-OK5tNcDYKR zZPm(%_@|?`;H2NOJYA<_x(+IU2D$F?#_diFbt3BMNLdyvpX4SsJ)QT|_mYKi|L|7e zsgGWKyvCQXS+QOMJ^rFA;WsJ19V<-FRMOXdFNCFgw{MF^qd-<9o2-dcRYnr$sDok0 z5i}^eERn7k$9tDn-cR@)F1%L7bPaJfJK{wqTd_$F2$8*NpUEHRE{3OAd$Tag&d9%7j@Ub5Ks)#MSh5=U`wo~ zeke<^DkCsWqN!jBO+77p-ZPG7rI3?hvy%uUN) z1H~zOD1432Rg$Y2(QZo=7xClFL25q?4Pby`r;R?0b7cK_0k3XIk%Uj9L*OKYo4L~x zOu;4w;em0qF&KJLK1Es!97TV67GHkdj~yOM)WY0V_@xr1Y!Oo_0j^09Ge9L{!kM+B zWXx#;rp>dQdCy*q?LbGB5A7lLlK(7CHUJnQ20}&5mV+G4)xFiJ zULrpHIZ9>(^;KehO+O!QwHQVKqQ53M*_M046DvE$RVT+C?l?s1rxrhYgV?!@@H&2q#S6tFKzzDGaN1ISbD2YKrR71038*$b;M0}7z_@XtMQ;?h_pSCBJ zOxNNU^T()k=gOHwrZ-_A<+!}11@fj2SO!3BFz+bFNaBNwe~8&Piq;FA<|YeW+SjKA z7i5qT_(!7LT147tT=TkT0lxznnQTJ@p0OHlusgqqg)K z<_dAy$pD%OZ}Hm8ElfR7)OuUdI_ZK4|N zC8WxK!luf>+fzJMscQh7v|#&6<_CW^G*bzkX-~a*$K%~85%gzAoZcVb^79*;T4oC` zim9v^0XTax!Q*v7R`y^?AN2_09kYBRIK06y=6xFe{MG%20VX%`m&tu--x<1Cxqss) zD9WQU932NKnR0ErrsewTJI!~Mt10GOg7kgZ2Y%p(qt|^eR*lQ}KgIX_&v@NG2D`4* zQrzD&hc*sq&pvn6-1uA1uy-vZP@*ISJKW5dY=0WD{1?$(?P2MCQRQU)>mDZi73Ywk zP=Cqg5kS>&z-!MU^(1ClidfQwnvlr3Nxb@1i8>TetRj&zbocMPwy||Y=_apK`5BV%%;*YkKVDzK+=TQE}TVRwyJ7MX~{rxu?ZZ4WdPxe;v_42E)BdGk>I;m_vTYIpe z-RpPYyD?OqknUmLnq?_z;Clc#65Iv&g9!Z0@P2q`V`Lg&b1bKNY6wP0d9|nXHL|%O z>RV~TpmGIc^8T|?@+)$7R31htz~RN3hv}&5IlNdGTOu2HXMttRE>X-QR8Hud2Xc*5R8W$A~8lTQoEIvy;fB( zi;3$y{~#YWfp5#TvY8(+{ie$4x8{<^C+buj>9pg-mV(wBPRA9t4WJ3FEoO(jX;-;< zk0QrWL5A$LP92akB5(&^ufJ4EG?qG8eLQlh)Sj)ow1m5kY3f(vfW;Rju!f)xO-|P` z6w_>M*0-&*1?sEkL?Hzyi-A-2fN7AKTzGFmjnh5g;A8N-aO>I6a4!|yP3icZBDD|K2r7f!ak zA#>hEn?=WH+k9JOp9>U~&!{Zx?6hgKyV4H%?=+r*c15Sc$u%}=k;G{$n5-# z-tmd^ib zkFVMsvAroGBl$kXb`i8k9Q-A={(r_hEwB9dMO=qKy<313V#*f(QGIEf>k`r_QG^G zJNkU$Y(v;Uqs9B+x9+l5pgV0E`QPHpM^ z4_W29euEdo5IO_2?6tK}ReN{7;cRakH-)E+EX8cqrTfM4wm$(19s{UW+p0bcIEQv7 z{13PJ%C(V)o6i(kqT0>d3DMxD7U$jjUjZ;%L2A+uM~C@M$_U-o0K@m4K9Nbo0ADkM zrnF3HV_J`=l8iyY6)-?BXQi@~hR#PL){@3s3%hgKe$kLc_b6?7x-k&PnOtMsE%M}5 zz?y=TzIqB_Ll0G*NKFn$xAzaVsfPUBHT{M>RfG+j&&)+&qsx0G!P8DAQvQS@Y(!xQ{tBOz$D8_HeZ!Q2F>zFG5$9`D0}|QOVp@kwrBpvZ_|w z@i6+}S^bVB>EMW`@kP+w{jv86mLT77B}+JV=RG$nPBHo77s{W%$`;jn@*vOR6Ne%p z{3q(i_{++87J^-!ct8f_jTR;0Cc}Wqv-LptH7F5Hx)EUQl=Qw}r{kj6;kg&(oJ&UX zFMx+u#n_i5S_~>1W=pVp2g{K))FhsLQ*~)UO)Qp z8D(`p@VJ(yYHYUKP-lN#EUWv09V%Nxm02iMJ&fwCo=L~1JhNQWnn$@3%qc_OD4UbX zUL@8uhxgn1iObN(e5aR25BYLy_Q+gYKO-&}_gd9w>MwIyhZP}CE|-|31fZ>K4K=4T zq}|En^o7%d=yy+Y$U(UF==QQTtE>7$;L<%pov=9l&by>*p7wz3Z3GA7q$F`cYijdb z5EtTzA!Xl-%CX4?J95IEj|QzCRRmqkl094U7A)-ELFohCj zQ$7?dGR8OU0mm0E5dl_tDSORJ%;9IF1YB#tW$J7o{2NphM-}5F&61G=MWy*=#@ldI zvK2)=Kp3_PbY8!5$BY|Dsir$c7+9}VEm7pOtq#1qC?Oa=nVv_FJrL)H_ZhJA=?m}I z4uQHt!EJ}qf|N1zf-Aa!dyW58vTZdWA$7+VybNBXo zPniE2@nKSRVh*CdJq+6>neAXFaJDp5WD+QL|20w0(mitVg?V;44v1L!3eKNn;7_bX z2>fySWtLpMC+Y z`<{F?EAi>%*|NPdnx;GCbG0%C z-nja@YbO5Tu+Ha=5d@VH#s9*jZtNJWe)UJfhqqNEhZYG`ku#mo?|Q#&70JAU?1)(F zJBtQhH(4GFWgL+0=BKP98)r09#edO!SQ zL9a4O!|a^+zE=NHXu0H`EjgToYtI3lu&hwo$&d?lWPoCAyz( zhQx4Eb+aX-tO#1JXQy6*kldkS^fdJ?YuPcRkkqzllJE(%+3+50HKmiy{wN&%8UEht zCKl@CI5rZ9*3WU-ds8@xwG*zPiKNjCDh^Z1^YW)H`x=NA33 z;hY8O!p!vOTO+W`WU>{2X6#9@`^o-lvt|c^4WD~(J*?c%6s!8(U~FRk{qUW}pY%=_ z-=D0navB!=F=z3qW+A8`0WI@*DT+Pn^XD%W_0}x7mk{W_eL@lJ#KZ}+C*O8Th3 zWEMkenV=lnZdIb7q#l*2p59BgqLy<~b=EwMY@q0s@IIM8YR0ul2(Plu0SDgv_fnj4 zo!XmY+ghO1sXdcS)*skI7 z9V?l|6JGi^Bzp<>xKGj8tpvM_j4f8Beaek zn$2u@19VNep~V&pXOhgRN13Lii5nGUsd_WX$474$ zG4g)fRE>7XbSp;;2De^v6|2Bn8RJ2dSx$}+(d$%ZzmL};ogvd&Z_Ze{-=!<@Ot1w{S2*4) zlRU>>0@A>$Gu@E}`%!aHSlz}yhiMZcMdrfxJ4HU8HwGg4?rYx`4ZNh<;%eh8=E%$< zW>A@}#hc4yLL(k1a`jglZ!L$~@%t({2N*Gnn;|SYi|n3uQN_v3|8(HAX32QR!gfb< zq`{;Rw%E8=g-4G#1liVo&L9M{8qkj@d+mgysPVRGjxjoFhjN50hDKE%dN}sT)~mthe>N`1u32I!I~~7>zmxEH(=q4U z?I=l1l0?1r7r(~Si2X#K#{W6->r=5_{^i%E;=jdymRklgqF#6*4E*Wlo~SJm>FiXT z9s!GC%gaEW`Xc1IxSgJ_qfS{Y$5UcQ&*pG2b~)7ZQ)m2}2L6LTZCiohw&rU5^`AHH z+jzX-oz<$M3+|jO4%zhatoQpr!gi6nqB5qxA6{1i`$dlz8^x6KKYbwCFxwh7T=uty zkF_x3%goObRogXoOtw&8dlIjr=oPXCL!r%^+4@gt^Zs3A^A+bv-GejE`U@I&3&2dD zo?-Udb=y|3Zi?ua(On|ux|I=gm?g(8O7{?omte&?3_Oyot_D$JBw0zG$0y=>qwS1& zbPrS7!oUZc%JZHm3h#5zSHEc`cQJ<{dpXAIz8_#|by(H^Q59kQ*NAk^N@a^NiPy1T zAoV|q^DfKSdpTs-&SZP4r2&C=9piuxNoxjPEtfpqMIFee3KUW1SKG2I3}3RTfXg1o z+9i|<|Hs(7Ks9;i`Tte9OLaQ6wJKDn)0?6))6Pt%Vs(F0ub^Oo z5D_8MR!YSSzfog~AxYH$q7kMFq_%|YbOsf-?qj%bS1osum75RP9U)j%`=ddIYmM$G z{dnr9=o>pB!NJKFMsh}{8lQ9eS#D=4w9AHx12Z@&c=q5lOZT`p@gIAe3=Xt*hWhH1 zs~Q6boZZz&$$aAEmCC1&qKL&{A${|aR%wlPYvDEBN402u>aw$)9bcW1fBRfNs~uLWv9KXq1=))EzxZ~2VhUEo^BZF zt|U=!tp_z;!pu^xG3|=p2|Ay{l*n-arE!JMwnN9ZgaVQ5>G052w{au3s)H`K_dVQj z-at#tU59YsRp>AGq)(5Um~<4QA}7Hd{)WkW!rwOB=9}j|E=|UGsYk_AA&RXmrH1;m zERY9&=VCyS#)6Jt_Mx#UN66ri!(mvF*xd z5BJ(iI#*%=sh&>Hk>Ez;>cSU5o!-QwDP?&^P}uZ#IE7$x{_s@u-n9iyBgO-e)kkbj zP0eSmPYBI`BrxzJXPZr%9iG+Hc1B?#%va7=_JuLNNgFX{*5ANb4h@9jKx?_eEGg13 zTObu^Z9$etfqIhik!rN+Vp8CWhMDY_m~DPx#}?IixBR0taeK|y$acTWaTLE$W~Ox!a{rgj0=d(=TjQXdbS`i* z_ZgPBrZK6(qjjU1D~Ki*9)9!B9RRc2a8_x*GW6HA<{R&t^;r0yurbAT%GbQ)jsOpp zEp0-)G+94HYs_7;LEYD8a(+o-^|^@&Yw#bk2gAMZpha=M(h&}^Ygh6*sN&8uheftx zeND2Sc=LimIw}T(Q@~{@xvjAQUcSfZ!gxEv!6(FP^iB}si%pB%Y1)Px(*hyeVYiKO zK{Uqn7o8<26b~GQJxcDnSN$HfC| z_kpp0JJBTvf+du4G%%m> zs-xR1Y$1JQi)VcxPSl0^5dEuMj!F}{*4HUQm za!DkeN9Wz^J{sl*6WN6Unm$*zM3H>9e8j|u%7MOCh^4Nf0xwxxwP*IL8uFr){^nBh z)4Je6chDr57B~mp075A1164`1IT-IF2iV12iL*x4Q+fbxBh7(0qmy_)J#c<8B)4jA z!zXdewY51BwiiX4Qb2Rst}UK^cc&>FWjDlXg-H#%0p9Ci|0ZkgVbfV_Qf5>?Od67LHxH~o01+#m}9 z?-UooLwolcuR3jT2pST}QJuxLmw11Dl_+3k2cbC22md!YWNXH^MK@2d){PqUF-KgG6bC{^4bUrC%co2oZwfpf51c$Yi_`8{sN3;hU{ zTPMcbYe(`Y*1FRe+0O(1Fuki+9bd$ml-s(<&Z@g2xi5i&AnKxbn~RuiOp_nF z!+;RIBQptBLnSYXWDpFr@7O$%eG25eTqhtaoVIZjQSr^=1M~9&-Dlc#_lfj0LjF7# zSzYx!s!Q3(zii%e->hEC8x?yUHOJ&wS+Q^*qOqCMvWW2rV?epiY8>pt=tSNq!Ek%6 z1TbCJyNxq=EQE)DW;eYp+}rY&f!Wpt((O$1XG#e>Fo2}zofxSJ;)ej`9)T0ikZCI{ zif0Nj7uJ6xeL9bq=d7`KQ7-_09_IXJ0prWN@swBh62qB6RTS>hu!yU`JV;nJ`B1wH z)6ZRr&?4?=ANi7BXu)mhZC9gv8yan@*Pa;U1p*YZL@2ay&lx)g>YT#^!(*Vx1!-vfd{w~C z$-D6O71v)2n6P{&fp30}V<%@EePmVvc(dP;wVLA_rU~yJY6vCjTA-`*ioE|vJ$97Y zIpcp^$;__OAtZ(LFhZ7$?6e^sotGj}QrYG{Up{k8P~KJDrT1Qj4&&iLkE-44lzd;J zV#HX>VxgCRS3k(gy(|9zc8Qp|qfv{WePmJ0-2E{xMLiaexYo}9#L(d{j{XFH(U1Od zu(f~i)ISWi)VBeznz;+3o@C}ciSAh%a_=KZcx?sW|3XYQj2JIxM(tKasXQ+t%I=S9 z+KeUMc#LxS>?HemQu5Mg z=ZRB1HgV0JqbYhmB3jJ)<}lG}xlIv?;ocw4%pJR((P2#agg{{({~)5QkZ1eg}P6`PEMvjf69ha3naMR4-DzNwN%i$Lcxv zFbi%xplryKF4w%o!u{)Sc#)Qg$)I35+qHw<<_{Ck&!n;vsO56l&bFNUpqXOFq^EV0 zn#uf*O_o%Yr}ALJ*|0QtfHJ%YgtT^m++SCfOt78=o6_`N0~WKneh9O!j>uv@jzuFd zXCxl|VLEdEt@6$)ttTz$kKu+}qGx*Wg`jf{-%h4=%B`&x9QULbo=9M{JvE$_&-JZrv92&7bJu;k?hg_5vjyYR=Y}#`kEOm z+OJ@CqR?zkdv~)lB_3wSxqh~bYS0~E8IihDFkCGE%s-J~ID^>L8N=+uc#2dgFD7Gc zeH;{GsM&c{$*N#$%u(*W8*jbJ?%HiI6d~w;#x|=rpumaY=SQA~vuiEKUDurKTr7KZ z<-mjI>?Ja6)uEo;GyPEwiP%rE>p^3mvOX=p-GSz3+0RApreYjtP?tE-`=&||g8SGi5s;ewAjY%62^y4EBz z;QPr@yN0uH{Njn%8t*Y$iDJWe{dGxrd=-Y!u<|t4)Q)OfA#1Y!y9G_#U;7_34g5(E zF`{z{MN_y6bG!d`@XH4uH@t@4s77yX*xdNso}iaF36Jz0a)>;`)&W2$Sfy2|k4iwU zza{Nwo|`GrY9%+4Iu7^Lw+nfoq;nOw7pSz;Ou+-4oHIsR+($HP=Y%_ueG@?VydBAv z2z1P@u(`5<1PoT+H4dOlV{96H=krVw(P)Ht52tk8cqw+o)yW;=RFG-d z&!0sLZ$*F}`L*SWfBrObLMPiDrJ2G?IUQ{7J|JlDTvd_vf)lehR}YLc*$s3$;UNd3 zriLDtOYPqfP0>h5T7}FMPX84gTyT%&ZbBxb4 zpr{rH&6v4y}F^q3HvYn^eHo>bhH^Dp0SS{nE|P z^ZV7Fy{*wWAoIVDaCEM48Rd&1%VDD(+tE6Yaa8!M(TAiO*WuyrDleV8GEHg`bX(&+ zkn90xSnavW%$mk>U>e5*vrpCPw3P*7HCQ3stG})_2G}rz4ZmFW^i8{YiMUo`04cC4 zSA-B#RdT@FAFB@?F6*rFGh%ggNCVrcdGJNKH4t~yj?XWQ&?7j9wT$eeS4`dpuj%0} zpX2!8wlM}xR5qLY{{D8)Vuu{-YK`y!#;J!d%8keve2rHf&UQvAZS#jN*H#w#e{rFt z%zT4H^O4dMVx?Uvz{tUoQ?tnj`@P2JT^ll(JX|xL{@U}7;t2`fy;k@hRmLjGnKXfn6P%A;iCE}0h={EB<=R$I_1sa zVL6>K>6z-3j#yy?&e?;)dpYbxEJYdV1ESqf&EWxi3YT4_q&)J5vwz!R+#AS5pb(WL z3-mSBPc?5;P@NxvB=@@6JJG)7xLwG+(Qf*qeP+;&>RqhC<;}J7Rrb2-*=WL8DL|9M z&3j#>q+dFGDjx!K(k$2GB9fgI(}1N?IOjo$va z(pd?_?QwqBHIKeTinVZbR@y~uS+@rvy{+&ChJ(_xDToxu>otLaqYD;$WxD#Nz4p-M z>b4CI7{LH7hBy^)j+CN|u`&f-!<;@@j>c~osRlkvH(hUOZ%#cI7558p3Y4asB)V0r zHjm|+A&awtiQBQvSV{`q9JOa>jQj^?JMJUTIAEh?Z6hba>8d#bdprkA3%_gRm+-B_ zyu=Zd6XMTIo2?2e-9Yq)s>NfzZ`vo9WMel`CVkrPYHw7q_Uij2Z{}3v^04K3zY_ma zfkQ(=-QkAuVM17}JAUL+mslbFK-T$isMV5{Lg@4}$S_GD5p*Rz&AIzv}xY}FiJ8`+Fo6NXAH(kw%M zQP1EevtBfSDnnP#cP#PnYk@c}7KG4&A%=KEV3+V`x~d4hl`jPhr&p1)s5^BgflsFBua+YUb#HtRmFOHu3%d` z+#1_9mV#j}-2Fut_NVXgI9IWLM|J@pZo)%@Lapd}1yjGORG`3$0=RyTvwcgL^%RkI zuo=K?%_VRC-4C}GgE^ZG{PEwjfzSS3W_}l#so1&OA6Xi+C~AJjBP(N;u8i6AX4Lm( zEzzvj{1*Pw=l*dd51#znKeikGKYHu_HtVg6fwyjjCUG~J+STLrZBi_E`(iLCQrO@8jGeJwE2I0Qf zw8VRQr5_z^#?PiTO0Ykvz7U!^A~pD**k9uhbKx6+^qjuz+Ka!jW>dP>Xzi2mVqCoa zxBkF*-{2NX(H}QM97XjC`BNXRf^*g1$SNaTRco{!!7YnQEX)9R7!i`}<(E8`l}J(_ zr-*C6#@Dlu=bn1xVC`K7#o{_pEB~70+BE`SQRwi;#QV#Ymz&=mkw3WQBCh!2_fmvv zUYi!WsqesmjDn%RvV%b_VGI3F63RDEa1ahXFM@uMxbEA%jo01GoMUJ;MX| z(qr_+l$G6VsK(bT=Yp)+zYcK$Kd;MnJ{XF$9|}6&Vzxfn`HCSp_~~#_`>wWL`lCJk zg24}G45k}*{ZFFqG?Js3ji12b#ud@ko5rWm9U-{Ha0Vk=$f5QvSBck{H*jM@a6*-W z52w~Hw&q>7nDXpkwgrX0I7=@fsb#X2v*ct})}^5#luOs4OXI zDdKH>{~CsC*BM#hfMv^lg4*E?2L=}&gGm0~Q?l)5qMNKi!^!Qqt}x7ByPKKZ3gSKg z$NmI3bM_XavPM58dv^*KZJTBlavJv{89i%ZEATbYoQ~DkO8v#oGiq>NU;xB#GwuE3KaUDjbSov-W- z^2@v86&sAVV@HBCA%=5rXt>pC=)ub)1&h+%Y1L2jW-K?o-hh0Clijk?U@PVnHwk#_ z(wKDIL^Ue5Y=KQD5qKH(GP5C~Fn(nr>UHvVY$~kiJMo@zGO3}Rdcv+o)6m#W*AV}H$6j_dM$6AgcKxg+7 zg0&8BReex5OuvzXb{J-|y)j54(W&&577V;zT(wz&4YqW1rhq^#OaH;OAmT0sE-@jNE~;7i$!#X*u^QlftB|a{OB($qX8Ja!t2)bHbK` zzWBoMw}Y~MQu9aLI|csYfoalX4#ej;%1!S(t6iC_T3)ErU$Ka@@|`=B;VDCvq>wG( z+KB1NT=ykEyi+#Yh|%R`j-Yez;TD5L*jeTH{?PscCodnz*7N_XiTOe}>e+d#V%O}6 z%4UlUVRjpg+VKV~7@^oKK03r75V3IGhoS3PCD<>JA?D_Q;Q49*IivbcT5L8grO?f@HFy5C%#~^lV-Bt_3dQ~ zo*xEdjVf#fn-1zsjHMH1QdP`dySz0jY_x*K&g#zHQi_0Q>*4hgq%PHC2L>$BkUVH% zfoX!**b?RSTxW8Y+N(a{zCku#@5Ay%_zhd`!PaVg{SBL)ZjF7%pE$#SXJfNn!kUQ4 zc+i>B0TefUTY&_cmB4P4>O+&Jl@S4|u@20WXb1Gb!Vgq zJJTn1w|!Ayy=711AI7RNTGNB+$<}DKMvq!;6xsgp>a_hY89}2jdi*HDSY(ZNtX<0K zCSSGnV8$Ad2!wUbNJvXKqk|jLhEZsDh|W%7-w$ScDCI$5`v7oTNRVe z!K0093baj2jPTX96G1`I#C{Z*p#>00TYtQ39I1P@O^I37TQ*4l4!ra@a`3Sb;Gt!?!wFR6J6n z3L9a*I8tc9cp7dN+zFfbVQW`aFQ*tkDBiUaJ4R~9w`McOOzwZeXnMBwq9;n zdGRLx!87fRmg(DA^syBa7BR2PaAs7jKVK;ji9Gb`_U7osv}O$DfiSb9+K z0~EN8HV^&ZB0s$Evr)l&pa1J;7SGX!!FKyhn${Xu$b8>$=)u6uhW}ppPxJCB{J$^$ z4|DUp=kLsWEG9bRk*!g`-2Hct#vJOAM`GBydF4OM&5tcU`rbcC+5g?SOtsDVLDUP` zQ9HK6Pd65oJ@g%Cf5GCr3)XL(=~%En@mFCxoEea=C2dL1q>7RV^|KM;_sFH#Q|Aii z&9)tW@Jz+BXB)O$3;9>af;bknv1ZAow~Ll+PR-u55=gE1@aW$vVpQ*cvQMVZ%A4ai zUwC}5u7(O7qrHE@%JJE|pZwdWRBki|-?^x6%abMl{xNlIn@)wGO4D)OcE$r8!ErcA$}Fn!S^$)YA9gW5jjXdl62|e7Yw^{EF0W0V>}*Aq=LZT_iV(Df2rNR z+nXaM@z8b$;zHQG&{fH_x%#1x>h7#&()wEB3LDP8z25Ov}?B!GIiyKP~~I0{WTx+WrOtVfDbQ zxmC-WKdw?c0AoEf#DP2xNg$Ws-7;yYdU^`(OfNfT6$;E$wz4k}M+)HegR?}?%xtwN zv3l%+1CR9Ei!e)AP!$6*6@~}dP$^~)KnV>ee~W5Gr|Hxlbjq2(rH;7O(Dl-kgb+C! zZMBQTpOtimaB!kPLRN`9W&w~GG^E%@Lp8g-*@bi~tZ4#=-_yS`*jZ&o9OA;yhZcA8 zR+1C@>~3G2iYbO5o6`ZuE*o8$cU8a9tE_*(ZtDkv1>ko^Y1ue9@yF-9=+_QjfsLVe z(i)c>{FihKbez z0gXeOUd`m)8yp3LYoul^BoBYQ(lQKqU2$Yv&syTat%w=rcuxh&iqm{|1c#hwJ7{s( zSwoQ}WT~?KdeKpDm8%dqb0G7Vn`wBbrv-ujI3vALD{qg81Hh%g7i8WX^q6aZ&O`E) z@lhF9}tFrL8nFj$wKf${{C3js(3;|=OG(x9v?7C4tv>a%!9E%&$PvO zl-cg^p06~7kyDy2>_h7~zpUvzuGQ!q8yCxLgK2YtdPIoee~gvmW^&8%%it+O*`L)7 zu@3nd`Ir$o^LH#;x}3VF8Al;3@Y&2iQO@&~kdQhItA@)ST%SoRv&N8P_79E8JH+)MsBQ)Y4P8@w|eK%x=^S zlcgV-wiK?*V0IvL@n{Gl(3fneLlv7LC~kS!UH?Ora0H)_tfbIR!C$oUIB_df`VCdQ zd$0`HBy2(zpanNH8t;Pg~Cv*R_I>$(oVQGc9QB)LW9xgL>NhT5)F zZ#zm|rT4birww79Fu%=;Gd%P8Q$wu@mK6O*jqkM}xfZmX z0?;qaA_jx0)ZUD1r`$v73ca;z>jsinV2xL5*3baXHM>Y%MjkZ0J-w60opS6p3OmC5cPB;}3wY%R2^*Kp-?eb#m^!pzqb>0(VzERs zwA|#+MKb2X4jpZ_{@OS!>WP}2BpMBfbCO3}VbvI}zis#&@I;t!HJ z&2MUvj=8u*x$Hw=m_m)o+|C+#-TOKuLFHm`Rp4{j( z4C#SY1%8)rZtfhny-c|g*@^R1BTHx$uXG?sGXzvcTY3nOoQgtuBJfwAB`{TOlNQR)*m(bHqoc_(S zhvkxPM{OwW_Y{XLsPO57ZttP1&3<*F+s|v&K5;I*gD&t;*^+|5V;oZFUl^LM4Bv70 z$A+-Q%kS2Yt_l1g2oKHVWc`V~hwAQ4p2!;cEk{o0r%HhMF1S6PK5&oPbxpjkOxeR3 z&z;Lyl~?ckkE;@NJqAMhx4>^su&~bOm|ZEA%Y)6Tc+c9ese-1ZVABwNxecIB9s=Hw znYk_=y)tr^5=@|1CZua}=r^cF|3YtXZ_QVp z`Qr}v0M`PhoMK6k$cxgXv2=0f4b+QoMPYVp{7erp?H1o}tn9O>|4{`*@7?G?F;3V2 zX&UqV!WsG?RZyZ_GD(>1nS8nBlwqX)1!Py_Lj!e_!}{kLfbnXP5$&JfMVy#n^8Ky@ zR9+O8=y^h2zxmn$w>L5(8>EDEe%tNHxIohy?|qHpwm&gx+t*YFS#KgBpj+cimcB@5Dm}b|56e6!UFb)s_dU~qikc!uPUTH2mrU`7 z$nzd&B!7>h<MWFn$;7n1t#|NPp8(u&%UVzni&20=UooGW?XjR9+#ckxgwy)Cdbc1=7e9Vb|UZb>;?a&wyd0Pq<=7~p!MhAXKv@?6A-Dn*#zC!IDitM>M9oZES zSinW}PWpB@+G2N11s3GmhFVxDW0y>hQy|_e6HU|6w}>;)Z8b2f8XDX=^AjvK3gI7 z=ceseg*CAY%E8{Rq$^aG_3fB`o#$PaX=?Y;Rys4Z#>qj4qmHGgWYu>><4>ecyKdjHl~rw38c|>-7_QoC^s*RNMW;bHaLp{x8EUY? zZ%ODH{{4z|o}v17*ZUPE)SKK_f3wagzIKdAb-vU1EzQhSdaS1b*&+*oeda{88fe!6Ya z{<><)wlkeEG{5B9YmIey!CM?7@d4@Xu0`>5zN=Pj*G+Yv>$A%NqSU@-0R5QCdwbE) zIwik5InZ)gn+gDHkgq)To5gmtUfp7L5~s(CyK4{!P{-Mt>B7r54@KmLb3~8REd3~w z&#cQZoI{c@QO@>5b-qpN4x|zN6w7xX6Jyh)T-x9<4DGycFQ@ZIch;VDcspxmG!e_` zeZ*>iC0~k9SHLg@1vukKwtlc___P z2ckScy4sOu3bn+Cr|EooaKU8wGF5PA=B80g*4B(wkdvntyf)sN;FB~0>)e_e!L6c6 z1BJEnM{3~#`%V$j|EQOlP<;3`3K6{PyJ}!8-rX_jZXMKf=UPZmVhfS2%VF~OD0S(A zu~G?fG9UdDo{swQsl4hH;ndUzYY#PFGDJq!@;E^98%d0Gbmdm#c=yd$1L5i|quy5# zQ?X-P;`_h+Kx;x+2PEc@bcJO)_-F;6R_Vhd?puu0eEQY0rp10&SIt$n zp(EyZ?@^c9GS46NiRF9V&wB4HtL^dL+~mc79$$2_Hn`|y zdXk9S6Q#|0;%wpQ>R2M@XaB+T&8(iAu$8mI{lV+iOJ1+tvWE6Q&+u73`CHj7PrmRJ zub!7=g3pZ;-BxlwY|ER=Jy5^jk;PEim$T$o*+tsiG1JRD1S5GN?%Z`X_pV-iJSiX@ z+Vlcgu%4o(0@0`{O1P5Q3+}hL%~+Y?v9*6KUmZoKIedv4PE6@C!@TGicnAw%l67lTyaOYQyUsfJ!3*?j(tajzP% zEErZNaNTC<(MVo%{UWPcGem{Bao&AzT~fDNF89yJW$&A>U0aSP#1XdK3z?VzC9oxeeF}n3kTE*4x?Kl#8*^g_B@&ylMSbm^!VTt=66F&AaMiZ9u|hf@jDmfv-0gpqUrwCrYr5*lKVLIWUt#h)4QD5Ny3MD*y7~UC+ld+cVpF#& zwGUTB@X4J(r0u?RrvldkUjAgL12$jljNAWR?3ou_wc=S&$^ut*Y?@a7?nw7 zF~V-y*jhQA$AI@v`WJF+V)vLhZEy$4o)y8Ni75fwnS|CjiGt4SV=^Q1>aCJcFSYyW zC(^FZlt*42?+Y&6Q`pdaTfQnw6^9JYtA(SY>{wifB1=iQfJv`pWR23YSl{#E+Ujp7XHf z?a*cE=p9F~K0~h$F!{x%3OBk06YK<2w7p%4!JY_P?~6X79+wLxHxF`LX!hgRohHCG zCW5H;qW37Am80<1xCgvM&f#Yo9(-Y$?oW)6lgh^PkJr`kdt2iu-VLTe`b@fe#Od`8 zLi{R2hsH5=A`_w(|(fE@XVsQ6)QI5?o;6a5KS zfpyKFaS!07Y&b``9fz(rEgcqMe!V(w#;={u1k){;{L*7`9R7;QPesY$h(maDU3jMzRY{S1<@SMCxLn}! zOh=W7B|SV#ioU_@J{wz>=eaQ5|DjV}mIxCwRLan6H zIk?p7Jzwn#11eSa&??vWsT0;7V)jivyp>Vrov#SJM)Zq_##>!u=&Z9@4CgF>@*m*S zvNPJA6W*#TO}F<_G^#tk2B2N2ZM@}opgH35wL{1%%9Pp@VJ6=<41!~t(YJ6=;XEuk z(kd~xB#bjt?l1ey2DaC-C0@Xh6DP6sm*Ic0|9>BUn7fA9;Vq%MRdGNYaUMul=q>Fd z=L@;8#ozBfn@9Du#P^6WaZ1bdM^V`6AF6-(>XjC41PwGH51dM-biXGul(Cm{8Dxaz z*}Q;nfi6r@yPE)|TOWLsub}fqr9|b{z5Mc~HRa*+XO0!Rd&FO3m?MVO-wa?pY&&d3 zrCWY$Snn}Z|Alvm$uH-ui81KD090#mCnac9&&RSn{{gdWbo{{)h4jO=eN=uVzhhc< zhR5fQN4Dr}*13nkFtltR3S*EDi-?m&)hjHQTjq~&hpB={B>#xpARC2+efK1a>*#@r z(-Xl3ZQ~=6oNoW41Zs5lxvg?6(RVjSGDdqMwPzxTHsyCSf8AqK*RNcKw;MV^+Cqoq zznd)x70CcrqgC-CJw8+c0Ou>{EN;Vt9}t;Lj<|aHSkSj%+IGl%TAqVK9(<;>Yv}y) zrf6UR`V;RnjvHTfx5lUP>|)~dRKrUf9l}mjvmUS}7UK9YsJCojiVf#FLR0ka93xp{ zu__vu{a{=-ZufZQL8)87o%AP;d8cI;K(I})tc8b?IPITO=Vt*2oY3V(Z(+mr!)}RW za3{$*PVHGYt$LI*+HzKkjOzCKTq40CZN2Q zlc@#rN9gt;H~anE!#zap!%r}~L6@O0k{6<-|~YQCU+ok;Z}ijziFCZ5Q$tpV$4IFd2dZvFyxD+D3Xe{HLLHsl8k=mARI! ziK*Pm)6tQ^Cnl=5c7?5XE-3Ze<;H=Lr@P@8p)aoM^>t`2n}iB_uWG`wJy>?_eLHQf zc5mdPvnxek`{f`t)OjxKEv9zKCJXO3JvCr2Ie*3s16f`dq`dLVbK?ajw?#Xi9=L@8|K=z!jU=#F13Y|<9(<> zpy~W@aEO1HZ$Ro!xXW=mOZje{QVZs8rY#`LVy zD?fB~^A*JHy2 zcr4Z5DQj?B6(_!*!|G8B^Y8e+ul?TzG2~&RR;i-0YGF-179$voYI+fZSXXRsjFR>z zu21%_S+M@`M?0dT7ygcy=n;%9J||ukT69hXRt%=BxSr=DW8XqyR`qU6TKV<0``j+&JwMt1)6)SlQuGQb)8)^A88M%RkvEagu z95f>{`7KGG7VVG(JL^!4G2e2xC0>+GOF`6AL~pS3`4714ky_peowC zg(@NsU5e!D`T`3br~$pzp$HJZC$RldB?yWKDpwT{t55|OAA+d%*2K9id(v3_kI=j& zz#Y}seBchZxqUl>Vx>F*1m+y(4WjGpr1uJni&0LU_29NZJ}bcu{$v;u)mX*Iunm>w zn!~`nVO+xGf{ONSUL|aIWnHMwN!&5uTkb@f@P_ zpO33!c@1~!4xS%k!n*CcRn)Wb53fCr>JFrBvFdC|y*uNCzyVGAd=2^|p{F&@Q3Yj> zO(_BkRXtbG4^USj^=Y3`#ZRbybn(I03dc}?&y6#NaJMht=+SZ>k8eS7KiNm@t_=^n6(;ugO2?1ZGzt7zVBz|zgXxT-& zz*M2|MsyiS6tK6Uy-mE(`>Xa@BfVtwry4x<*d@f3_>lHRj&xb-K*&6jx2I#x=wD#4Y~&Cx>Z-P$kSIUQv!s7p!SOW1HMlBV zYdIc|34;x)4LweJ_rAevM%?-toM3rvWe5!?8_Q6T+S9H|7$To%-n4r@3Rkbtk}dIf zfso!kv079drZOo%B>PRx7$~R2YUveLYu~vNoeQi`ya*1BB$8%Fl=Z| zz!IcihC;|vHt(pPyry={RB!!zrv}e6HlmY{9%IsIjOfNm*~J0mcjEQBl<~UXzh_FH zv<~gG+T5rjl>R7(H>3`YhtZzLWj|h~=B4=NuIK0uWYBwCBX2;k$Ydy}AQths^3sFA zk1BBn0xC+RGEaZ7?+M_Nv&2c#QNF|rLcD$wcrTjKqO`u#44I<~M( zdgvaT$}S^LUl07o9;UOjJwEA~BKySQ3=Gy#A$lURX9adl3q1z&X?ODy_l#+Ymop|cU(5PF0JlWhc}?p_h7k`r?hIx`jul2vLKSLoi@}Q zqlTz_YXl(207_IODTDch`k`P95(aGRO8K;}>{t=X+t!tY@}LljmBh*F6!Y*~-_inhSr=S5=%=OI!Y7Dkxyv@GqJxg} zJWg04e{)f5m#tg*XX>HzgK-Gb*}#79Y!A9zrgE5}uwJdoVg(j)$om=5X1R6GptL@YVbuS)5w-s0mdkd{8UN% zPY-ngR(lf4RtDqgkB8)!pCKGbRO;_D1w~%@YYibYc^*Wq>a7fNohLtEQvZ^^VzR3y z95#`M{>DmI>d*#=M~Nbhw@(@djV$h}WlAY8aGJJhdm~vJcnvqU8ghJdI8KI(Q7MJ5 z_~h3&JI}46Bw_jo+RH6*6~y3^$_}e`L=qU32F{;~@X_aG)>ibM@8Qn3$e7)x?KTbA zn;e1Daw>&iS4RXNHH62JD+@l_pmEk!7na>laB1=6I6PB3is|KJo*%4;@utQPue}Ro zd7_Q#YU)#vfer~@fzA9_7PB*8**arryqQJ8OakmdMai{NgU2}GTTmqOTWov zL6@|@#OV81pCJ(6p}F(?+N-K4J=81HjsGAuq<(w20yAk}ge&CCL^5W2x>cfzU*(XHb&2NMhMQJsSZI zbti*xyVj1{;ACG{bEI{_vAnn^z74l$|9kSNc3*o=CfW_VmRx%Fi(t|v>c%@-^+HCB z1Po9(TR&Vnn10}>HP&B);iPl=X!n@A?v!SO;hNcVC0r6v&+qL|q`1YbpA(R2j_nAO zKXKa9N+Qs7gnO0QwYuXkxO`(n;d?MyJJnHq`x<8FhNM5IO&;rh@Y(1=E1JTXUG>YG z=xuFc5(`K&zcor@gl)oqpsl0vIfn#<5l3VT(B~fJWu}K{jh@s^Ic@%emM9uo;H+lf zersgwG&myol9#u}&fIT#?JFQQfJJt9p7DCLYg+J{z~TbN)_j(&Y=`GAA0UXq+RPIB zc0GRcF(l*fW70v{Q%7rc?aBuH=_#M!4*qUewGoTgCk!Ou69S^8pWPCfBwts%6xe9* za<#^~7m>nXis@Z6I1Y56XrNSXu!w>2Dz3za2PyCp<_Y9c?e* zz!c+J{@ZqooVDkHN&@Ti7`PcZ<=6T;ERm6#irL_yta6GGAc5o=hfm$Ixj_=P|I0BT zS_L#fho3(+R45J)?se-}tb1@6EZhj3`55(A+>y0;y)nYivDIU?Ov^kw;)&=>YGjK5QLM06hpK|T0NbcWGb(fQjl`6XKb zF>cEr&G-&D3%!;_h1P2&Lwv+(N4;xdE}EgYL(wB{@Zpt0x+LQDzAIhx*^GC? zMJ9{RvD1^C)rfDwysmkL;oR#y>(K!LbA(Nm<~Vn(3l<0C)yxAR(2|jeAgjTOKp)Kb z3FHdQn?#;9fmjiKe%>`VN`fwCyPQT?G$uoWB8vBo%KFAZbSPdK8XcnG^=kTTj8p8> zuog?lnM{)U{&Y>i30Z{`^`-yXft5W=R6_mLvytsUDy7A)>WI#ob>2NTuRM8PIki7F zH|n>j2Z{P!Z7BM8*|F-5#3X*yC+fsarT*xqKO^`UT6lr85Qe^nMHS1l_bjq07W_*0 z+!E!Fe>j??jQJgJk(9M$Gqp&g7p;k+Mt3f`yZU#E7nqP3f&u(~p~LHtk)a@U>zgB9 zbo{g|Ej!^`YNKBt56)wdF}EQPu}s!cDmZ`2pM&a9Bbd;At+75vCzD!+aq>x!2*{?l zmsw*yCy=VHJ9_Kk4G!Upn_+5U;!Bw(I0cP2bcHgh$RLxlJKm^l8yxsgCH==jktm~C zx5)^*UhncoQxn_ban(C;DsQ({rQsnZE?AAjmnDD@vvh>*W0HbkJa&+8RVG8xNx0f` zn5&BA;(GnI5+t0)!=VS%#Dw(ekr_YgyNBhIeP#WzVVY$-DKGSOS2>vyM21aM4`F{J z8sB>3#$351rKynbT_AYKugCL|A~$@=NeGnAkR#9oUK(0;BL z3+CEpp11atPJ9o!;OzVOKJhv>^-DIQo};jY$#r*EUmPs+MOoHn(Qn)XF^x&vh|3y` zO-`RFb0d{+d2Vc=^WS^DomB*J5xGasXOZngBDv|N4c8TjbOKy99wP;Ik+XW)n4v#* zK!P3@@nWlboMCZQ%8?HUQu_LU1TXdmBuqSP!sPcc8!pF0x5V8+rQ6wJC<^ekXm|dK=N!UBYMZf zqL%rTs9e5DpCLO*=0m1O^Hf49;v3u-X4%Q-tFEqNd+FI;JZDW7MdhjEG!RE|q)I&f zsG4yq-WkZp3`hc*2yB`v**$QqfaZNsJA9d1-WIa*v_AS_nr!80Eo{ zH`WS{cUS8zFbo#q-$b)6C9(%G6$4=%T6sjQT!|ND%qj_|tgd+@;oLD*rrV-`BP3lc zO|o2}x95>wb&NnKHeNQrBi*dfcrQP^l_n`y*1w>2?i}LM#0%KlaoEB&qT3`963o9k zWa|@u&X8U%e9UVCw;l zt#D)CSyW-)3Ok&BwTkxt>{p8C8Oz~WM_f+t($TEWjVboLIkp#;C_gxQY}vuwygy|g zydenfJDqkSP%)3HNED6Yshl!8H6Y!XiSbx4h!})NCe;@OqnH|IZ?ZnA4Y)i%l&7xb z#)=qEe@mRh%yuDtIHjKVlC##+zpy8Rv!IYShQjotOuj7XgUj`;82jwyD4|N@s^^=T zf;X0b(n`M(dW~Hb1rx{FadU{zf-47qG<2`O4NJgiKJ^m5%)332tV}kyV!?{PbU=^h z#)LNgFsNW$@YAM!2l(sRoT4?sXoLq>y1XHclF1Z)@J76-btpuNt51C^{b6@C>-?rT z9j{626#(3^-r2q6EU1TN9Mf;8E3TJN-hsFdPcQCg`{G%^NY@!Tv9@m@PSl{Rep; z3|PjG8^0iU$SvP#hUMisfdsj3b`&DZB0u)d22{XNMP{6*XLf`fzGwjgU;EPu*G!&J`OPjx?Q?vN%sy1J^#5Obx@9`OJbx)z-22vpp@u8#)Hpdh~NPvCbk zdoz|R^QD)@GFszFTnjNO{i+N)XC|_}XDwn8{suO`9r-6-v+2gSp==R;6jQYU4?E6WwUEL1!vet!vnyiSbfkp-s7ss9q&ua{#`^kWg-l`bDa-X1haG==m&Xbi z78?+yw`T{3gYm8-Odih0nO^zxduHL^^wbWV4AtCdlM)%jJ)$*s7%<%2x3`KOYu>YznZz;K*w?WRdE zC1CB@AxpvU0f)tE3$i2u5ztMdS;p{mpr+g~INEMy$z2POS+1jDAQa~N2)WebD&A^gPhE0>QtBk7r7wT#r@;zZKQMlM7AD3Ze|26{k~c8(C^BJfaP zzp(c7Fr5ln1|L2eo^-oySbuh)>9g@K@!5kVJ2yN3#{OBf)gYYOxi_6VybgIVb~J?n zkcVx~=&tS&Ax@X$5>eZ^gg*+EE;;4WWMp5rr;>u1*66ExCt%okq(r*YGyNY2WASC& z+4NbV7EOvp{7K#Cd?fmXJj3U|z8;KEv&q}Tj^U<6-Jm=ekG;$hP*6?rlh*F4I}Bn5 z$X-tCRq>GO)X|Y(36s_C+>zxMnx4~+;n&jq2a3jNbe~CnMLSzZyXui~9J$AF=(b2h5YGV1WS!a1fB2K0w+fD!YdtrQ8~cR*uH>4n}!L6W7-wyVw}_tA7Ob zgB0RO`kY`#;S^J@+GRvVKEX>m1L9 z?Mswj*prnV{iv|>16PV#UB7Q0`36FBT6MfGgEN;xBw`d1zMUgUwqH@kmS`QinR=Hi zA3X24)Djm?aR9TqX3y(n!;iQ>1t`ST5@pdNb}`d3y>7TQ+Mdefd@TK~&ZWmG63-TM zsObSDj3tL!qDCcOFq~!Xo7}>4%+96WmfP-I-$quzCbg3Vs;IFsBxRlQU6-pi1<8+@ zY?J(&h+GeNe_2ax*kbM1B4DZtT^_tJiHDyB&6|H?axS+wP6>+Yuj|&~WN1T0cXw5u zgiuE-Y2$jLB483tprnF_*h0Ha?nliMlE9JH=wncLvv)tGyA+uC_apWqU)=vk*t>wW zS>Nyfw&hH1)p@D~+s$fc53uQ`6KdJC2M%p%fek=ZTWKtj_Bzbn|VFen#D-diUWM2nG5=bWGAjxz1-48mq-}m>u{(rCO<*rLBg*>0< z{kh-weZL%AFwIIL?4|C|F|N}5z~bnhbc~J}3l&t3v+}EY-*){q7+^xCjmXo0Eg+db zZ4si_v)6edpAfO=Dt2=0s+jHrSmN0Y4RK67MUe}Nz(Vt{u3vG5EtbiUjL>OdXJ2am zY{sfGl~|k#PrU{Z5jN$_c>8yMRde!mG%|6*?Mz}UnID@$UHz@`bCp*<&<%uAK`b>wCH@gf<=95u|?yZNr9Z zlv8tV12b{?9m)E(XlMH#k{!McI){)+EzZZMJ5XdJX_$^pMxvU) zTHeS^BIc^!rP<Cuh42quT^XzG!3H#mAkn% z2M%1c=ETO0e8GT}VX!wc*%ce)V&|=pG;w`va-_Xy%*{8O<83A1uEd2HpVI|l^j2RwBo=qCX`3thE8Aobt1d~%%S*xs!LCb$4?EeSVH2*xOQ5&QB)Y{O=)xcqgf2?rrkJ8gFy(;feEnd3u2H115FD z<=az3vZ}NGSjx4U#d7(@mp*DS-D+Zm53l})8yRRdj=R)mvT%4pf~x00^ zIoromO;BPwqg9yn}V^j{nMLBifJXA$L@^8)3M{q;8DwDRay@MhVJI> z_lFb#UOs`4UK%%G6sSeuOA4;$Eya3KQ^M-4t;F+83M+E#%*3FVI)^Z@1$~o%!>R&Y zOeP_E{jtHXG~388C~0eaoWK>(;0iXPT}l!mH`P|Al}%1uOWziN8nGF|!NaDV;q8p` z42eI*i7~c>(tL(jo(Mo*?gr%`0t?Y-w1=(S@4zQj=pUTwU97rf6;4i^g^?4^-c(>M ztdI>V{OtK`F5`-7+3`3O}guZ63vA?sVjh&P36_~HC6UE^N$YIM9dn!tK zICEO&XpS4BfI4ot`aCR+B}tOHvSP_DIHnariDK@0oIgN>^fCz!Z+FE2WJ8<`hrcOi zW?Uh>G1P0q#+2-&5~Rk->&++4BO`qPPcPuynP{Rm5anXx2a~76X@k1EMuP98*q%13H;@Ti!5FlMpr({ zlgL+1vfMbYoJ^HQ4cAI2BvVLQQKAm2`W2sxO&eaf76 zDw~JLN_tM36v2nxwxG+}n({KP=c1o&@^E|c_4}a)(upnp`P%YH1N(}osU-O##RD<< zU4;dM+$B@hkL{GTxb7O!W_*k}xNd9xg-ervyrMp6A`};SOR+>#t_Sw+z2y;J!ui(N zGJC>H@$f~%z`HohG-#WH@<+j*yICH|_ZB&hw6P6$sa~&%$ux>}?xwjv#Nx|9p;<05yKIbNnixlsD# zUB`g+Tq0g)t9p$km;n*!cj%|y7>Bp)|?|uI?m=)kMH3yYi(id)iif$6iyyT#~qx?y-z|m`U#2w!h)wPC* zZ3Fs5@NI~Y-3O-Nh>!W7YWGE;$650DWhQ8I0;ctV*sZ~vQlN+gB+CLC9js;Q{{tYf ziKJssBb+YS*NFiw`fEXih!CXzR+k>ow`pC&sbuAbhD|?@1ul_wrH9To)r~av;#9WB z9d$t${N3uohcwBmKpy|(9erD9V`N)s*AHX7%^`vWCNrY(Ue#wN?Kw9h72%F)-+iXc z)9&b%RI@6G5jCTBWWp4Tkm$kd>qqXG{|i1%f9x2rP_azv^(SVUXPT&nDl~^IAIYj! zzBb61bMG#WJu__z_B(mZLC5r8+CGFK9V?qO>+!*cJF38RUVel$Ng3c~(>W-vMrAmG z<{M?z`{0waxv-!XLg71j?3$@+^saTOM$+aSLT8@q$d_FbQi!wHfyGTr^*M&B*j37F z9t_d-D^`(!;Hd%@a+-s-VL!;Z!0;dM`MK7BF8yxBcN{w};Iw{HcEI}xMKyR=)2)T( z2M~sa7O6r_{c8jF{+R4ECrsNw+FWuzH_#lqXfa&njH^P@$1=;GTxuWs#7%iTp*qbm z;(fHWV_^TOrZhk^z$D`uF(BC;%{n}T9FXpkry9SjIz{f`Nhi+LJF#eyqR|0@W)Nis zZBI79wzj$O%DT!VKGwK1KUWfI&~HRXIIFs%O=s%QxX`}KUQjR;Bov^KoYV^h zymWV%dK~LbNrcL%w^q7vaSmLv9c8acy9Ew%>OPOm9isB1K7%EFfCgjnrc2i2N|ww) z;dRPn`=Ka6UW9D&>Ckv(y-hoD7QRd-NAC&>KhfuR?;NC>+14pnfhNTQ+TjBSwsuzmqDDAe}6UiCFZ6_OlbVw6ke4T0q>pS>~YR zbzjjbvj%0*VGCns;Qb2gbr{9%YuinFLjjbh0nKVHsnNTQXTY1%RIEpTzSi4hO z!Pas=Lg+5@HPobVZ`_#qg&^2UeDNaVD8YzdI6f4%Q0++3fuhs?srSk^G4X( z<@K*M3Cm7f(gTF)^13h1CiqW^aegyKhDFnS3sir5!u3CRjI`r3_ z*{V>trg^n-D_0R9a6gAFeR+c_p|s&I_!OkvR7Ve^VZo?=zIl}xNQ3|%H(YN>dXpy%=e9D~4MBB>>zJtOM^LnbgkzVOj1e+9 zv$~?scGnZ`;^9*1$CWYl?MH5f&oo?{W^nLJBBfB&a>`ithr{?hlXYkCzw8BD2SdE5 zxSQ`7Ng%DoLm_Q6xT|FxIi0TA<~kum(Q+TI26(DT=UXl+2c36n48G}bK<-Z>_nK3Q!F0M0P4-Oit!vRkH1MgX`O(JutjS7<4r5II5Ydc9(-Bhmlb4=`ESz0Go%1fsi= zr`F;8y^9Ov#n%^!y%(=9wc^}YSWA5Kh8x2Izr1ccShMcw!C(X9L%n@J_v=cd7@>LW zk9I_F0@yBDNd%XlW35D2GbZSI96tG?i93G#aPz}%Im4Zq*S}v#PyH6HMdxmNSvN~_ zh>3EN`~2bpWw2LRKxaNnQ3(b0H#7unrk@jH`8IkVUs_!uaQOPk%moqoJ=;J<2vc?k zIt2Ao#$WxuT7=8DDnw?>*)_yP0s1^`H&AIjBfYOJ@ots5)_ml3Cb{xSm8h?(g2HJI z))P8VQi}-@RNhx-CeGk9;t3|eK#5wcsT8dD{hX~)El~w8?>wS8UJQtdRtd~|$b!(R zTyuFO?bTL%{c>>}#YTMYHMS0Wfq#ifVF_dWCK8_Qz*G(Zf@K-&IyTAX6j@39Nu_5- za}o@9{U7Yx^aAUhslWP>-VG92`R1xsF(sFaCqcF%;?UCHH&<X5W13I0(l@?ioV7 zeUWB6NVVW~q+TS12G*StEi9XseAV4{$`t|;J$2Ezdx*GhWm@{GnUv*|7vLF2Fg_jS zT%7qQTK4qUTkI6nl)0xkj=9E1Jl0F@fIboilEzQ@t(}8k6)Y<-ea0eyN> zaTa(6llz!7m<`%&$B8nGB!KBvmhi>Xgow~KW@Y7}($bE*C_U!soV=4t8A1JG0eE~K zZd1;lo{9;oi9U3j+=DU{1NOwJ1I{W*s}B9T0}x&V5_qv0zzFT(*=ZHrv>vVsoS7X0jw0%DdDf)B0Y24bW2{AfT%OHivj zkZsme#svKr71WrD$u}iL79i*QzLu)ZYvSA&pb}hYXBJd}{gr!#1}1x;QhAZgidj^w;Z2_} z{@Z4f%0(rr+RAW#88Lg#sNd+GC=u!uD|AW#4&@^wuU@LEW=vgY7m(7#$@U!1Ssay| zW8P5g1osb2Uw0GUFcl+0QAMz}?mM@oRZP9}m@C}MX;22}V!mWDAMY9O$llr4qwlwH zOe`)o2@nC){kX!-Wt@8r&BxkbWt|vOAMR%hBE3+ZpWjspFU7%<5|xTQYNd>$f!WL6 zTdaI8F|t>yvC;>*P2^st#-#}EHYtOPc-@`*(g-%v}C;H&Rpzx?MOd*h_^@U9d0FTFtIr}2YSl}Lm28fOPZRqzV(%U_l!xB z5obkXurB`&Iv$>EiAIg{@{Z5086ZSSImTV~dFg&Lm2Np_#1whDfKFJ_%~h2z0w0K^ z2EC0=&#h`Qm=r$~v&GQ90?7ZxbH7&E7bedpdnrGL#`&HXumHx2fGA3Z@-MadJEyjr zoTlb^d#5S*r1&_KjE&&fEV(B(c4UT;RZGOWDJH!#0>>%frpx&5HXob`$wjrleR_;Z zpo-uiit>}Gg_mm1>#WwZSqznq-<*9MyQ^Y-k?~5$o^fF=@h+rObIFajbSUYJF^p??wKK%R`;1;Tl$7VH?4DPOr~>c-F+JhfMN%~7 z7wZ&fy~du7Jtiw_v1P~C$_Sy4-6Ha>)7H1-?M=cQr+!y=tX;h)4I=PCt=_qakDH(q@zPAs?AR-1#Ln~GZ>pkrj_9_jn{J_>PVZ;8#)B_OV>QOj zX~HHttAAv;;$(mrh1ulIG)mSAxhw6 zlwLA^#;n^+NXmtnNEly9ER&{{DBLKlNZ^kOA@UHkeO_Ld)H-=y6lXohu$*pCE5&!n zlu7okWAUqMA_>$<&ol_U>YaH^Dij;KgGq8x=I+XQzjI!5E}32NHVFDG95`{jjThsD zbk*pRv;9^`KM%mPKw~Sm^-V&ay`$+(QyG};Ta#$eAOQ?(^Ld#=Z%Ch(wN4UciJtaK zr>T99W`z65x9dIvQ!X2ykNd`-!4A4V{oe-NjTcv~33_nVPwIjq1NZ-X(0v(oc|rJh ze)gY(?%0E8|5t|w$&R{;RVxG5JrcYHlP>rI@d$BY()B3=8v`!ezfH|s5uo1@xd@-# zli%+O2w9!xIQ;OhVXj-l)GTdVgSu(zvB47yFQI~l-jleCS}e8x~KsDI{D>O zFFkSWvwTi^P+8JzyA^y{+6p@MsJHnccWEf!wtc0p5E>~|4f}1?iqVt(f0x*VHaThh z4uV=-d}GwM|^s97@fb2Tl4vk}}1rC5@Fy{(Z!`_cJB2 zMXEr2tP<-sTyES3QFOPMC91_XKzv>qzAtgh!%db7gm;2T@8`IqOByWB62d~FV~@pwi@@><5W84wu`7)Apa-S!q=Z;@ z?D{POgkfg9hd;1n3WLKnrSeIcMn5QC5ca?U4zWDI1s30tFO9nsolJtxTE1u8T1=GI zZNS@6C5l78p|w~M)Y2!;r&A4j!E|iCBA9)%a@8-RzaBp!Lf^hITV??|fB;lr$(0|I z#3Y~_E?C_o|KN3&JtD?A{xy4Kk~y@P-dJtKO{J7)1pP+;t{J~ZpRL-L+#JNE3;f>D z(a{{|*cPS&l*}wF8tpp=$W;G-d4Dl=!DXG3WnUTW6!`E;tnvc$%PsL zs=oWKG`*P*l_5yt`_nedWfLD_b7nKe#GzMf}Q*0l{lp-#Zpq z7wo=M^|G#Su5t7LMa`1AN4e_7QGe}krjHXM0oQ;1)n0nCQ93Z*_?5^%7(1~v`Ha%$ zCBzqM?{ZF(Y1T_s62{c1IL!cA@vuOxE+yW(V?K)!Hhl}!<%0u;vQzxrYa6V0C%O~M zisw}tqwg3hOB1O*t#T&K>4j7m@D3D->ACut? zv5+;rke{d255n+m9MC-Cv{=oO?%4rzMZVBbu}GQfb}@y#$*am1zllysM+dFVdqZip zvU`Q5oSh8Bq1Mx~8ngZxnIo!g@E-0-U7NH{-!yY@;>ZmwSMN+dGt;`rq$;smZEL7M z>E`8V9+{8*2g8}!JJwntCPX=G!)8OBl_$s?^m$~zjAD7e`kB2sJiLBN_}2mMC1;md zQzSVG{YrVvbyTL#_4fJ^qkvJZkld43H7a9Fk}eyCMd>DkQG>l2J+oJ-^Wf5gmhRT^ zPJOIM?`-W<1dTJ-{v~@@Ft^9yZScx(Ry+>WV$jMJU#}NmfzZLMx^Kfrpnk1*pe_i_ zDc}1xmh%6K(hyvJjX$yf|0Djg5>~zci*n0iXSRm3i4+yQBx9RM{>vUvzS38=+TLAW zTAm4tU!VPS#dlQA0h+9J4d44q!xKV1CGS8*4uh>?=wWOFIhRzcj9Y%l?2jDXk~@eV z6t!CwxNj^`m;%UcTi?VTll6j&y2mz3DFQ4!;CY^k_;2GC5}I}gP%a!;Oxg{%i`yY< z3@L*~#~!`BQmB1Tj3p#PXQNPB6{s^Y`<2xdv=D|o?-iiT4U&4T^DbM`=|}Jc5s%+L z-gEbORmZ&Af?X6=QHzp@;aQ9@CRgZK8OPHwXKLTIz&cx7oI4n9LDvgTU6CsUgZQE1 zEG8cD`q17!QFNy{XXw-djCq375g!e?L8^gIf8@!evO8VnMiJ~DqRI&NKI$H?eVd)a z7z!Vy1y9HVte&OlxZ()=x_-mmW&CDy2Ix37y%021J;G=F?bAz6y(!4e%VJU?&B!Xh z;@Za2R#;*ApdX$*d)N7E%3Ss1zBbP?ieLe|c$xHR1}R`BRWorOgL-Hd9*CUw#w}yk zdtEgIEBi4NRz+CnGe3n5I4F^einC|)n}e+czQ)!V;_}YfGzG@bBmow82TNgxk<07j zm_{I~A5s}fm}}WHRq5C^w2Qro*mMg-={x$})28cMh_Hsgb`MaTeq>4HI`&ao7(EW3 z#lYAfw^#}+=cfJm9Gl0;rT+H4NGVj6qw*iC!W=*kIUVHH!NT;Z-!7Rt_QX+`4{uaH zY2tb;=hRLuxNVeR+1as&nUv-Bwc;kizBU~l?kY~)=aV=^^11};uPn}<7Y+VS zI&Ij`y8Gw!Z+gJiMPY>H?6B2a!EkVBi&MzYId=ojbnJ-%9x%0gp!N)>?Cy>@rKq1N z8VqSMM)wVNkU1LklDb32%esm8hGcb`E_E_Pm-SR|kVPGE&c*a18lxYHALGkmM~=(K z`(yb4Gw#~S^msyTCd5OUnRx%p*qyOyka;`ESyOa^V*r*l9#|Hvt3=>uQd+WWCG4xJ zul003l`0*Knm3WNaqK(N;=?iy5OQ=?qY_LwE_IocbpAAqMV zqmxX{;Vh%xy^6raF?rk@Qy{Lt#6%k7bO3Off{^?lQ4_ zcTolj-B-Xui>n7{6{NTG&qw97@6kEIobTsqtw*dZj>5Rvi?Si&UD>BppVxX;%#m3I z^{~!b`DG9}=d{RV72vMrRQzP(4$4@epnF48#NQsPY{%6i4#HPgtY@|3umATi^7yWQ zP3vDQBVjgy1@qMQ;P6#@%LAYKmy!ArR9r0s8h8KanC@NqtN(XQ|LJ|pk(gECo7S&* zB>3?oD>no@`|FiI@Ual`O+hOI5?&7Y0X-VPQBc8etD4t?zy!V$&GhAhFc8fUiv;J0xG5K)jq4v)PHpk$p^=RJB6gpnFjN zUB`%=EZfW+JRHd!U$C&F_)$;u3V|X3j;i!XWnb0(2fU#aWkcUxzbUs-|Hq}+Ma3#U zcmcnN_!Biju4z@R(BUPv;c^Q3Y96_pHo33_dmCqO?g!t$BH2TY+S5Xq1FA5Nwzc4z zrb-HIE-{E4%T0zq_kO0fqIKs>2*Do|Wbd)5g4n0=q+n@AF0x{bWo>PbO+CBSJMxOV z7o#|IOS2?0=G%i9MSb_zoiY&r0QjIJNflsq!aP>ShKh1kA6J- zqbU|{%BZn^@|;k5^+jgEoyIr%M7S_lMm{ap3n1+TwJ<{;F|TQMBVO1XaC;2b|AomU zuXEx>XKaD~X_zt&MsEch!20E)B5*i!;@oVT7}rNKP4zqpZQ70KDu&GV$34%_A#A1Y zfZ}RB?hW@5sI7NHOyG2Sk1Lryz7ET|5nej+ZYPsIC6Ts7FYmsp%gbmV6yv*Yd_VHx zk)`*k^`p3acl#aKbHVmCZ6bt6ElydkyboGCvI;iXBo?j9D!&Pm4RnVxk>V;8H)yPR+-(Ucgi-0jN zGKNE*{IL@2&y{|QI|M_y=+nxGg?d*w2xQ1g2)qUOcFvUua_EHC62D^AF|7YE;B&|% zAgcyHiDHCm{LK9%m6GkD5_%mSx`I3U{f>4rp{RgK1;6ny&W`J|PWHgzxglbB8>`2K zJ<32VXbFx@@ zJ(t<5|AWC)b7qu+C9=<{ckM13YZ?m08gMY!#q~qmZ`ybiyS%VgtWUhi?HNn*73-O% zT8VAI{hfgs)#n@?Z0A<1A@M7ger3&RzemTGz!JeN!u3YKD~Utb{HR5T+i3ZEt;5j1 zXWW9cO0i+FeR@%7hRqdKo~hHu(0VbqImC;fTlPeiP^$lHHxqkG5yZnbB9TrVB1XQb zXRlZN0(`2(l1OVY!rIHyK2&OBJ&9Jd4*uhKu?RzjCW3%E=1CyNWY0T7I@r1@&*=%;Cg* z9L3}NHD`yAM97<4$MQOYS!c>ENyH>Fqrfk*mU!;B^cX!(>fJc&_iS9T9w&Xk|DcsG zmk<6wwDPZl_iYNgysFI|@X2m6@ROE+#(z_~z>#_QvjY)NqX?%|v<1t=?r@nA)TcJ$2izIJK_qT)mcp| zSmDGE(@c|OuYY{9Tvk<~p<&liQ+pWqwiVW1IOCidEFu?RF5a{tgIc!Ya?0JwLPz9TK*k*4( zMIPXN?7H76WHRqmRpKhA2sTl?^-M;xs~~SC1+fTd#Byf;hmVnI&yl+U>ia9;eM5tx zkhGP-HujECTz+tVvSQB`0RGYkBvo5Evk^wP7eiskMUw|Cg9j{bmiZ=q=l~_MoU?6Z z9lGh=IUBCJONi?}Q7rawu#X)|m=N)REUj<5!lX_$2Rk*|_6=Sdo)yQAp;s^jkF{dF zO1<#}5nk)fpVszNWS6}_l;Ht$=QegbQM9~VeTxnEh*)eIeJFddPTwC73i1N`{ z$h`?VW7>)32sA<>Jmgzo&mH2=Gz}0}nuF3g6y%}yT62Y=lU8^5WS`H)fdEr~ZJbRb4>W$!$CiLB0jBp-Ik%&KxkMe*#8Fi@DUH3^-tvHdC**j@_8u8`Bw;A$znJhgM7;qykA zoz-Caq+YDaae`VD2gQNI^5;1-@}t`Rs`muKI}>N;H8z9%Vg{Y+v|<_+Q0!*rNt1Ar zPPF+Ama{3=GC;Q$41X)|ntLic`T#u8S1ifJ>@mdN5qou8fNJp=cJ*pa!O7O+OzOPH zHGMKqDjOfE z{3!Sz0qPSVpcQw07}KZTW3eK1&`&0%k4`ItrZvVnLi7R4gw9eRyTx$WtzcC-7q)7< z0`ax!p;M7bu6$&3o&GEGx{t^-f#XZ`=oX zV$gH=#}oczTz=@$#Si}%`^Nu|%?^dIf`Em#wQ(X~6XPBYQ#~Ag;IUG7D$ZeWV8mtM zz&tD_hQUwV3%i|W#~Ei5N?j?oaziJ1C7)L%{#xk%-G4_k|0P|uf`cgHvwu0gK`yxZ zI!07~3sT~o=G8*O<+p#RN<~qLz3u5cJ^u9PBF7Cw!MJUvPDM0Mm}B4`f+ITA3G5=* z`Ze$+yf2Zvl56!fGQ>kjY-GW#w;74K^^NKQwEzO@%?9<-s6**EJq)Lkc8 zM3tZR67Ri4?k{P-2k%12QXiJ(lB!R&l|TY1gF0-e?8tQe(w@ymLq_7KHLIEA$4gA_ zm6+q(?2Chec-!J8Nk`<+Kh*mW(TQFqdudg7gKhqhw3Xbkl!gz*n9kN%&=E0W{+|3ZwpLKE2{ zm4S(0^dmz5<9R>({i)6~;KrLsVLamcUe6|*Y!%E)#0s;Urr-l#^n!TJpT0!Mn-tdsNtg<_n zbIw+j(0%@!N1SytS0uXyI^U2fH4n9Bu4x(ld(e??VU7kR{1|*_>G^AwOF;4eJ&~;K-j*0bdIRX)?u78 zykm0bq2^PvkkxSGj8*@RQ6R)Zq1Qi7A5QI|581Rg9v55L8nn3PP^Mnp%)~MichlSp z)WPbAV)Y+nSF{(hEo|Xu`%lfq6?;?;tv5VbNWtJgp!lK86e5P-pULzX7wHbLM$mnd zso^mD2u`r^1ls#&sbq27TqK0=z4eHbxx*K%1*|i0@2NafCRe>B6Ej&SAr>fCb28cL zE2u3w=C|jKXi4?~*IRePQAnW*(Ahy|6i!Y&I72MfRBT1KFl+Mq@f0e3BBld%An8(~ zL@8p*_mX31g}o{s%ba+Pa6~yr5-B|TL2BJJlWy7182cxx4GMi(MzB z4mLifRS&2_bcHfH=jK>a^r*D*X^V)MIz4Qe9$dYIj8!J`?x9PLQYP~feZc0OJC^7+ zkeSjLqHcOYRuRu@Ex>Oyvpjyr(OIuGEb#md@L=>C7DS zye~R>a5YnGIP;Ik#`ei=0}HRbqY7>-NRV z_FR5UtmAXTypOjQbD1ogSHuFf3Xwc=n74BW>U z{ZFGw9Ei&CKXL=yPotqYLQWG0d6G%76j*;vqYh9>XNbM7had@t;S9g{%7^Mns#CdwtlCxA5ZkeXDZ?m!eO^h?UIhg6Bvag;g zY^J%s(X>F`{M8+q=_>^Yq|Nqa!4&8z#39FxbE61+e4^HY1b6l<8WH;qlD&`Zem-+f z>RT|a!Q9RqHXr)|%GG`Tmz5~_^3;7X$s8yos?W;cd(N1;7vkqe1qhtX;hW82Rz{QE z=dC)I!eyKKdd@8tpatKyoyyLm542kA`p4Pdoy>2nVzOL?%2P~=`{Szf1ls1-K5CUD zWVPGKX&&LraK8obENP zaZ-ja+1O3@RGp`UJ&Lf_aifG!H?5<$d)8VFRK~6LpB>1thKph=zbGSIYY~2K4p|%> zr@!CUsXbo^_YZUU1LjbNDD~F;eAe;(UASpbX(yW=hc9fc z-HDF%2Iny|H;J)U1qGR{P=|5o@?G=6oqZ;?5E(P*x_sf)5VeK7=^6ZqvAy;e!&K2d zlNz>(Rt${6pU!`So>@v!l)7W}+>6ek2mlu(;w(%2l$ z-~f4ul!5fq7B|zs*`s#S(kI?Dg*%Z=+^^jEkUa_{I8W8rM}~u#4-Y9*XkbT z@bI{{T36C$e1DoeIM0|SYht+WJH-2Q69qHg>8JhoOcWO{9Ttg$uit*xyOv7?%!-m+ zYk42j8T!%r5r%C+F3}jyd?tN)`@mcT$TqEb^@Et=W)J;=_DjN|`kPBSpvQ7=99@dG zYcGtB<=`^}JPRt#GBs2W+M5|UWIN22LXk4Gw+%P&mfC8+!8~t~!e(B+VUodTnb?Bn zSNVc?L6c~;fQ<8!*!&BImJ}ElYtLGF!iL7{^Xii+AXn_4uKBo1%I{+f6yeLwF8{8H zp-1-9x*ZgEW^7*L)VkMj*oNX$+6x@{=y*Mze0=ycsV&)K@!pT&-fBY(x}YMyo4|*{ z(?BQdtjL}K9Kt25K4bTDq0YVzDyy(aJqPX%%+Uy%rIMNXoMO)!+ZHsy7vIR&S+(cQ ze7s(V8rR*m7T4eGAbQXM&vrxG$;^NmL;X?F zMoDJXJldl>Y+`X9#X;lf8%&bTYpog<$2sxCn?RxIymLEIIY}S(YinNGf)#z`HOW(V zg+}i~8q@p(o=Y=h%si9jZqU{k?>2pCpC&VOQE?NsD4!2E^kFZ?FJd+h^t^F;)TyoR zjYH8rPZ#m0MfU^gLL8q;kG9rj0aQ*y9-b(!u(kN9iCQEg2k)QujYA+ntNx_t!C@gH z0gc^8&UDie#&OzTvs+eE=|Al>yl=k5B>Cpc6D;CM@-Rv%N(*sbh}Fc%n`aA@;Tj?; ziODvP9cc3*9YNoF#jkZgkjg$ZM~2A*g5sv5)3#D7d!{_z&==!xT9oMrwM=Y@D%?G~ zlSxN6yCqZ62EE6#Hj9t){=-eOtEOxdeLdAow2=0XY`WE>Vb-Q4cSc{)|$!_ zqPX5k{p7fQumn-gFfQE+dvu=X?OCegi*f3>Tsp+EA*M}?PTfu&PR(=W_)X2>DP?%D zmB*Pw7N@lq?#yJ@Y9Si&7pj~EvYMATz04eOW=g*}W2(O?E*oW^Y3+GlSr3)5SROxw z?v7BYj==QeAv0o}rqy0ciS_uWji^JbE*$kWV8?f5Zg$r_47aOp$J78~6#_8Ksa7OUHWcY)>kxgMY5bj4- zS^03OrWTj-9C!`3twj4JBV3kDvyR0_W*y@OaO9}FJjFy@5|jqPeHLot1o>atIQ=`l zV*T&_qADp=g_eC6!`ANGPxSs>KW5w4U3m5Ny`JXP9YcG}L26>~Azhyf4}nS<*tS#H z^iP|L#kTbL4?d;}X+u^kdwthmdwZ^((pHsWGj2OTr6yY6Aop2&F@GLt4iyYg{3_KK zG>6Yw$>m+Y*r9AL)a+xJ8YrmG;yPYNFmE-I*>yq{aSI>PXeN^_AX;a3YI^Dx7Os{H+ickk7&7@sN~LlgMC<9Ryc(oE(KeW_5#S?OqKb=Ga3 zj?Dr#g^+NiWtzQZ1lr_IpuT(JuF^PIb>55UYUe~1Y5fv?<$6WfJi4QQQTMX6wNUhk z@FvC1AY_W8)U(zt{Mhv%V^xMGd83)^EZ3<#g|EYYTd~5r9w>;%%~@0LxpKX0dztP{ zzjUpc$N7F3jlfQ;Dcmc#L}z5v$!@iBgythyB-aVB_2-1Q~ zwVK}PZfSog4xcER_pzK7PDV!^}9*--L zY;fl)!drFQ2Jd85nQfhhcQa*=_j*}k6}mq{1+?_0e~3Pub=>oy-7$V^O$s-U$?2>) zGB#fIfD@F>2XG{3m57_e__Egy>~lTHg2)C2M^Ca6))h&-)skm;ADEKcmlSL5qF9N{ zNjazC@d)LYiC-WpK%_G?49q*&=|?Mc+q@(DP6>0VEPdm;i6!q^-A=SKe_VHy7)}ec z)So%86d9x4Aph_)eS+o+a|oSo;camR@&>wcBfh4vF-z9q2p)g~?ingO7O+)M zWrFW$iShknuVxm*$6AI39ksEA??qRqmJpH=GR5dIE^qLng;=Hbem*|y3To?Uk~WOa zOKB~LLtL}v_-BtynP!Nd=CCY|$n%A%`7l+2`(YbiZ>SaMq?0FjIaOCruv@1Mef^3s zk7b6!+K45t7L$kDu6XUqlo9`JcET;SvP$@jiA#OVWKA$B;yc>&x-A;wOqcbX-Op2? zg(XX+m3(oEg%VD)m4NR}*K*n=cT57&$j7wFyY?t&GxltNwPua%_uD%S@8cCTph*<- zfjsFx%`DG zKnhiKL*F9WDwlf7H|X%+B!w5K)bGp}2uyWdIec@)7wUpO;{7h>K!<3&>pa6&a)nLk zxNC^Q6Z1Y!xV=*ne}Iz*j%A$sZFo%9B0rNg)f_g>ELeY%1ol)nlCRm_`o9Zo1Lg5q z%yEOnu;_a6z*z2NjSNB@FlO!oYr!>R;ufZ<=De?;$@)z4-6hksDSDLqsG(A0ig6Xu z|6H@!m( zAktP0$1xr1m`kT{gXlG+RJ?Aw8#({jVh{-rDmdsdqW-=KuV+AZE1e0+~pAgI9Bh@>j!R67r zq_IrxSplc0V*k8;{)9(}5#tJOXS^KGTH`pKxw9K@ZJUU&Ru@{)6Wm6;e><}|)Di8c zGfRu7hh|$n^x+2iue*Jia6J4hOzv~q*Kbpz#v@C_qNVyS2YOy6oq5{O?6dUs9V6bI zly%MLC{PoWqYO39SO4x_<2sFfp%On#aCQlNsaTS)^`B^Kuoq2^?3l%ueG+k&thtd~ z^Bv<>rycmh+?qSxeRtd6b{M9f^_wcz*8!)M0*c_t_VT zkq;JRWExoXHRpzz?#tf&^?zov>TWLiDB}Iki1)jXHHR}&oWRi)8x6|UD#l73&dSr+ zwQb}2_xnbRuV&1c8jspAPfKqyzCKj@NsKR!{WsIcX+|$Elqgxud70A5m8AI0}zg$ zy7cGVki+rDAv7mKFd7>+-G3Xt3h&ia{pkl?g-gPHsO-8l zbuZEN0383BwBI zlOc35LI?KZ&wVuEFn?@Sgt{o)EpQ5qb)#2yfH?CynNezG$GEV0|9<)xP2~4mcVLE> zQJHvi&yp!7&)O8R%=O@s80Ltwb4=>`<{wTEwI?njgYMVhpLuiooviX1x4$*Lz3xl5 zw&tvX?gypf-cY#uMVbRaCDvOdu`V@-wk2gd1ndJq^y9^4RQxb@0OD`n^x*QFP_m&f zY6y@O8O^y_F+2o<$vm{s_daX?*-e777J#Y|3xWuq)aFpNt&C1rvgL|{;bN640L{0O z4RX3@FqG?l!SHT=(>iaiYmIMfGQ-VkkDEQ_Sz{6Ob*d^w%gSLL^@F{w5OANp3f|uvO zWEyvY$vkswwAA&sg+0FV!BUb|)!21p&-n=AUNCRlBRN)nI%Ns7c2* z?^=Nz)D%E24r6B$N;v5q^x^5M-*#j0h!qeM)dZx#%;mcvSfk=R44O$4zo_YnR;zxS zi2@X?!vdOXw<1b=OBKp>UTz<{)iTVW<_@mcP%j_k7q+V)9sFKhDGJcQ>(WspKN*?7 zeoWa1;cQ;9&45mfVYi=32hI>|dR3^#w-fzSVD+`r$)gs}?X80ol>kmW7FW5!?XP=h zp5ba3Vv()u~dXmYU zmapt~MXeC_VZf-^z?;VDXNQD8ef80L6RT4!byQV8+VhUUTpG&rd)D@~daI^}SiFyp znmOamYEQ7+w8VQ~d8cmPGeu#tS|g3a1w*R{U!u(?4i(qlZPinmg&s{}Z`(YXHF`(# zMQ>Y=Yb}ZDEC7!SvX~5;wNfPRInSSA<&H29(|6+-(Q&nI%nGd5-L5K1D5^d?%l@K< z$y&e8<$4HOLs*}`UtTYlt#p$UXHG&f9i_2>$twS@jCt96zeP?4X1Z376;PS;dJPt7 z#giwVZgaiJ8*|_@4e=)$p;)vnk9-!#$?UNCiT!|od^3trOLY2c3&4?#*L>p09sF}Q zoz8ibTL3vg6sO*2H7w-bnA?zud`s92v-Ka$8&J_&=PDA?SVV96cg+Ux12bw*-9iyB zNA{t<@d--C3GnT-(~wFeU)UY^4W8m^=}cm>%NZr?0fro0;AvHuMz_%ZSFeXfsAlPJ zjT_5Z?-Jy(etI0xXuA7CtENXk>H%`uNng2|woud{nz2@VxD{*2tonhPpY)Y-JDE($ z_ZDPVPs}*my=y@|r?MC8W{$dZyQ^kw+NKYa&5Gw{b?w%gMYPLg+{DM1N`JM}hEd{DD*_Hwz^UQVq_|7&z!X5AWZI0>z zj#hhNT6ggkarjJk@$4i^;l2r&+ad;(N#26Y)NdQ-oNlVnRHp zPdJ%}Ta^7Sm3cQ8q;UGwU`N*VV+NfScoR35sC%fOpsJiF1vu?3jD($_jpU*q!(olnP=l>dXe^{;fsX=pF38zPx?Lu|$09Q>cc%TxD7 z9$EElK={)^53l;!pMsuQ^)E+67#tCSAK_m)^q(?I`rsFAmE5u^dDZ`2CHD;^7gcg( z0*lBEPL88^0n6cQ8=}{cSe9+ZEB_Yed3epwZa*5cdcQjLe204YJ(fxm{FsE6K6G-|voV%!D>%AGN~2Dry_rg1!RVf5qNshS zmvikWTU24Xl=KjOw=z(d#N=m3R{mvP^08x(&HA}kpXS^OgYAW#8(gcA_HJJLg0!FM ztF6@Bg#*(%ga)EI8Pj_7Q|4eq#g7E7OoG*g9`qEH(0y>v6Yh^%Y+l7@v({GwhFh4Q ziWUb05N%2%lfRO@>L?I8X7?ffx72l(Zqp93?Lx=^(k~OG4XzUhKvyquh0rHHWl|rP z?D6m(?G*hVzTQ2q$@}jAuL=pEwOgyL2T-?ayNb%zZS5vjc5j|IC<=s#5VEzkw4!oP zjVWT1Rs(oISQW^uK(>yMN;hqvwB_a2{f|LNs3OO{%b@;w6?7Hsz z`#rvYwA&t?79rQ?dVgN;*X#MBuqns-e!Tg$ZZ%ySdqPwp7tj~vZoQbve4u>LLvKIo zD{+4b=t(^Y!xd)(_42LQ!OkWw{?Vh37-~K9K+P4~3TR&Z{niUWG)7}j|EqW9D<+D3 zfhB<Sp&%CVQFU-gzd%;AHAjY(H%t9cnv+9R;71$5|Ej^H>tV#^!`ocdx1P&qr%~ z^VOao!g*P~GTiNtI_cc6ikxtpt8ZUdMW{jt;7|4+nApke2$ZyJ4;H<|q^!JLQXY$K z7s6Q}67dAX+9!t;6S)*_3>t#9aFV=*wWhy*o2+SVUxQVzhc_v%lG7e;Yf?8itIlzyMf@Al9}KpmYzVMB@k_}6fyvCP$?qIfgW#+ z7)BjuSptxK3gmpMZw@(pVfft!#%4iq9c~Dw4c7z{6qyY26o3Gu%mJdR0mSBdmy_8! zOVphvcbgrbQaUL>A(^rw$L|gO1FJT$c6hzZLn$twv!^k^#G_s=>v_|%@psz}Nyu36 zmGdByMGn1y6FTEPHggAgeGcb|ResicP{Wx!clDVk=EXB};(vq$-gv~O+2HlBI4`Or z(kjVF-92u)KB6uW7PZs}r347)L>$3q91D`#7M-M#xQ@(UBoiuG+|WkAg{akxc(&Ah z?#B1Mac@fDBbeh_v-HyG`E7BRWb;B&gsr2glhM`*HK%i*{j^ZYGUR^?uF%WBd%CHr zj6u{o_79iEd3$$iM>=3Y?Ja;kL8C3N`juZ$5}dpL#QC6gsJS;_e#nS>cdp&mKg~R^H}+%3}U#P2!(Ulb`w$^nI+oq zeCH;exld0fdrUQJY_cIb>!sYTw``-c+_Blm1*S4&dxZt4W(fByt2eN5w9fi_I~w~0 zZq_X?f&XrMA(>fE?`UxwRGcA${;X`We8oUfTe-sMMj+fCVAG&%-Z(s5ZalycQgdnb zm~0jW4NOE(m-qli+l-#N3*BOATUpRO<$QZ@S)8$Zph$*HY8k#OiknV}vMkl3oirR1 zXklABGNj_DH768I&e%)7=FMRAnoa)zIjpjj&ronI>w1XyZY^zVlENJnnr_)P?0gZa zn9`L@IFO_Fh%u-;gTrUWLI(hp7SLr;>QIZJoQ07QfWb}&hAzs!fNj=VQ2oXJu!=Ll zgTHYG#(Lf`?YindNJ!X$-ca$KB6O24z&Ra=j+cp8Dx+mfz*9+ynv?KJLsHjcswf=> zq7=Aqq%<=N&|M*$sJ)fVAg}j@JZ`$a?pYt)$c>yl09rEc5YmJkwb(;&2;-Fm3{n*K05RSJsOE-NW-T*6PbSQQTUXv$yXd5Lk7dB z_uJ2GaQN_)AmXC1ciF;;MfNikkRMYmb^Z5qP=Mtz*mfk^L?!YdVQ&3duB3SEcLv=< zIwKw5=@tyKyqcTuv@jGhT(+W$zY39W$@Jw^jJCDZP6bej6xslx)6?O&8e$J*wu8Wc ztX&gmxt&ilJD(#4NPc$1vttZikgwazY#Tj+zX6LtCgZB0Y2uKMB8FFz-t~zDX6j(G z*7?qth&Q%_w!t6zD0!t3v8PwR=3dV8(M26f2KG_TawN)DY3tqR!6;5@L52$usI}BE#|lNemoH$KrQZN zb+j?zHl!(SUiK9-RoBxvA3yr+&z5LE4;R|{7hnvC(r8{`Pv{ONd(+g<0+z|=Jb9`} z{8WB5UH7&_jDwbDEBrXaccU`}O3+nL4yG{Z{?((0aX?W~hL}&T7d!o6f28YhLK6q_ z5bru6wiaC|Q)^}*!~s074>XROnmCH)&Hy3#%QD5Lw-m3y8M0mAqm?z2@>tX%jMcCd zB8~!sX|_Pwc&{XWAUVLeO`9DU)0K@8dfh`NYsQtfa1)v0%o*(-51WYhN6O#q5S9Z& zIFL)LX`qRy`qpv*%?f86Pdi>dln=5xu{G%do$B5YueBH$-WQfn_Ic!rVBg)S4Lpfn z`(14 zs9{r(8iTU>b<5UzS;@fX@LxxT`teBC;xEYD?BC|{K;@LjjwKQo&|r?leVD#(KQko6 z9JFV+THgnpm?M-3=Sj(}WRH_h$p!X3Y7`b)UzCqOig>lD^|B+UcFhFcqO9Iqcde#* zQiW4bHt3IbhfM>^rv@GcG0{)sexKQ98aQQt&|Vsn$p~o&y)8V=_A?~8Cjgkl2vTE& zPI@3nW+5AVR%`{%g3d}}0M2tI@^6ZiHWy(*W>hAV6*2kKx{*S2`}rxI0siLpTL)bM z-;)CYL$8xC_!m$@i~X0K>%H@>P^{w_`Q-gz+31w}I`JMpS_zuEJbSS}#zS|v{nR6A zf7I9MY>Y|~!$HEoUNIJ5?|*W1BEaxRnxdwdBTdo1mZz$^m2V|T2w)qH6PuADtrPP% z_LJM4LG3n$<)O0XeBCv%@dlG>gFwL{n28%mq3gDb=_Dt@8#mtxIWLql4w?C*(VMDc z6QswqW{M>tb=yjS61eqA3B$ez=&W21lft@WEw^(i0n0+xZ_kzl3CSI5n~%^cd^9_F zFgyS`?%LCg_z0J>fBMY5CYhm+PB}dLb~0;_0n3rPJEjN#_tm^){|8SFVglbG`iMp^PI+Xep-9g+SaI6|{!@M+%* zM%G=O_YwEMedym=@N>`G;e|h+v!G@c43Ql3`=?)6^t@)le^@?-b@tebjLt>xZ!oW} zT4`}#TKb##KP+1Ln=j4lIP0UEQx}!{R0|f`)B&|ka$^A4&=Id0F znr8ryxH|L~?>xP2QbYT1hk+y%=eCtyO}YYBR-7XAGV&4yDsH$r|KvL4DXzMXRo@l?v5F3R zCZm&1OW{RGYMAsp<+0s-H`0C3^CCrJ4{`FEztEda{u(rmWKxValh8>zbF`}fJ=u>N zb|S|ad{uk0O;H;Y`u&a~KNqUro=da+4@g1q&8GRpA#j(>D?aG+NA=jIy0`qgZ%%+G zRemr@W{3vsejly`rqfqk9In>(^*-%fsbm6#IhCqpdLj=X5e>x^*AchAY+6Z_K(pm zbf!;NS?Uf{fYOKyTSTZCn^V)$3%!@B3JB-$nMB)E^_0goS^miTKv{jGbmcTb?g%EL zgNea=b)E-4zf7rV%hm2S`HT$lhn~{qxdADl2w_v}kHO}taXoDPcnDv&Msq+2Zd~6_ zr<~raeJsF&&;Vo2j!GW?;3~hOS1n^Vzma(Wf@ZGK3~wNf7~OCu$5*`DWdG>zbh0LF zcAmKh0u{$+LH*HgDH^H*x^4OYDnx#VtyqYD%pFNU@kY2>O(ez-e(tD2s+ziRVhVzS zOjB9vg_U+Mzt8b%pSYOb(_Qybv%R=sztV!YSpQVzDf)0i*IN>#(>dKO5eAD%zSSW( zG_Zq!xxpVL5jQYddBBtz1NbO2nL*H)8OkI@IGK%~5t1s?7N2`vdl?^7s;MlF3?mR9 zc91`3|2gC>-kW%14C}VVv%nuz_trN3!4dGrwIR?qhPEuYCH#acNvkfe3WoFDdn{bDz z2WMy9%>$Ija=XnnRQ>x26S+fa)Zk@1Em7bhF~~CoMrQW_`Ll5(Xi*C!^T|Afr2N^g zD)>$s7Ey3Eo=hS$>bu^;+NYwlj}ia#!lj^xK6)*l)<4lAjjt>dIM}>*Z-d6F@IKi= zm?2;*t8LfsM!|*ipuNE}>5mpLVTRYSvsG>zwVxfcn4JecRaPss_6Pe=>^FR7T=T|1 zMTH>7WY(_7{|XPss>XZ2IVndXL$_+)vSdyaXW_hM`ywJEUi!yja;b9l^54cPMcGfu-f0zCThA&Q7ldej8Yu)YmV2elnwhs%a`o<0bjC48 zI1YY0zn*lQWpb8hCFW^A7eVsyymD6bQ8;DE;a<_f|FVU$IK&%%H5a*Oo-O&5z{`TS?U&trcE~~n8)W6>naAVqrDtNC54R+7< zh6`ocKFoV6RItr+Sn;trzO4Koo5mgx$~O(2hMK>e#M#h~24=|vq~(a$R2-4-&WUX2 zr!zIJ@^GRIS}fMt5tx!Qr;j(7bhhj|(>GKacW_| z(Du?A##~{Y7MFaKFk`yq7vr?FbPnSX*CCrs>9*w=2-&MLmU!m98h-o&#Z}yeN+|TD z9b+LgehSZDFSA2d8~|78p{q-Y(yGo4MD;OBoUP(?7-xVVSUT{dhqi{ca-y5c>f0}m z^&|>?(K2gp-zv{0e-vY`EEV8gh4Fh!TQk|{3$Q&9<4$Jpo24t%CAm!MV{Gt51(N}k zXY+LR&1pEaxuI8P|3ojVqIi6_x-ZZuaiG2iIy30G)(2?*CbrxM&4vg;tWY>VZF9dG zh@x0*j!G|MyD;QIMPhop+yT){D~~;iy1X_$GkL3IMgfvFG1JTOGjY?5H%jEM_(DHa zIZlxEOzxeUxgJOEqdWRAu-Q4_hO9+pD84?O+EdiQF z#puX~Lzf4a4C~`$4gjbOMk(fpc9S?!^XMfnvw_(OSvR+dt8se|x)CJ~Vt)OJ3?in- zL(l%988_5fK0w>rhHKqu6Bpu~MB$;p%C^k5UKSlEQy|PEm4P8c+ZNMjo|RO48|MkE zc*&%YzhE_1HhNj;0JN#*x=$nag|)TH+3A82flRzcM5ammqAb!>Y#Uh8eiAMk7vAd# zfr)?2hatb;Bn`u?zb)K3rM&bdhO(n9p)0qEqqh{6Fd0RCA3Nw0%>RH*PqYx?!9Wj2KLW>A;Slo1`~)RYZ@$`n&Aqoe&EMn%OU%4Py@O=cOUc%u<2P#5j$n$ zaBgI$?uciSaW+SM>ryyk^}MwM-1V-A43IlBI42$p$jW~T$RPhL*eX;nIz{{;wCGeu z>>6Px_Rr{lf>Q_*#v++MeKlGj1E96#ZFQ%nF%I!~J!zR0lWz<+F3)?n_7OUjsL;s> z;~K~qZ-<`6b)^Y~B7<;B+nVr-FZ?x=7dI5Q!TC%ku3OM%6K!{+th>&hTwZe^t}b(= zM+jycpzYC&^9NWK?U!#0YcQCR&T%Ef97jn`><4Eojj!{VUmzH(vLO1qQkg++Ltbb< z&2o#KieA&`tGD0uU1>ed^!0LPWVZqI3FuT2mJ}wZZ}K#mED|*NxCmVCX7{$l5ya4$ zN@n*mMdpoKkzX;}dWTVQY zP0C!X+EE&(FDdj!i>Uo%W^sab1(Vxa?x7E3SkAF*9dovq zrT7l9I_T|!K-gXm);sElgnT?8Vj%6C0ugLg9jlmaRjGbE_=SF2>j{dm(nj$goct^&l&G>T;EdMk<9TbDO@K zh)qGUr9)+?`)zkUo#JqL0)gm!8#YGU&0B`em8bO{Kb;9IgOcg5{8PO=&H%|1reM9If6`1#SCY<^%!#` z@C}h!&Yx_J)0+Pf8UbOilNx18-XR5L^-2ph(m(Ptn zK`;+n%V}>LNOC=7vM?YxeiyF!;nw3Nc$_-ehXXHWqM4jr=VuRC%jS6Ey$8x`ZJP{b zvvkdyo3dMn{c3jx!|=;nyWi(O*r`xnG4|*eN>i|1(8Dv1~mza^9lkd2#a+ zIrIPYuPJ&54(AK_C4z5G(e1x`_x}-b0bFx{`=To%Z3ik^pGa?x$haS&j05mfxgegm zVAEn)J~u7AIF}VgKA1nTJmMawch^%}d=rb__q-ikVR6KE)i1rYCH~zd>p8adYyU*O z@@V2m+Y+fwr{nzQb#vmmS!v%@Z#swm)dg+qB6Uer7T3J2(u)F;&}y{0Vn=wa^7YO) zOLf+C(wUA*?3Q#UjMs5p+-W7`Pusv2akFZUM#Tu@l!JI|Ardm4kAbpqbRDFwRFzqr z*wwQS`mNZYx%GF4hUIjEn#o>yInDdAqytTB4|T!1?SFDT9)mEeT3`)e+v-%2gCfLA zH#Kpb3}B4J1UiqFF{Pi~emA^6z;*P)HQ~2lGMr}kpRyEptOx`AVpXJzB~}(y2U=#T zr>5&KlqzTpm7}aCoP;l}rh7~O*k3`Hzzy7z1V|4HZ8O;JQU10v8r8Fd<#87DIQwQ# zfN(rU3@SC~v~C}=7Qsf)gm*~JNAJ#z?9ZsFo2{pKNXf88VX3Rkj&W&Hdl`BmPF^ct z@fiEAH{9iB0IsoTtc6Y%$?`Fv%rJXQrLjupHFCS`Hni>ZF)ZlAsj8<$s3l1DB4C_b z_4=sTS?cikqNX~#mDT402VZ0n@C}w*nj1g~GnsclPLt_JZn>uMWLvG+SxxI|Qzd=( z9NKM%ZEb3Fkg$b&4Y%KR2khndP>=+2F=|P6f3ehb{-JGnV2lP3Q6|I8bhk9_AtPmW zz*gTFdD3adDzaCW$ipzy5_*}*01=~y#kB38*1>>~>+{$)*Oc_YlwbvfDO>{bea`T* zQD;S7l5EUbt_r8Dw`^Nl1^VSZ-qdVyV zqzA5HaSjeNm)@Khn(L?jLI?WQ=_ugk5CriWwr17WJbiR(wkYS^#@lrl_Th;1`0HLe z3LIa@L{DQ6*<2}?##fZVl+e$0!H|+JZT-+~(rr6p1+0{;tsEjM%g1R)Mcu@T4k7D$ z_XD_3Z-9`1QjdIu*Wsq%NwMDSsJ0US^#enKjz$V{SKvkv9%pX zB14z&G6qn#!!rJ<&WAKF+tT_WdT)+Gc**LnC`b@D*v~PEgl=0vrnG}&#^THNL%Nu( z6**CIxO=+Nnn`7o@Yzx znGSY53SC#d8tCYqLnP$_-e)=v?_e+JL_?S=s5G|V7*!;CZ}c&l2OleY8cm^opx&6y zY|jTbQ*lPPuJX8G2*f2Li-T1hM>{r9$U@|@ zh#tkSj8@O&+ieWKA-A8K^cickori`XWR3ZwMWKn;|EY|u!J&K*hjRYEPt1rMo|_Z- zFUm0)vHI#0FLKvfBhul*Pv_Q2Qvk}0P*M{xS?mig-1M!O&ILbDpccvELN$wIFFq}G ztY($w@Hmo(zIAiOI60TiZu#EGi`$>4+Ek81H^TSg(#uy%JaLyX5$W@`u_tb{_st65 z_{nJEK0bAE{I7 zz!7rWW4~F#a5*Y3GcnB-RhH9-i*6Oj0PYI9(3-T{`FtDSs5bEe?SegR2-G!!V|u z0Ns!qG~8S=#Nf9r3EzBMz`nyKn8TO`Y10->cY9feV=2RvmBu-S2r;7YDvn>^z%BkL zy;Vpn_m6MDhH{qo-TasH?2~rFS$h)CnJP5VLS+j4lQx(bwxvkG#Ds4mP+u)_g1H*Q zww~ZEOR$d@d-%GSrifXyNq<>2QCaE>H4is&_Q5iV3HSuE)HoGz>|_(fd#~Zj#Ct%d zOu02cR}R?FIWt}H@UYn5^<0p38}RDE^kLl+v$S8_p}U=qTzqRxTW0$=bO|zyewF1{ zrC}!7>%_~D(1av-vzy*m&9uw&oKhS@vjoxWzguhsM~tCc9}H1c5)-bHhr;H8?U0x% zN<~JuS$PRuxrz1dWk4dK;B1AxgxMZq3tma9f{>WgsI5$HMJcc~@Is*bE0K|#0?unt zPm(aoJFhiz`%(Pz?TH>0cSz`9I|58w^9yQ^3qamf)=1?manMG2(OlTjf1xUm8mzvB zLQ-#Z63+%2T1jB|l(>YD_0U;|&An01S<{Eb$IpQ!sjkujl!tdgCxpf0mW~NYYpFxr zfscsZ58EKQEu1*vjd3`#Y2FE0>lp{$81rainvni^v26!E-~f}H%-T464*~6lBjnd# zd_#E6@z770Zi_YU14$%LkgY2kbKwO79BIgd>eeCw^HV0zXxhr#uROu;baoYZ?Dg~> z^=!j-MJcWxtXE{(0r|{iPunHQ>D@~Z4++^u_D0n?#bjl(pK{^^9tL|F3e)S}92N%q zk6u_(d4%6y@t-{c)|xUwK%pphrWy2Uz6b~I3MIMn8cDIUe4N<()tNh|4cp+Sapnqt zH)ko>n2~e${^T)sXU;kN6P(C%fBElIbsKlpyl=NcluleYFY{|E9P4E+!vFbK-<+oh zKltx&sM24xO?@!StLHqE!Cl>mk(&Er_G4ALIU@ajL|q(oAspqj4^<`XK)oO-d~eZ7 z=bjnlrj`)gxrM7K$-0Gs`)Dkg0NbtMOWyol>7>}ViXFResP@IS>s!wWo&#y;NnS+r zzNH^!+|O9~QS(4X0@b@%?1FUF*PMA-yUlV1x^n#e#(7RGaefjvG|$RxLql%oVE|BL zKN{&3*g{?oaTMpe+N6H9ah{mh50?E5Zf4IgIm^zgSE<*B*XxoYy6t{Ri#oh99eNxid7^^WbF9qE9IyDX`!Kx5PPQ(9 zREQ`=Tj>-5o(L|f%r>is+>Sn)s#3cQB_*N9{Ix>GEwS&od~0ZS?Z?0Y;HA)QS32cl zZN2O#!*{R|7y9OA$XX5FLjBC(ju{c$yyda@VP3{x*#ED>-{$@*g?fZDnEicxJkW2~ z=LQZO2V`7|3z?Jt@Hc5>$_vb1c0rdD(2TS1@{>FQ4{cz0f7O!;cc5&`umHQC?thzF z#W-_&s;5fW_Xd49iOw)9H~TtKN|?$}S}YHb3^gW98U58aQ>}q#iT9;TRo8m_|JxmG z$Q=U)JirGIr!=mI@q@CfBej#Jz9=QrV-OBI2m7J`n+!xxQwDtr3{BW`+74Ooz#RlR=e^fscWOzmqnOe{r-Qp?akG8luDza?CT^ApSrO8-Rb0@=)vYbWeX48) zJ*D4{t)w3nJ*YFv3>?tUw3i>ZE+ z{v?&mCx*}RT@wI|T6~2M+smd!#r?-_*I(0Qh0#Xrk&xMNupr~n^m$KCty`%{StdMQ z_mSR0dZYPPiImFPP@;Pz1h1VBYpu9|Oi?n}l*LR>RUYkAJgp-Y{amvJ)|Jd`V(Xo{ zXAd*=-sqOjG-fZX(-Y=_9U|u_IvrSDdeC7250v{P zVcvS&@S*g6RaqeX=zE0QLpsl-IBSUaZxUM%OY;3u%_VS0LNjgN$e5(&uItPM+Ylne zPNuDg5M>bdas@G@{9wgGe1bEADD2XOrq0<4h95|DYnckGsLdbk?DX7F)_!K$YTk$! zy^YEt-n%o~!G_4X$6!CZL16ouYER%8uE68{`oB-m)U4)=lBj2)IU`1L39K~E>PqHLTLVi%g8`VU`b;K|{PXXT6j$FK+j#dnia zUi|rUr9RCD?rKCyiZS-CdwtiU_XW?fS&|=lLiaXTP%cOs<{!nbCUu4IH%GwVY(<|D zP#R5h_Nll$;$);7biBD(|K-J%UeRRqab>tcU5&jwkZkd0aaa?CE6IWA=H-D81Q^-$ z>7+YB=T}GA8FA6bEeo(>70ddqPgP1KC<2uPldur{UhNczA?*)6A{1-^{dCCbI zt3#=;P~T4P@Y$q|KiQbWp2Fk(-e}lzW;NFf>>^xsgH9fxU&ZRv}@;$1(DRLq}$Ucr!N$Or=D<3uetaqbk>MqgW*Cdp+#2xJS zRPAHCjliR&ch`_xgFrR+i1(wwjG}(w85j#DCP5PylK|Ww8-DNT{Vv0Bllw$izSYNM z+s}4}ANF$lVG!TTH=Oq&2j!*GiK!rQ^PcJR(@P5;ljvMWz0tpt66Lcn(3-d1ekI&3tPY9;59WD!_9v!xRx-NH| zq?36(OIK$R`x1*IN>J7!XzP@{s%ic7n3!Gd5v~y=Ix-dcO%Vas=fJvY0@A@R`)<86 zgNb-lultJgeaLiM(8jusx%*I!%2Qo0t3`-I#nBmY+a5D*QS^~1GtQv%%-8`)%4DX^ zjlP$A5(Ok;H*%}H10lOKQLo*0gqI8BeCuUfv7roOd-Y8xE*xyCVy#ShQHlwHr;c&t zGnt3+CKcD{_VC!@r4;ByXrkD8`gd>g=<^qF%i7S*Qxi@(*xoh7+UEAxfsJt z?}o4w4p8_kOj>sgNtlj)zEi%{m1kYfWEkyfkS%=CS2z5H*}G&gL*KGp<}5ojaZ-TG z7LCMrhTm^krFhY z=gsNdn7mY3G8n52ot)=F)Gr#DW}&%>qYJfZi`8?Q6Iyx~)sgzmOuaY{b=Rm|sYH>h@!}Hac15hVwWJhtq7}1jkkLhD>ji(|{c!lgjLF_a0z70V&k8 z>)KIhS{|zrVu}5@D6X4HAL*ZyAgep?yav9#?LjZXc%~kk@By=TSUYbQ1RGDYq4~&L z+Fz?J+TIwR4_u{?g}NIh60Bj~PKBsSrx|}sfypUSI6$ujK7Bc&_VC0N>urIn>uT_s^X!eMpA z!M+QnQX!V|-L-%0CfJ*9yrRpBR)8-`mk*lpN^}<$|NLgfplV`TzkMG=p)$7F1*=Gi zPJ^nN5x|i#TfO~lW1YI$7o7fw_P>d-hQ)l#J!-wqwu}2A z2QWa{!*3vjB_V?x2=jDK>om5PLfmYNhi<(i3AUEf9&JV0jDUxirH*wD>gcr4A>y{M zfX;pi{T@uaFN$Wa*M0X!fUD{pj8#~Ck<3xtcTLCLTFZ;~8K?+MW`YEEh2{;;iv1;x zP2oyhz+{I#WC|59G)2OE&19kG%F{$0pHP+X}ih@Yve3J-3l6LL0Qy7lYvDeYm$ z1Nms%>`ReHJz%mr4z-_Q??{tW)^Sf|X`99Kis=PWuJ*86*Mg#Hd3wuCtDF?$w={M$ zyjuJDtFG&K?b>)Nm_2OlNViR7DFKcF7MZ^C8brEt+4DKXDnj@4p>gg7StGhZT*0X!kPbP5SN}T@2?+me}t3o6cOp}twQ2#!> zR@jOcixROvN;BDBBc_`kgbgDvJ#<))mu;P}9AWZ?8^kS!v9^4QuG=5%gk5#NcRoPl z2)H=}cu4K0KZ_38&x$P2#ZytQLMOmV!-DP^7jnO|4ofX|6T>*WI~Op#=a|+je0tcD z=xkJprm$@ybk|#NIEyZn5(IwCCPLgIEpnW(no%D$EswkB%&vP2be*;`nS@t_>8J<3 z$+6bTVsQ1%c=emAS4(`sUM^xV6Bua_S;}04XsD>z7p3bXvkvo$hkt_e1Rdhk*Bn09 z@WD20zxDsJS5vT8b6>nlN2I*~D;^~5@rb%g)`+)YnJQv>7ji411xb|{!Uvz_Uq$iL z$B3;A#D14xQNygy<4f~uay0`LIt_SxBv;fGz}rjbik`wjz2&pH*Uv0_aaBmY$JhFP zJ&)U2cy_7s{>#e;wz=|qIWje(E1r*WUf->LK``;=DNkC{JSXZKvg52@c3VeCy@-Y( zW9NamTK?GcNxn>V6zlsq!AGsR1G83h=DXTme%%(YQ0I-zXL3?jBB$iL(i`PAZffLM z1+RP*$v2e4FpmH;Y|#M-jW07hnEmjrVa(xGopl*tmy$g<1JR=d!Ieb5ipyvFXS{`= z8i*|5z=E)rIa40TC&-jBPjByhralleW;u{hJ0%P1w}hnWaBu;Znq+u8M>iHWg5{ZQ zuwTFV4pr0?HSX!@x-^8{g{$W-H^t|K86Z&jrfs{rnbiTR&eA=mTR=UjIAIsQoOI78 zgd1I_NAUaYPQp`OfA8flnD0#2u=!ngJ8|NRrPLs{j%`luzV7;#ZDyh$<5X$v7(5cE z>rpX_Vih)9IM91TQ7sa78p*rdyeS0lnA*=sqvH$%HQ6t%doA2oSz73z#u|#v#JZL( zHi#xE^v{?ExXqzW_DLG(tXYd%Lxl|+tlNk>qt}9lBPqMC`aYdZv`S&IE>8{M`a+ss z$P_6W@jP}y*YjJp1Oxu4W59K0vQzKnk-ri*YHy{;gtWc9IbNgUc&SlBcFnRiw_dlk z#dsaggj)q3Axz__qK~ARN@NRphc))IJevhz%dtD@K!Lq~*U}MhZf)YZ*ZCGuvZ@fzoD`F_t6*@4C*+4x zF*tI%wQ;cijxzL|5k#<(pnakD~KTMhz>Qk~??;8ZJcQ zhtTSL5YeXX|0SX;76cO!&hViyth%S}Ew`87))?XOoJgdy6t%akmZS*;_Uo>-B?|q~ z5*X(KFv-iR7Z3TPAAi3F$Lfh8xQp1dckLR&7f^v*i|qSmA3vz9z8e_Mrwy;PG$Z*T z4rA>+yYiB-A$CJqh9K<8zis{`0b3(;cT zMz8%nHmWhD+c;6tK;@$Xj7Y+_tpp)L3t27dr|`j=t~!-iJaPvi90Y46k|N^08Pk@1 zJlLEjr2S~HWYxIVGZ^g2WCn%1C?1gU@zEw)KO9itb{96DWHO5zr3Ax%J7uCncbAXe zs6OTw*EU7u!rW|6o9gsy4=1qBW=z7vYQkLi`F#cIs+u*x%3CO`cg9%^L9u>!4XY;$ zrU==3z5dX}f&UWe3N@|esQSgT6D|t3ms^!x)n8J#Q7~g?Bg$BNCFIc>amaoMqqee^>!RMbGx! zcfK)HKlALd?|);c{+C|;FaFnJ?s`PMf&XHidg$0@-5(KkmbbBVygGjeS2b&p-ZTIA z%bwaUK^TUOe9K|{Xj?aD^Vy}B-S{VAvQNXCqv3BV=HEv$W;~4{P6!%E<}7C5()Z(S@&lLUTRh$_+PUX(Jf;>3 zifUi)0+@rmSXCmsq#WE9PHl{Uus1Jg#OPQN8|?G`I2T*KD+61I%%(ZKrA)@?%DS-^ zT(7dMO*TsY$+S9hx?$;Wy$d^CFRS3JV{$4;9+eh$s<=lbxy1Vi<(Dkl&vmKZ#jrB7 z{Uqs)+>MbKI;`wH12B-lWMZe~Lxsi!aaTJ~ z0^^1L!`TSq$em#s4=@lN6ANRUj65PN@qr>}qB8RyRg@f7#y5P%WPl-Is16L(n?wZ5 zir_QZb?<+`?8YVE%~sH}G>jmffEQ5R%C^4737NRLX-*h-`B__Sk#2<;3cQLj=*IhU zw2D#P!}2YKR3Pk+4B_}4v}_F;+HJcWhlrAE@>poerM!@*08_1bsH*_i<>JOj*Jcb% z;V$baoF(^W#lqfOCAe)6Uzx@GQnC+MFr!n(wan`q&PBmX-cGH@LIwy8N!VXZT@?&*-%``W6}^MM1VB~@RXt9>Y;uXlX{2qq@(Ler|zOV2J`uBmyB zU)ziK37VTmD)Pon{^%JAjfoLsyn+ETdHBy?fWHhc}~8 z3DpPk|M6Q|e#=l7N`(?fXMWOXob$r;H7LJ~rV86$D5~m+Ce>_l>b4i+ z;i%+6cCH3mqmLrNaB{V;!x2awg zt!5rlnZ@;A+#UR5e1YMdQHR!yVOz3B=Sw;zpvSsH;owvwcdWgUTM};lWzE{0bA_$v zMmvX?eY^b10=9|gSp9XO=59r;GpBcci)NU~A8asG8T%;Vk>j`c;Xu=Z4BzE?QMjdS z$Be{K^^s(IH#)o$T2T3o~Y>YDt!_i|KGZ4)P)R9*-CL$>~CSqJ^P)|Qqj$gQ~O zuYD1q17%o0UHfjT!&AP^p)+d9JW-DPQRjxE-WUngMC0v71(Vg{G3b9=;@D5~GMb_h zL3>hZL?HG-SNTSxJlPv)qlNlO_ZtC^c8BGMjWJFGEmUn%MWY0X;whJ>2XcGoyEf-C z`9oTf@rL0Xu0L&O6)txRA-_oSTs`*RJOuVQ`+A=?S2)(VU~CsRt!Auu)ICc}>w462 zqbWwhyG~_0-52RpcALG+2WNhal9=u2PR$+p1$k*58|yWgRTh@KYoqDH5pPU!SX z4V7ch9ZZ`rz6MlYS7C<^Ak946as0Z5C3Z#Yxfa4e=JE>$COtS_qBlFn{0oV2*i4+- zZ#a83q@{Cw%_}Xy_4SLupKW7_=M2DpGuofs1JjVWVP0EkljUE`yy0{VVs{elaUGB$ zJIZ9YNK<`J21bd~w@JQhKw-b2n2;t>dkY$twuD+LesV-GMDNvrN#+xM?ZJdsCwc{5 zq6fZ-N11(XetRzP;NPNOn)5BrGh4Z<;n>Mw9Xpzc^ylH&X^A+`scV2|CnG}1gcfY7 z3@zL=xFAU~zUZXqW5kb+t$w|JHPdPQ90qq^`qL9>!d<{oJw{)?aR}KX*NKfY2p?r~ zIg#t1p%RvAuAY86PQ!Z@YlX#hq9|*z;LNH~&3`S|+&-qwP^%YrKDBCePvNemy=^W1 zODsawl7O^>bGh%Tp5(2;?6*=`-QT%E zlFbQxm)gBVAqyxsPS{U-KJlv;0z55RPvv}8p&q;Rvb`GQ`lnp3H$QrU{{0CFnKl-9 z+QOuPQL-PwI64Q@d4^(0SDD=&jI9+ot6u~mI(G|L-fW^$+2+jg>#+<4Lhc!9su;S4;P8F+AU?=f$*Yy+Jm zB9j{oXFI^{H6I7axM($k0IYo{G0+T?NFE6m>D?Sq=o zq*C!yGMgool=MCs)PT3ws!vfG!MQ)$Om0gMHqG~q`nwbRn_^_6mOqRp3^hjE6x5^5 zh1z5XOTx8k_Kx^xt0!Qi&qFZ);~z+yt)5W7$iU_roeEI@EGo2dL8rd%?@6`aYeU*Q zI@L$Lz~mOS=a|d>Q_zg^2q88cxul_YKM?Ci#l=&^3=z7EPG5k{?W+0An$ABa^e+$; zqwOi{)vZL}Y1Gm*M#*@VN^+)m{ypIsvv+K;dI_kQEjj~gkgw&119Y>X>Y6&ZDM|p{}EU_h`!xNxpb^ zaHp%}GbUI5ovFnBz_<7Xc8$Mv=!k$tSY~>#^uL0?u>b!V{x-_@?1} zhwe3sUvP788*|9NkSECf`;V?YnnwVb zK_|DO(BptcaUx6Nw%)#>*5vaFhELQR9Rs`2sudmb05Ci<_@I86OsDF-Pgz}sP9`TT zzw9PUKet);(|dc!UB?8E{0sGf&1!p@jmFX)0*6tqy4(9CI^?5A^@nrmy$@Pt6YH9y z0|0%uth;r{T15WC++31aUn^BEaT)Msvz$#))_WODB3pt46d^=C~1Se~JNIwzsca8~W{Q`uiUccDl& zp2gk8&my!b3Vb0kA#2SG$$jO2N}+~ZE8Mz~lyJ@Wrd$TVV{INfCq6gu6t95EnesQq z90^=)`h)YIeQ-*8y8l zpNs<_rdd$W2uU)>meZD~)NDYzSx}!cN{i{79NR8c^K@6{WL3G*eW(|*gMXnhys0tD zXGr;9Q_I^AnPD5+y5ot{4}iX3JEYwqZs?t_A7*j_wT_C30|}P*gG_oSNWW4mfuXx^ zwEqY~LVr{fedlVgHmcVG2$%(nXrA73`aa12pmo=EIDL4fb~nZJ$VP8}Al0a2d^P6x zpL5pCY3kJ^lvJKULX@wbYJVexVlQ?xjnP7@MgAL#h~LF4su1N8-M%YL(Uy%eI>*eU zyA0YZeQ;x+UPEPZoH^({aFRK~`rEPM=FK&?p2632qie6TzG*?o<@E)}QCEF0_I#*u zE-`cAOroToIDHpCS%y7B4p%Y!-c&)4e3g?LvR96K`~WzI?`k_j0yUR9ZaMXGRhgje z@Bd*^{mou7d|`^&>`^L4Y@eE;3d9Jen7r%qRfEo9Qx9x&ptL%|4T|+F+ZaULad(UP z^jia$7`Lf($Gw2Z^wL$`CRJ2G&@fi$Y(1MLDsDZ~JgL7hX5S+S9TutP7|`%EY5H-l zBuBnuw7I`tAswW1!iK*2WB{!#F73chq*DD)xjdUpMDAD<2JN!#g?wt5IMp+lIwK0U zom0PxAZFM1%@YqTJv{;samvh&M79Qsf1~=w{hZeGVF+Qs-d?4A@}Y)CUAWJ>YoE>B zUu-4qdvaMkEfKzm#;@<(lD#oE2mr~ zV89OD{0z6|`GZJ==MC$Rp@-Pq8z#Qq2R1^yVDsOF-`EEp{HJp9IqF&>P}dU2d5*1T zS(nUNHt%^(Ee}N{_+1;x*%uK<#2v1UCdnz=>jW3NEhjl zE<&u)?xTsU1xXiHjn1#zv3&j?7W`b?3bMz7zlZKEl8LjvTqNs!wlsb9V$I@H#J5+C z#>PZckD!+2;};bBDf`8G@6$kapbJbLc*+PS9@p_YlM^>|n)NB%jp+!j zVT7@DR>Z~n7lfpI?*i)!bgr;$evz}X^S~F>&Smd^_O3ko74JeuoaR?Y=Ttm&KP=yI zKY+C(v-viYy?-h|5_&{2Q%hpc=G^lXpE5vd#KF1rTfOpcT9yqb83^fw9NE}twp}4f|fq*>rPAH zN!P;`D~hz&j?rM?H?*H)lZMB77-89}eG*iDd5SQF)-N%48cb^gfa697srug*-#UH5 z%Ix*{o(fyK{|{mB9@pf3_y1SrsJ3pct+j&o?%KL~;eLo(zkH`A(4^bAb>+^XZUa#kCinCDZf55o4a^R0@;-;{8sKcH_vtKi-M}Ik& zm^_p#Dnxuu0t%0+$EQVU^BA1RXaDfT_QhrO-4=O>lz zZ6o2ViEHmB-U+4gaQR1P2?Jl zsq5!=3$>G7!d(9Y6ZGL3CLUAXBIRdpTW-EAe>L?a!Nq=lv%MZtOUfd$@&6tlY)R9JtbPEIhqyOe`b^Z8gf&r*kxuOfCZ zAG<3J6Us9wUq9^%(K%MX_X#&bMn(Y?VmGfJ0JdEW7GmbCTPnTt&>=N>lxcT#7@k0Z=72-$)>>!f@>~QKH>{zW_-1ieT#( zmWjHvuJ&>&>+1}(J@a@G4qOx6X3|QXHt(HdAxx9-fgCr>k@YY;Ix(J8{dkXVkUsPk zq2FALp2aZq2rF&M zkgQ>CK7uS-SMo??t06LoZ)@00CHX-|?=$$;lyfExV#iM3FX;~UBWgdDQxM8FUTrSI zvdUhsBDUa4394Ol1bD)F@hQQKzW2PPQ9CUiu>Uq&U^AY%B3th#dL=w(B_8ecb+RW~ zX1@qG|0SO#Y!=Lc6L~hD&Zi^?9i(Y>s=2^kHbUnl;77{@DCKZ$l9Q^dJ?Ar!d4#eB zRiPknuIDAK>~$oyGL@|_-_@NAIyZ+o2a^WBRaOi&R=lnJO8VcEJF<$97K7lH}sf%Ywog`V(IG5 z;**5roUGkM<)oE_4!ZSphL@~V!~}?K`mL;kLClprg(dxF6HAJx5(Gd(w&e}0?kEI#q-@8-}O$lb9MUza(Xyit`KV8{1 zG&4X>SMMRHf#J6+*{vrOTSj_oIdi7B!^e@>GA5~QbDk;|uOei$5fMqRdoRRpmIi=wC9;d-7$KJ=LYuuI7evjZ<|#e1|cL?N_(atR@f`6)HMCo z%ju`Aj=fatzXmCja-||kH~SyvIR~2;5N@rj9T$}k z44qIR%x?#Z|Ik6+BH~xkSLIbGS1!7I*Pf+X0~&bYa>k84=R54(l0+UNC%QP^SQ3%j zv3(eSz3Z?a3_G>vefcD!7xm)DN2N$Lbr#W6zn`nC4GNjlvt@mUFxYU5KVCr{MzE}m z&LAiuy;SvMpj8HlLr25*1zY_QdoP`7JzB?YKvpwl%x6RcpwK@ZnH{KF!g( zQXMR5C@51QQD^JagF3`S@A%f2ph3g?DvG7j{}CcdE&HA#>Y-?qM9fmY3*cT@dt2}0 zM`R{iBjg7|k@CZePZ&dEs1eSn+_pq*1%*O}Xg_=QQB=gBseG9nBdG9|;|Lzjbx6@`O6cty&ql(j8;C z@ZL%)giA8{WKkK>~JVPGF30}33Uwe7Ul(_O3kwU#<73t_!R_fDjpQu{lCIX+cv zUe^Kh4LYy--%KoZ>*|hyu`A7p0(mfeWPN_avbm8p0pXx;&4<+g>6FN`3wrCQQj(-U zyjJ8nhEOcNx;5GGs}wwiT%qbZ%

    $ca``W*BxkJ_7-5jTyEZte7c&fCYrM-bOp#J95LBf?_IIlH}FdBSADtdKo) zzglgumo9owCR!UdPJrN8`5LHpPk53B$p<-(@UFS%9D{Tveb}|nQylI`o(HOCbtv66 z@B3c4uVCnYP1)8zug|pmpZhhk|uRvHGNGfi)MQRa~*4w-u&H+?H>RE&M#28|C=&C(ldk%@A zaQI@vy|KSIAa<~DCp2}}^?QYU|JXjvRoZSkCQDx|u6~?m7IGG>RuK3ny-M#ldk~yO z`Q-;~p6bWDaPGAtEP=&ZWiJzNG-zu+&%y-Fs%}Rcd}q41R%a|&-()T8nf3hnVuQ6O zDFb^GB+@-)7w-;t`FCVJd|DK=9{VJ8XdGLBub+D(3fh6NZN5Sgqi**Kmh+!Y{QnF; zKw9=1hqISD)OgQir$j(tRBh@b>3!a?(6oAH2PzC)nND=z**;{WE!9cZd1p#pO(sWU zNwyPDn#-VR^n8kRqPZ8UlM2-?kMRn1_)f4_Vl1kl^TUS~cS8p%NitBlJzTXrjQG5} z+VjV`mEpsl(2ck(veI6!fI@>fBh>G8b*fVZ@Qoe{<%sw~{V8P1uFB+l4C0FEx(H-@Fs<_pUsJP(S_HEZh}9IX4ZBp9(fUa~mtToUUH& zc`pxb=DIpDXTI6yWfEuzj`BfL^@8E5r}Pe4DZQmN3V|db-%8LlRLt8a#Cv4@i2cT; zek$L3LDX3H5i2BHANDle;sl^E&dnX$Hy7mBpKu^v$c<&#nb#%1>|wITgyT$+gb@$z zF@3HYxbW#qkeQqnwP}(Jtuv-o>{bO9Kv3Vnty+D_EUVG7{-;+J9acF{b`dcs&W+`Tg zQ@6=n{Zr| zZsS zJ|O;crz*mEx1qjMPV2V31+RH`drz-;vHv>IAKLHt-FS^iZ;cT^D&Tg`pLzcC@GenC z%`5g1FjsrdpS>yJ-jUXeH39gt3*qs=dhkm$8v0&Ir*lQI8{Mc+OF&wE0AlreVBF)x` z!#tR(N91paVAoniI*2N&Vo(`lCF-lYJkZUK!4{GK)p~_)a42D1YEJcNz|mN;t90%r zp@jqnPy0}<1d$pr!~4k2JK8Q!XVtaEX^^yZQ9gI4%#Oi zx7w1x+o))|(H4BsyVA}r8ETs(W7*-!d;dO@H%EyeIPVN+najoJbPLt5W>^ad!KJv% zFtRuY2265*!aDHp3OMk9Ne8K2EP}YM(VRy5hIoS!VyAgJ-V247O!5|C)Q{wxgaUcS z2{G8~s`8$pP#REeaJ%?oPY|HZwf8N9*O4D(sp8p3?(YQJ1Hb%JJemB zoL}+;5XP$MRrv+&3B`m^7|NOn(R;^5MALPV3ymxcKny`Z+Oox}nWZ{N zaA*K3ceRi7o@9iXPGUcOAg_h5A~k<4gH9$=V`pIhz_iiZw3i8n?oDZF)^upEx;VM{ z^?Z<_4U7@dIv?0)bdNz^1dJs#%i|(E*hDk`b{`T4yY!pGR^-L}--i_{=|aPm+fq%3 z0GJ%&CJYAMH40Dg2%RPD`M^EbTa#)puopGLvYFL*Z$QQ5T=?nm3xpt-&TK;XOjp;g zEPK!>)a<L>hL#sIajNk9n^Bx{SU8T{Q^}I6#$x0$Z(u5@xBQ zxQOKWNiotdAU85zr7|0@z0u{Gud8}#oHbYTmDD~Qy#s0MSyCIp&m$*I992I8Bq}bL z6+(!=Sew2*#tU)&Uc~u({GTo1H^9{IFJFD%iihZ<43;l*sU_@)NdEy`l5HzaMX(rc zHV)m79(Zj31IaW7<;fB9z-^>%Wq&tW0PzB8FI8=e5eWj>k&KNd@{V{9*Cna)cuz;# zXZDAJ?<`qrp8egP4cA_I;rA*))x53Ic7~AJ!HKxE{-e)+@%dv@&mJDlw70QhiPSS6 ztHt^(c7zq?r{GK6{UP%axF9dwhAqaRVl%sgwCkiD5C}Adj*6Sfq!`GqsGY(@e|gz7kEo&c1|7O zxAcnJ2N>-ywEjg<1BL~Y?eBjwGIvq@cSjNI;r$$#CkIFGk<57-Ewle{n(RJ%T*SHOTeSs`6R#wk?YI)& zdn63A%vM{AX>daka9 zLp0uC88zCbr$$)7v>1TlqcRJc>pb9R-^m9@KpdaO5>k7d7!6g;bHj{}WeKv(*8~jO z@%enHa~U6tnvA7|cwt!>lI{-bU$smqm2=JoqH-~mIdkN~8FOLtmxX0Y0gcIa)N!yz zf=s9ZYf_)m#e|V?Vf2}|{&Ykz4fqb;MWn649i27Ti-TSOb^RwqUv6GK^se_I%?Q6@ zeu@7qKi($P4aJ=15WZN?7M(wqmA^=59Y_8`nYX%L|4ZtE{!n59Cv-~s_ zlIM$8^{-|Wic`2$5|Gg08|dyfPU1$w@d-!faX!lkp1HhYp~ zUqhUEls4`x(I*i)zxM37y{vVGo4_OEZ(@#cL~n?6^b!5YkyN9&DF6u5)^U*oQ3oT` zKA+n$iTWhXT5$s> z-*2hK?m!upX6Hj_L|D>q^K$B{r`0e6jWLxpEb>Ufjcn^L!e8Pq>e5~tDzaC|-cWh) z1Fo3cMKBydU!(Jfn_L&h&?PFO+KdoDWKPVjk<#Yt6v^lsw<3@ZAbdkVJ%8@lId>n& zMQnqy6$RV@4}41kIE_yuW7v#W&ghnZ!zOt+fP$L|S3L2LK$(;Ot$xG7jCzd~!ykRwAjlZT@`z}%tw=Lsr`&6+#+IVTbJn8j4k0sle+mv76e_sqGht&v=mOPemwpln|ldVnzxQp9tL6(YuDvJJ;Pp73Mh z-vq+D>~&|XwCT^}c(OFUk17N`s$!(OR>T6z+L^qD&R(B8JlZmKz<4_35;>|@yc2` z(+Cuep_g!chmr7zfN0{KLVt-Xtnao|^wzY4{ghP|=dyL5y-V|z06zehl9^|_Ms*E+ z9AdC=ZYZw*K(mi2qebQ%%Q%MqDI_#Gkt%gD7-rt$#4IW$T#gXz5khc}$v+W+*uot2 zRR0JF`;+>UZjkAHT%?jQfmCp$2VHaZVe_;i(xD>+gb+mKW7Hmg4{>r>?;0rYG?=KY z%%w97#WFeBy2At4B5HX467Qt4Q`)7TmpAOsYpw@)zcx$&uWYM7AI`rg`H$iHS_WI< z(Mjo4eG1Qg8eo37(_REnn{ElEG9i$MS;m^nS)mN;*oCnfCiRabIj~|^yN|9eOJyLz-J4iPqE} zVv<_uv{WqTfJ+HZ(pfJdf@YEKBt#3w>xC4bxr*UC>^BbJqha?}QwiurCT4$=SOy{} z8N^Y7@;U3GW*6C>5VRj4vKqaW3GnDQ1DrI-FqSE8wT6&?i2}ZD z)^{6S;FSaWM32w~(i^OH%|$vVoNZpT`&JI14}Rw=on7jyq*6OPT7=hF&7C;v+wto@ z2x20$a9EfBZ&Koa`q^QhjL5*AruiUapS({V@e#DdUt1^F7Q;_dw#C2p^vpwN)}9UC z-WCa3_O?jP^Lrpusrp)`!Z_UX_9QQ@a!zcG=j}`_JZIIgml>t&FP}G##$OK9)#hiz zEjJ3sWZ-mc$G9R2NVvE6E0>A1H}4lNH2gr;jJ*sK!g##%gYbul@O}{VsH2PbLVpwN ztOLQsSo#c&bOQ4FF>JD3?c=Sh1tR9`#JV9vdP5Pk`Xj>#xfR!g#JA`|eID{9(ED1} z8~%M;=LW$jtKLYHW%!2hWfXpqT;F) zS_@vyg{f5I=w1w4qB*24bYQzG%G{$^V;H%2gU!5riv*w={$d5$wd(}B%b1SW8R$}1 z`rqC_`Cu_b?ehqdWOKPGij?6%*=7X zp#4U^>hh5G8uaz(F8hs`E%k->QsD92_A#z_9J%J4&TAFL#xH2~1+j;0ja;y^@RoUt zPnM&T)1gZ$7jJZXV0A~3@=oi)?BuxyHMR>$Wv2-Nu&DIRppf5YaFfW&8XLgGPh;mpA0;D+MT?Hb5A|g`&YPH^&f{yf_ z-I=(CKKLkouV8lSmiw-yc7O)S)CzJR=pflJYTOEEmy-VaDphcSc=sZ5I;dV-Ey+xi zfJ0=aH#cYO9d>JNsufC&PI0_XJNmg6UyX^EwU6GH4a9=%4Re1Y-Uu{*fq84qaRHN6 zrwamIz+B0lrvEg-1hB6X!;0zNYTAv?i1j*^)umOJmhlM9E)G*!*Tl&+omD9uo~M=N zPARRUPlyk{{YD`j!c0|E7xOGgWi~&e*sArz$!sGHTn3b+=bM7P0ohsCCPJ{7*%J0-+Z@@{>CfG1T%iSQ%A1BeVcDXdiZl^ROa4)^-Gcu?A-f6%6&1b z_wc*cRx!Z_Rlxj>@QVuzg>U0AzD9R zpBakwoK!|wFRpL?Y}=;h%&BdvxIcNkLs3Wqi=~sYR4V{lNCnvM3qk1R!r=&`=(Sp& z%g`Dp5C4L;O3v8d^7^CZyc(m)yUHTy0foHd5jto6NT+l>aGmP72Fpd~BfnBVm^6YI zq+X0>I;M(-#vdODddc37{&H##q2Uv0lD+dYdj%QeoFqiQ+jRLfK-9!A8dUvg2umrWwz1 zTqA}dpw)hXzfER2*F)=I49m(7(rlZzbVTM~X~1OtNP&2hW=k@$8ApD!%tJ9LqEtqQ zkR%_lUcr5|5$t?KAly}~WQVm^J{Y!H=RH$@?F#Nl!XVbpERmWYhwbm23Ncd$X6kE= zo%PjORPG!_=(x*GF4~eK;66CFeRx9QVD~z zn{4$}i>QR}nkQ)1Y>369Owqx9V7PIE^)2Au3OB0WaFA1f9m!`xfnoHv`P&vf45fq0 z)M)KL&0Pwe@fgEK;Zlt&64?udROYFL))>eV#M2l=i^^*Dc}UM6(s0LlW4Fp`rpIS= zcvA~ByAfwX=j4U6+kg|Y*js?H2C9{1MHTs|&CNsYdq-MV#a}dr86IqX)eKSDaqhuS z{U6UjUd)=b6?^Pbndc-f9xJ8@>}dwQMDWKM4sFnrm@NOAL)-j*|LH=@S%+=`Q3&Kp z6=4To%WTaBJ}#8b9cN#A(+DnQ6Q=VtzN^Ei!@DRUM!6PyiM?o;%C4l1;k};RyYI7W z{;0a2&U{2Cq5NabM_hxN4kwS8x&=7|?rIvHUWALr-cGMivASn5K;~o6F;8WWb!_^R zFw4J6MD*5h7wJiC*V^fqQEuu+Iprt>&6}=yEH%>}y*IX;oRhpcIrLdF(>b(v*uz-~ zWN&X3g;dc?Ai)N%m|{+GI1^&3f;L4j(32P5YTI`2CQo*5GG645=7@k64rLYd+u6&4 zUAD7#*3+zF9QV`s+ua?D^Fz@+rqOxY3P3@lYb&aIe@WL*wCAiI>sdJvsW}tj>^WO~ z-6#^uQ~Ffh)_${Y-AI3stTK$sCkxvB82ny^hEyjjY2yL zr-KVNe@+)YFR9wHGzvF#e5)46{3Eg$r|+qkmp%41dEj7BkNYdRo8mo$A~P9kn_Ev)jNOu4MjC`?dkB#OOxlvYFp!C@5Ej5t$jCsv2pFY zkBE&K&p)Kuwyd2QQasnNNxt*BM8WD;RXg9q{CeB6@$C`ZuEOV1H`7)PYndkZ$q)}z z;(eiXn(7#rg?Qi{?1`V$YTvbb>d&~-=+xVBm%}g9qv(qJA%~l^ly#{h+DGORPrFB7 zNm>AlZ!^7b$9lut_8L){xVY~Q*b8m!h{^>r0vn5_4U)GGpN_S1nKUo>;8r}tM`oruyFS5&Km`Ei7FaNbD(WE9~+9vmv-Byhr7@7TL1}5`Ul)OsCgY#Zjs9%j<)9b zqua}XcDqdPagXCKMM>lcjwVWLOqRTd%!~z9g#N>Y_{}hS>_%z-A=>?k>IiH3UeWCWKTt ztB5bDLN#eHy06TwGZ)Hxq$suz_?u0)Bcoi*k+X#ZG*DJL)&{}Orml`&CM#a=Pph+2ut%<~MXv`gF)erbmy`bdf0 zro;P0j*DQ^M$CK;!f~D30G6e)W(>+`i!blS>);ch074lxsIAFj;tE|Q;NBt=H@2~( zXsi9Gex2ms(sF2a&_FI`R}5q?m_#{8?1A`6*uHuejT6Zv>Nv;m_ z1V|l-&GtYr+<1LI%RUK)iND0B4DE5vOS(?M@O1DQSMz|MFKjMAIu5fSZE4!9c>!M? zE+gLEK4?4uo+qb+;bKoTHzcE+MB0TvR_ms^!mJ}rSnv(%pB7=>AgFm%{X=?!=-W!5 z)(p(e9`!Sy-6EZkOtUohnt);MXeLJo9YiRb-RL;T>9_aRI8QEdEA{$v9+zF!*rlt( zv_8&2_4d{z^07S0xYAoC;Fe!6)PNAND2{7ONU+pcA$f}G(arWu-F0+Nx^XgRa;#XB zF6dJRWHpN%mrz$%Wg$?aw|rt+I@SZFn5?w$$7jNlg#rGUc~2MP*{hH@$Pi?3nm0DD zxjOQ38k6GD=+yMui!NBw`5i_~Kj!&t;vK#06O%!5Hi+B>r^O13_-0Ml0g{jvaMZ%3 zvIhWQ$&YBgIIbsfrKcv5qzl5?vLzO#P~D`ULfA3X#I>PkLZ000Q7o3Q{}}ZZU@{GW zq{wqYzK)*FyH_1Qy+z|~B(dMF>C$xUL^y`~=K?ALW9mhWtVjwuhMaFXPKIv^=E{E* z%%}f{g8Ar*A4II$8?|=Dk5@eXVAQIJgnt&ynK-g5abyd=TQK)N{(1b0mzQl|&hQn> z|K$t^kQHb6W}M-ny-1c}MQ0?3xe?7-ILe(7^8D31?SBJb#JTp_vDJT@ene(21B|orJwB)sLW`uSuv0IlHjogke_8xhOAK13c^Et8_PfA`?2v;_( z@7U1V8rN~*0-c$?hTo&V%Vw{{ZgI6|2XBAKhgnCoTfEzKM;7v-@_B!K&rgnMtGF)Y z7!=UEub)1-@p8K@D?aY8=wHSC&3cD*&@txh5ay4p^i2|i zhE10`Kc7xo@M1WvT-9DY(qv$qnd)A&+JM^RBuUdsZ?NNG@^*i;0l6-aGSr>pmtc@J22D6 zsG(bMSJqP#rquqr+oOd;(a?t|qw=i0Ud+|6aqm?0ijBRTLMYX5M=;@Zy9cqF z?XZ&$i-0ihR7UdbOk6p&*TVJpM|Dcffa+&~k~AXHlzzG3ZyRI*HrnI%kn&3zd7Zl; z1oFnBBWGO^zfdfzKN}=0lIC1-wgE@=U3)$_H;>+Xc--IcJv%p7oYKQ|1aFArG&n#P zcnHhFhjy670{Kos5+Yk=!y43f;X#@8rvfSoye>^0XP$vSdn8ppb--pjyEQDMSY6qn zm-Fv=qg@y2-Gp#_zPmcKbo=PGqW2G_^r;2jXgd=k$1q8Ao8R~v+Ba{dN}$IO ziRs2XqjO!ugL#Xio2FghQYLZCbJvEYR(B84c#VK&KMQmbqS94gHOB3u*|VNsHHjNk z#$&!1tDs3XzJ@s|qBHU%nwMOV{}6ZJu2Frh%?eB#H$Xoo~f^Wr%`g48aRLzK_HpF+UqJu?0 zqKo4vo$8@OTNbS6L}}nST`HLxG(wy}hnF^YiWP(hlDoK>+KEneui6m)&=dis+<}2j zm+5pKVX0d5Y-^3-+jDP6?i^C$iH@R*FWjDO{W6hv;WQyR<6tW2NC#IL0ny=o|BnA* z|NjpDvs{P(quW$EXrtJGXCqC(4PjsQE=Wj7(@xG45&&r9BDgMR@ljF=t%f9DW4_>Qwhznk^$x z+VMM4cJdf?6O9h`E3)`DMw9*+6^7AA#PLLXdi^=R;u_b8+2(01*bi>zP8<|^FbxGosi!@g`J;CJXN-|p;Eh;4V5sIndoY|Zb5ipR#iO1mw)ruIz)B{GsH-|6LI6{v zr&vS?%k?R)5W-HZATEhF4amUmaQ07L+*98$=QA=#G}nL?%6MDiB9>$*qYjMyJ^vIy z(0tiPF%Rq1!EkPUwXrDKVh;jVCG6&{>=pNdB*HUlzqNftF8#_M-uV#DECv?`sU3*Rl@BJ`H z%n~B3^;8SJ)uUvk<*Wys{^96xzL92YC56ep0!yuj@*@`k)cW3we#&dT$|yZTr2+ppk-Gy|5W7!|ERlo56fTcM@|?pk5Acq74fJ#eMvjUC|jkg<+_ z5i(mSw+8c|S03 zId{@N4M25(LYp*UNSU+In5ETKh5L#!D9$2wDaOvG)y>Rwza7s>4w2FmFf?Aj%?zEv z{>;p`wG^~11-e@CQ_s<-Ov)JZ2W>rjM9w5W#E)Y9!dW!#D4P=EUpBbG&-MGe#hL|m z=@#_6d!(34n1Vwbt*(gft|n$v5Ujr{nR&d;hU3+?H&v-#^4X+>g;jBD+x`WWycctQS0VzH;ES&o5*NKxp9`Jux z9F(ZS#L@OcXXW%((%H7Ecsq?VyiaEu{b+$b65SubPd(4f0tFqzhZcG_lhRSie~M16 ztd8R>nxeHjSJ;$OE;L0?dwPd1?a!ygQ*-Vj{9(2weLATeE4(hOMH5H^%^sxphosh8 zv%3HMKhzk9Q5G}4bh@^+3pA4WZUXdAftSyQ)9`O+qx%g4ka8|^; zDxx{*@RANsFoWo?EkFnH)_&Tt_RNN*aq$M>`gJu{)s6@aHhM|l|9HdmGh^Fg8E;l> z|MTg^;hnXz>?kTJ5tb}p_Ui42ErKJNs)R^me)e)2S&FfT(?fjnAd(h4MwSPknjnHX zH{#D9ll+)fAgQ5}%-)F2a9qb<9$L|_!|6uH1DLwPJ({j#E2CK+cieu}at{3jvk*+R ziv>;9yMoV>(LBsP3N|%~9YM^_!ng)vb=$;xK^rD_iG7EEF{EhU+3*Ag0uhVgR~%4K zyF_Wd)u^ho9H9f6UH#~%7eqZuVEs&>k$Q_=v*H+Q#l7p!(h7RFctfz>i_KOER)6$m z|Ei!UUljogROZ|qNWJ6>SAJBiNEoEwgf1*?>p2AU_wIt)T)nXP8A9}8-6oP0^d#*sUp99EYm`pS@H`^l$6Q-i#far9v4M5}+A zVP$59chHAv;~%^&-Dll8OKYSR4(f(4Ls?fBS)s}Q_{+K**)LT#T%8%T)E3c>29HNX z$a}*cumQms%p*3>V80h-qDhSQ@ePT1GAdhcgCauYaNO#lf(8X(Wc=w~Bbd6#3 z^Ans31h0m&Z_i*Lg@L1pnW9F?0+S(ju5^fRc6Zu;b~|j-|5a_1HzY68b=wohXP9Aq zBaJeY)$1?GqhI#rT55xV5{M2}(F*6h3Lw=&&EqPF9(1F2dGj+;BeqhhoI;v?tGd+~ z*3rA%;}9fO62i^&>~BNpb7ZvVZL9$uP|oD?u!&|HcO}xo`YMHbfzJNRTIr1rfkFAe zOh-@LbIMggqUU*FO!|uf(^iqdzfC5y8dGbaSPi&y`lC5EOO*8LaZ&nmHH6 zi2WEaHv}K!i_RYm4}ZK>O2FhLjyFqfXJsB8b|V=g{?jxo-(T#(n40cwNdseA3ET%O zrzSp#s@iDbLMQ#DF(tLkbja#X!U%BjT4DbV@JVkA=%wbUV!uOPr*&&7C!32YE~8S* zHN_AvdglkJwxL(ruiPla&DvXScWdwvThj#;h|h_9Z0^3SiO(cvk8%+-(Opx_F)uA_ zGo?hisNZfoTZ$($t75RKiH5kkE2x`ojT*5EULu58UsTK`gndB1=4^qsY57`@w>e^kVah9~K@BI8Tp zCqw*`D2Fo-jO|!%#kP)NQ9rgZzGPledQ-$=N3brgZ`yDnzUi65sh~Hs640pXi zrT>938zDE)ItFwFbm|p_Me?%%^$Wf-5(<-j4Vx|#*Jp%xlrj7njMbBKJ|JuM-&QW8 z6>$w5d5C-t5d+h8ReeE-5of4Od&AQt-*}-~4Wm>j^Alxs*au-L6<9~_-f&^mHfHr4 z8!hD$UZk$>81knruFWXuc(qLCRQ`wluQ3#|WpBN2%6JHW1vqmazU%AbRtgCcE*v2cu}ZIZ>?(hFx) zg!~JrElg7bhdF?oj6F8HLA_lW%aPLsq3TVcN)1M(cJFH5_=k$s{U{=HZn&hj>Xamq z8*>In@-nb+ql&f;{O6eXUZ3u+YK51@NJewJn+uzsmIh5w;Pxt3YWineksyWq$6MYq zW?Mmeg&3UEMtdn>Q9@kWf@cF$M%eplOr)2YAdM0ECdB${sFNV^GB`{LM*EcwEf0W*2Gi=TqzcYHHs=v z-Hy%O7wbaJS!3b@uEFu9d=g^QuHfrPf63IG03=nu^Jgze-ji8s%}@`Jv0M2BA(Dlr zHf1&rN7GbUF$5{-BZ3S4g3sX7X& zu8t=R;&@9BlrnD5yf-$F&Tqo#efBq&3MLr<`wg<`T9NCnt#${d!XV?QkpPxMzLiO5 zgoRyA9WMBvr>_+vjjFC{!OF@TqfP$UN*<>1@@)ELOi+3Ki6VKUt+`9cb-wc-{awq5sYqIBahd*xg49YK6&V*kUL1)ZxV@1v`d(rw3Ydn+= z8FJM$L4+iw~@%*M)g;p6|Zmw+o{$lvXo2R+utxEiaQ1p-S06Si=rzi8L z{j1c>D>24|8uivB0}whAO{;MxPw59|PAyDje25v+AT}?`=sAcMS){)vzJrnf39#tT zzG+XVc!a;rzWCC4qEav9%p9pdWAzM0%Zdf}w`Te{|%Z|d#$zTreM(hc#y*4s};#oveAn8#N{u83iz8{dC0>gN&L z{yB;M3Wt9;zO3rIWw(FDBK0DE>@T zvz*b`Ma*m0Bs*28xXr8W(zU0Jzk~B7GwumG5J&7^D-x-I^r`ng8Or+w?{-w=^ZU6S z39CHd7$CJk#){b&Qqy01U;fPA6ZfYdo$hqqD9kUXSkm>ES|^>GE_wC0uvlb84LwK& zMzKHBen6&cp9lbt?2W6x*ZEn}XMa5S=hP!D)D2}6q;kCTAY$9cXUZ*S89`cbKb>6VL*&SQPgg;GQ}eg25V;>$E_#|hO%J3BSjTh;Y>ng29tZ1C)}LF>t`=>fh!HiqZ=}VlU(87({}=#O;^k| zPN*W;f<-c>!#ULaQ-Iz3!1T;&)c=AoRX0>J2_*|vaVN^UYrclfTYWIJJymJhCKFFV z97;ytMqP#I^Mx?sZbY9R8Rk%E4hEr?<^=)3x%<2^?hK4H#PM!D7F#5&8gu=D z+4j=U)Fng!Iy*mP*zG$o4a$}1RnJLkm>j+yx2|ONDNpAvS%2{f#R@AEyw-W6a2}k` z-M9r5(GW1JqDse+)TvT;FsP!MS38WjLY3h?bXU`jOc8`uFrhp|?|al`d$Q!hn+~%1 zhbJ7PP18vUQ-6g=Ep=A^hdWIF;SO2uYW=Z29vU%LqoPlioQhb?wr`Qr`BR&q6z=(n zO@u(LX>1Ztlk_&rpnm zzAA6Hxo$|!W+TlOinmlVzrq)*2#At#4u`Wnw(uT5uuRL6rpaYM9gE|TV{+|HY!@X} zcSWK~B6vlLqqe=l(|Ab=p8`RBrJH-f()o+Bmi zPx4zryP3vks}EIia!p)56mv61G@KyD-i9>Gj8mhtstTy-)bdCpIg^}61Pn;hBPV-m8v6tDh)ckHu77KA=woXI44Cs+=KCse z@*6DUCz)ukfDS?sD1E*DrWduTMgjI1ATu?Sfkl&-G=fpWE zM^MsGSQyWjLq|l-!H|Ud*O)x<=bv{P6)v1RG#|SPk1O$8a*ZBmH)B(*{;nc=>9nrB zZ~2l@aSzUGWH~}-w_?j^^mb=NCX-4KbxH+}4bU~Wqcb$jfCRh4)xU0B{faZ~VbS%eZD9dI=c+SJBvj6p(e0fGF5Nt!BBX494w_OzbiQ{5&EJXc*E1?xOe7ly_)QmT zDB;KuK2rR2)Twk%FIjRjl&MlKGfn~@Cf?YQI=2GoiB-mvC73MF6ST)LrKecBBB{jHY#IW zJJ4570E>^I%DZeW=Ho=5SAOX=Z;`9B>4raii_y2pO zXadjxk3)=_?XcR;+A(Qllv_c}00S0d3@TlKZuoD3uP`&rzm z4)Lo7ltc$FsiF++rfY1x8&Q4jA7H=eD@}$-ZM-Y&2^7JW)GYr^_d2LV^70kI`ZfewdBZV%9Y^S#c6zU!_-m!Pr|zeMMZF^0ig|+QCuN~**^PDf z#eS^)Yc{Hc!R9xB_!x?j_N!;5@7y(9`-7AT-P|hjfnsf=(o)Gn$p?m{MG#)tcW)Mw z`AR=*k+eVd2)6(;MZLU!4D8s=x>7uZ(NXyDu6Jo*518vmWME6ZXf{hv(TQ7KFajYz<3gUpt35C4l-$99&%Pw z(p#m`p#>%pt7`sr5{Hmf*&#s4tgu&W@o5XJWAnGJGC7&k#5SwD%fB*{QxQs5U^x6NXDqj(Q zU*z_P_!VoPxi29qzAox%W)9B6uZJTLJM%ogqV_ul`PzrQ2mUuL_5a2v13(`mnFMA@ z>2F+ndc&Vj#{HFcCPW5j;CeB?`a|if*Ns{1<)ZsBnfd6m;y=Zg{izhOywTz95q3zZ z&%YVhJeph`c4kM>w~4>J2r7Q;>DWL&&v8SOPSZ(d<+AYMR~uSFc5eEDYQ=hG?1{Qs zu#|tgp|eL8b));mz7zH5F+O1bVZA{#`s6RAss{reKE~h(U}c|{JwmoL+(hnB^>M@` zNJ59?kl-?|2!xrnY?5k+&W>CDG84<}F3@0BT) zp7x8NO>WSNAVjg++4|FX>HJuodr&e*%%fyJ=n!;EW!cN9Bq7e)#6kQGpgkrJwVAC@ zq#Egb%trmiwy9f_%uCQg;H~)jM9;%>|F^&u&rzgA!}HK?VniBY*y}2rH_A*9SDP^K zS4IarO!9P6*ZnRAPmv>LdLtpK3}wn}XM_J3QzOO^ITLU^yD)pz_f}663>iYDw7V0q zJVyZuIoU!eg`a1sQ72B&yQxgqi>RUgf6Cqks;N6&|F4SNMD29iT3f80nYNya%A9uE zo)If^X1s9`S|AA*L(a@dsUmW!#uPD0Yr{q5GF2e85;D_CNVz3bxnv-hJ^v1B-A+y_MFJ0lKhwt0j3SbgdLGLT!dl&WZt@dMjCJ1l0W~ivrxcW zIg1dsM33U6!+b;&dd33wyR<~teI!^y{!4pc$Q|a%LbjP<`Z*4nPi&EwK$J;ZF|ar8 zk#gpOKa0c7Ly$*EUfbM&SVl)o@TnO`&&>^m*k;+ zbvdG4?N{89O6*$?<&}-P$l*0U>k||wzAe7klAvo@y714L)qh_0`65#|9!Pyn`zB4n zl;z=tqeY%N^4R7$*$=2f^DRu<#u`eB`U=zz07I*WJZ<+LIN`O}dwLJjIo`5GjnBJY zXepdut7z}M%eOd(!tC(4MY9>1{v|1Ex7d486Dp)QZNX$5^!{f*=-wHP6vs>@CTmbNuf0M2D?%fFtf^GW9olw?c8sn=QP4 zj41&@d3Rjxe-Q2{oz`SNm)G~G0tC%bUP;hUsT!lUl^2T8lvV*X2=%6dT(aQ`emoK1ky7dF{Wt zPs_gO-QjbuM=6L9Xn{D*0&Ywkj>Ee{1_UaAK%ybaK6#_eE6Nl zC;smmAK$}gf4Je|%=dqH`-$&=^l*h(vwb!3i>GE@cu)2C57z!<2j}Iz@rbq$|B1t& z7GL3WWUyeDr-SlW!;!>k_k5egx#joaR^G~Q{G^v3e4_G^u^UHqgKL=-pi4mL{QSk* zjcVQ5CjafJOXQp;!u-Rhg0JS0`La3t0ILY<%?P_)KN~#5zt>^yT8HVx#Ph!xh!G9mBC#r zEu%6Y^WE*J{wX!1iFC#&FXdNS)Wi_--CQ*mgKZ?jO*vIze&`~0MXO^)^|12biUh&t z(!CPh;WA=L6LHpp_@%0i`SlMte8vHBzB*a=DER(0;Y+)J8<{#1B}AvN%f7Ncc=z}~Qv?JM*Lw+hpz*!AfXv>p>nr2=-z6jkmCab7ZG3Tx<5!FLGI-q?2V%bx~&e*zJJOZSC z1a9y^B=6lZG91NLsa<_7Hn};?wQu6!u}Yt3vVxomquYtWCvSntV!6`e`BWw*F%gu{ zsX6y@&AV1tQ-s=CP(++-bQcXbg?p_}phdyyRzEvvCWoVJ)&P?^SBSe-m-(E2zNR8w z^x_f=8AY{2E08m-&y1CN)>%xKUKpvnm12FC$t`hKnrbQ-XN*8KYOQy#_g|y4xCZi) z(^q~Vg$!5=f4!mWxmdyAISo%h_L$TFJuTMNaQ1QnC+@H9)V3E|4OlOk>E!5#>WM-g|_-)^mK@E5x(B}SgLOwr^xu%?glZba7UWK z#F`#y)!12}2)M2G%D??9^^meeP*XNO3C1Mwkm6#~IajcLR}g6?&9u0zYPP0g&anN$ zyWJB9aTyBpU<8w{j_n9$5yxZ4lKFrow-bjU#m#D8@~k^KK4Us(8r4KlMc_YryMLYH zDIu%aB{k4SP@oNX-?ZfTUTP)5wDUAFJRklDe*w(_lX8maya)z87fyxKl9oNPbq$fbgfL8@uJD>~7ZwGTN3yv7VTF6$s;ifewd_8p5 zw!wA$uEr^OhjDP!I%BPp2YM-FcAZwPZYHNCM@c1<^-L_Vv!V&ldUHoGJAGDv(b2L% zXQjI;DJ2czV*xg$`31^70^Rf=a~sQ>!^YLGRVvI zd3Ii2+zk%CbBArOK5{Q+RJ?YU`p6_bi-CFhG z>vWbO^ggB}-WA=|SKnuY4woJ%5&2FxMcVB!Y#~F)uvGJ4iZ9T0!4P|u zcvtom;a~JVc$Qz^nJ9FJ@+Atn%Q(Jph@uCh9LU)c)b$Hn9Iy0|ljZNj=`vMCj{D5G zD3-nw!;}ECNwbgO_)lkSb+>kVq_p`Qptu=01)aOuR~}Srr@r>bCdwsmMZA>M!;51M z3B0FVO@6&$fX+^*Q5Q;>n{!mlW`b1ywSa%8z3RnQ)yWh2nn+9UZk7-VFn#aj?LD7& zK1;ZQ!BlwYz3UjdAMZ*kjrNTnJngy4WR8)Sgu53FI>kml)d*#McQA`PtFsf@yApBaTJ($mvgNaX;xXxW*nuM`xTWf1?a zC#|$EBtVT-_!Pzg>6{&nm1*n_WnRMH%OIg*-fX%ZARkc&sG5ol1_b(Mwum^nKfB_H_C!jE7oe>x&gLNys-<6JrPI-Zs2a z#Guf;vw+U(B1-ThA*(3LHdQrijxPvi@$Yq(qiAyP&nu{uR7zR-2agl{*p&N8nCi%E zJhIt!561Wui-B5bol|l#Nv+f#eYYv+y3pq;UYV5V0ojJ|I5JP$OD@~ z*0hJL3*GSas^?a$Zu`6Tjzw!kz{9<=>K|tgFa8xv!2e4C-hbtkdsa7GdO#Vra$?D1`94>QYb3?{!o|-A# ztNM9jO`YG9v6AKFJ=Drs-BSP1yF|xr-b%jiCq95LGKD;4pmCtBF!;A{>bnH~ERq_bhXqNdNaK?qhT07v#jtwa<}PG!cPBUzAmF z5As+HjR&JAS{r2_#0g~kIIauiDR(4)ACn1c5}iz{)*M3jw7;Xa-+INfW`N?nRrUB- z=tny8yi;FY=0OO@z`a-9-LiYg2-mE!ss3ex*s+d2K3N`FRQp_Dc=*9n((cN2=44fb zcpQ!ONZ)Y?GIJ}W^+#`qS{70Wg=eqWU1pj#`P^F??mUPH|<2{y+u zsAyUvjRtoPRGR1uO(jR5=gcM|!>q6FpGH0CkTP`1#L(IW!b@cp_r1L{m3YoK*?EC>A;(6_ z(Kc@AH*QVk6qVQa20PF5F*L~Wo}6&(2yreF(ysxR)=yOip(~H*xlA2u*hpvxeCsmm zm~0Kz_k=j#yRKDxSM`%?zO`y?$IV|%1*R&S3s6ORrv8oXfP~8o_XNU(;a&yTA!2~T z_6xGeiMgrsaZnwJ%oMky;f>qY>t7=NFW>!8;pFggR@-aZUiX&YUsbKwI;xml1YHaV ze@l|h87mATMqrcu!08@*g1n<%Yc3g~_;b`RrQ_VNaoQ|9QT-cO_Sbdw#-{3S%KHik z=Z+ZF8mYLE;ftEW;g9*{tujr4X=p8Bj!j~_(gYlYW4_Z=_FEgO3Svj+ACuSqP5>jv zLnp@TYJ7G5OxkVVWG(cL4cH#f*131Aklvwl`Wx0~!}hd5TZVs3#0;^k zrUK6DLj}5~CxZrD5nYEgVN$s727KR3r;s(3)^-KCr*!XBr(&`*PO}xqPD%H9yF}L% znNdgye#&%ze@Z?xqV&g^4qeqTU~%d%_KWj|+FjbA!GCLcc^6r}FI9?NVTYWKMT+x0sN4wCd91P*X&Muf&R-ikD$nO|ge6T8b8+}i zPtWdXZrR1~?x4ewAo@c(8_dB}pmNlMw25Ill|#r9##@-+&7V@XvM=lsiwfzO*z40- zK36c=`~t3?b;|d}Q!~Z1>?tQ%S&=H$TaRxdf>{}P!)umX3hB(ADp@NM-tG#N!>@F8 z;3F)a(;xP=4OR*L>Xp0Mx$}uF1u8#ZJ~K?(0a=)lJ=sny3`X(Cv*@f=oTyYCl_jvZ zbzY>%!e0AOh=mY-XD%YG&f zgCZ)m#}At&mxpfs3>)eqHQf6ZG7?j)Mc9z}FGDAljVux4nX|KXpDLXL#Je@Jr{w-? zL+ixK#&V0luKXkbE`|PWCV%$|(CHLv(P7za80FH0-uEX>* z*H!<*$-1G)IV>2sx#drpuZ^V;MlR@>@LnZinLi{I)^muqu-8n`}I(>2G@} z5X97ja5)Rv1G7Y@AC5XrF2i@LB+Xo}~R72%YB~i%C(#1Fy4u4H& zImB$76P-0sSrI#K$*)udC?aj#rD~S@@onQGakl!r6jSkmvd#UR+|G-$4kYfJ>Cz>N z{V_MG^hcdv40D|~;!37fo|)k&#<^3dJaMP&K(hv#*v2g&Mp(-Ml!^)n=Io?qH;bl$KY(Q_4-5a~?vk#EfISl1R1##h8Kjl^X4StYVAS%gfBa0I2 zr$?MS0Lc$3Deh9k<6ew8n9*^!-?7fy#>0`PKt9QZ127;#83Wevb0}>-X~xtN%r9 zMqFl=?1#(4zO_r^cg8-Qoa)+bd4_tG31;0UB~=xuZ*=zM%CfoMAa0`Hjh}|G$|*-% zRgEqR{xV3aLRf1@osZp~(mCT;P$w!&{ByXmRkHz2VGxay5xD9v1ot`z7K6Extg&_a<%<5?M&QwCGF~t zO;=hQ){Zu0Z*oH~^=gDFbY-J`G_;dYt@jgXp#JQBV(!m@EsS$NnyCY-$CNRt5rXMK za!&n-A@wEwY-M>%?-xGLL#I5CFuH?GOZ^?<@`LY3n?!MzrZs|F8$TR4@Z9DPso^HW zP*m@kH>ragr$f4G#fBHAW5~IT5LUb!F`3;H;S5WZ_ z)sa#>U)QfoxH&#uuYk9jQE2B|jt!x>1mI|P`#J(u<%Ua4p6`@ysk-8X7`Ls#x&f0q zqL)pQDJIU^Eu0VmUiPFu>1@N#X5%P#6p6?dBB%3%K$V4lc__j|-D?WHAB~ZmyK^#_ zB4x+QlUS{C+w3j9Z(&wutNh*Qg0IVNsjM?mhvt=!kLkGIZ?R!*pllv6Zc7o#n<5Au z&CbVEIWIJ=6=2FWU=+g|wpQ+pPi3+VBkLV^sjL&HG3?zjLiQlHP}3_x6ovaub%(9$ zEXmZEE%2m!^n^;&90S?es zX1g6gL<)hzAfJtO5k{J;@aH*UB-JLP)MB|A_Tm+F0xZ*6q{%_n=|_8sqfRyF@= zo$El zy+$V6!!4cDN{Wnw4ANGk7y}m9^AFeGvec`7VxI51xG0WexUopyLzbEDZtcH~v<$8? z9HTNdd(2=%MU3BJukk5Ua(4vn+J&oeejBeOr}LFrhVR#>0mtOb z4wEiT#9TavA78VBp6c_+8D%mxrm^pdG`y9Fii;SK3b|y_Jdto)gXn^@Qx~LYJq9$9 z*+7Ox%=x!5huB??R*XL8Blsj47LM7PrmRQ92IqQq|<3@0JYm~V)aH)M#M!zO|k09L{p{Yi1{TQ1jIUy)Vq^X~QCgKMp3t@qNV56*tiIgda|%bmeDigfa? zrnWPAIy!r-czCVDaEN(>EBm2;a=z#|C6Jik9k4iutwpADH`@jaM0PL%mL_`oi|d~= z_=QitZqr1X?P5Fzg8hD~?E^@2)Zs2MeQ3t}BNs_Ouv5qe!L^JGy%kT>SpnbRI!bg} z71_EYK)l=4RjIJI2FFfWyFBYnty@J+gS7IE7S`OL+wWgcM_MQd2NoxGdR^1QgkS4b zNAN^)jDOmK*(Yaj6qs7kD!)Z%Es(|~8`gDSEJJ~@?xcwDMHm9!!Pa9VnpU*h1+ZOY zE_#o->87maz=Hf!ZVn+EK%3>Uaw}EBDbmKB=c&Pd5?>%zn)}; zcV?0N%tGb*BAkTxle&9@P3_EGpkYWW7$C>og$nPx))o>sL{A;8EV5{uh;~QVTWw`2 zv4BgpI$`siS$LFmQk{R8l;(RPX5&ytCf)w_|4P+8^X-hJ=I=9--~5jmN$Bc?2VhNH z6`mdPeCURAq5D^Tr^^sRY?p?^{Jnpik#L6o?{ygn33&Pe(W;g0A@7BJ*z!+^3Gjq8 z?1fU=5w%O(jH5l{;c-p$595Rzq{P!fI_?ZkcnV1^bJzt`!#Igr@XD4fATlrq8X@pBP?%=TE;; zUAfdAt#;GNMegtx^F`zY#E=b#E%~ZdcEbjS`}jrOZQWmHUk)Zo^9Ms(&V0orKGFHd z&$_#ZjnABbk)kJ%a`Z<1cwI>jU_Oy)ID zz-p+z*xG;L++6h~Y@bhCMNR4m?Igp!<1IWx!nKH~0RfZl3?>gx-vUD%d5*5d4>lE7 zPqa#;<$EX!L^m_27o6qVojc>Z%i#Mym{k2PCd1{><;Mc`LCr83AG?pALNb6Z2df5X ziQ5Jv#whs50)EI8k_3=`4)~5-uD!m%vToBc)=u+PzG1n;V1^c}4wYgb4T48-Klw2- z7AEcxt;_AkSKzSVYlsGnkwG_bN2c(|!2JZ59De@TlX)@l{*d@QLHYG`3MSpQ!MIb< zYC0t`6F=avggJUdSsVEn)6_ff{p;-fy>4oP%D zur=aYDTjTm8)|nzUbM&{ZkY8(yGrgb2f^^)x)eO1sJ$-N37CWZn=iv#$;{UL`!ZC? zL7yB7H=FYCFh9rrJ)0_LrvkusSVIn~r`|^1jVe?>+1D$w*xe7A2V{G9f-7&U(6}J_ zC^qkPkyL_D960yz$NIx5CB#`w4go{QKjDAEZ!6#X;t|h7Zucrd9e&P!8n&is)1Iyp zgKzm1-r?3>0h3oxalJQkhl%R)W=F$?D|p{CxSGCd0vB^Ac!1Sy*h`T5E8C<4g|Jx) zEp8X#Sako&*tbl>UA5H%cisS@Y{=ylSA2L!n=br=Fv+1F_^(=Iu&R4q$| zBk^nyi7l*F(vNB+i`JOuU2lxCg3JYNxB8=#0vt7Z`Cn|N$`_H*P2FU!5V0!B8#66u;?}->tC~F^y zD}T~cB3H;EHC#QygV8CixPVEp!Xl$+?GC0{W`OXr@9@sU2ea5+epJ#~KI?E$HOg56 z+>VBtC530*tU*s6`&-#lF1-2~(6~N==S3aPXIqd(Wlb)6)=*Pmk>-q+j3@Rued_R0 zDgU&x{xgUUo(ae%^%DokWdM1&r9YUJCNZgi5r)sgHA%cP6U;ER%r|VH6w23mt!ME0 zCYvGxhM?{f_YC_n<{){r*tl1>m1yGf5EJ++d=5fhvquk z8~7*kC+r$O>t>q2G#lsYE??wW=o)2*dEWq`-7m7oXE9us3=vF**739o(Ftc-m37m$ zWTC$wf?P_0_LfSd4~_rVEZdJtn~MkV(*GL^X?b-+Z;0o1h*}Z#bMx;JQa&E_H#wY# zAcsnCN5%6UkDir$!#&!%Jv(~G>1``SZm{d@+gb$ML&k}XAF7fzZvJpAdE;F4&6Hhb zQcXsvL-O32Sm|5Vj1~Xk<*aCyt<1^zgUBz9YUS)$t%e0W&eIgaJG18lE5>{2aDiVf zy9X|4)~3q^&9-v?P))fs0p{*2{@i^$7TCcG-J$ll@Ay!*^P!1rUs8nt;R0dXNM|`&><;&{PNp1L{mzo6P@b~o*oJ>Z z9V6u%78p%vnicR1=F^nM>u=C{efe#KdaUX(lY}@|OO|5cdyw^FFbmF~aaf>PAl{&? z{c=M?ccN7@v43l2SO7r`BA z80HbuwBXOgcZ|;lX#DTfL*dOtQT)PtV)HB-x301{sH9)r=02{NDu6-T@~7L>>9&0V z#CAv@GfYtP(_hYN7sv{?4{RbAt)uWIvY8u0;fTe-%|ces6XZINV7P<7jPH@aRTxY< z#phO)I*!Kzg+ZsE$tg#!d@zA_%}-T$(ML6fIxtq`l2vxS;`MAEOY%7xOycJ>bi0Tp zYlTfrL6pZ<&itALUN2d?_bxw&JlY}K#1(kk>c4Su@bNVd(CGyxDpA2qHkS7<)XPs+d~m1fIh_V$8esO8r& znID%wnNGNl@KB&@uTP3N%W!%Sdt>H;sd0=V&&SCj-kDd_3Ie6|to`n|&$B>hn68<( zNEo;)<n6)<{ubj}zW2k{($?tugKa&Vs+Fs2f)n$m7D36y*rM4V873h=CRe!bDO zSAj;>B5&VkrOyA7I$LZzl&Z7S}5`^VpH7v^Vg4_n+Wd zvCt)qH{43P==2!FM4GRt`bBw55|cimtlfggo>A7kx!^0W9i?y@su`voL_qo%wAdTw zQQ(3%{ZB5jML8H|X?36xx(_?)E|YrDPLDv3FOr~3VGT5k77h+a19DJAXuIcSPiD+` zvxN4*g0&)5EQLc5K(PVSaG1DPnuH_;PnO&q>jkVsgU9wLhwts*m#hjRwY_ex@$Wk0 zAi80e)p7Y-?_do6z4q@7@f$0*tq5Cr`j~@{69;?re#mMmxC2}LeQ0(EqyKp0=BRkpc#$f+H_nQE#`f%AScAWqYg*AF zKQT%FjJCQ%S&v|7SM4*ifkoL~H*j<5f<`5xuzKL8OH16`Ac-1xA(v81!W|(79K12w z_U3T6?4$^ah}zBd!Jj#I;p^fdRH?NfNfTlLA9a`KNLRJYq$6RIU=1uWHKi1_3#<;8 zH^N{T7z$70p|G9>MT_-l98!e~V5W*i6}J1*m zp!Kr7Y);GSSr-G>&13ggOy)A_5{FzdR^$$Go>^vFvAOyB>pW@v5{r}8gmxiRj5%bZ zGtP{IR%g7?L*NT_RgRlj^d3}A-f%tUSaim6=Lxkr*mln+yraDQae1`7x>T%f8UFC| zk|uAlUUAq0{sO11FaHD|5i9L2aPoNx5vJNOQVUGV+Rco44>dV>*%jw#+ZXih1`2<} z^fsV-L>BNN?xTAW$C*ju?E%x?oj$L%A`lNs!yy8<0a!%aZfr^>Tt<2*nDy;^G!$maVD6In2o85Q_>Ch zaZ|WL2LRNU=AKqRxFBVQ!uZrRCQI>g?08dkmD3kYU{h^EXB>_hW`8hUJ`BU92-x8s zfa{?Ksq-&1KAIvX>aLT`t-FhvLv6=4fWuKtCu)m``5#(QZ3@aZ@s=C%ur^?0U@awP zN&7K>so$EbbPYKCz z1NGg6R998%lX|@U5laolv1km!+v#rd$gF7(QDT@la9afNFn}~gWB)E{>1BbwB!Cq7 zG&F}$=1G^OQc!Q_1y<*wn$q#_cpGAr&gW1%>;L;&fLisGiUog$Jet6)0PyoN*hZmG zvvKodA!+R)%DBYfYGs&<2x)M>fv|ag)ceir_e!XT&uxOZ;#~AI@aKIZ@;}YQok6k0 znm~MiP2f2gbZ4w4yHqQk&ie_kqe-V82P6c%`qYieA3CO=h*m0`f1Uo3)9sF6b4;TA zgX>!%E`d}iB*0X&0|GSZ!drKYpO5``)8}K(nNM2}4Tkdp9O~LsKi}1J(jCE&SCB*2 z@_lB1^ykZIwT<4+pPcGet%fO0vpPok`_QKF))6+VwKbhiq5Rt(bS3-NFq9dSG8U8F z2;lu)X!GC^@c33CATX?@cfRVyp!^ghuF^`Gl!vl;%zaeh4Rc{^oX!H+JL+!$;0TYe zL(7(z?y^}Ja}d&Tr2RW=u(>EsdAX6vKwK3^!EinFSyr(89DjEq#0M6drbzX z3taqOvlxt>=V0ceq z=a0G!-Bafm*?1DA*?6t?-pFtrvO&4*TPD-0{#{^f%oP_vWX1R*^9IqAm_PN?F2m7y z^=cmnNkY1@sdt1uo^bObyxh1yx&|ip?wDoKqGnt^^QV6N3eS~Cbd_sbF`HxCZXNZv zou4zO^z*?)_@CI^i&jcmd(XJH4*9kT{8{_bE3RO|*$FCrM-Fj{Iz1S^>^h_K@6DY4 zO1Cr|dKwK@+G6$Tqb7R4#_7}TL#vmzSRTDp=MHt4FM_H>?gTo|Z84dpzW!iFyn;!Z zlcYCAvX);5Yfo+pouiGFYexhiDs#+ETqo4jK4xJk{InJ48=zCSg;`VQmkAgG6ZzwK z4}&m&JBv=%Zp|5c5XiWp@=XHD2A+gYOEol;+}gL>J3y1;xL{QQ^iRs#))6|u1Axdc zVOv7hil)Cth%6M{nAdYWj(o$`_;I@rzD(b8lTY7KId0=40*Ye}3=@(h|5W*K`#v<5 zbb6OfXO>!BQx$b6DC*ES5uZyQ`Fi7!X`r*y@0sZ;3$)JHH0GB^&q%?c^ZLe58*2*# zeepigX;!Zt8%*ct0(l83T*QD70 z+8S%ygW6P|Ymg_!s%wP@?e#p8E$>ej9G&G}iUk<8nfLg>Ez$c~04-i|hU}lxy=8VH zZY^}2907-AE81n@oH+%mj0TaqqcWdVOw4ulPBIyv%Qnr#8G?yG%i`Ry7hs?oppvx` zLuuW~F5>MvBc0)KR+qMR+#QYa8eQfk9H&;%gjw32VUtjpOwSq==t0Uv4pbYffO~Jq z6|5dl<%2JxrtR!h&$wr1xL)Y;z(|$K*5@2lCFd@9Ps z3Gy9wFdLJ3;PEY;u`4)=jaT;k!tunuKS?uuyWZ_hK9<9Y;9JwqaLuxD^NZlJnCRODOJVt+xkN-FMQ z4({r^g$vP)L&O{`*4}F&S!6Kg0bwRpShM|=bHAyV8;^BjQ^1D09zrD{8-tQ62`quxqdUwHlSN;Fc(B~gKviIGTL49WcGL9s!f*JOU5pcZlG?^Egf#M278UNW8Dw9)R%_W$+z+ks zE?Y3=6yI$86jYBa77-QdV{(Ad=zR64f(MaD`;LIt zBR6=7>mG{sM%W#r)hWyBkQNu)_eluJt>E5o?(%4{IU`?N?Qxj@a!{7j+uI1ym`jmo z^7j*xS@ffNu&{YoZWJsvtxo4a51M8PCJ67;UH7B@U1HzGca1S6@bWgBN4gtMuqdeh zsd14-f|T}NIos}uoksdfnvV_Fo-CyQTBldm{~CENU~I0p@^Z-Y3%a{Z=3zPm5WIJK zPZ6F-m#&?7`*8V_voX?|G79U}P_uT@EQ!slyd~Tz4*p`+6QOSf&F2F`DqMu~Q;^>) z`KqZYQJUZOyc~Y&-5#=pPM@Rdg*GZ5#5RMD7zcL9V`j+muVd=~-drZ;sSL<5x?Kiz zhu-qdb|0~2)K_Aym}#{GLULMJyM2_|OM!9VX9HRqKNg?iRiDGe3xotofxZdLVX?iH zWYWH7HpYsUmyjC&$n0cCZ_Bbj7cNzmv0b~);3MBad}L$$ zRd}wbM)@4O~fAq9y2m1{7KO}%)uhWg9c70`IoCxU5X7db2Zqb?&!ubYZsqB^L z?)+c2>bPqVS)dNJ@bqlVAo5C5JhOx(cEosEge%KTs~-|SI-Q{@Fv7_v7!GcCrHSdf7h1;xP($D*orw=Teoaf zR00FK6Kqw`N-c&!Y~0vmhJ~(@B1^s1<--6$udLl#Y)e+yU-pGCIGdB`eGHhoSgzn$ zJ|)IT1*y2PqHY*Zhwt6;yNigouQz^7QzcBIUlOlb?el!s)n=p2zJ)UT!GG^+|KG$e z08yyJ$*7+PZa*v~U&G*b?pe#&)4JxTgN_fquv5jX+_swbySXdL`-`xWTOVx_-x|yK zYVNQMiWp9qJO6?JX637bO%2%%uZ#R=qmY~(A>9wPRC{NQE*0nysztRTaH{)(US+WN zQ8cU_=F+x}bAJQ``T6b(zyYP#ZvJR^O^^MyD$2*)_++xIchrCdqvJ4hD42GLN?;6w ztC8`QdRQgyc>z(RqDJWBTDB{dMAK@-Z8nuQ;5F#o`e%mogz?D_xvv3!BlZDf_R`}w zS5sBzdRiqoki{Izx+lD2P@T>vdyNZaF^rE=eONmGP^XkZ670|t7UdWGLy<332)iG z%sz(_Vm_AdtIDO0O0er?$0DOw< zNll0ADBhlc(GXZ8ogl}-9@87&u`HK=q>&7`!z}DqTpoSd9YJV`Zn7f%D@=fI_lza! zM!-O}@NyaMaYJq6DL&GJwWwRgO!}?vvh@2+dw>nUYzn5B+s5X^QhcjzRb_m^!qkuN z==K$fiQ!Pa)653V(cNVMdlZxU81AA0S#(cKIEd8s#N^J=`$+RmsZfqc1WQ!l6fqo5+Fkfj6^SSS zAPnZc)MOKv@Pp?AXp*@@9lj(AjHToS`Z^}ARF*+YNZh)iEFhQ3? zo=#Y`6%gka_R}GmFCttDgnUhKwR$Y1VMCyO-OodmW_Q#sb~+)Aig#u|d=_>1>7Z`g zONrZ73dH_o1{T?a%;@2B7R@P!NofcoM-^dyM@L@bH!>`S;_1&<_P5^tY5QQ8%9%18 zCX#QgS2>KE>rc)9S_6hb;9%1#KJzywant99Lt`6ok2UXL_iYWqeb|?Vy7HIM6wCH6 z`esyN&fZ)mtKo?t*}Y-GJyj(#ca{O!o&NFT4kKOiRXujn)coX{s zj_CJZQLi4if~itl^q=1FS;9w1|0T#3SG`@wLf%|&;mojEPEfYmXPhkywb!R`+vz|u zYBx$IQm)IQHNMI+xVYG43*Bj4s5{HBa8ZShDK)DPGFi6LCyypE$!zY;g3ADEytY9O|?tkRc@mIUFZ7#dWlY)^>?(H7qzN2-E>whi^n(IZCW)2 zcn{|gvexpf=Uu(fN}8L(iC*!<;%vhs0ImmWuOAY+h_hcA_Y5eezZ9`I(?k+;q8Qm` z&y4w|+|_^1lHYfBX4rgFf?8><(3p3C#$SAc-|q9GVlOZm#ZvM!Prw_Yv)kmxq?w!f z8W(QnIaXBMgFtFKv}AFXEEr@e`m^-!?D_&XfJb(`&PZ^Z|>$ z&7_z_yFAg5+Ya2l$g+I*uN@6%c38UV5LvC0NR^jpX5+w^eNjE=;i$=CtVFS^Q)3rXbCl#DokgCWr@gNd6@GT6+f-+7R9<`LR_ z;pxIa`Caw%Gk^9JDQia>MGkka;*lOdC7T{)QGJJ)eZG>mdIaUFLywE`WOVgv+0&NZ zXNYyluLDjhA%ZfKPl4rIpyf;!n6FTXH8t%7Jo8{s7JYWsczWTR2zaO;>xiBB;RI^m z*TPDHzA~j= zKR)8stgmZ|aOz%=5v`gK`^nn#2G4rfqF0^uoktF}>}NO2UJ^NnR~t3}fTpb3QuCxs zUwMI2xUs_r2svVwuL|LKdJuW4q3`q^Lrl<`Q8=?f&&(QbgK{jC#~hcLM!n%wo+e~2 zP!H_otFcMGuyM3ukm0x?I&hsPGYNP2g4Ud@UgaSm37aYb7(f-4gb@HN-^4p~>87Ja zs%A#vUXL(j)#{*BkEG{EJnM&T<%`l~){ngD)V<&V*A&JX3QbtMYtnE18)+FBMt22; z7)Nh&d5fkd7vkclxBB!zEVN%hp$$JObP>a4Jj%?p5plwv4{?>k=qnp6OxmH!04UHN% zF}M%AiBJ~DA9|NV;wpWMcq@%C-L$a0XGFs)iIGnP`I=CD%Sicd)ca6Qi-FXgFUd9T z?dhPC$ApV_>x`$DmWh%e%TKQ^_1KBw+h1haDrQ?S!gY1Z9c@$sCCVMr%q>CSh0hou ztIm0b{fGMwa|Nf-J-fPgogMtSW=Xv|T`Ytw#bMs-o*>@pC96tz0L7LTbAK7GZ(I;n zmW#%{wa=iB(%@qigjhL+Yn4rrXMkE$eE+h3+FqGk9jXyk%LH=vi)C<&|16j>w~bEV z)peF@E;Fx|BlFWVh&`wErQ0qsMrinP2Z=rM;LprUWA}U{!U%d^U^080@7b!$&srZ{ zd@J?l>(puDCJ5WIJ%J1e2VRZ2KX9nOKC8uS$y*k!Wu10o`lOc_{K#UK3i0 zKFwT{ZSpEQD-a!8d)t+AR= zJSx}_8d4bU1eUFOpeL-7n#K-Uv=0T!5+IJpHN+sfM2VFqXPU{_9_KC;(G&Y2%*VKj zm73u7qV$z_eLI)aq}Z`BJ8+#++e+90wSZHoyYM1omRJl`6|H!MV+G@``KO8c{~YuTXJ^Pyt1(uT-YsMtDG?BD%k zGdzCj-~RvH(17HJY*mM(R%2p(DpX_-N&Y7vG!3hULRW|763{5SqYi9BV|*ZLm!at& z@Eu>O}$<)lazArQ2vLk+Xo z==DzzoE2-m5!&79>Qy`glYUHDg+NO>E=RRm2%l8#X0<9)_d<2KK>tC)lC0JWOex)a z+7l}DZF6fvTqCa(2vi{y2h5r1Tb}a9<~)r1TDoiAq!1?MG=FfP zjW63955ueTuD+t5a)FZNE32CnvsM627;k*}!b@LOpLCx_`X2-onVIf4cM6ENsMEF2 zE*sDa{`mWv@8zk(Tb%9f8E11F9yPRjp^88dnI_agco8e_&)EOKBf;sb^`AsvG(J0%!=yF3-^^Q1Yfan4-Ppi4baz*zNQ>x0F@d%s zzC}_~hU%maJ0rx(^PJJN4}Qoka!7Bv^-U37#7HpRo2w4j5@b`@84CR@W861YJQ$5* zs_urhi+K0NSTwkKwu`R74wvn`hldYwO#O2f#MT7)ppdX$taGsd74)NYqL|;U4(n|V zs+bOyrp|DbsJ#(n*SNjlu^se%J`m&x^5<8}LAwb-Dn0MHn5HnsWOQ{Iykx~FS>%gU z1OWa^^Ha$rWgq6?lo((RjJeA9iv511eGE&{!XFG~Sc>WWc8Szl!CyqeadbK%e|Fp{ zZ5>Y)o7?spj-FJl;$TSps=nsUSnCLI`7!$HQfUiGyqySqwRTbYdXAK+Ec5uyBaQK( zzh}yI-GsQ9*!K)mTd=55uQ12Jjll-mx3yi4yC%M&MezS@|`c|6l z9e{7jmt*4zF}naJjJ2258jnuZ-n3|V;GB-CLZNTWdVkYQ`-v%x&{W~t zqs>51e8_i8G%6rxUufKyU;F1kTodM#gQ`^m(chSZ(Z5?Unmno1thS>O-uz)&aNjH* z7nfcWCiLC9`}3}M#+k;!P{#GOM-yUGg?Lb4Z?Pf9m_LpM6P7&@T0=k3^W*24{TsmB zUaaq1Vgkq=K>J(IB$zQ-5l%3=L$+mqq9{aGP2OyOf3^$F9 zlTgShorbTm)SGKFeoFCN>aZ49A@R1^d)#LEQzGRbjO?9PUjDj#)BX3`?8xP1R;%SB zunmf9cuL{@hzRs!;0N1Iufc|4pOph`CF|@7?uBm_4NaYD>*gZzjkd?i{2j6<6$bBA z#RSFo4u<(%Z1`%;8;Wg&&;w0SvtgQ5x9K|i^085?y>Wm2&*h81i5NX3rSJONbVMHY zML|B34oWVupxbA;-}Rcc?5dJ@RtJ4s`u)@jLRar$V*~?C9H|bmLJ!cvhP@4kS+r;V z4_og7*W`Wn|5xP<)oyERtx&sF>nbYGx~<*B!`6BN5flgr2>Gp(QblE}h7=*m)Bqk3 zS_RSrAe36;^Me5sx2v<#*k32v?ltg_F1}FL zSD9z}em4N2M2OCnOlL7-ugQNVT3u!lrutNR1O4A1lt_^;4gtY5+}G&^F88>^)i(Yf z(p2w<%P~_uf(bQ!LmK0?31gKBSh0|cTfCmx^NHp*{S%nb1NKhXNLEi_^$Ai7n8)c( zLg1UJeqqq%Yh|{WV;6bZ4Um@JE!Z+#Q`F!(E{kA8Z8B+S>bD>`M554PkdS6Cq0{wr z=2dFntaGm8eFJe51O7eG1S+BRU|<_zYGBL4%p3!qwT?}tSY36MV$3WL(0dmWT=n~X zkP9NBYeyY@P*#{fs^6KwD;pFA5|zzw^CUz$q%vE?E#V?p>ed$n(y)M|96!1l@A+Z? zwQ7%9aRvj>$``~=66;)XZ6&Sn+k8CI*7391M5SSU1<=j$4ktTU-Y6y%y+k>b6Y6p% z$;6&tI{r^xF=97@1hg79p;R%p;0>SV<8X!0lS-)5R@8pZaqO2xaFr{r-Vjco1gi8d zgg?^I!xj-7AFd|uP-~aaZ&2^e~m!K<@xH=W^t!r%0Ey0w%LFw2FZUwL#o42_A;JOjbqcm;7tBB&u1_s+E7?9Wok z62yHuL5e-%R>~B;@|FOyN?Jk!x}1OMDhkjg&!b}g_HpJkh76ka;C#CjTa*d7&@yzGBtM9?pX!aTH8_RXHzbN>DRl>@(sP2iHHOCvu(8D8>K*mu&y z*RBPZ{)zQRA$j|`CoKJc%W`^16T5?9(!b$kKP?dK!5&byhM*VaYw;1%)iG<9f9Ju| zXYGH1eIx$0i=H3t(X2eD#oq5t^%u|Yd^vYxWPZZ;d}L-A*Rd|B{Q}mF)50`bTM?ri z%vze=E)8*}Y3sD(FK0+-AErJZ#x?x-y00aqwEp|tcIgrdWS?VkGLAP=Fa?=@3Dz6W3{IUvxi$3_b z=N`T{tUq09dDs`C!crf>?54pz^H>}DA{uH}#FYqlbBH&7RUwTax%Vy|7yUZ7RJcp) zdKKVC@&)1?z-^QA1R1Vb>oCAzC0#ksf&WuU*t-j6MR(KzlP4+zZoFmA9zfBXJ3dLJ z-vqa#WdSbkjERrP9rS#U4HAM|(T1$VJs zJOd|9C#8ZbwvgUO;fTz(m*PcqM;-P_fW7w@mq@Nr0Q@V1A2XR1E|r(y{i8Zg#bo!XH_MEG$j?-IG^Be5I!n|fK0_`|ebBE(^>j&JIAaS z=vn$;*(e->?`T6_WayW8)IyrZlXW4Tbhh9Tyx- zwgO;L`6jpXj&%=^HlSXz7y~S(~e?N~9W*T>C^A*Xe4b zlFU9G*6OZ9PQ=^-HlcKLCg|+4Q7V-)*|KytNG2Z-vedmz9~N_MjM{>@LjQtzi<>l7 z%^j-R>pzKD*fQpGpMRyYP#=e2L|FurGrYgo_#SWu{ShvDmSTZ*xEoNZJZn)fYnH4T zdSETAzhj}C01iDIOYu4NLsRd17V0GZRWFVZ`uA9rspEnj(hX^ZLctg)o4LL-uI6VC z+p{DM_r#wX$MR~bCK=I6n8m}qGL9O_Y1`0V7X`0*HotaA2ljH(q8C(IjI-DC1K*UO z7%*-PArL_P$SrEyV|LP}yA@{y0rf+Ps-&D`i5l;a#vSVZ(>WN2C+etVvoGL@lsLA3 z-6_NB5t@i%-SPy}ATuJkkR?l(L}DoY!P2lr4V)A;dDT%mYy)P}8^YFpu&9CKCqo1m zcB?f+vVj+~bq|_mN6ZeR`{@d9CafCTwQr@arLFmHsE_?=-{z#Sk@e)rME?5$(^j2sYtQLQmw2qtZTDU|z(CKou)u}+&R(UY*0Y>_Xc`7N;6ZLF7YM{BDT zjMH3pYOUP$obef-}E%6 z3#~d}WOhVePrEi!S+Zb~f8aD_A9hC?ajxKZ+UkztvQJ1urqrWIZw>DDBWZq~iyFo3 z*T|ePi*v+Q%_d1^&{AT%D1+|A{VPY?!wq9JG)vD_y&&e))LDi!7yK^Y{qR#XcmNYT zj75nmbGE5+*ReuEus$ICi(5n7R%1OFdWy}6Y14eiZ8fArt8Z9m$wrh7W5nVOH-}9y zF+OJ8HP>a(dlzlJTgoN%1!TrCOHC!`@f|Jb!EGZ?QvbK->CF3d#)zxyHIWiE@MGa> zjsHex^$3SY8OwiK(jUgc0VPVO%|gQXq^}nY zOa&-T#Aeb5X@y$31s6#7N%g$*)+mG<2lUl(gRa3ZBruEP zF2XrMXO)fOvB?s@t)sUR0#sm!J~%yOosfl1KzWLy%~;WF7fe|5?Wu~ju^yY`h&n6FxXNeJy%f#K!lWY`nlG?3oS>n_XyhVke{l+%c zp{}1wYkapXl3T_u*SZLhkqY#{u&V`Q|goim?;W>fn zGlwGJ51!F~xF$j^rgy145TL)%FUxLz$`UOdmPZNX#~5VN6_!bAvuiHH`PzSP7#UabTY z9uJ8>m6R*Hs^{}Pcu7i{;;@aiQX7h~rD05@2;gJcZWpQebi?f-Gj{Ab?h_o_&?H=r z_M)t&PbW+ruzM8Y1XZb_LG*TYRnj(STnomIZgE^O2jjlxZ5DksV3srOasq1U@BUA_ z{KV7CLa#21TedMIv~k&sVK03<m>=g-m_t)tFtV$&WKwx4e9Xv-ZaHT3Y)Bs&&|kq|Y&;y62!lQX9n|voym=2wWv%MFrxZXNNZ%B=L?bI>d(8 z#Qo!X|8k|V=Hh$vC$Ggy+y&SvRwDWhY{C@jGBb3JXyx0DPdN<0qC*{hwS)bQ(~`DP2A+ zf=NTqrfu;ZLxQ5Q^As}`u;dW@A@^L6(+;ngCL$=*DxR-&xg?oGwFbP~ux$o)M%luwcLIU!Aj0`FYgDKE6 zdn3vG$$>S&nn907y6l!}6m!f*cd-c?D4zx*VNCtz**R@%ZWZe=#0PD)_eQ>6`pVV1 zfJ@W8axuhg!T3-7^j!36qId2~`MqBJp>{xflgLAKptDMKtc1>NrPKJQAZ1)fvOBE> z(v(hBVLW@X&YJaefu#%_iN&WP;EIl82wT0G~dw@J_!3nj==Z#GBi$sP2MNTNv031 z{E%*LOG3&)9kX-E`~;3{0N z(s^b2J?$F;wN=^Jha$>h4X0!t$F^e*Sv#kl!QXAM>rFtTYBn>g?&PEMdxoL^O`F6W;>_1 zPQF33O@WI6SXip3kPzOdvQJ{maZl-j_dxjF$wW4g6(yc%zm3jKby2gL0J~?mw{7|s z5OKGd1xwSd?eLO5Njj-l=|edHPuf&p&>SD2sDeHV88l1I%q6KN`#20(SwfT4Pi$VBFv za`e4eYIWF$+_b9LA&(jxrE*&heK8gJXMW@m+>f?!UK@!G^hJ5Waym4-sY5JodJcJ8 zfUogR(7BU_j=QCkm0yTdo7K%97B>Bjr`^26RwI}tv-H87(~VS`)*f_i#}Z458`=%x z`~q==7!0Njw?sHg)OFW~#T}jH#Vt`*!%WApRD}$3ysu8O{mMhhb84B0-cI+T}z}1xmt%!hLBO(rgA8CGsg3xx|%7) zCQ_2U0A`8GM(0crh2|SzXlEA$bF^)sn311Qhk}+_QY5+Mn<`HoW6A)=7qDXs@YfA^K*ao6L;?m4*E^Ftpia*oG-cYgocpD%0-QEy)2Dp(~+-}meq z$#lxI?yct$ht3L9WEMWiydlnxRFv#|apKhdRcqhea`F>itX>XY--;I}4i+C=UEk01 z*IdN)D8{qzk30JLt@3cigV>LivZXXwPmxmZbM9}eOAJ3cCJi<2quJ89Yfr*i^TV1; z7Qh3K#C|0G;g)<^j3`YnelvA`X{F4f^2D&V0PfohsTPFw7<{?^f4&eUv(t)?&-x&PQOyjc%=5(-%Fd^bzNt3m)3n-{bF# zbZzar$U*V$wag5VDQ=9ivslJ%Cy=%LjN7 zK|reQy=2Z*FeNoJ6i-e|q*i?mE#xV9PDdn5rI!~Za+WMz-idA9Cj2r_7iR3VKZi%Pwfz{!mA zy-H?C&zAK+VjhQlPP4R&S67`a8GN(z^*$fBSr!r4OqOs|9o33`?kJWKnh~$cD*#M5 zIhf)p1g=rJU1H!juSBd_*F~tn52;PU$r1Y_z^*N2!YNl^#Ph9W>#e5CWPiJx%xZ~N z`SWQuR;>O_Z7H<){_+MJQC08|r01@#+A+^&imh&_9djVcXwD!kqjDXFjv836(*)__vg!>RUhFK<#7C03f~{CQhTv~f$_brdVPvXsgyYkppd zUShd#LHyy(ZXt(ZAZTRK>2?EkSl!l9Za{EAgoS7>xHuhPqfRmpUz|U+A<_KGPll_Xp*hn`G+lR zcMJ>S^byU)Q=Vb#$w%rwb}4|jSYk%u`t))8qsjhLzMSrNhsXO1SfVS{1U*9M6zP*2 zO!C}Bz@O^W)N$fBL)9-%`^N7F5j`4((f4colGFkdhd7Z$JJbM+Z5;zrs#P#-+nw;xh7cRUhY!&l85?- z-Kv1A?aBqNbqKj40{LG&0hE3yx1~{<(c1VXDLVdMI(MFbXFQ-`aGFJQ&g?e&fVITp z6dOm3=klDg<%CzU@{&ASUH(h*kP5fLd{(Gc7vvkpHwDFg(Tta=_F{S`ohu$4YTm%@ zGzwY3Mf=3#{Txb%qxsHvDnE3I`=f6WGlcNL&`@f?WgWj~nQVzvE3oj&nly10+jSDr zxbVe^#Bxgt_=Zuusgn3$9!FunYHv?YAT?wjBD{0G+(u!Ey?^<>VFbC z+m^@#ter&mX#Pq%4SO@{5K6} zKM&^4n(C@K;B9wex+sgB;{r||k30;LUE2TNyz%@=gK&)@(JWiR%c1ky?WQNa-11wY57qfu)lbnemiQvqsmMAum%#j7xNN>=Szxo4=0jb`E0xPVnbJJcl6^-|dx&hZLU zFtuNx`S|DTU&|u!nf)@iF||a&q@zx3u*=cidgkzX zJ(x(A^gXF9mk?(WM3YGNg>ef!D~vmUUN=w&H0qI=)4f^M-`y^v4%b#I1El?mg$=bp zO_1fgoZs6LHE$2VG0=U0IPLAcJQbLua?;D)mIY+JWZQem1B2IeydvRV4KeRsvBhIA zt$A&Da&tV7s6z0YvjRX?R8iGlvGQxj zbw0)$UbIBY$L^1>)`Co~NZY@ebA*Q$hdiCM?4@OiAqmk-evey3^G5dUN+|+qV1+dgG2|mCH zdUe(H-zLUhKMm(y^@|hnH}|fN*Y>xznj)QYATYA5b><7;Vjq0|zsw!uKYKVp;SPai zSGYEnmBeIPI~W*F^RXHII_JkoB#Y;EpQKfK69a!+-S)E&@AyvL2QNOIJZ%4kb37r@ zH&(}0NWVRO1nF%GHQND!aXbq z@wUoZ@&8_2P;P7cIZ~3eBUIKz+tdP+6h&SpvsOvZDOp`L2xW~>dAk!&bQdp}+}}Da zm>8)4VP6=-2gWEaV{7cax0|mt_uH<#ORl0>vNi9^!W=tR7|yNCv)<~OX*41S%A!FI zl>F@Y;FQyyxs)MoN6mKyAF2E6sx5Zc{g^3jKCWjELk0WPNj`@=O2^nC56w^BcazQX zNY@QIuTyhr)_;RMByKt?Qf!`gFNerAQsjc!!|49CiB1JD=t(O6BTTEyHwB&9;v28N z9^dg6LQVYfXsQ;PpJV!+ko=daL&b*pY{Ij=eVU)ptz%0X?sggh+xIEpm1&|-Bzxy_!ZbguTl z%Ue6@2~rIm^BjHHcY4Bka>6knUs6WpC!5r?@$LzyB`eIlp3Eq2UgeV^U=PK|!VkW@ zMc`XOl2p(!R1wNZ0F3C7a$1519doisYXe=7gkFnb?`!e(>_F#b6(6YTY$N^-H5c~T zEw}=!kYsZ1^KJBDV=F82zZBZbPF z4yNflFK3c2oQ3=QT6t5_rCNJ;@*LlJTmb>#yG4MlKIk@-bzM@GpnEKDiCUNrUjMSC zFHD7xiAZYfOic4VX`M8jdlWqMptWU%(SGGZG0PX|dP_zjWP<@|?7tsQpCHaYs$MhS zAosKUe5E{!oE3}iHm_oP9GOp1UOKk}KysH&d$E{b`*|xr0bz5N1%~0D8We$vWrvTv zcDU2g|Hr=-d+WB{b1!!byu|t9v>JM{dXL(H%%aj3d5p^^k4z^4n!_P5v@m#jGV#>? zaHNiB{LxyBhDdQUm`aM}QSI6}3`P1L$mgr~SjaLe=U(UK-28n0*k6b1)^7Hc*RNl| zBtJ5n#)QWuzcoHI<8lEcuCH8Upl997dAwojkP<;rh|$RU$FmQ$#O%zgrz}R#3YV%v z`ku?Xe1Z1FiXJxHQ{p_F@D>=53>T4gg361>T~{DB{Q&cbW2}ZN|7F*USGn;aY}Ex3 zyIH?Y00Q>OVk`F}D76BZL3)WZT6@=}5epP8DY9sDCzi`}b&2;xv#7JJ|EHpki<87Z z9^u#+^{hxVml%YSd1qk{A^eaHex@{P3zuC+=gv&j{kG|lyTj_oX_Q0e`kcuAu}&}{KbXvAh|9$zRUd9PyL{1bCS(=L zqvcwkYHg{;^Lw#>o@RHt^TB3qcMREcs9d>?+MnTrRY0cPo=E13f;ntwMb|}`d9wP2 zFM@BUFJDM>Oq#6OrF8BY%SaH^R}82hGh_vlaF$7pL(& z%p?^)Fh!a_!hEaYhogqZdt7b2oz8K*_E&@lvaJo&p`;@A09!=#D!^J!BIM`S&*GEwq8 zFni&O*QvY(%l`K1n_XK-TzJ_O=%6c7t(!1?C38BvY9^G3uPhV(_|{EXRIybs6wI04 zsJWca#Kg22%d>rXh%RuQA;_G=*uWc^-iY~pvLIMZ1=l1Ph zV8e1m#$eqAw#PCwUd_)ig~396=#|Cb6tqTdHG3>&WLm4z=U#rQh|FofiQG|g$a=+z z{ZL2k-@l3|=&I4eVq{;CM#=&um<|l?Ur1E<#SF2(cHr8u)A>2N9o7GFdWF!-o?!Zr z@BL%h^0;Nsq*F_t33;VBWXn}Q1W-Q`Wv@G%RkM`<@wpDV_y6o$IK1qH$ z?JYGcRJlQ1`g-nuJToFR;#CqDeuVpFAus-Ny54T^xOnry7WtnHrj8 zBgZU(&Kg)9`@79;t?m`dWL6Ao6PY2i=LJ&`n-F8ZM`qQ?KWkoztJYG^R87`>X$#jW z4|kbc!hNqsiMzLpQ|ajd*2?+s(#111ikag*gVPm7ZVbV}KB?ySN~00Oc6FIYxNCBo z@C)8YRNi4=2bGymDpsPa$(EiA)X-=|qLJegsoJ9H{v6<7M=G7OF;@Nq+Ne%)TBJ*? z3&h#_HP`g<6RzX>9-1N3?|4M+UwQl5MAg!t=G9){B=(G^b<2wSqFWvNG02ry_Vzsx zXD{{hRhVfF?u-}7!*zrw(*vfC!-%m%*Noo(s{2U+cmhdSEulA&yXFuu-5;Z4f*0h$ z6y|OKm_F7{&2#byUrY3dvY2VOzYE=*E%8my=Zo~F33Uve_grGrF~O`f+TrR#Vp+3? z5ZF!2ndIwUDxF1mzBTLIY_GB82h>Rc2Qrk^^ltzCz9%spjyh_m+2w|fI%-?jz~ueq ztpuV3%O3s*f{v&1xP@e1iu7#r-QU=~Pd)G$q%UZ+EZI`QaMgzk@gnuA&X{x9kjT;)$ zOb1J35f0T1Q8|t&dodz-^U-e=3z}D%U1L{V(>QF0l)gxq6rxhCjLwWWcb|zt@(!iE z%R*c5sc=m?8<~iz09vpn{j$!)1m6k{6PGbUrLlRqkcw#6=Wc1#l-?A>B7(V^&Gv2s zqU>tW;~gH!Yq~0T3~XE?KzJ+RM4(8S#i?^`H3*n}g>Y{1HbS%-bgwHt8TnyuX1;E30K z{LWN;PhxTP9+GSU>&8T!%PVO5-j;wdo|ivFoV_8B$}$hPeNVTA=L;*LbB@wA7d+X` zjbF}RxzL-<%&%*NBo~d{v`M~ezPxT&=JM54GnSP|H4RIiO`kM%m`?NQY!|c|$%mJ! z*BJcUaGA7v4d)X%!L(_4B-4Q`G=1Q5RbUrt$2i-CP0smaC5?Z=Ld6s_P>5MwZ|$WD zEB}vI(4VD|s=i2SmO5nStCOc3h-43bTa|2axvyi zrBPz%8kTm%C_GbmyClG*l5sJO6hov#i>F&v{JqOR9C$b(j4P9 z`k<@+2X^Po;A9Y=sVbcvN4HdBSImj-ustlsvFW%Wx%Lk-izo+upIfRX0AaZ z+C~_fhp3L9Ld7c!A7yjU8}&TwJx0SCbyIxvBrzoy~TI-51@%wiL9@1ZKOnc`Q*2I5qu}##(C{=@9G~yNIQK8?*U;0!lFE z7{k>j?Ni|kr;6^wT<@5>Bz(KWB5`g8zA$@&_|1BO&z|$}o{NT~XiRlUkW>L=xYMp zk}c4?oy0Hr^qXS{*8V?yV+=tu;^bvZFD_jX@+|0^KY~b^F=hk6xd*nsfo17o8(Krs z9)vW-fj8O|;)yq}cq0vrd1cJs6L?QQmMF*U&5$R9U8Cdrv+l&TrY0 z``inEj=j%q-}t01dDTbPGX_^@w&iB7pt+~NWUcTYx$1XUtgt_h`e=#CO`&O4t2pTV zHem8apX_gbmxKI1IjV%!>9TFtj1^`NMli%OyK51ftnT5M2UC+|{pE-4ZxzQ8paJ?>D zW@dywJLF|K!6a-NVH|+=qWl`NA6^hsUeTQaO!Fe}3`@guX*+Zf;F!@#YJQ$4l9xay z1K&=bXzH4E>GgL=CVD~X+<-5Q4 zm-tTB6?NJvM=CZAdtFy?w~j+Jq5a|jBb~fOY#yaq<@(<^fLS{YW0V<34QsqQ&J`aI zlbr7p`Lby(*g!J!XY{PNa7Zvp@Y<^5c+iB26}?`_GR*wG-+8?ebAsa7%L1U4ITXPk zAk%0VK$M`?HP)GZ)4q->FYZ_Hb-=C%uX4IWZ@dfBl`IsdfUN4ccK$v($?hWVNT@&# z9?=PJe_>hxvG#twDLlgrL3O!9Qp+K17Yz^`vkbS3Ck49u5t(KQ(uEW>S!+4vy;2!C z#-=iI#y*`$RLjCD1b0BbxU~gx#!g-G&OY;^iVcZPsET_7r19l3M!wn-K0+t>lhyLD zLF^7}_beb=cKm5JuS^~`#Sm)FWSg@j^=-k-jD}h>-HNc%j5QxI8bf=z z<)C<*T9CcRUi9#0qqttws-g0#8eU+BqHbsEe-vr$RL&OZtfBWOpxVrOZ zd=YBJKx}QPH^S+Fu~G$}>}*YCK{oVD39i9EygM080^bgzDXs;gDTjV1$6L>FG4SGI zL7x5Sr@>4U@Tu*L=5u~Ao<`I3jF+1Qw>*6_m@za#C&`$%Y6ER?Q3qckG*y||wz}p| zjh_{i7`g1}z&04k(7=EJ4v0Z+mgNh@c1AbHe3I{nXZxV74Rxw-)B9JBrBDqWAG4h! zec3Ln2d5d6euCc}nUtX!+`Ip>QSU<4m80t3_;)Jf07Jb%CSgb{RK}a2GTyYPGKMdY zzlb?bTf`hgVk}#JIA#a6SXX_ht1tX1_WQi4*xQSWU-!bsrGOkO<-iDKqy_DdDHxZV z<1ROQgg@70E-`LMT6IksA9oGXdAjxy-bDT9`<6vltQL5trO!Fi^aI>>5akoIWl`jM z`mH;$|IMpunC(6Z;l*WMemc!w^S)Ex=Ngl*$cNHRyPdf=^o@PM2K@3?fSG(jFiv1F z+Ke)+WN1WdJP1e8$wQQaXyWYZ%VU#wPETyLk9t0FhtmKM^*v`&HTE6Wc^UPZ5m3EE zt~7-9Fs!#ab2czM?Z$aJ$IxBm(sp?wSe}NDi`FDceLnHn*#P>)P){>;@YUene32() z>LfZPVQd361m8rQTL5~5J5sIH&g#==?nl5P3I}b0snxjolsmkfIC~GIcXmnJUu-R* zX)F??j^|xh4SxO1DpK58IjbV=#}q<=XMA(bytXWt^ zdDen+hvvt>@8gt>ZL-P2PxDI8DIe8TvblmhQ~1C$G zr?h%|H8L4to#>yH%SA>zm7JF^5#6>>upnf)k58r0`+NWx$l!rGR2@h7&AxBj5zNrw zQZRxXsGxbl-9_!Yv0DMArt9ue8nldlIy=YI=+fI?8)UvzeS!jiGv$^}-!u7EzfwG7 z|9m5Tz+wE%hq5~88?CN)Fy?s@z{ZSw z)NV51{vGtOp2&0qarSnNB=9-o+YMG3#%96o*GiPor#w|#lSSdqp!a`LUY^T z1!fmQqHJi}!y_jph3K29%zB1&4%Hq@6fBq|Ys~KgVKH~-7>QahqXK}|S&`qm51$U3 zalM1yMrY;F-9{{i6$pwEE7qVF zh(RC8M9Y|d&nTF7m#TK_9QpJCoL)|Yw53Pj@^Adq6n>g+6zmNo!iCyIG}lpSg}R+#H3R-`&1Uin5LzRcyjx_}LWjL*!+g~foy~a&yrTnjt^$~I zn418K!pwML z@I|wBbNu~q3lBp2PwhuHlr`R7z}-Hxu&rBcqLYdti1tRxDL#DHWg4L(0hoiYK(8kB zFs&-SLmu|7H3xNmEJYwF0))?b2F`c=X9V=1{g#rkqXb#=5w~dUqAajEV7%+&u37S% zr7t>`zPNPh!gJ;imW4j?tv`i?FCY2wr=h`pCrLS3I6W}=SMugp<$9?LO*WGUauXsb zro&!`fA4rA{mCVW0$H{(X-Vi45n)RuPyBo>$VEGUu_WVP!FZtzUzz#h&Tn?tobCS! zDf43;)#~qm7m{N9GR(bT{JRvs7E-Fru=R_r@v?)8)gcFy7D7s&IG7u?s3I-I zw*!z}SxA%d9rW1Nn5{qlCPa?$V<%K*ZB%IBG@;!ouxP_%B1g|W%@PN>NyEfHo|DG? zRO--8EcDlY`FgFVdb6113IqSxj-;#^#c_hFmD4(uI=?jxXpPjA%5x#jQl|RxX)&G zIiJ<`xx?+7=>1*Kcp`MFSDDWEHG;?X6f5aB`SJDLAQj0&y|4gKqsaemx*{jqo8Bh5 z&107qy=eHnf|bLVF*>Un{5In3--U59!k~W{aDz(t81Uem^C#%6SA(gG$ABP@W-+B< z`{kY=+5gh8Rrm%i9;?QX1utNQwS?ebd44kZOmSTi;r?@{Yip;_+9_!OCnC;Mh}-F+ zK}3+x5RYn(7fjY2HaL9~fAaBcgy3CQJv8$=`pU;Y0MN>*9WjOFk96aJQBptBTZK(5(PM}B!u9u@v~xvQl#st`kxV8C<62j7&HiO zgHzL7XyW1fn8UY94DRJ1N=X)0$|`7k(K%9A`M~b-L=XgB0V~F;&hA2ehdQCRv&z06 zGwsKJCb+kqnO!c$$Ulc^k-8fT0<=Uw_z32pL(WTG)dmf*aY;F|q>b^SW8;4Ur}8}O2NRJep7 zkc>*6*3?z*u;cSmKI*&%Z-v3>y5Wu-wb*fmnKA4tE%8P=)J!5qoO%Pzc<8{+6F)AB z7KV3Zd@r3Wa2;Jpz^m8wi3D>ZFLFiTmYH_K=J}p}=PZ+Jyba;(k`cKX1mRH;cs0ryC*|8*tqBAGmIebO)qkT4EK7onQ5AI^!bI;IT6EDluqjO+RqdW7Gz7n~_8!YY(tF*A zwF_MUiCJ>36+G=m;UZCFgai<)J=o-RR~16AJJd@Hko|ByQmlw zNm-bR?zg|QdZjD)-8r_>9TKP@_>7lx@y0iH!JyT>{naQVv>3(mZ4}F{|37>Fac%q& z9kw=@grz`Y_=fh!B%zLw%i&x&qM3Z8sgUbicriQn00ie)an%xI7e8HL{4LCP15cmx z$=1>SWsKP2gT$BO5?^{vbjF+zlEx@cf3!!JwN)tCx9VEP?~lb@V~o+KJ*=?w;#ZIV zn4T`W$|pBQaQ&H4iqkDWK%}q#L0?S11ATF=uVra&UzDYjLr?P!-f$$=#eVRU6E%05 zpQl)NDAqpQ^4@0*nmf#Z9{w6&AsPP1v7)Pg?Nj*kYR=*4UFN44B4zMRBg&!=ZPTl8 z;tI65;g9rP_cTaDCLS9yKqBUd34Yz`?%Q|o{7)PmvWbr2M!)3&P79_PIKhL}egXc_n1a`)**6k!f2w? z#+!nS)uWuv05lQ+-x0N~F(_}=-?1@c2A&`Ifdh;4n7yY7Z& z6uUTS$e4LOol)$u&+0iae234mMhU^amh*{*yG8222~ULCazg%2Yp}ZuK2|9pap*^6 zo1db4?MLg3pRpMN7#H?$pJI#h`i?I(mUh?cs4Yiv{#pF z+CEZm&=G=(mas`0hfM}k^ob4SIHQca7JRjp$FOu}EmCyu|IVU?&-%ZQ_x(s0D)LSF-QFjje!pqu1HoO*CCakFiA zD%6bW^N{YLE}Iuz7_5x&G}l9E0JpecrbVa&jDyu%EYld*oAODJHYUR^? z;T72i>(C^h)l75ns_TvXG*{P9r>+;aOoA`CCyebuzu;Ry>T^HfB`5G4X!;Hv! z+Z#SdiM%_8WaCdh*L>j-m^N<r zW)1KJ1v4znmBCN;ZNqI;reK^ju#9#xdA7bRkeL$!8F|26`L+IR3_UFq9HLrCMs4p{i?ZOeRWl1 zfR(xONL^P`k-A?NUg~S;E}EU*s=tHkvJBEk^uDi*j@4$lsKp5vA6|F0izg<%?w^holoyq zU|!bN*kk|56QaCH{038psWWL9#F1Muns)s*?6y1Vfkh+>S1P}Q$!;0(T;ySLzhx0rKauEo3;prb`3^XYQw@*we>Ls)?_m3yIjVsTcMOOqG|?;}&_ z@_O+EjB*j!X{TeBG7qmnu1nMOY%*7b2r-8P#&q{l`FwCs1w?lmXyNzgRL3dpvamF= zq&~HzyyJDxgf~(KJP#<5`9SC2(EMkfv+IHjQu`cG+WKlPaSM>8gLRQS?6d)oYhN&x zYxIPxf$hpFWk$1iHE=|^Bc}*Kuk4-4$v-lYnHxB`2XEpegb=oBQNSm;`j+9dhLPHH zX3~qTW}5{phrurlP90J)26-iP8rYPVH|?l1^JBEpsQ)VWGG2IJ&I^61l3a~ z%ZI)z7`9%Va1dCh`>8iIHQ(JKDDp)$P>2R!U;vdtktTiN&N~3>dCvt4rQz^YO@OGp zlMt9e(E@L+MEHUoF?31@`olBq5PD_l#Ot^v&Ch0Q;~_ZDt7@cXg$Wf{ZzZUcNaOJwrJ73VN7_EjV=M#x~oUW75GqQQoe(ZKtTrYv1-fwD(GchlV7OmsBF)aU#u8Tg!1Er#^ zGIs2-cT*ag#?2pYt|#Wkj#?I^-cH(Nzj(|H#VwhU;G?=%q8frT!u4P!lO9Z(?4I|b z#zySJiss-wa&M_850TdnqRn^rl&kL_Tx}1DP*f2Asgg3d;a*nK+s zz*dbAg7I_-!XNvyv8yCDV1gw;h5_rB&+7ll0)5kU+*YjCXpV08ld-euk8swZ=(M6B$LN- z*pATF`LUFfKCwI^@O1#G0)>{zWzzm1xy&LMnTlNURQPumYvHn2V#8j!#*B=&VPqVd zof}e-28P>XrHsTA(zFYM;`rrNohw8uzM2((livnCuzKfhbw=h=Up}^NOSScXEY6qE z?0v&sqm$COQG;#BZRfx4>ud?h3~?qdMmGC^ubjrXj$MCGDP3ax5asY)jE8s+F(glw zErl*@?`rp(-p!M)ccx#LhU2X`@Zobf)`v0~x>xu@p!3L9X^4Z`+$#&Ab#&Ho&5l-j zH{T2x8>EY)`t`h`j_SbUBHGfjOugYU!S@v>FsYFXSI#p}Akby^x{I>eUC0=zMIiI! z33s%Q}o) z)l*(qovHgBRCD#icEa8to@~v>wX|>M2)DUY=(02PHF~$!-WR^ZmyPRogZAW(`XzZ9 zbF1AQiVJ4iFIL@u3;e^^J%#tbY#?x|*(z648Uz{Bar0QBVVf2P8a@08Gs`uKw()yD z`!F#53H@~DXmFoa$HYPMGzceRVxmfB{zect?HAMSFA##_$~Y^KEPhA-Oxg&Oe%O1v z*E`&4cEIbi9|^MtZ{!2kFj`U^H1w$OkCN1owIx*s3k1=C5((}N{HPtSm?7cBr1A{1 zS^z;1=&B~NxmXJ!m)njxOan~;r#r-D8nw1G0oOLPN4o>{D{!aC*^8}FkZY^Ijq-^T z1d%5=3xg?KhW`(Y7yc9ZGyH(ZWZ30u6Ww0?9@?tn;N5+DaZ2Oh(4ydj5|<^wE0k{L zYL6kR4hQSat||-Qf;?u`Ko?R;Q$_=`&0X+CxCjOlhlpk8Op9q06wUo@RE7^njzHn+ zs@Hol0+1Q$Swe86>u~;=exxZ+VwcCeQ)Dht?*`J2?x^BsTM^`ZlJ5B9Jo8tVD{tVI zCybRODv9-&rjP$=mQEZ(;IZsRM|HWKQRN2vemVe()U1OG=toQV0+>XZnGc~L#el+M zX4{a_>bUdS94C>^qJtSo6HJCyLx6iMvx~Joyte_gi1d3yzYKUv`~SQ+*6{gQ;3D@> z$*Jt3j!NB4iq#OzU~j?Qj4K&W;&>-Ixfoe#nA0}QgPF^LIbUQJ4=Bbqp|oHU*E*^z zm~N1U5tO0}1iown!ieBlN{@YbW|c_S1E_$WFEZVS8Ju%M@rSz)AD!_Pn)kL(_xGPJ z&YF3n-~9ul6>^iX036Q?@+F)0bzJb}+r}>FTqm&={;2tBL11PhQ&+6UM3fWzQQkc| zIaPpMB8kKKHS~>zmUtd-uWrd|2KV;(AErP4K7LaF&HgwH4%e5%qOU&jlPBWVf?>R; z?O#pu69LrjNBDZfH=E?n@4oj9MaBQcy-61xv{@l3dtmEGeY)M5V#n6Q82QeB|4qi{Sq2YPptaw7cI{Mu4^aC$8;^B(>CFQ=Q*>UNTqI07Me+;pU9+B2w{VWn6KP5fWBwH zx#qm+D{Owmgh9BA%$Bt*Rqps7I{kU+vHs4cD+ZUoejR*-EuoM`mI_~(?!9us%vQBM zRO=a-FGi;h;#fa@@RON36H%JybI3xFZ^L5HWj8eNb(DA^$r$Z9U#BTEzT3Bi!{HOW zt=^-Cu>y(fOQui<&3jLSl9E;Z!hFNn#RdQd&|PzND5CT}!7y&-n=uxw+{L57?+kU5 zA~HkN3ltGT-KtyB*p^ktwc6>uL%uu)l>`RHDYFRAl&@Gs?UgufO|QgrnK@x)eGs)k z9q@fz)I2ua;24p-eTHPvYtw!&QSly~iIt~)7y+8vZ2oE>J6+qYI+>%yf&d%$PPzHJ=*H*7mws#eF{QlO1 z@D!v)1zcMparyt)`_8W>*68a9&ty!86i^@#Od)|l5D6en2@oK((1Jwlpp*+X)GHz) zO;iRjPJ&*FAfQ+RmVmundqG7+YzXQF6~*2aohF8&sg1d3R^|dclUEYwn^&+MyK{;+bMs%UVStdoBO=$`|;y+ zY{wN=WMgLRI91Q}$v@&Tb~B@V8|yd2`vY4X()!>!JY~(#t7$i}`RKR%v&FprPh&@Q zJHTt~8hrm1`K3j!__Saf+O`)P6u9iD{Kj{bJQ< zYYEmVeY;+kAGc=yaMhbaE9`76UiNwEW;{iO|y?(cQiFR(#C7+3kF z_Cm@U%*`>{W=J{IkI^cl@fZVv9dG%9u`1s)F}XGY+pBfx-29)|s80Rv^z{C!8NcoX z4ZS(^iEw=lcKmFlbZvQI2HLc`XTtvm1|@$KiP|J-37iBZU> zUS1us4UPYCC-{5rDeRW)Pq+c^I<^8E>pK#2G zVdGc*MFFE(qnLB)_Ze4Z%b`y%uZ;xuulzW67sjGRfBwA>Ycxdtdv5E`BP}D<*;kg2 z{`zsH-Myt7Be>vizxuJ!-@o=MhmLy6zq*$#7>R7htP^qD_Li<5ayV5OF!Ea|eD&)PMm>Mi zEj#fBGb_%;1kl%eD+SnjZKUYfZr@ec;fGiW|9&(^-QHI`idAW$?X?Sj7t)^=-mz`H zdT3qWnq}5!=G-X#UQ;-5=a<(T^!%36b9n-P&&VFoB%(5S)-&S26-c)m__|Rx8=56VnwGp#jbQjJNd0pSWplrdZ zHK!_TZehEq;P2{DRqpY_L*AInWd-)U51#ymDHp9HQ@>-f%!4mjWp!ZN{`7>MJF#{a zV?X2ie>Vsx*L1DAzVq0xYD^HRnsv2!U?HYdwiUPjXu+IdEhAwk_x5_c|B0;(-8&NU zv;UsRNCiP;q&THZ}*g4S-DY1t1@*6v0FGgl!Z=&F?C6_jty_@g!LCP}SReA!=e(<|duV>3kzUPPBl}PcNgQ^Z zE#mF^v3&}ZUHuk=6Ud8Rw+*An%4mO}KE?CMFP5)mtHP zShfQY7)(ka!En>`xdk%gM*5197KOPTJY3J54+M=)^h~pQf>|8)^4K2QriyU^NW{o7 z9l=D6WAXt3wU`8p<0f2rwcCw=T1|jGVw}+GbEF-MtW8nQuGov5-%}nqA=|q=mby`i zYz4tq@dj|oCrLqF1g@eFa8(mRmd$2NKFq&{jwKQ7)W;!_>uMT7v_}sq$^V)f85w6e zxKtsw|Giq`&~bbjjo`Q;8Hmfvi3ExLN@P_LbKS%gG~&6Z{}O!PX~ze1R<^fx87Jg?BJwJy zK57FltO}y+MjH^f4A`xWWD^2THd86O8? zSh{6Em?pbq>jVc<+wt0^&vTBr@?S>Sr$S!I_@S-qN;fbmdn=UFw7&SPF8Jv2L(HMEwbcdl6LpCRnnE)?}X)F$!l^4f-H$NmG%VSb*uo*qYlQ^- z%zmTY^$yL+wPo95!ZYg^AV8{8jgR&yT1Hk9QgcB1s`l&AQ=SOZ(@VQj<=a@!XokA< zGl|HwJFrj@0=V(xNsh*gJ@~MK-tjNVX{p`m>Uqb*=UOi_(?c%kLsr=O6&eU9kc~48 zt_mjn{jual;N(!o5=nJ^_J2UsxZIL5F)F7E^@#R*PFpF=JySl=HkcHiBG22eWlOl@ z5lE6>R~a7t)F;|%n7>O{SPo11v24T?bE@4mhtA5>OaO zSvkW5RQnll0vs(W2eE_%4;6A}ZtX4^?Jhm55jgZEk|JIC6RYgcLcTTRUBJ(x=71LR z46gIC|ezPsNtvq_4_Ej zlV1}_INksxU8V8Om%<^V}>bMzMUh zm9+jsMCpx2T)23${E`pgrh+pF#pjAxYoTCED>^LSdgoMzWQamJK}&eoi8vRFgL>ey z*EA;ZMg5I$z)weVcTz_Oy=}hv9PDGcH{Rmi9cL5n=7NO3zkLyKHMRK*D`j`uf#6<^ zHlQJMSGt)Rh%#!_IaYxF@-~HSQ;`ZCRfb=r{N_TPDa5#FDK6MkHbvD z%5fGC-g?*S2^X&tUTa=J;*Ila2kEQ3-zx3_fw;>dwx|33C6pV@SnJA3`FKdH(DTwV zoNj*J0g2k1%yrx`V6N<|7U!|VVqc41wCy|Zdr`$$Bau%{$cQ2faJ_FKzvgMfy=yrN z@nqTA3L-8VS=KA^YI3sFLIp%4KOH<|O9alyXRqG*cA`MXhZc*E`t=nM@ixew>~nX7 z#IaPs(KF9FZmS~_eu5zJ@%?uFDMBJ?7>@CCml7!tkiKZrp!~Eyk#+?Vr@Q<4nQteW z9)ZN67xX^Y{D_QZq*>7N5?Y-?WK}i+0dq<|(YzQ0b-DhcyWD3?8NXv0a;bo5nFLET z`18iK%)k#slpO=lY8h&Bg}iJOGPufGKm=!OCx#%;tOcyh%#i?U&lA7Gl3$c}%;$7_C=NY^B1dL*%+HhF19PtMU^?`4IxM#p2WV)@p#xJPv zIpt>vHB!IAj~H?d7VOHoR7VUw4hvk(@kVEiyeQ#&kr7e5&3NnZmP&-57FmV!xNCgR zIjVh{Ztv4iVO~mN)CR~aHNr2L7_+jZ2_)~LjIW4GK{~FRpw`3e^YZw3Sdt3f6uEv) zki()b_Idy5(%DR1Q@E;tID>~JZL|5&3`geK=2;9NX^RCBs$$|Cqtefsm`)#teD1qS z^HkO{H0jarLP=-T7@E*I{{~W>&igmS_|C+|LARSzP9k@C^RvCKNP$;cwqDEBi(dgR z`CrvqC13Cn0*^8`-o3Zr{i*BL#6^m1Ad%KuB4>oeC8>bR`fCBvkd=U3;2uDoZt$MV zmIouB_wd^~|8|E23e}f&3?(sJQ2IcT$q2L$&S8~|PN2*$ZAaKyxd3pxd^R?$`2c4! zLFrn>lS<#XV|spTRKZQ{iqujy0=X2OhFzkk5G&TT{%uY-+d~fzTCb=DTzOMk*kW`e z0z@Y~Ueyti9OTBbjKiJ8@^s{znm5MVs(zCi5@=V%ml`BO;^q)I#&Ox6^aZHaqjdCC z!?Su~rCp`Ob;gOU3NxTwT}4X2R|Tysd9cCVfGY7dwRi~l)Co5LjxF3kr$Tq?B;2m|iaJJULO2KO`uaH_C zOwFxIv@8nq+MNrDddkm6JE+4lgZF0O5)HRR_^b7Sxh;vP1?u#I?W^1uiux+7^6*cE z2Rs3%iF!bMVzxn4u_L8t&2ZP2k9>Dw`#4ytw+i4VMIDA=v7#)Nk2W0n3@3_rMugU{ z%Qs2F_e!)^3W&!BwWJQTQsbNEy|g#En0TTacG1-KhUtvS~LV|R7xCn@^&ctxWI&Y>i z6C_;w4LVj#hk#{%o3n0sJ5z1ai~d1xIsguHz~Yug2#(`32Y)_<_@Ri?v2Kl!4?b6^1B)0kYd-BX=*1hL3 zByIfG=KZhFQe~e34zGXI0K%D)mM1(-^Iw}94mJG}#>TOje+{VDLehg%)0p0dr;8vF zd|hs2)X*~S`7Gp7YS|vvWb{P@_Q57w4Eu1kW5zDmA1Y$6hW2n@i@zRf|7k_ijep3G zlfAWsB_gK|g#YC|5tc-^=U6z}efZV}%DG9rxe0sj4}2QZnx4*!WtYo*t-jm^;t=07 z%JhbB7lG2?lXNhw;zzrdiaxaG{cOUC)x1Ncgw6UG-hq@+6cVs!Y$Z(_Q?RJU?sDnP zO9y-|YWzsXOK<|~n$;nK=|uNHdnE~)1Gs7lFZPO(1S^3+O>sRsqk=@3f_&)hZtvl0 zbq?c6q%Ckw+*@-c!Dw}&+0{XAqpV&4_b*cL8Pl6cXuG_9{uND}zL z63Wc2B%$-=60G^@(dw)MWcD^d5F#HJaFj+8Qzt{>eKybbO)Hrcn7Exra{L*hHNuPF zdRxgba(1OLx4U2Ww1#OZoA>}o^gsDJ`zcIE`J^jQW|QluLd#+45ya4lKh9Rl+?!$1 zF6Qj0$(67`JzQwCTgF}BRgAd3Coy)cYwACb)X$nVMXaytB>61E2c=rA7LkP7_UEK2 zN%&&4Y!8N}ela!ptIWwdqXLIiXMca>jfR?IrVBM?x*7#4Twt;FsdYiN_{0GhkCKhy zl3?2qladdYT~uSIKW*D7X=d@-6ltTtrODcYH&_w&8ZXf#^31-GHu_bXlOi4>eFvzN z-V!~{M5*k+uu|}j^j!L08GQ^E$qUH6E)XepcL+}W4{U83!te(BY*kw;n8`qNGT?lH z=j4Nj5&q9e%B2}=iz2K@hNKCQXnv#S(LHZ>*?dzB?42%06#J7> zaF8feZlCB3ky78JYJD3=i>&g}`v9kl7bgo($hZbL_YUE8tka>%6$?*j1(h`k*IS8^ zoW*Qno4{a71u9 zZ?#eXjgM($)m#=$0(!?*kM75jUP+fPC`ph!YsQ}D;sLk@_hDX%?3f)8r3RAg3&07p@|KRqAE|skdI~BZ z;Kk{T_55k_(;v0wkw#gmoo5C(ytwq$@6mI&fFSmqO1xHh;SAum<-942P+s~CWR8{_ z?UYyQf?3{bW^T^Y`i^o)&?aV?PnKQJ1u^=7mg3HgMNFE)HNCzo5hFX??^8VG-Ju{n zB-bKv|JfeTEk9r04-qa5|Dk)cr;c=21Uz)(ykg^2K`)x`j{{O|zjx7 z4|1Rm*0W+CelGon;@`7X^8P`To7yC+H*f@Gf8rq~~<9tV|Hl>my>-qARd1yc^^hWqiuCS16uQZ)O5PX6w~@ z%Eou$l?p>nd*BB72k*)isqEVRBBGM?$w9kQ#5DL>-uz-Q`~0b)z@t&$2tf3?k&`JT zegBHJM?p76o|R~Mk%1S8zk2kLSP)lC#+?TVYPVv4GB%$kX4rW2eQ@q-tDS@i-^qa2 zuz?FFJ1;h%z2_0}#P z_h3OcnIiPO1Pk=yDOr z42dkuE`t0-)G=0CUO*PIj2h`k8#BvINS4ooB~q*1!HQ_?4;K=+CJH}A3Txn?$;n3R z7^m$-W?-1J2pp)4Yq zRmTiBVT?^LgMBbA?Ey#-ts3iX(-?oHWGinB&zCRQl*%RY-^C^zfuw5ipK-c{qy6+| zE#$#lPIve=<4Pq;x)Y)QxucFes~E+u{#4W@pFC$7YN`(WyJ22ZWdRznYLd1yIacd+ zf3;EXD1&TR;17uwgm(e4Fx3TCIevQY#dw}>>+H*j$}qtyIkyDIE@9><;EHn36uCYp zFM5q!&gk{A8~aW)#!qMZoV?^=*^Nfa|0~g)ucdLhsa;(ws_PC`bw;qW9UVZ z%NwLXG*r5_p4`MMZRv>+)$e2g7geRgkG#tWr0B`LEGxxs#kLm?&H>|CTbZu`iRBHp`_#0E&H$nnw zz~jS>t4vx~yZv&dd_1a110t)rq}Dw0aYX{)^1eG*`JpF+%O3~Kc;A#yCZBe%(NT@- zT{B*vxgx0adxg7WvCQrqs|{>%Uy*+S%x-VhbyoBm5y=-7AAo44y#*!i@)JJbGOQ7w z!K*j59;(^}#5GT^oz_E=up_Pk-)^Wedd`8oWTnT?jQoycZ>APoMZC-)1paaM_`q$liI=wovr#@NdL@K_2VF8~`i415wcNw-it8=p`5Yfk6W z0Z1I}L~vcteSQlPf8&_sjoW{4{L_=0y^zFtFU~;es&)Sehxb=<3G=#rHodNELIZel z+T}8ze>>ln10{(he4pD?-r}m2YP~)xGGK9a(w@L)%Fl`LTm@|osjc&CXkE`pv~__v zUijS;2pq+)0uAVh2n1O*TKpQ<1X9W>P$ohTol3#Mmsp{xOlyc0Od0dp5fVkjPRla&VJRr18)|1iSZ69R)rMxafryzE_GVgabg_Wi73skhUY$y&(y_ zLCZWhi0?z8Y{Gb5@s#_OUTP(UmIu79;-9Yl%VX!-deTPIg}~)A8e6^X&=?A1=2Y&w z+s2=YXyaMoSkLQP>rXNF{#{x)yABf=&f^^vDg2y>1fn_K(gOQHxr>V_Yyy_F*PYB~ zv{-+wzz^#-vRKYa&NJ)@57ITw?xalUfr9L<)(a`T^B~>vqR1t4j%9$rP`G zaax4Y(DDnBpM(_WS+I(KaXok1G?&P+C_B(N&#A?n;1scwOmR)~1ul+%9W#Df1YF!4 zaml57a)@TtEef$(1tiTLRzQ+$Jk&a8D#erJY!!2W>a&A>oK-0I`VRYsSPMem69Z`! zAH@(XS+<|#uxHBM5afihwmdKtf2lMVqWE3B2Kmyfnu1jT8XM{~1t<{zc1Y1ve#7$< zx04dslt-Pe=Cx7AHw2-uxSOdHh7`atTXWwRRn$>Jmw?qO?-H4pS@;~S(S7C#bpBGJ z`Q}JvD&DK@RzvN4pJ`E1Q-H+5d~;Ha6LMqAhQ`pU!k%@MI5u81Fu~z)RHRdrJ^L3L zPljD2Ep*cOX__C%T}zAhoKSx<@u8NYwtoS+G`Z;^>88Yc6@JjEBtp`)g^{F1U1h}oADXN*YWe77OI6({60TYH6Ke=ZF6tvSQ7eX_tPra+%iR`HRz5(PdK&D!>Iy2{ z6|PdQK7uuf49m!vlr_!B3D%mgl|_)RX^Vj_a)53b_y?#dRf3mCPBNqqeUA95sC2YpQI<>BjjWT*7r9 z*b!H9_*s`1E}X6C8aq4@)#mt9I##9uPmnQo&*Ibn2w>w*8wCqT;_gk-a7#* zXuMEM>4|EgqmUXrqBscmjj0qM8I=8R0cS}IdsidwH05Bw5WSn}TV^XF)!0opv|Yy% zM`kp0O3I-VkSLs2WaLV1KC%}zm$JqkQ&gc|PPV)DYU(H_HdLm&vJ+1!Rsy9}En!mq zzbQ?XUeq0pj6?j8n`h&7)bYDoiqV)e&M{XUid^PlS4XO;zsdkX zv8+|fYetuv<}ERMyu;0(a{V17dYSvQv-1&_R~cj#O628q-AIOu4@MQTCU>9IG7mmd zr}CyFj=?>83^x&8{oQJ;;G}r^8& zn|%(HQbgPPBmK^U(7@K^aq$Oy4A>`5%U`zx8$lMVUci2=Z1SXKr3qU0z2W`w0k8{MBuI4wX276C9ag z+}d3Pg_E)FDB3FT49SFg2DzP3KB{B%m3NB{Q%&}leZD1jloy0X#R>W*G*jtya4{>; zKpkL~BO6|yoOmtDk7||+I75FOE~I3P%K)M-avObukl|+0m5BT`8s<;63WdZDJU*kE zMKxG^LW02kJwTMg5kbnP_9tLx-Gp(SKF0=P`7h_Y<|I;49t=e2d21?P@fmQ?`EeYWBf3f<*lo@C@?m5{_eTCBk0vo)eJQn^!8UQ=P%*PhT*# z4|5SxG3|fM|I+^ndAQ&Dzrp|u_yHTtIt*bAkbn_Y-TbvtdSWxFZl~0yf*PTDey(;J zl2c;n!g*xG$*iTywXi4^nO$!^D|!(uLTySNmQ=*f)L!V1Ct7)wJ?#PeWPG2QB&Vpr&R&)cUv~)Zo-tZ9!CX>C0yYIB*<@|vdEQ|{U3Ulsvi3RlUoPTJr!1zV%F+ z$u1Xey8>KN%`7SZT&h3v)|+2eU8y@$Ol@d}L@IK$MdGWbO6)ODDQa>GWO{e*EXL$W z_c!xSUD~x=OWHhZyQ@aNCmCyvwzbwrQU=ShsAni;fXVg>>tnZp9c(e!djcMD;mP9?=3k2DGAGE&;2)s?q!i^rQH$a(HHe-#9 zHm>_`Wk|_wPk35v&(6XgTB~N-s>vaS!M%E_A9XMVAGF1o)63Xwef?@v6bRrxi-$@j zhu+yir=AbVo8|kXUP=Kcjp>HI;sH(%&OsV*`VGqHlvD9}+_Wm6do=*E% z#H{}K2+r2z`Y~VRf4=cG@@BWyYMEQ{*ONdLLJ}5jYWS|lzGKjdk)#?<)`PwgyO8E` zZX~EB1|q*on_wx^PAWe^LvgF%7+ycw6O|zIDDID9gQeh#B%-^u)mW=W?`MJ#Ele|Z?~651-Jw>{^P|gV;b$l zma0QX#n!5ai$*Q}R47 z;+1^-@Pvu~l5D&)_F`Yl5^GwN;vg*Q|KX7FTu6)A z4kzxiUg5naDsB^8s($FpFeu~ml-{050w!tcLf;3hRf%ya(=k=6sVC6er(#BUg+%%u z7268_RnGFpToa5Iafb{qn1eZ>LLvgJs^-kI(3+u{7x@Q>$pmhNllt@#yyUjG`>Tik zwE16Quhc|asI8xt@{A9QV*o3094$Dl=hA}za)xQNzs_SF`+ThthdAWRX$r~o?vgOm7L5TMEY|H~@ z?PXxEZO#-xn@0Uv+Qzj1F(o?=f6%AoJQ`Tf5PR6oacNa^H){7x4<@$>iaep;*(l)k3 zf^5U|6dKY531;oE4zb=Bwz$3=g-aXFCV_*qHx(Sd(X_OfpO$nS-Mj>d0%R82IjJ}W z|8Nnnr&3udjdn6tGq>|i8n#Z+E?%-H*#aYPoti3Z$#V+*bv4$dkGmXY4cjQ_*dA$t zux*Mz>xT)O!vNDlAp(Iq&h~9Tz{WNQ&i#IW4$7M*MryaH{>uf#@C8$|1LiH89 zcTSUpvR$nA>M%5AXBP=PTZ(|9i`{)DX8gV^WC?QA zLH-uSs(Q)hOWgryg*RhMKZwj|u6gJ!f56FoO1ZqVCvg%|&(-4fjkSQGA-p zH8bV@D5MHu+zy@Vs;%#R4XwUbYe`rt>wB15A&{!10UeJN9hgtWjTHXq$+622XI#)h zS##n@)WGi2Z7-8l%%JM0XO3s zRl|z;r7w7%Rp)=!(OzS=KZ8{kcR}Hdac={Fz@@jran;bkdspOfZ}@a`T>VEbhBm9} z15V37(;<-q{u05ST#)p2Oo&!m%NO&IZ*MUe4a<@x8~y0Vgd%F2E#Lj;b!3&^&OLFS zH1PDO;sh)e_)tv7?8UmuSUxM9ZPIOA1vD15{U(rLL+P6ph8oP@XaZxsmc%#ALVm-9 zphy8KD70{8t-Pwe< z3RyMK&{F+US5szaC7B4)(y&U7A7|1nOu*b6VxHiDzlnV?G`E#Gf05X|S$O-stt z>myQjrjtSd`^pE1o@z2#aT;@HE+APqdbMIYqV;Z8Db57-sf8uv zrF2Hc6b({x!KmAKcjLSi@={kO;+K{K#CmVn*n7|#y@`LiNGrxCiySt$l?0mvPDh?m zeH8eoOy~L_1uTwr{h>4onG6f))OW5wOoBs&Q_l|*2bXs+Rk>I1#|`$Yr+1o!n?ni* zumXWSBJohcOmHV%m-*a8`3dsS(tJqY>!Jrzpf}a~PBQ=Q@o^Hy-h#!IWrvcwi6*M9 zGuXGPk}+0I+mDJeUOr+nz18YENWlECllfO?V!74=Ye8q`b{%e2v8x(pXJB2P&9JYJ za&974f(k;UTwziu;5x>(1um(#ET8XL8H9>)ZOe;IQbZUFEsnL|e@`2C87IyTFkGxz zzM1K5^H<~8vD>Yglv1Ph{>z0;8Pvi?@CC%_8(z1>2S4PP-<6p6LW6qY{gWc}SFv3LD-v#bZB4}5o+ z2d7k=heYgU@g}IoP}(({XK7;(r#e#JRlcZDhpf4BrZ$E3BRkOH)ZJJDf1bPHiOS*x`MYIgG3GE&Z0tOA9T^ zQJQRb)N(?ymGj>S8!^eMD3ZB@guLq6D+;L?;#Jn~{9eg1c$)x`Y}b%h;efwdlvKOt zZUyHSmaH-l>n~!tnDH24i&`<&D!ObBG4b#HO^#4H$%$2c%_Qj{T5|c&_O7f+T_(YO zRHaE<0g$GHeMPX#p(VgYy~+cV$&buwDt)mcm7h6H+8%pMskI=IO-@YVqrSPO1Q!>t zU8f}3CawoXh}C1QtgQU92Z1F`0p9)f7ma^GTmrar4{=W*o4QUU-QSQJ6Y> z=|1W;wE9=nmCNYdF2PUA#?Fqzv)flLZ!}3m`2N>-!U54qM~D$nrw%h&N`gUXVpma# zbTrHa?Z(`GnI*35m>$JEz_p4At$iNO5#Gf*CaG)QeNR7~Il>C@d#H2l<-n~qw)cuv`R~6V{{rKlMylDHoi`|myI7Y>P!x+52*u)$t@8b;i;>bm< z)hX3tH=VK9zcGcd@3X6ruRD;*TLG^j79>RrRK0 zcVn{k!~gQH5lx9(F3T8^{|Coqp68vKCH6bNrV&V zaTu>|C4x|ZNQB9PL^(Gl%@_C ze5J>w*&3HSrXVvC8fF)RkK$0JsRXnB+4B|%O()7>fn)s4c*Z5uNz#&ePn<6`Jlk<2 z`+i(olQqRu%Dar08hP#PN)KgR$XMWK>dt_qe18_EYC#@RlZ_yc)Xb&9rk?MSL)5q{ zPJh)rFf&EHAH$Nw7M*1&Td(x(#2jT-;f(neQ%^aE?K8Nm%m#?e*|L@poMgfn!-Ac$ z-&sH!kX8ev2cOwVhmB0-rPKDDe6W{)OcuNfCsHt3dr~xiXKv2ppHwQ+V1dSXi2U=) z1B;j#f8~T>LC|M+E0l{8t`-_Xb@)4!1ZKm3Epc-LX!mQkLLWfcd}-(E@0_ z;n|7%9rN*~u`G4-%%fy8L%(VfoXCMM&jVl z(CLMYs0E6@${&L>>6j32nmS!;+DMY~YogK>mA+l8-uERdO*1B=rtQ5}YPMJKLLtt> z^QXMWOZ83$aTl8d?k;k4)KYw9`TMO?Uw<_=&HAKAo_Q~7vb@R5?_55hWhzv+A`|NW zz6B>XZaL|?UYUKS^hPv;4*w_2*(Y%mC%*w6PS>(s{=arw%N$lv2^R)6PiWKGN zVirVKs;FPoY+9HBT-s1JmXzT1;zk3 zmjl*g$JbQ9b_n>;y~hH~+EMCPXR0SaqBee*|Ge2PU+=c&TbS-sJOkWP8qM@TPZJ2T z31?Y}4QUrDp;X(XN~31e_BP1FARcho#+&1%Yi~9^+z2g>WgY4~x>?9{OrL>AkLLry z=kh_8RpLp-Vjy*`MNZF#R`*D&QqDvo9T~9;I+|38ypnUiI2Ysd)YTg48{R+i3mApu zK#sGGDZ6AC+8~)Qt3cujRnQ$>UVzSV)1A0fO@)d?6o1SQP=df88*Tj zcVV&Kbv-1Gx_J>!P^cW1uT6#0yKl9FEzyiz@pj>DOnhan{_l){+oqH=mhWKQWlZIE9PKMer4I5<48!*!<RnCkjnJV}8(7W94cR`ZWu19JV%=T?RqQ@AE*ABL3f{ z*Z{=n!>q1ow`{|ppXo0@44Ae(fFyJ}&Kct|eb3p_jckzAZ3XVsD@>^o9R*a3nc1+U z)X+(XenJX5cfzwWdJ_B!S@mj`dfKw+`9?nvDCvY=*z0~=-K!O3I_WAOmXOZr$qmrl zD9TaTMP1knF4@v{l_2_0pTg(X(M`7iPLfyK@o+QBM22D=5PbPc*kDO#X_l9qHA)wF zcFhdzq?;#0qG;|!t18U?MIWz-g;ib-bYh!vwq><{-m@@p-pss@jO9V)6`dqq9tt#N(Dgwf_8fE&{yGi#S_mG#f(km=+Reu6o#LadER@2IPUup^mNjlau$tcf7{;+s2bqq| zbX2?>mdw0>r#o%^I!N*Fd$!4`nHMQ@E&<|KZMCT^-bwmLmlaUvj^mO#y6b!((UES6 z7t$H~-3%%YRUWXxn(mRg_*@3ZB4WIRe4pRO~ zUwb;_5@PnZa{}bTojL~ZveI*6?er*{PcqVYzqiPVF6)G(M?{}~y8o4aSUfVn+Wl!A zJ@7j0f+oh_E?r9xI)O`Q^n3z}`7@eG=Nd!ymVCfYGi+u>`;SIS{pg`pT1gjoDzn2v zS5FU5+W^Fr`BZx3$`It605!)skcnti3T7QmvyO?M3?*K8Lx~8d$H}ihFD0PU+L@L; z5eg+eUW%P>W3I)3H-U!%?@n<$^R3G%svmti1FX=mRzRe|8OA0trCG%Ax%8Qe_gKXk zVIMYENT2-}C`2T)Yj7uhZl_0*OL|5L;%$?33KNFN8ALH{ehbDzmho)qDK!-q4K)=` zd;HT%F~$*po*7^N*D6eBia&|@+cMMO@Q}DL%Vg(82_>j&M0=Z=b(du_e^Ky~U<@JC zBHFLb*JOvspjqy)v@D+K`fIsHQ1ZekvEtsfCLEid^fyauTD$Tl6Oo0hvN1Rlkwa{` zGgp1kj(uP-M5mWwdhF^akXTf~Od3YQlxuEchel4$i@XfdPX@yDwV1iXD|RB3wS-=< zF9CT_JHeGLE>dj64naDm$Nht@D}#f=5-irQKBBeI<)O^SBOkQI^o@UEp3%l(vGI|~ zYm9Wqo9W1O$hWb!jCG;DT%qL2HS*vYsme{0vHEPwJ@Pr(3}mwqix$ePcRQ{%2kB^E z=I*&*(y2=P-%Ukz_#;f(&n@atkYx1`O#f#9tEk*}FRH$!<+|1GVP$Qmm)4%CaJR|S z7;m9@%IfxG4rvEGKZLuz4!OPa!hN!jH1I{Eq8t_%-%Eug=#E^h%RH$A2df(x{!^N- zWWX*>-$F_3#NCPakZ-l$FadS1A{1~Yt*5JcGp@BLJTWL}ari6x`9Aw`Ov^U%j>Lts z1LH9%!X^OAMuxGNSmIvV+4f~>kGexhn6%}!i(12krT?aF0_l42wD3UP*}RIrMCt0G^S?VY`SuXY zAxfO^;!L2ZJhdd|d&TAOk_Vni-D`$Zu3~4dcI~kKYw68v4v;F^Y@FT3lp7Y>L`>(L zzU|UY!XwENZJd{6<_EOvE08#mT@mN*-?)aq8bXxMvk>;&DZj0EE$632-MyeS+I2jt zj()!l7Nfa(t9tspoxQw9XYsSK&%K&&tK(MUe_iU^j29dT+r{^(c$5zbK7LGKD;u6H z{tYG}>7Jbfvyt1LR#`>vc~2v?Bt=Rkk+<==FLsZ+udaCESn@dTr7q>3#7;Jh^!))i4T!lK|0*x_F=LkuyRRo+Q z=oQ04T?7e|(tjU(waUWmToNk7nm6yXczb)KBX7}-9l;DvG)`m@bD(`?BW0;SV}c*X z{U**qr#_4&BrhI3?zQSxO!X>c;Zo{@T^o_{c&a!Ru)X! z)dnQg{AkQc?J91;=L@)HTa#XYs-*AOTR!9 zWRypZ^XjK$Ze++ue_I{b$b(;FXPj2pKVm2zR&Y_P^X20Y@li!tUo#`D11nL%f8inAdfq+)z~*{=}H|t`er=l zFkhCUU5)PjWt>p4BEG_O#=J)3`_PZ5m2%9I0`4>J%A~`qq-esBkAKu^9;WbmZ)#q{ z9G6(gE9}KiTJ!za8bcDD8Qrc%mj523`$-omJNyeDPc^66U(6OViaUWAqk>8lrvRtm zutsM(!Hm?)+0c>#vX-K-Kcln;xagT%S&ZtY#6Xc(-h~xK{*iF16@qFVu^J!z?=g5kMaH{18Jm zrg&NXpc@a@HiAd+F!c$!@&E(f_5lb~B~14%^_nL*0lQR8&`~SnrYjq60ugb_#HN2U z|EHaEZENCM!!VjP34}u|tO1Dx!Gw@V0F|>GG(iFhpdkVxP^c)%xnkLh2)h&r!j^Dy z5>$|rK~Om;LO=nvO0|d^DJY^strck5DpEYudQsi0{jz_*PdYw@Vw#Mr#cC6vTizBo}>B-e5ov!BAu=yM6tx{w(#*Y6#fF;rnS#I(BY~%B)3|m~%``u~eNI(COSTJo_&dcuoJJ(?U_PF~)|rMm zlyXYO9%fx~ZpijFn!Hbmo9$1lGkVgmFe#6y&r(aCUPRASz-Pp>`ik6$DVTY7I=oE& zlo_&G;S~H!w!**=gnqeWHvCJ4tJ5o6hSSvZsDcZ!+Z}SP)0-tP|7so%MTLO4S00#e z{Mfkudg3=U;&_&Z%$(QlbX0AWG)K?lCHLPZ=m6JQ@{mtQ(7X4*H4!}1NjOQWe*f!l z1+Aoq%p=Z?9aYov`;re2(A{Jv5{oy1#WwHIO?}^g?3CaAJ*_M81F$xOS}L^bJ7yn- zOOImgP}FV&5|GnC$(m`igyd9tSLL{D%z8xFCxO~upn_$tOxPuX)GdHXze0w3Q(grD zfhB#)oeHb9InclsSv1B|H{T|5;4(9cYiDw?WA;X9sC(Wyn*xnKpc6gzQGm~aO`>PF z4?UyO0!+qRut3_0pG;3 zfGZVpb2k!Cy+eomgG(W_6z8FDa3cnpU!j$J(_IR*is3w;cqAg79Se>xAv5IuFwHuM zEH1ZmX72%FRsdU9pT*s%RT5Kp5|o(dO9B6sjXjyaRj*w@O0_kYx0V}x&3Vc@ZVv6m z`V?N4iUQXxqGXSB5p)&9bVq$69#L@ex?JKI>u=bRGcq4X2*IYve=M?%Jdy z3kJ*XMC_w>o`CDcjTEOMG6p?Ry2uV-<30jNc*!U{&oE;nhmGZF^7|`NikN*0y=0&H zd??sSc4F=OL;Z$pFwC-%k=-{*5#l8;7#bcYRSQ}CdHpRJF^#1eA*P<+eX|Vuts!$s zS07f0*i`nBoI6HPypqB#7b-WHTEGCB{M<`c!H+Xhthu_tkH9O`j8A=W18ymQsg<}? z?I9bBx-krcCjP32_r*IpD;@pd*1=K}Dy8}b>WNr}h%XcFdfnFgYl&@VkY(YT54RsH zR&M5YwX2pZ99P175r>JCaLN$#RC(A_5vJ~`g`e-Iq#7DMjuyuj{rKjUjzd+0r`;F=?%P~V2n}N6uXXyb<%I!Ls)iuia<-Khj&~&!bI_dds6!2V8W-r7~+Ik zj3jA}@Cs*W8*n%&lNB+tm7#m@pwCFsm2cp_UhU1>mE@}qMKJjYB+|}N?6=(>i!`TW zUi(ipP`vIboiJXyxRAngd10B6UhwYVs)+u>N;JuT6K3uK+%x)8$v;Dp$yZeGI9ZqN zBOhpp^W<&A10r+69p$gR&Pd&-1M=hYmTsFVToHHV36`OA=%>#~@*(Sjr)N{zPR4eCg~iK>?08REAhV}7$kjSpDIIRBWaR6R-z)xQ1$gFknVxqAlC(y6wp8eFJWl-H zO0*l}MwWI|Zo{~^gZW7zTI*Xa-sDLou*Qi}Xb9!@19cr%7U~}TxjbXqDTv01&-~E_ ztq{p*)%emsX~~w}znoqus5hnes;j1}LsY?3*d%^RaWc=1w-N@Q9af}@2^Fd2So2k4 zRY$;gdUJx-R=^z4y(NnW@chYGV4;-0k}>kzizr)182LH6b{&U~B|ntHar&k%b;3)G z-C6{FFL#G;$GCR5q0I)y$lAc*${P>OSFR z;dKCggqTinX?;2OQTD+~N?rt$spkCGF*$95f(&8CH5{zI1A|p(4&PcX;Ny{6E5; zV`+vqgh}wP7ZfIjjy&~2*zuoQjH`QFh0Bw+{P3a#0j6y(poKs8wU$e6g2#ShJ_T6# zZx8ml%vtyx;f2hUH|+uPdUX%WjwZ5k)2;C76F6Ld=Z-}ACqG$P9<=VROWFT^HR72e zb^`acA57(sN0=hLD+1du7PV2FXSayb@;`qsWtNjVM#(dbI^m}oz`Qg$6B-$xvwjp` za$mmKJMn;bPQ`Cb$4ULj9cC?&q59=T#K{Aq#l5I%??der0IzC#eKCp(8sVOj_0QaZ??@HXXWw(N5C;SU#-D;^xlb^*+d3-Z_&>*6DY$Lv^lY-7g7wa%KOGRN522WhnwK)4ImSM6% zgmXAKah|RsI-f3bhEFm$I9i zypdd_(iJISF7A_urHVb4V7TvBqJsLtEjfFIzh3f4uttlwCF@=TX}5Aq%H@B!xVv!#sDNh`5kso#t8B`t*Z$*Lz7 zcw{%`Yg-{U@;hTU0KCeg8qxe>ySG&3fGpt`N-V3*{x0yIkC6?>Z;zw$zP{!EN z#$yZ#ckiSGa$PP3$4M1TIv$?DM+e(bDh!BC3SWasyq;4x^ru+tv+&)}Iuq}M6MJcZ z%PI?nL7dc&AQ0`=U)_EQQd9`2m9~1IL6#Ib#oCAaC-x;9NXFTZOWxyVK zXWzDtj~_ZC?^55fo%UzExIo3T#K%x%;r+bN*#iIiu$Jq96|p2*@wUBxC&Lf_W`Dum zRXtZ4+;Z{USTrN=OweuhWWi??bbW8hVN~7Nts27fjPRzyb=UHWt8yohTYtn7y&up} z23zIJu)whg^{8;SqO$nYth^@nv!K#Bz|64vlPI4AVxM#>@$c<&CBct~bUR1%*5b-u z;I#ka9+6S-mg>4==YYHNW*kVNpE#kwRFXcJUF6sj=!_}hbFT;+qYr49^};JDL{sI1|fFLT6fMw*xfEp{We4P|EywJaEM z_jVa@no+Uv?qRkdHnL?N_;SD8Vd)Uv_C&$1EH*Jq*}QB8wexrUf_RAH%G;TU@ra9k z6y8`XU<7tHkIx?PfHir^j$M@q1Q>r3B!^x47s4hCNo`Mt7RzO1DvHW7?u)m@c*Zmx zUa9gTelA+3W{NUx_ioqENDDbwO4DJR0m`I+2y=M9`*}HtWwn3b_s{RTzTvuFMTMHR zp0)0EueBcLc3DHsr4~{YCB{RET7MwFad;R!L-4Q^<+fdtnYJt~U7f~dq&_hof7$a9 zVI2M>_tdxv^Tp(wXjb@ENJd{?Hg%9w;to}Bp9#PO4uzLf9kjD_i$ z881<(%NM1+xMI;HigEGFSh3P?7Bw?AAwD!__ADx6#Uxfr`#CWq>8fDACF#_PMXUYh zXReyBcrndyVJfwp$z;SSPdw#E&0oE8yx){XtEtq*X)i5LSNl<`S1s^cv-rgnYW|`n zDH-#}t)Z4IjJO_KAQittyJpO%pAki^m!_`pqr&~vThdo8<3b&%+0=_`Qqwb6siZ5N zGo)F$zB2!XB5n{PrSILK^0Ha41TWTW#B7RvoMJ~jK}nJ*rxA%18%0TS!YL=!!ucH0>IXaev!DlX_NmR;$^vtx3MLX!C z!IU>Wk#nqm(ZPZ4w}8XBxkSqsWXqbbaPkF=!xo3pToC2C+H-;D63>N{=W@?X&$XU2 zDbG01c+V-GD#|m=^I6X%&sfTHnrDLNbDmL@XN+g0=WNe8l;>2>S)QSu(Jr2eo--st z3;R(a3#o|JGblDyB*~`-&>r;QDWc)4Dc>!ty{HnZ-%6>9`rK(26~AQBszr*_)h=+h zLOMH(#^aUfmBo1_QLL|*f=f-K2fw%~mC9JMVo^rw0tJ=6a?z?6(-!(rii{eS`ST@>>dDX5^)A&XXz8Rs~V`YNBUVE;{*Pob+~21kdEhqW`V+=6~Da?1HCTFFl3 zln%0gd44mTRgIF$pLC(9lwawmS%<-9b^|pg>@!w5rdg~E*WDB=9cF}4Q+)r;s-(Vc zVwLf`{C!k1qw4p0E|vPkVKy!N33?6Frl5yauN5i7#O&4y$2bZ1t>`T}rDDW%ky66G zuY4w*lhE@+S(WaeqeaU8^q17INnxAV8IwvVSs1G{o)xQd9oV$@_Hz z!jTyqnMm=o)MI1m4ikHjk7-YsuXu8vVM)_wc2^_)cf zmVze~%6t^`7&KtCS1IDfZHv-lL~7&x)ryFI=@RblgLZ2!Q1&PHdp{nUt~oTN^yRK^E5$ot0(_mBDbywfP|W0P35*81@q(t!S} zU7VhEDfi(dbBBwS|9)*?%!q!h`vqn$+<0NRSjj7wZ>OH_e?F`~8~W)>c%{2VO3rUl z|7^;&|BtT2S@-`5<0P+)dy`v`^|(kWm9wE=X6)c32Pugoqu}1XI`Dkh0M`AhB2K~# zjNuG3Li&kR1KH535ub9BR%!?%=?uyV>%5-%<)AkHF*;$jOqZPRG8NZZQkN@YQ&B^}N| zb+X`H@+{l7c6nBWTw^??wAcdI-&b0!O1`x|%EE7Ji?+l>@qBfo*1`uay|>q5)$%Dj zwYGLX@L;RXc3sQ!))iX5oj1*H(edAD`8IyzO)YJb&QMJ z27}_c*kVo_7y*koowZRG6qwZR93(ZKm4*3P@9~{7x-zGhfr%EWAg{7dqaIKN?Ku zw7i8k-npaYE7!G9X1?UZVk!pqA8poCtLNs1oaW7!^0FH&yn2(4ujdWAyM;VoV$tz! z*4i&&=n;H4dgB%b4@23f@yl>L+`hOzG~**?USoZ5FGJ6c6g$Op^4T-HU8BSoS@DQM z;_>Sc5*!pYto>IHmZAp}9}OL?5Tbw)$H7rzSASltG^;oz705D4T&TqNFc&w{Dv7g7 zq6#b`9jEQskE5^dyW)r}7@o@VxW7}L<$j?l#f#q<+-ewh?#n+gf$J zsSfe6aj_5|YY`9i=T2r@Q+BpcH+fT#o+AG_-oW#L2I5)GXTNHBztb&LosGABR73?> z>olKsyX_U&{4E|aMS_Fa%^@Wm5c@kAv0(LnSgq=bkNc7+J*DQDQ`|1et8v`iV(w62 zghh0S({7xBfH@-DJ+p6+^(6ct3vP;(XKAJ(1&ZVvQ<4&Ae($`}GE3SL&mh!s@(0@X z@->{>I51TSr9&%@^wUMt;*5uu${aT^)aS(`%v2Ti}brVye)_Z(7r$rvm)Q zzpC%RbmMKL1J57-8R4_*+CN=N+I76aP~I@R`;}Ln33U#p_o8thMo`-OW1Nhp&d7L8_Rq>8WNU zKx;8|jzs&Bpf<{CG--JgZ}_Q2%a_7TtEO!OIc~#GNcLUVw7iDr>uwKYw18!MTZ>sWY;Hq9-rx-jTJ-e8)*!`q!Ww{CayOV2QJEzc z0(i!1n~pEyJLY%UCn`aTx5GY%&io{q?uEy(Gt)W7ixs)0Qc})IMftJ)IFS=8XJ*do z`fe%;UrM0Rsdv7o+!;hLkw85qR=`ulQExCZ&K0im=Um!Uv3|l$MfWM8Op$pK>1FF&%2%Ye~=t)$19< zk?Zh;@nyi^q6*qpvg#K8^bTSHZqf1Ubf1g#bi2Wng)buci=YmihoBBHzZSYq4_GYw z0)dZj`2aq<)E)H%3cYz9zbYlU2MQBlWE~z^wvf|9SXxYVqJBTdfuQ~vf@V`CO2olZ ziB~2Ur8MJ~i@KVNtLJpv0A-c3u}5S zI*nVaQOB3TS~IHIUBo}ays}-(!%`yv3zpsiaDB0&g*uIlK2}7<80#`Wz-bb^XO6%? z3!XlIWh4?ij`EUtmvFHF>)2!5R#apV=V`-ez_VuIZa!Dl-Odlm$(@PtYpI z6shES4+7c#VNFRv8ci|KYui24?05zR9IqMWZ7*-FV5%E&iZQU*_yobQ+QZ-06j;h? z!R^_SfKXR?veO1x+)8FA&U(csp1N zQhn5aTj2%3ZYz>!A;0BWm2!>x7%>^xHV?Sg`ZYqjUTZOU3sj|oX{{H`?g?Ok*~P8d zKj|5pDg83c(R>ClYhF|EiLK;r8`YvQ2=!-nd&UYsl z7f^|^E?$3_i#mX8XpzJ=a@uWwkPY%I(qZd0UzW|E5tRE#R)e zHFt}sBKXY6YZA>mepiEGPdD~^!(0=d{va=igBU>9S^`H2d>a7QRzX=(cIx<2-qc3&UGo_t zJMw1k5}nD|LS0iEb;VR>2X9&ovkR}~hMa|CX60qyzSa)DCfAfN zvnnm>79d=Ql5fNbJ_6dBwBKITepvU8o;Eb@CD0bDy_$kkHJ!Z)dbR-uYK(J8E(gv- z9tWhK%mxlt5PD_-73K+(Bj>pZ0C(ng3w00mvWTj#x0P<_34a5uB5jLYLA&8Vx!)wW zVA-G1_jVNYu#{b)3IufwUtEvJtv3c3zx%vbx$o+P zb_lJV*fma~RCW*=m?~ztDXroJ5WxgVln(h3$7xee3i;8r2PGoHbxVglr=vy5gBT)6 z&<5=#9o^y+#}-}%0ZiT%%XgStQ5HPzo!Sd~ZKW5rHvFj>u6(;-1R|cv)a)vzih0vb zAmzHif=~DaBzdFF^y8x$-^uJW1W5&ziJ0O^3=x8uQf_Mcdp81TU@WNygZ?`NK*`rr zTY+_ToNfkCu0a;G6}eC&3*J~S&oUiC8EBDfl3|iz}(qPbL0 zUAGAZ50HkxQ6B}o%>}77Ajj|R<^57xC-p=GNd*Gyga3Dljx0FKwN`RPg|e{n14xEy z*^j%B;|Gurm9pUEMwt2ws$8|t{bN2%*-~p1j+7L;g*lB^GI&if&MrLh z4e5HSj#tmo0}lAW8o=F|W4R&M(9h*%cb#r6uL-_OastgvY1spUPr9{>c!ntGfk+C; zZ!lr-|DxdEa}JVkZj?oqx5&4s<2&SAI($SOzqI>^TIHJ7dUEIhK=3+EYX%}4BrW_OmV|p?y9Ma&wY)kd8eH&pfuVfz6|K#h90ely4*J-JI>d*%3Bli$0fYix z%Ue+P;os-P8CvMuW#$vb)D>VOm|x6d()rmwYQtZU>R>|Hz@7ZV_1|`K!H(R z(?X#gJONsqnBvxxCgJeMS9l&1${;&d$o_!Rf4+ZJ4};23Sv1HTVBxM(zPzXPYlBTc z;u-c|P+c&7F1C(z@e-{LEqt8hVhLxu!s!ZSLFEVTI|mgx{V1Diu9W8(XTYd>uK|g} zE?80Q1J?u0H_9~|(Z!j5xgBlM&k~8GH9m@z^YI=wQ;U8GsKBX%Loll`zk9FPX>7CB zrZIldS}b}9=$7f3hk=g&EzsQp(9P=wbQ`S`drpurn%j634>$24s5zMgF{zEe!w2!+G6aUS^&(^}wL z{kc~^{!vfe=1rR#^^^td4!9O@IyWQ}1>h)&YJvv%Y78*CTGs01gcIG}98f@*-VG-h z;E88MCkBX_F)Y_lMLCI`S!uqwA3H=OztCOc9Z5StSi;EO0FY9#mQ3)vr=49u&<{T$ z#SY|C2_vioBNQulv`eB;;;DJ!CuefAi@72)$13V<>%+R*`koB9hYT1eB`DeABQn;b z3*2@;fr*K-@Ch@J{Fvez4DYn)Y^zSbU-$FL+~eQs=?p%(92R%Z>n2rDy!AHmXrLeY zOOIy!R`@wRfHX@a5ez5=_>DMDnAYWnB)9Vox7(;1-iUf}8X$7Ch`N+nZ9Upea7eBH z9*^>ON(< zcX$oTR4yv^W15Ny<(F$}h1M7064OKZG1IDn5ec2M3!vRa(#04%yBJLDZXDb8%Iw@w zbO3qT*Ld|BJ$05h%?HR81JUmCYPV({{r@ImdbzYWq|G9=gTI9MNJwez>Gbumu@;Z# zgG22#!nV>bD#{hZt$|}DUR@_EOywH{JQryXb9d+V4Q{;x_SWI%m(VvTm|(j5h>lu! zgBl-u+=Nd-Y&4g1*A-8pPVoObLdY=+bABB0ir%>b>7Mmx)MN@Il~24?dqS4`2C`mBEX4I|^- z_#-WPxqxHxE*z6~;uz+Sgs|P<%XDZ(&m;A}z*O!W@_3cdt{6(@0Jcu!ur)lNe0wjS za-@86PrCyCCSBoxDq?5_q+pM2h?$7zK56U>^a&#X!U`+2U*8Ow( zBj)h=IBm*RJPVP z0205epqe!a<*4!o1om&>F!%er##0zwq2e_bQ!S(e`g1_UILTPo6ZC#?NDChC&Zu~J zE-$3Fo@Kbfsl3=5L62&V(InD)6R7~){#rs`NI%~<-ykzKwa9`5BJ)^KocoA`1~<(n zA?5*UXQzyw>m#a5?ubW^4@A3?J2km6FUM4+2-n*EhtDp*-44^$JJQ)F{5cmhY?LvEh9Ly5P z&}iewl@w7|G>INP6_BiP?%)}l{pk6n6@wa*@=4r4iPsJR%>Q6-)oZHNCeO+r`JsF> zx_ci+EsSboKg^8c6QhA`#aLpkCrd10UJq^d7NVg+>xy|fWA%J~8(eW;+5p53oE6d2EB_s);E^Mq zCh+;ZEj1FSv$@I3ta*D1^=@YkTQRvyg0HM!Vscl2jfTPW|8;6t$nP>YZ0+vZCj$Fm zFZKANdv=Qu=`0iQn=G#+kf+1=I%pe0e3o;2gqx$YktgdY@B8wsI`<0(FTP_Fp|h<~ zDMeA1rf~s)xQz>QYCAG`Qwz2=q_Gv26Lq;iT1#3O^SFnhE*Tw&m6Y_(?Zjmem;{Ww z9tCxIqtvJK9#1@5cC>=9p6`UTI7W6_;5lG}*K2Y^Zu8|xjL0@#eMcDM?dAFM@{*pQ zAu0beo+loTeAM|jX^{(1Ur%6PF7ZO^;w6p>7b<+_Sx$T4zDTR2bYiB^S;5^8sO~#8 z*6cjBk+g+UJowm0ej#wuv;S}K;TQ=*>X$<_nX5hK!R;fve|$Q5IClS1s>nsy2OZ_} zvHB;Q|8QXwItH~ySe=z{Q6upRs=3&D%!NsZ!Rh#}!+7NUgtXFF2FhEHs6ngd01A zDR8vqEwcN^_6O8RjfpqYlpEIgBzFIWSmzpaq^kfq>RJm5^I+Ja=|&cG5Jk;o4w|?~ z*z%g^pjzJiC^rN{ufn|SY7i}pj<4)B7BQJihdwYBm?Ml4VC2)tssHW*0E1oND12jM zFSH>S0O-LXWO|@zrbHtcDa(iyG^@ZHIJj{%%Z?cpe_w&_Lh&o>(Vw9`*fE?7_N)v$ z(sv5KmL7Rm6q-*B;YM-_mkEcNDR)!|tQ1l72r8T7mh77pzYqTzHzm89icnGcRI!BV z&%V!1m{PziL=&i2l~ju8y-@Z-)Zx$R3k4=FgO1Ln46H&_{91f`IDKmhJB1PXg^Avq zYwP15N&PGysbr=8Tz>e1!z*QT#ilstC%94J%Vze;q0*8>e|K6peU)u@pLO~4e7tD_ zqomgN$qHY8c#z}-bw6KLPLFZ=M|RH4Pv}7+v(h<%KG%;vq3H9=8%kSP){pymI;~U=j34kn;f6y`)8{04^k;G3(*vds3}!s! zZwz!0xxA!=57+OzWPO1iC<;6!yCd;qYKp(7Bjl20E_{Sr4Eyc$@8Y(IP7Lu_f5TcS zl~)c3&T$%eyZC*1$w_yQp`mhlxI!db+8XzGDmR|{G}Pl$i{+|=)q6njE1pl#T=8tt ziT-YvX{Bri6FT%&mD4XCiySX1Xjenm@!?pV)SjdNELO$E2@7gNuIQ#bL}D9ZORCog6muZ=&7j^uCvs1H;@eeMSE(cKg7! z-u`!&&|h@;ZYp}}h-~%tD|*rNz=cYO9sO<(cjB(adT;W4DlpK!&vTjdkL{j5m9EWw zo-)%7dQZO%Pc7*)ZY_N`=9JHW`jk4hx2Jk%y8qngF*QT$Bcy#a&+Lhq@?g+o|HBN*KY%xsIQCpjL6AQitx&|wmKTko@b#g-?=W8Xy+6sH zyv=b98#vwXfX~{9$K=k1{kfk;7BVL%#`tb{?xud!0g>N~$@hJ(#W}6>|L7IwxdWpm zpDG80i7ynyMEm@Ez*D)bE#bcJD<|W>atn&{H*IH<_xo<}t*qfjhA)a1&5F4@MmFit z^0xaEp5Mo0?DzR+fydqF`{p{8Wkvh0I(X}OU*&WEGfSnK^GwwDzOPJYUTyPhh#NRV z_DlHI{Ym2Zu};r7FmFXf`K*|8|m_2RDF`nE`*Vpy?-}BwDc#h}LdcQ;A%#kSH zidmk|G9R@0W+utKhQ0CL8T_;7;PvwT1^xp7-k$(pnh3ZC~;XQJ%b!Nc+Tz@1+^$JrL00M3yBZNr@Rg;X1gp1=NuRI$r+ub z^D9y*G~!p;y#9mci~L@YyWiJ*%c#Z#N94tg=s*0h({uAHBYdw-^a<;;EwyFdp?qo@ zeI{WA_v#LI`KNI!L)$mq-7W$m?;JaT+oWJ$)~T46Wjh#;{)1*oS@btLz1^yz}Y=leURTxN@tRErqpo@E14^~amXPl&#|dEow! z_WGyAO?fM9=&bVa31b45`>PxdJ6!Bf_3s})AoJ7w<_QyAt_2NKIvyM`*5T>$p&$MG zHPwjNw9>$ke5uCalq_WXmxZENw^g28-l2>Os}7$(J|g$a$KD9P-n>YWe%N7T$bSlp z@(!u5zRunX&-)=)%A#owL@_1?q{nfB%nNj{02 zq|b80?@q{%^!^V!`Op~c^A^udpNb>;yflG(Vp`F}wD`G2ij|V_*-zj3p6=%v8xi^W zkiC7lkbLc;wC5im(5!S{;(BO17d}mzdgnQ1|DcteGWO2jZV!DUU-ERoVa6#$k(f59 zm{KWf##Yj4{h4JxGESeUo$#(izdhx-@$Ux5zRjMX&L|(BcG7=4dAF3mE%#obZmD7t)tY`D5|2=Q^ z&J+D-fA?ykWVrjtf?p@3sHB@mel^rNr%&U%SJ$48$#?5N;2EX#i9z`evOVtWweLnu z-??Pqy*SsG+%JUdr;4)Qs?Vn*CXMiUfpfof=)}k8^_OMk%Coub1qbGHr-G7SQ^$X8 zIO#d=*8wrg6MM%0BQ`k`SB#68JnyM7 zIsPwm7k&+LNh*nsbNYv5{OF5&(sy`jM!FX1E2l1vQlIv89qKVl|BY$szNrqIU2p!d zXOfFD^yJjjVXSP}59Uddd=$SU9(TX0pEAJ~x9L+oAI8rA)wJh{h{rs}yc8zee1Fd# z&-ljZ=s0@)rGn`qYD!R?-dVA(&u95V^W$Dr zCZ#%-hKqcIl3t(vPQH6FH;VO`K5okLrhMle^s!OTfh;wx_{Fp4%^{bDv(qNMv-F^^ zvh8~9cG1*lKTcfj?5XTCj-6Z()ilv|eM!t@?paa%?nx_)-2HOd_nv>Y(0$evU8rb5 zlmGqHo#R7yek)qGyYR#O$3=Z=*!kO6Kf7XOj5>cndfx3E(fZqyo~!w2;&xHa?(yg5 z{;fcsEPg}rX4usy>Qa9mCdw<=aa+qh_ljQp#(?S>@9bXwuOwr}WA8;wxPSfrv!eIH z{B3?tS;|AV#~(ZKqRL++Kjc&x{{F7y$1xN5-nyMadtqBf|~1CZxp-c~)W62P|dj~`&Yp+DWkmJ`omFb zNY_3ctlIuz_ki64b`RJ+VE2IC19lJCJz)2M-2-+H*gatPfZYRj57<3m_rU)h4+s}4 zx?YZb|8L*_|LzR{u>WWM9=ZRgg#Et>w@>VJC#Ao5LlG*d-&_G>$#79qU#X?rZT0C4PeG4HcU$Im1p@VC{L8{wV*J zD4X@+vfpMu+tGJ(}7wIKA?D+TqivB+Q4zu1V zA)k8QVUM(cbNYpS!TrtqqN=;1BU7l?B;3gD%RgNyTgL_}sZbZZ_kRK%O}{*XeQ&Lc zIN!BTjd%>3rlenWc|qkUq2@SF%wcPHHQ%S7R}K_AZGOYyX#b}~qQ5JdkDMIw{`ihh zNF^%QH>I0pW%*74{VqHCE1K{AA~~a?=P=o{-w38ynMkJ(9X98?o@e}I zE+Jg(o%h`X`%A}34@;Dc!oh`$4#&>O?K|h1e5W<+`;QG#Iu*#qhH{g!9dcNa@4RKG z8~wD>>AK^y4vwBfqPdm%-#hnVUUMi^dc5ou<=|M#`usgFfA+BT(#E0Fl!K1YZ#Xy> z_T9t1TX1#lfL~q0mCn25Yq3yr6)OX>Ijn7Hxm>)b*>SZ}O3O43+$HwYl3%^o$``0u zvqD9g@&|uPhqA2->}PfbGRu#nEQJ*y1lhSL+2~GWkW~xXGbq*zbGG(G-PBe>!uoP@*FojAcq}k zxb+ohP&(}K*!u>%(>0UkPHg||J&%_MCKt$74BwL5$F*vq{Dpk(Cs~2AkIP`6S6Dqn zQ?LjidH@3!{@;Ok`zx_~!0rLN2kaiOd%*4iy9ewZuzSGn0lNq69>jXt z!0rLN2kaiOd%*4iy9ewZ`2UdydhP#dU#xk5nL^&;eJXi(Y)RsatI|@rw8gZ1xZfHD zRP8h7Gh&y_wA2iy?}F^~w2W2h%Tw^S60-TrQ`44ZLlQh~(b^1V8WrTXBz?sqYPH|| z%vJMWOw0CLn7VM$@=Qidr9APJ-~83o%JF_v7OhTQOr^cFJYDU#dKI&PS@7bj1>;=S zELn)RIpC;h)y!w{L-{KY(|;4?FAY%0Jhv}gmAXdi<}iVBS+jaw+JcZyA^X0gtDbcp zt>R|&@tex@y-+XF)-U0-WB2!UNnRv-x{T_#Abok}iX&-#Yl>YSd&i;gpqE};N2Nd} zeo;nB`jO1Xw$7B~o^;`IJ^P*g%7Y&GQwHt!Q-j-cwUT!m2R^sQ!)w@n#^*;{0++ut zpdTf|hR=hW)wSfqn@HL}>>jXt!0rLN2kaiOd%*4iy9ewZuzSGn0lNq69>jXt!0rLN2kaiOd%*4iy9ewZuzSGn0lNq69{4}!fhU590w%PuvRVbfM!BXDGRB%| zko5JMDYg2DsvDu{6hq!?{~n+SUvA@Xp=5EF3WSKL9}wA# z@sC!4=nzyOIHpnjc({#w97-3^rG$X13K|6Bb7Lf5T7M^1Ug^5ITe^uz`1&xK+Kwn5 z5-(7u&gfRAhW0>a)zp-Z>p6ke3P#0BNlGoB$s0d~K*6I_J|RtDXfl=e2%X?IhM*DhWT#eb zxA32Tw?@ct2>(%xigFW6S*J>IKhDj8o;I^bAZ8kTMMPgG^m^ldX4nT1Ja-{F2eg>q zPJV!2P;L+ugCfLTN-GNLT@l@%v6A1& z{JqLg+g+=e?qaAlF%b9Fg-3Do~{83JfO02`vu= z6QXx#s-W5p-X!0N79m7e1>H9FIVe?Rtl9cFOoalFfe83OAq9WxmMpGm0|pRzJPp3H zN9*J`Z|>1L*-NBIVEoga(oTZ}iAIW{W!(~uM0eh-;*_kn#5dZ;#Z9o*6E*58E{f>1 zc1U6~JC!OMWx>#G%TmWf^5uy8ukr2|QX0H?b3IgFs;7+DHN{Xq)5~Iv1;!Nv+&UoY zLu9}9@)eA&q4idm1k7%z*8LXcAoilsm~X*qLI1B)nSD;xj`ri{tG>6YzdN1XVQM3y zR#u4r;NT%iLIiz(HE8+k_i~TlY`m4beO_Dgn%6^$c=K;H>|$yYQ+hP)J^&C9`Ojy% zSRF^I(Eu)WWh~I@+{3X zc(PQkfsk6426X(~hK%?_5Wy}hd4#y{qhPb(liP-6&_q`Ai6?-WSH97@+%El2`$B*q zp4en7{TYyHxJDFQjLBU!13FQf!$(g-JFl&ujw=6YLm7VEaVK!di>u8lo7b zsr2e!s!R#Y^s)rEo>y7|U0Qsk>SOUDK9I;qZCtozV@H+3thad;0cg=AhZL4xiKHFziqZ(Z2XA~w-v@brueeXAf>stZK+}mxR?|&5rwC_i)wFF8 zRI>mM^r?pr7^rAHjHH3GRy8u{2H)`z75DIB7m z1RhRGMB<>%VSyA;4g-3nj~5V2Yi{D!z&5{@sS zUJHTagAj4d+b7X8HZxwSl`kYOs#EyM2-Il9pP@DsI1k_#z;DZ5+d`T7rqjh#3^byS z0{m8+g>NWnhqja9;$FzLSJ(CAIG{nu@!+l;9|7}1I&)majAkXyD(HGjMb5s|wAn04 zaq=!Bv=U!M^qxa|r?_tK`%nc`2qH#9M-QhQh;QNqai%vVN~Cy|#H)deBI>?W>=7;w zny*9#OeY(gAPX8m!d(_-hUg!ui<-mkBcKInfWD=mb)4wGvv6WqL!uXNEXA1z1^_p$ zSxj1-{~?A6%{^mIFagj7J@qtys@SV6&mL@=#Wuc=G>y()yS zzIHvkoxeoXeu=oRSy0guG~vJxSIvDjWMY{f#8ABpBJhWMNqT3)0Zn+q9^`qKfYS(y zb%EVQM8PQ>c}{sPm3U`zu|)Nj+gc4mi0D7d?T?WK6Fv~!(KkO+W-Ny0=OI*!7J1G_ zlz+nk09>tqSaM^K#w5@JXj2_dpWd40S-LoE#`>lyHY@md?-=Ftq{!np^SAV{)UrW){FMCg55 z@1!^GBuU??COCAoLeMhn&U$}dtn9@8YA$LAdLn8o(Z$@cQ?ijufC}h-FsA*eds~S} zn=HSuZ%{)ql+TL>=E%30B7H=>NBemnQPAe{$(@*=!1Q1~VMrz$7HK=%A&xY#dAJD;?S3|zkQ)xV3 zw+I1qgfH)j5)vWb<54|a>0mIC`~$WX5NsRK!`tEn&A&oU+cdgeq*YNmmXU?2qalLZ zC=)B=JNp>n)=obe87;ukCa^?seL@k9j`0G>A& zLdWHeZ+54CHf-F5C!&+TJgnp@lrE^*Dpe4#q`Ux2+>{8(<6HtM=?Owf-``czyA>?& zL%rB_ONI0L z?s>ZF-MkcdX`}E&_RdjCn$I$nLL#r>hUADnnC_}P0B@Nm5YMPy35xfZ`@FZ}_M<8k zU=7wGGeaB1aVkNxobYZP;oYr7gLV-? zJ*i2=dF#QU4PU}SR34n*KK3&`4P8@`nWTk5rS-V$2f^(Y?^EW}EmX5wZNfwXGQRCN zPt(0y0rrS&rZ3Zfds8_Dv_Wq0FDXc>I?ybHo-#Zu@b@NhePxOVD(rGv3MI__ANY>k(t~>&az=3Vb?K# zo`ry%!vu05c_|1DYd1w830XjEQHm^#FpRK{zB@BzKMD*3Whp}zjQGq7Tn~|9jMp~y zNTx5`oTAHOY=Kr(6JgYbv6Qz}Ytej$R4h1|V{Tjx4Q&ku2!F^x%kr|Vn${Y~?m|4c z2IihQR-m}1(abIQ1oRUps;R>$ipb0-*!J=zO=a`DD^m(AB{e2iK@Wlo7|jS!E9h)* z=UECp)2JR&^%wL4%IU5eOjpVe;g=R426IIprm=gjNDlNNP2r=ESU0)|r$W4Q{1{Bjc2kjKUX&!6|2!!%#+v!_GI~<{GglceaA?4S; zZ(3JDL9^RfOr=@*wz(KB9w|A}Gih4{Ym4zr524-2Oblr)bQN8l@jzlR=j9<*nh!%# zHtt<3r#n6d!E2S6h`OpU65HKtBnB!K0sednbR+OjCM*D1955!Qw)W2M;yS|5x1J0POo(pr5PXmkW0jwI*C;F<9NjTmQ%C=w7Q2PBEdi=8>v4|vqg z7|0-n#BW@0K#C6_qd>G9Ln*PMvs+*s2xESfSUgAr8>gpcOA=DhCKiA%bZV;yXUc+V z<6$qN`8Zf3W@buDBL)JoLTPDNQBPVKT;>*CM$Qb30LCasSX~F!^ zdc=?UE=ZwN=ctaz#@uqN2l`)(@iKVNi9A z#=(}zt7F_psJlgj>&J?^2Z9+WBV{a}RNF(Z?}gdTsidp1Vxz*qkh&#c}VvDW%MW@EG~fdB~um0YmsDWrMk=@EON1voJ0CfqNK=Amv} zvLBiM_UCfI94M6yqm#SXCA^(G^-DcRb_cYXCkom$=+s@l?lRiMn6AONfOTc3vo|I` zQ1vDK!xcPd`lx6xc!kr|0~Z*nTbrUmut#u zgtd>-;`iJa8-q4)Y)E8G#YFf%%A!fA0udGn(^5=`e>yTx8T>DD@!NeSq_>bZv8EW~UxN+@nwf^v1qq#SmoMZK+sV0>XnM#+I?N&y zyxD(+%k3&Gc@n639g^AgR<39dPA87jrkoV=xM>fLB|;Vq#rG`30h~*s&)m~KOw*B8 zD11*NMQCA8X)HRxLZUo<2xn8YkHIYX2$=;Jc7ikNPzCBxwOJ@RCO?x zpO>IKr?bz0ll%QnLpi9`+%`UW7FiKBH1tgH2&jLHXO4n)L)GPeQ+0(J)IG}R!7jq4 z*!@s#AB}3%%4w&zI0r>K-{7Q;vKd%sY$Hr@8yH3h26s+?=fv3i3DROf^N~Ys2Su39 z8jYY!bQfL1JiiVmcdzcyy4N2)zp<(b`#U`!Rhfb|B`lu(A@BR;=8C zK88xj5PLUpu^D8LFVY_7?#}HS+h%K0z%gptc}RqoV-iV2txJDw*@2WPW}CrdULW4we~l(7+a}x2k0;L3{G}0u(*p$ zcnB~|P{>f$sU=>q?;={dst_}O>11{qFk7fiCNk`>CTD&Ms1*t|;T4zh%-u&1z?q7= zX+;>p6qXWF^Uqp10B$R%E5$r&2~rivvWp-Knzx9tQo{3#$z)1tNtx7E7;RaYQ@+fa z!K=v%^NIkrxA$7cZQIv#%Z zN*0mHy|MP+ul;2^^q|>m5GSA=LZ?UCAutcJh6pBWh&Hr&V3!)J57W813(Dz$Z2ZHU zy9{*kl?RZ~ngmSWai#=uTY$JfV{cu8Gs8@gFiX=d-fS?P>*OBz>kMJyUXEA?pHvG? z?cCQx3L6@FnDPQx)re=_!=)5ajX5;>xPh2MBc$IFLV6pLwTg>7#_0khkgXDv0bTkM z6w+kb?!$ejZnDaAJV+6B_w1;2zo5qX29jF3Q4aNZrN#6Mtf+ea-DB}gDgV1A)ncv9 zW>|pU;Nm{|7d>MzkUTcd!NKx@kCc%=Q4sL$&OE$y7d-g*g#0ou8~yp%Mm?3mYuuXg z`}J9Q**C$Okt(eK@y=$UkS{Zo_OOWzd|wBil;6#s^09~|dsu&NFdr@UwsGD8Vr3;p zF_NfQq;oyDKVYLQcp6T!v2T8@%vgwx!vSP;++#sU+($&XY3eYK=Y={Nlw;f#%XgUT z0oED0VYMB3n!r|_rEMm#y0L;OjlG2f`pww_ts6Gtl7S|eOr5XghP0PnH1V~3=Nh&* zWD_7J4H1^m2z^iu(|rt_Z+w(DJXn1#PkO#-Tv={NrB(fno;n7ug-O+=wq$D$-RuYJ zZ{d02_Z|?|>f(VIU3jSw16<%(iL%#(=F#bm0Zqin50f}3y%sCWV|<*{)h$48VRixZ z?yA8Dv0CR#Bar9DzhKcMl56z4&k_XJBom|}7Q$ZBM-|j1&?`9a!Fuuz>Tuk;3i&D1 zw-uvp7WJ$O>SmcCnAh>Ob?Tle9H3FyR=kM)3*jGxe9eCt4aTq#xmQtgb_nYhA$FoC z%m)e@{6+Hi)dR~E|zWfWq+cdk1smu=Ev>0`<@LGZ5thMcQ!6(>0 zle;~&7+_lfk}2p<3?-(?J1GQG8o6SUS-+DtzJZi+DZZ5D1!puMq>s!Q&S+yI^21h_qOd)woCE2u<5HH)bl?9}vF>K`$^ z+ts!XAce++C-qSi;to=3PC`ax*g;rK1CmMbwk)4Yqs>AdX* zqNM36weyf70h$AMKLW8ZZNhXM@rT?ocWwgs?p{a0*l#LE>kO02uo}}W{?`k2HUk-q z*YWE1CIGZ~Yq!}XY})ZG{e#T03YkM8bGj~XA#;95G-N?dgg_o9_qO~{bc=;XNS>8H zasb%VvOi@{RRGG$1EP2l*b{&f`C;Wf$V~HTX8f#I7dO@g9QV3FF_x zv+QAxWf3?QHUWddu?7nqs~2e86KRvUL+l3)-0?_RB$>QM;_liq^ygbP%Ck12o?=dp zUBK^p+JjAK^ok`vV~||(p`HUXT#hMndWERnlzy#+!^i-aVcWcD&~<*p4T+Vb;}zZ!wX5FPdXQCOXetXn!$l>KRZSL%Q=DZ|Z~< zg0+zUqVB=+8ufs7hwW*d$^7WsU5~u3Ldrqc1`nprk|!0($H&Ni#DGq-iHu)xuT7rS zd9SU`KWtZ!#ulUO>g)-FZpmWQ$H*Y=Fs2w^=7!c5V@R=y%$hyO#iXArXv2CdF7ALP z*=$bqkjPIb&p9Uzcre~52ljOsPYt_>S;dhC4ax*>H5BqiM+`q=#!+-)YxXuhb(%Mr zkLh7P?;+6WngYdbgW*`gCzpiyMt=J>wo>t}i2w(opGMIrC55Ane=ye}yz(}l^bi{U zA@^DYXMrL0T-UXI8X&^$(wlePTrMgy?^VnJ5oy1Z=L5rAGMufBt>>3o5r z(6CQW*h83K$Tu3U zD)gV|<|2_+a9hvWW^*1O}nyS1k z))tKTI((S28pN>&8M$IIGFh06XmN=N<*Wt(R$Ydu>?HgZv?cKdB9+{0#bkhh-3C2n zGZ?G_Nx6fHR{IUP=xVZH=GPU;hM!RDcU`OfVDjxM{rQf&RUcn8uDiUi$Y4C3on|$r z7o*e}jJV{LocseV*MENgZtelU6y4hfz67lS*=CVDPP>{KOg$ud54<9US2F&~*cW(R zrm%n#Y4wzj+mHK-!Q@1P2PV#x`xU!obsWAYT;c0|kHbH_DJf{P1~qL6fpxl0+ zz2*Wa`7sl;`h~Mr<4 zGJ^w+8ZCJS4ahS>12T^^AQn-Ha5u&|yhaw3JQM9D+KKc|_jr+vjDN(lwl_BvD8nCJ4j1;9>np`N;a-8W+ZE@a;J?1`=l^3#dyken7?^K!$LYH)=E{ zP08H;Vp*_>%nYr4^UunRSGul)qwOhgQDRz%o~O}2?09RC24gpj+OW3NFB=^%#dUDt z8?J*N6jexZ9lS-PDMoVqSr<@l(;TIT99y&V=;BkCjs|~=+wHIwqoejxv$3db+~Oht z0|LD*g$HfeWgwlA;TovFrY^;Ho3~xWm2-`i-&DvSK5KYaxa4fPyfkvdrPNaz^W}wC ztZOb$FEto3VZUB&ytx--r0hYT`2$=;ene*d!wB-bd$9tAOah`>$UZwZhSRDGK&&`j zM=jQ#C&3zUw;L07-0hZU;nuS$31H#Tc3x?T`qmr0P(m!(n(VE`m^r1DGzjPn4C}!O zcr}@*zf(c;N3Np>P?J?2F8)8NN9g2>-5YzvfZ762t=dqv{YL5Ks_)Zy^_tV!*bN32 zqb&p|o&E2ZXfVDO`p?!aYjNp>Xp7 zn-|>eX!Q@zZ15AATQP&42Fxwag1Zm|zq#Adh`SxAofp7^4fxv>MFz{uiDp8rLBsqP?_@+Dl~C5=RCw9A2B$X)%C~MIxLC zgc2E{3fm$k5zY*sYN-f883aUPMHP5uD?b6-Bd-`JMgx zqIBRnxRIJ0gx6uGKxuKaQxK}BQW>W}Lt{}#y^Jf@kg2kP7#{p(mlbo?HU)O7~FgW@PD+)aHaY;CdGdM@2@`b3>)>}H4iK>uJA-gd1h zSgo7o;=E9pq0rm8qd{(|&vtg1i`(z^A9r#7cMGcd;od9K7@?zU+2W3};+>KGO)mJm zcC8!r1rAFX+n}r;F(>I+o}PO*Z?Gg8vW=!>?!FC)_ZZFGJsC!W@$qq{WYdsnxr&ZC zZ^Tcmh&d|>*5@BXxq55VJStTHL}hRy_EpM+l*y3>G-w$?q8=7Rx7|`NJUJOtIVxg~ zY|W(hP>keemfw%SyWvng?=Fz zNc4={n{-kTs5^TYJ21c0ej9YU7GAebU>7oP0K#b;y$;WwA2; zS-Oq>m4Yb(H18Q;U{X78@t*mMe%!oh#lG=9IdTZlHOh2kTGz^{LA0h9I3On8L9Qhm zPR!hd9TXyepMVg6^XH*io4X0J_oBN;^n}8UoSxKMnsDJYDlhZO!3>b8s;hGRIbHZa|3s z(O_sXnVo5{Bb;W;T{w+s*xYPdQ+xIn({&Ea47nX@PNerp`l;fM)5L9JeJDf#45d|c zp2BP?B}kl?$v|@p(OSf_mLzCa}P)fCXs0 zFLirbZ)i{;|JQ&BfK36|{!(ubnPV^Y5rqak7unTIlrBpYn@ z3rKghzf_e4ehR`a5XU!SipC~KQ7?Lfw6@i8Q7__ZGXX0l<>uhR#?40T9$jbDhD^zd z(A+06Y;TO}D$W?XbCh5k9kyp(h1|)IBj_hX@*)R~-gHEo3rj%t2fTH!7JljE_cypiG=xb)SV2%YOb#Ol zSvR)L_VzWOBdI6ZmH=676t(L-f*4V4w1y#?U<+7n^f3Nrt(wv28xxW_)>AMirf2ih zqWw2&#uG#TacnBDeqzm_l@WulbCbY5(ePNlX~r}Fa~rrE2%(yMQ+*y-zuLqwmUAS&lWqHJ3h*R%7!Ys!Ks%$nKOzxQk4TG3eA(;s$2|p$j%!YC* z)>H04hgxhRuhEAA>Sm?!mK!Q<*E}Wa8c@1k7k~4|R|B^Jyun@szzg&Qhj5SS{8mP_)Nmk54f=!U2Uqk~INb?m`C`qi=6mcgA4 z7GS}9Q<%lKKhpLu94>4Gzv+UuKb6t{TM;h)g$nuLu|#ul zZklbQb4WyZ!kGkt-|I@MVFUhGdt3&sV&Su)VFw^ZOW}hEZduUiw}nub30T>ullXhd z>`okLcB8=q0(&fV|1S|h;(|_ha$hIq+Dwj;V3B988(MIjtfB=B*-o#F3I+ zYJoDSQxk+0*c9+ycP6;AP)o7V0lu{j@`_kL#kskPbdH4p2va6{P@~qK+*4ld{;9BSipaW(tmO|Yu z$#3P_cQ+1k2;tgw!28POE=s(I8L0mhzyu`6{OgS!0zh&cA zGyRPgZbV+VOrbB3+|8io@LxG>G%Y%{|Z%_O^y4N8# zk)5u|5t!TBZ*I7WjUYTu7~9!V7M3xfjo$!}DUE(phrNDfF1!o N0{?de9#H?me*pWBh))0j diff --git a/bsp2/Designflow/sim/beh/work/@_opt/_deps b/bsp2/Designflow/sim/beh/work/@_opt/_deps index 3b78c55c991da62eb02598b0dd2b2209febfaaec..e96708cf483ef119b5235b86f40157328b50bc2a 100644 GIT binary patch literal 6080 zcmV;x7eDB%<#ModCLI!Rf3l_}&^L-YPw^udHss9GcCto?yJ{l7?5hY zkL5!uv{;(G#&K-ZJGjD#mN~>D)nmZ~8S^#g`C-cI-DPgKN{X&cWbD&MM z-ORW#BMqGLvP1(NL^P)uM6cQjw)3E{DB5ZPaNs>18JBTY`Gge^o8e8)@-cY#g6tj} z^b^iAW-%h*zi7}^dIx>bN8jahWP0!mtkPEx2~gWb7Cbh%+Vp$^iks`tgEB9skRP4! z4QLE7(reyb;X)gdFrt!fdhWat73=&}9IRc>fWFpTgmSTbjOZ0CCmVbqFIcW~qll+3 zI~nlf1)zb@o&kSHY}&t|cxjPbzUqQ39z4sro9sHhS6GJJMw))1cGgu0u|E0=8QL&J z5Zk?ANFTNUmuCwKoc1WV8TUA%zkuzt_mpMw0=WwV6J?RLI!lfe_Cf2gIQT+zbF)S* zuW;V(*#X2sG2TH_Kj&pm@^vjUF;RK(VK6x_@+`#&eau8*6M~}M53)}~3+(n!=`)Ok z)B|D2g!LBt@o|J+o>X|TS*svoW=8n&{)%GCfwgn0GL}t&*2F1t8%h!enxg@29FR1^ zRTMfdI;p4<{nT$d1|cZvfDjfkTq8g9C{&QQ_|4!3ZndETq;e@K9|6HrTzQ~TDuD znJVFiDAbZJQ*)`>#NPBcF)Etu@ruL)6w^ecAjGfJp2qN)FeuagadX(cFF-9X`K!x$ z!XI}JzMOVIU0?fyoA-8iX^XF~iF%<){r~m&_@+9jiNWMl%c`f&Yebhmg!e}!Z`Wn` zILIBE`9x1aS~6(q2$d=ru_(bO>((ldVQF$f)`mzd>n8ak0Z30=rMa&L+n)k2%Gpp^ zty}_NT3-e*k z+8vuD0dauzN4g`_zg@UPW`SY<|FACd4c(^T*lh(t9~U7_+Y_m3Tq7 zI+Z=a2W^8#p6ljvQjmlz)ZNoQw~3F5zU+A&DMNpdyzo;310?Koe{1(G1zQU3L*XYFaWJ|c+Zt>m$}?w+%_R&LX)WnKAJ*IBn?DTge( zR$)y+AaSX}YFU+0MWYJny3HV_A5y=p`U^4p1xT4c1x-(i!zjrglBA5+irWt34H{nkRXXUjYljLpIm- zp~o<7W?s9Bhieh3(Sv`fN~X|5tc|$_e7gZJ&57$GIee%`pVrB{C=jz4)~XDv zP(B|~W*Yo>q#t>ZM6rS>F6{4PDzO9~f%~-w+SMPe-mO=D<}umazI6YX9`Q$K!`)%*Zqf zF;h{15?@HN6M3&}qk4>5(fY=t)=L*tu6|chOLXKdKH65yxbg~TOG-rm$fqwg()y^k z;N9Dk`UgJR$&c*TGeglI@X&vGq|fWT!4wp-Fn}-qQ@#@Ob^)&1@Hw+BePLC|%$*F% z7{}*6jX)4{g=BuEZ*GsbS~m?k#E%=DdXH{=dz_saIPE<4n;O+F%4KJVlWi1dEg2sw z*&K{8^xL%PjOZ6{=$P5z{J~7g?wRxJKH9C`w6P6WNP?Lj5ze4y(hyKOj{6!NpJ>mZ z+O1>_M?J8XcMng*eVDlk^L_d>FzloA(LH&xxElvnJsJf&TZ&P-))O288%;BADX~gr z)r#67T33h>6!@k`Y||rdl&O(fp>=4Vnt(WjKv#k`>8h&-{UY@qg!_)O3AIJ|Gy#kJ zn`BQ-lcWxdD3vDBCr+aW>)PWP2Mba`+E3m}i3a&D$lfVFg}I~8S>-6A;4;&~ViMan z>tsU=UoF_GWbB4v4*bVCT>E{ZDp($u`U@-r8 z&G#%!&fRcN#W4!L@kBDt=YNK&_A}}mim8~`aSw7BhTn40%!M}ARy_KQ-bxwS1P`MB z{a{Ng{j#$s3kj&bnw(kpJC^h^$@BM@QMs_4O9K#5+6NvBju+Mz5jj#H3r&$LK!p30 z6TQ_F$pIKADxw>rGBguF?9G!^ZB4xDnYVBQWuO=GCT~Q~0MrHuKh1$FlwEZ2Lb=|$ ze8Y**mMp3;8RC)^Y=R$W9-u2FDoV{B4D4vA9(C%A>|Bk~qgL}p}6p9;D!_j?O9s(IVlD}sB0Ie?|)4MTJn zp%R^+Y=#Hz$oAdu#xKIQ3h&X6hnE}*Ll(jX!=(Pi7NN30601+fMOdkPUBf?meBpUFJWp!&$HeRSYsaG9elgrjq!^4@#TpxwxHkg%r?Cmb}FLhX1~X z@E(`MeEzQAU~Be5w+4#JYg&c+gx+$W-G!MmT{05d0#zk?Y?-V~w>2$e8}4L7d0?5B z-|;+N`>MO{oG6Ij*5MxULGeHR*Ce{Jny%uIjv8`qfU+6DF)}s$eU|vd9283g1lKkU zSdni=RCXkH?~`cRQYPTTp9vmsvmA1k-~MSzK<1lMWZ&ka(ckf-w6rzzDU$!4+`8r$7JV zF%RsMLGv_4DZY^w)$OHNivANXh0WK^RI}rF`1_m{Ns3)rMJNuY}A^@)}5y+$bI#ZQF)#c0jyF?h}UA!Watcwszj z=j$Vk<~$EjXk!84yTe9LEsDXKebWwlaxdF`e1t?%3#3s<`bdW>&rLHsqa+tg8g>+gDS2WXbT6?)Jru>3RH)BQQf5KuI9l6#)i^@&6xq61iNEr`J z{f4Fgnoq#`0cq*I0ZZB-*L?g|vovAX({*g8U>^JD2xoCmVn*ffzIWg9DZuqbEV-R- zYE_Bfm(AxSe#wOE0%#nI=cQbG`ZIa=>4p%vusPqx`0R>~aCehZ>?TP)^~dTMp-Ems zM5>jh&XQDPi)!oE z)O?wsDzK^>^PyD)ksV@Tj;9pe#|a}1=RESc^$|0!l&2H*z4YT2^Q!?m^njso>x?~f zOt)912OV$P{Zv70R)J2RS=Cm1qB^r}t6HsvLV~+r&+d~x?TbP`hWoLqA>#9U)!`#= z$+IA-F05BPodF(KK6uWJO~gEW`;Mhzy1-7w#|<|Sz~Tamk7KAbWdi9q;OMzxg(WV> zrZPgAvt-0VSqXP12FcZD6C_hA{itlkTX>VtDvyL^U;nKqX7BVsxCe)&8`=;tNv!2S zrr*ACS<7J&rYv5o+bzq@Zr$ti0<|$*VnG zzt%FOO{g1DLLuX#C@s-B26&MK9K0>iU;BCL@K^ub#7%+gp_EPA3M)%uU}KAeWndyZ zA4CjYl?)oFJ)UxB99D8x-0>$gc?_!V&u*M7rm8{+BOZ7jUd0GNsrz2j!jFu<+z?pe zlN$Bk^fRe_j^>yfvzq_??(-<0u9dSm*AAQd;Fi*G3aP;MbHCsP?rK)BO3qbhBz^D^ zvYO}|h~6*-<9aiC&V1j9IIueAQ=xPUj&n{13v{MQKFm_HfFVg;d(ra^CeD#<_PvG% z(g!E?S?x_clQgonZD^~J59x##1f)kH#dbnOzla4<7b;K~p*9{DwSe+qT_>hLugi4t zfkh0^g`a79&p;gB@K*!bmTc;+Vcj`J;0(nB&BSifQ^lMeF0Q$1bQUrfx(~-EerLa6 z<6Ua9JkVa6OT3aDkztJDTmI^$AKl8|nU&(GeFiPJ#cSqPMs~{zwKGp%gXG#bZN%pKGyh> z3Yx=8f$MYkPoq|ZPC*)XJVsS8C3$P+Q3Pi}cS-OnAHNp1npWMG_2N*yoc)jU*S25i zjN7@1HSX%Jt^e(?mSyQ0Um#7mHDFY9U>3ys?`(liH*$h6b=4* z-T{3Sp*c5PRmI>YIaKS`g>4|ei2a7Dhas1K)x}KYeXeXtY=g&(2hPe_U`(MO|AaJD zQrNBdmsV6&h)-}pcs}8U(4n341?Xl*$_{p>7E(NyV>pw04coO9e)bn_)bgUXtJ{ZNzY;3TU|i94v)%7fFI;q-{xzgmrdXOkS)d) zE3mpJjSA)k;4^8aO1*h6yirqRoX%D*exY>G6g_PH;avY}!Cu3t^s2zY@&x5}{&+QY z1ct$VIJk3yTGv`(DQ+3v?IW6{L)Bevf9&%m#lxh%av5_2{wXcR zKqYR8N9Ipv?9#23;e?1QG;GkAbZi*PV z7$TBo#vx8QmFxHE(_VE?fVFCqc3Lrfhg90$51V`|lb2juBZ#b%^y}DT1*#P&{r^3V z-CN4-uQhz1b3WJX(;vfe5z|xj-T}hqW)7$C#FR}etexfFFZO->`1$*N7w=ma9O7z8 zKkpHWH_onGV7xB)n}RVP;6$P-phsDRDc5!9@cBvE`6X_?(g}iv>fwebC!;cxbE(xg z@96k3DwQ9=mIMP7+_#lH1h3PPHNk}NDAV$SLd1P8RW6iej`0Y8?eh(>wd49G4|Oj0 zo*t)s7P~(wtGhSqI)Xm__h^wDptn|JO6Og~H#0j8Q2hwU9czRh)<~RTqzfh^X1&(k znF-N$@eH=xijiL4a!Tp6*!GLqN=0*(bl+gU!gKb+Tp%;V^(xhSZn)y^%%6CQ)2;wm z`ZjsI^*dKQEVR^qW#-Y0a#aFilX27P$T#x_d!6X*93GN6-r>Wm%I77#5i+wmu45s7 zL_}Nmby7BAPz}^|Vl-7yAJlduJ0OC^X>OxTduc9!1KpBXW}I4;g;4@JwtU;CVkR!- zUfP#n5-#^%+5KUzi}DTIw-~77_RK6)+%sLK!RgQUU=I1atnT$h3aOc{-u7?`yP2)9 z+KoMILlUPJx%FCbv|k>2FNI9QU;;OM(rnO2VG?MBPSkf}CTNyU*Ex00sN#9OOqlxK z(0t)uvgAmaA!#;ghHmn7R1g}YdZkuTAQ+c=w^mY17!38k?quo39hS9sV!C6AYCOPa z@6Pl@Sb{vy?9f08pgjNYoOt?8MV%0ya?%#6^P^dIf{j`_dmK8vlpu8Oc4 zxrvF2xRWTFyU*?0u~*bwn)<`s_MOhU&DHK~Jpf4Kg=ZobKog%z=o;g0GAJ{8H4%P( z@>n>wQC3lmqzZ@_{sbnZrcYITP@YgBPd3Zb-rW?8%e(f+WE_RZEAH?TIPI%QPaymK zhLZWk55nmxG#d6q=X6CI6-G^jb7fa%acS=W#fOiz0@krlsJ5ZBd*WK(_>7ov76`1z z%QDd0{(_f6eEXZF{#eaK4z+|yAzy3!rO1;?9q7D`=k2yH*7YT_N4A3zxTog-LIe@p z5M{s51HUkrlp~GL&Y~Doz3B?zE(>6Z`Z+!0Tah0d6iom@1+l=hdymNQs zdBX4u3gyg;(!9}Or%%iJldqkXae`9D1)Vvz&(M;+X}-3sXA%A6xg~r#n~sw2r%qvQ zo5kG?(+etcE1V5{f)HkZ;~_}@8?XqNAFGC6;ve!U$Byuejoof-E;D?ijpri;b0^p@qwrtH z{+syp!u48|+z}ds_2VAE7jFHJj}aC-4>B*aawB9w1Qo2@PV`ShzYHI{+8@gCCtu*E z&_&78alsL(*XAH$jmyH7Wnf97wLYg?DdG1YJ`0>=W22|ajGPD5Am>Mm57&ySlri0n z<t1NlC@Xd56Z1lZj9V((9g6rWg za#RY{O7*Ana}TwyVTsu-YL0)_zUm8Z|r*ov9s@lD`> ze2ldI&!VV^!1)A2mOuZ%|9p8ZI9vG$|^RU^HiMRplI5!AVZy zoQ`)hSpqos%=B|{Ek+Ce$~xJ2>$K)|AD zrxij!?3NU)!){(>A{p%Y4&f0`1x=)F-d5$Mfl@<`->Z(n2|qzllY8$dh&aN$iT`9$ zQ-D9o*Gnv~vH^g99)_NbdDa(`Fh&IE8SV$4Ex(P2TUX3#_*(k*Ec$ zU`7YQAxnTD9eWP0b9D|p%y&FohaPYi9Dd)&HeYDqOyQ263c}#vCc_+FdK70SpsU?F znP8!8y^0b8V2PUJ$LNny9OcAZ+oND{@e*vU`Y?+c`XC9E7N6~*L`KuRKOso8Q+G)PH#b-s-yE+uo1a%*NEgE#pET;rS56xk`23uI z)Eh7kqS1v|FQ<8`y0&y1%{P3_omICAKJ?QvDBDP#sjv-iap+NBo?YfY!x04Hl4%Dv zKP6S``zXXOX^()ptAqze@qCMw&94(%d?!+XEl1;spi<+S#G?hL)h~>bi=U_c+0x!| z6NP`@>4igS>2L|m{Rz#MOhixfI`*2nFWk(1TsnE#@|^S%5jld6Q+q1p7o#~U=>--C z@8dzMhE^}YoxPd3ABUAUy)}PQ1;LL=vVSqMLeIpt`2D11mQ|^7MV`psD>2UP;g2lE zaLz(&u@B)7;&v6i+0K4gtpEx7r*l1vPVtd6OnM>iGG`hb^KZp+JZ95;S1?>1(W>rv z!c0*M!L0j&WPWu$p2f?|E8jgb0)|e1XUVk!<@x+4M6!h`@7sRWt_+dYb~dXvvpK3U z#6@?rmLm($5eT26UH}Frc)9ciCr}1$*~?AKJC#zNVeJUby8;(4#z>aO&6pQ6X18#( z3OK=b`>NkayMbQR)FXO@$o7GLBi2HNbk)Y!Q9|a!R)$(u#VXZt-^)r&lJDG?wbJz_ z=QoO27Hb!GQ?0`#MZFg0N^s%EU{oGxue9lHd3>#?G1HI%<;IRUX?z zyi0A=aF(@F3TM+JcZ7!zIlRI$4%{)R{X2=bEG~4R;yXG>AY9&U*5Ee3)HUC*)v8R^Y=r=sKFse}?(U<$2>w*pIdqkPQ6YfRh86;p)4>=!jV0}YSIS8hklg10hx zPw=%6n80ZRD&A5XfI%!&B48!S>3RMl%;JP=Ek@Q$;^*A123t&JE6Ryq%258S@bHN) z&!B(~(vGbCy~ck2y3zJ)gHy=SRwQtvKV~(MXn)yxC z_cNks=>ZO$aUm$dE^uH&A7N>G+FG`KgPgOq$iVf2zh%n|N8=@Ahi>YooV*=`B;sU_(&3q#fWO7%X-xB^3@i zn-94P=N@%IWc!JyHd;TJ$+zyF50#i@aHAYsEo|a&z^mA8;pO)Xz5g?6lezA#r~$wZ z)2T#8K)|6{muF%>?3E{*v0PP6;&8BVCvqvtWLX=wZEj=4n(vrB=PmErwB+(=6&m!F zQ;YgK=;Yan;JCS{%=Y&jiihE3qg@;(K&;Y6&rx)xWv0giM^pRm(23z!1j$5A z@sv2*)cf{C}~%Maax>Hubog4zWp7D3estV_fO7U!ce zA%pMurlW|fpXrR)+2o{2!RQJ%N$U}4hGh=`5P1LzBBh|*gf3aD>x-Pv(F0$e^54jO~W27atP=>H%i~I8BP2PlXY0LI~bx zaV%)VKJ-xm09?H?B5+|4HNL7Fzyc)&q)>CHjbg6^oQ{Uw>)|il)%p@-+1m2-O>6e{ zDB`%60JL>z%9rWX#-`4A#jd7#^o0_kLW8F;z0V zq&LX26&jS<%leF5vcYi6R!b2umB>HQOLB)6h_cqqzL7E3IiP}Efo9pe;;N|4D4aWzsbk>pt#q)lr(M4Il39pZIw+O_u5ZB3f=ltHWiVrb;p zo4~!{D9rceJdfXDWTHu77*A1kw?(6$gGW;7&ehRjR|V!wm-&?&NF2bU zZsHRoFYK2Dti=dZ8lJkrnQ#3E!06 z&xPxXpS<$1dD*nq6sH?`b#t4R^P`RObNC@};{f&aG&fhhi-Wq>Cjhbu!IC0tO-O~~ z5F}6*djF3f@@ITW5N8nXkOC$+fA5C)jbW1CBYW1}=-l*UAgU5)K)ac;rg{d|e{>PySjuW{?Z_P@7{rE<}M|51- zhiddWc#7<^e#I*{6b`C6~}|5);6pTgFIOpXZ1Ae&96R7HPYFPrc>>J;YLb z!0pr>x7&x{$uhKkZ_c;Mt{2kMdt1hVQQ3>Vgt?0yl zcNyE0p=>MN8Fy6d?tf+N@Kldk{3T%b(?d_&Z|ulVvBGs`Cn! zXK%#;i96|i}>KNEA0Nuqnv)E)C=W;D+f0F`Ss56Y;<_J-QkarMn>>; zv?Y-0fxY+Oon&o+-aupB>1qZNRz#O&O(V1D@QjKgk__(u@8DSe131c+>e}&XA%5tN zu=#^W8JEc#Sx>b$$3Rqa5AI6{&uoVw&-`&+Lv=BOCK?~WH5sf}<}LnwvH9}mfjKcV ztx6IGIZ}i!3ZDVKo{|Yf6d|7=2S^j_&)y)Y!Y$A1p$we7AsL>P3!%w`dH&{XlGb(~ znVBm%cDn7i$)a{$`nJmkEl#M^mwbP}VOqr7rWF0+8tnAg=+E3!M4@u%V;dgE^~xON z8+~zhijm`-v1d`l8pFL0@(`2aE&LB%nW^@9gCl9=q98@NqL938fuU)6X%>*-VPZ##`+2 z4AHv@)YJze@C}Ent`z}lDZQefzpQ&`kopF9+5V* z6*?M-+ci*rar7LyILAP1r)ZT7x=X!=O^0{Po0+pe@j}*j@**I%=ytRQ3~)cDUG!aW zzp$RD+I+{xBC%S^FdeO6G8*C^V^LBJQ%Xw7QU1#w$R2T}dCPr&oiQkWOtERYf1_Sd zCnLF=$Q8b`F#dE%BR6`lnA%x`wNM7%aQQ$%epXgK(f}F) znb`&>E<3g0ODWYpcW;Xcs+AU45qCS3+`bLFcb8LK@TWY~T}? z(O!L-&N3mW%u;LKe*43Y(JS^*g+ILSVqOF!4h+#a1|)#!scYja6Urbg&qtW)Sl0VDe=RDMMjT%WvUIT9+%g_($co z4Q6|zDBI5)un(AFL8{nYk|k4kuKL;aS`^O?HY?i-oq;8GaHqu*xzJcq5WIkvB1j#| z*TNNIU^28T@GC3GXC5nD>}EGMqOB!!SXlThe^w|xW*Kso=@9vIeFXsEXkI>0P*aNyDDX50EY6gSSS`{O(z(Ja`C zw|0M0o!Y3uFdNt9Rqu9?)+);dW;nZ+akt9p08Y420JD29yr>-7*g35HfHR~d3zD@c zW)38$Rrsnhw$LC|n;o(CT_)2<3*p(fC;PD@qCeDo!lSyFhQ%PPs)u$;G^fXQ`t(KX6AJ@R+5f=PI=^zm+# zZXqG*c-F4NX;2dwzORc{mf_G3!GifE0GGNbZAR9?d96CI8HklBff$mJArK4qUiK45 ze@sCBI^bz9#SYbayA|L{HXu}*qM6tk(nQvH@|v2GZ21NbsHEG(tgH?MW0pqv6#9c> z_3?XDcZKCC=Uffun0~I^CENvfAc7+RpW_sK(o#Io`}i>ke9nQZPwP?E7@p&D|Cy65 z`-4@CQyB{~KXG1E(&hEpup2ER0_h@UW_M5=$7Ju`=W=R+0dKpwHQgc2pOxsmlM0Q* z=_fO*|D)wzUZI2yv#EqN;|EQfhWg3TIRrD)P|1D%NwJ6MJ^KDC1_Y?E{CM^dBlbIs zHWUDJ{>jN6;5slEs+AniK94_ZSFbpW(rj9i`IEtLR#1pSD3BmCOsx>f0*BnGv{?gV>f|o0gYk_#-Of(&F>FDe7L29WGP#k@}_4GWwj2M z=#*eSjsa2fSqQbzu)I>AiOJW+91RB){6Bu}EV4?s$y&4*!#w zkM5utjN84NxPlnG*@>H~f*bwT{7G;>1AU>VqMO!k1Am0ADGc~qyx#ExDzbSPRE$s`g+NSddD&(E~6{ z#%R6a0R&9cXuhm(i(@oFzG2BNj12e1(mf{x5Z-tQlvG4rg-0*v{EI*tJu^rgAy!V~ GLrroDVixcK diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt009954 b/bsp2/Designflow/sim/beh/work/@_opt/vopt009954 new file mode 100644 index 0000000000000000000000000000000000000000..ac0f0a6bb41fe989a94239090e5929ed5bccfadb GIT binary patch literal 3258 zcmXApcRUpSAIGiib7vjSb|SX0X1QHz#+IFXTY9xB;Tp%I!w&~v6wPh29UPK+C= za{b!%X?3&SOnBY%Dz`i^U$4e?{h@ofDb{_#6HTKmsj<&Q*Fpuk4>ohAH*(^&Vim{?W zjO>zw2k1%+Z?JwFE3rlQ16=X+7>65dxQTLKRy{z*BYH6IJy3r_)8Ek^M91*mfj#sZ zYL}XdiYoFZT>9@u6;h*i(av^}mxCwa?eBJVknyHYAE&tA2Qx~D%raX^3C(Q;d_FmB zHRmEo@4c070UXux$-kQ6PV29EX4wW%*iAQj$OUfDX>eoD0o>TevTVEZ+=@?I;SK{Z z4?}?}Fy84MUzqZ5J~l;1n6?arqyG5j1d3m-)qaSUMZl|Ckqe^>n)9`=6ND^cv?$;L zWnDcASSNMaauxTuOUUoa^VC{f(0>D2b;1O_$)}W1+xsITB~%t~uqyJ5*;{1ySGpj8 z40t*s&ZAo?DzZm1g);%bghe^Dl9;D5)vW++08A&)Gm;tVR&paHUjy)v#kHOWLv_c! z^C7~^!#$wp+lh(xAMuMnII&EN2xC`u)~OYlaL;W(0)tC$q(pS-Ik^m6&RuHk)b0rz|3TxTt~n{2NTO_Bg5+(H&>&oAzN&N$m9gLG#{ z4H$Pk5G~`zrS^_3pj7ku^;Kyq{G|D@ z6o5#mo=;~wOe@PPR7uSo&7+YT6Mz@AXvxe;wB_~*krznYY+zPWSNb&o^sptanf~&h zdx&zu2~f^`EHlktP)A)NR@UfHHHJbzbcm6q&~=HcX>=aM&+im=n7$`8?sdL=7zG`5 zmXw1Be8GYK6UZX5ztThfyQ;^vuz#=ZW37R@$hvuW*fuPI{%$bHtw`R9)6m>Nk}~&& zV~P!BZdkD?KF%8N#Ck_QWn_v4FxYyntNc&QmV)Hbd<4K8Sa2-tQt7MMYYKhO92pxo2&`6g=(r7zJAODWXWPi@gAO2__D6y zb5RdZc&Zw^c^~wyR5V^)8pHpplvQCIRq^1Px*6EW|5}^lw1N6@nI2hVg~VVP`W~4# zksqw$`j+~g${lhCjP3rW2t>VV1AN`-&qBkb)sUtnsZ3)^Sm`G+U?Cf>RiS4Y<^SaP zzDh|Fk|nK*N-%6#dw^cb3$pn{U||3mS_xme;&&4UJB6vd zK7VCrP0{8=Nt6+nM$*T3eg7Kid1j+W*ufRtNgLdk4v3#{rWh+T*)m>aPw>a z^>3yva1_T* z7K{jIGwm3fp4B?s@FJrJ$Jbp^O9_n|X#K&ZGCNMyN6LiT=zu3DPZG6w5D!~bqx*(k zCvr$X(X<f@n5tkoN? zgX*1)oj#X-v2AcP%c*XzDdtMf0y!42qHM zCdBd$zY}EFw1C>EPvDI&mw^Ctt|lA%6(Qdw6pzrckFn?$Q6wqb`G?4*bX=$~zi4)K z2A}BAzn)^0o?`#+38nOeuCB3`84Ok|;r0q;4dkbz@)7J;GP0k+4afAzamrCM#4bp| zk};vs$nSPXs(7&3&*|P~@Q0zTucEBeg41O6#hJ?Xg9$dl@Uma3(fkn&)b+q{(+CDR z&7l2E2Okbo34bRT)N6dneU{+(|5B-a$+0|P&ERSQ~ z<~T`aW3pcu=Vq)&)h1uUpjPV@JF(q6*MCW&xVq6w7u0HIB@xnGcNIn9cR6y!<222p z#bd`FnOqYL{i65+!4+Z(tM=hgd??}WXEtUz>#5i_6W0AD(;QRIIi`d&P35`?*Y8YL z3RDC1+-f$JU{O-9byjuYx4<^|Il4%k67Wb$!wMYuk zMEo{EyG$RE_40PZ<0g#Z6J(T}gw{7%AzJGgg=1dWd3^AE;k1or#yT^rrl&jMC`b+d3FrzL4Qau}zi^B5u$ zDl^Gkv!o%#kTI#nR3lTolK!uufombdu!j1-3Zb`iMp3ejg?|;)E|>gQbziQdB%!vi zDap}WiDsKcRK&Lvv@5b=*25q351ZVEx9uw0Vwh)#*d^ly;uh-~3jit?-1hfvwFXN4 zAK+(NOEV=R6}rTyAd?4xV|y#jG;TpMBQXdUP9YIjcM-?y2BF1x)HOrKAq$lD92Jx4ZY0D144{W(+uWSI~&3Oz{v z@BPon2Ls?-#cpP^>-;N#TE+`4H799DkNkS>7CUNZ{YqFLRpp?~yFWT);40k^;yA4srpve? zn}D%!=Id@mg%29N?okoQJm&#c2*(pvH$kXdbTz^AR&+?_1Z6kpoGSVKj|IvR(7=^8 zrDE?)7tQm=r)TLR9u2j^Z7*zh=Hv=;#Fkug8u9e7JcIFCkhbU`d3pZl-w!(Szs}%g zdG~<;E0tB<1&DY_`iHUB1bVg1qqV^}^K&J-YTuWn$#MC$f#Fe;&p`pc(O7nCo9{s# z4+EQkqQk0J`zm7Tl^JnCOGybIHBb9$X-6;)t^>QOZ)}ih4y(-v_w8b}a1q?jOsluo zvdm5zD`s07R9eP5Bu{R~6d5J55_I3l`TIb6B=TCGA!0)8QER!_J_dHNQscH9&#e$_ z@zEsup3zjpYe`<^B%MgnE7*ZgGSJyrQ)GMs^kzjp?bsE|6Dt8~si4uR9(EmChFCZ2 z7aryG0OPiDB;5lot2X)b_`v5}h-*^=8n+}cZs-u_`kaqa)7IxrlLtmo-tT{`m}}(j`YXoIXVf?JwIBZwhx(E zC4D}?d6sPMrn|Vi00~`8hCTM!h@SLF6SpLi(cWB{xJn)0w>gE4n)eg0?YINJYwj!3 cm<#8bFXscVH+(|>500GK8UO$Q literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt2g74zk b/bsp2/Designflow/sim/beh/work/@_opt/vopt2g74zk new file mode 100644 index 0000000000000000000000000000000000000000..4c6afed64f5f3579ab32ac2961c3ade69071dc36 GIT binary patch literal 2315 zcmajddpy(oAHea=Wn(s%_>$aa?zKW$Gr0}NHDPW^Nt;S8xkM^=Hah6!a+0}KVk#DL zL^V`L79nzCtR)>MajZ1=OZD5g^V45F9{uzAJRa}&>+yMf{&+$oyQN=KZD{yc!sA7W z`;B0?2pjKn@(4V9fD2D`UahKz$i&CDPnuQLKr|lgs({90j%!EzTn#?pkPr#E08@__ zsjc54c{5cFNH6`+3%NShH6=Pw_UN_3dPjFCX8a>cXUt3NhAZ=7lVT7?kS<~&er7;c z9CqB}y&{|zF}g&nKaG$uW9*88dC7Bel6MX=J3eKaBqEewKz6~78_mEZpG1tzHO?wY zN^Q;EB@1&GEy2MX_Lop|soE|`GY2avQ2FoIa8&YF5iR3}MXLOURnje2FMofKEgRH+Lj%Qy*pS zOp;4@>xDz7hx|Lxs`zJ!T` z4yr9NaJzsDe-5jKChB(powkn+<-$H2zXVG?+eozVg5VW<1D%lS{3i!IGB^%d8t5vz zH83b~xm?cp{ZtBAURU3<43-)BoNcPD==%ON2o_59iAz1ZNwZt;=E>bFn63Ga##%jq zwwt!-97jv%nfc){-KP2WUlua-6i?Cw`YHx{3M|aCr5pGL`?%8#=|oJ`K(pjlqvDzR zZJ9D9|6=D_`b)Pv_tVNk(~^IZ{Bzz3yz}RBJd7 z{MGx;tOW2^1tS-Vi8qXcX)r&hL#;zQsUaix0dDQ4*U0cUy5eBzXLAJ)mYu=<7wMmO z&2U^(mq%`tnGA5Lb%5wfVLDRR^l~a#?v?D$0Lzj@iBYwyxN69{I6wb0c6UzS!Brr$ zlIM@S%u4G9OXZf!1z>5bpOfix2Cqn++Sj6Wm0;>s!l?#&62iuiX-mWLU}?v6>jX=7 zEc@`}IzjX~>+3(e&xD!vcNQcAiOXkyM-JO(odnC$enZrEI6*TYhNgAKFpsX94 zm#AabfZ#@~4l>J=v-gO*^2ctS zHrzUYcZ>Y7TNj~QG0@W9pILI^u= z{k2&!J=)cC`}3JW{X*-|+1%tf4WrqJC8D^uU!Ke)$tG0W!u{m7yWVy-7!!Yny=X!} zk(iJ@jtG;xpB&xq~p2kaR6t?v-02E2Jn>l$gs()&0u+I4i?{zFNy~Hp(Mc>imw?fg7@u=Di%E z4LkIo8BAlV>lk0gszSZ!55Bj!uG;n5Y41_uW)D5Rq{aXON9{@QEbI^q9vT$s6FO-x zw@G2!DYvb8Hke2gbWr(=7<=3?#6a(atmtvq7Fu?Sx5wUP{^PGt;OACq@0X_N>W@D( z9ld6klwS9!*lmrx<;(P(`{=)D)%97an^cFS&x3@TKGKJs24t0bNt&HK#h^f{&ttf% zH-KA@U~!ucPZgN9z;qwc7F?di;dtUoX+6RrI?Vq=*(?%_v=o zDTkgtdND6+UZG}qBIda+!>7QX>;$zXKjkZpFHZ;Jn2qDHy)@_|qRb!+k^ic)lZ=mgE33Eq zMvky!vixyzYJVv&nuatOb69U3LInF+r7Sqh>_ro*^4#BgjTpow!wi+;GeuW)wBz5z z0HjnItN_FUTcZMGfQ(~cP-Fl* zN*%~V7|90YIRY^oST#riGe`kQD+2@H2SFxwAkCryBsf4KAixM>X#z15*c`B-AO#3R zIe|PkAcpu9Y$!+p%uq!pE+CId3rK(f8%P1zZI5QKO@5|7VUwW8j%6ipYUdUBc7#T= z@Wk(*A=#Mkcjx~Gv;UK89&M9I4xM~K^>?FEaC@v;&Zihw*8I9z&#P;@y|zBg@%{B^ zzT8vS*LfoB3O6@xx%y<<#F#15HBT;S`NOSz(DAHBTI;9Spp5cmk&EKp{_SltJKf58 zb*|>qquR`lGu9BVH|7VI^JS9?AuoZ$nP$5tx-%U9y2M~cr-Rc)`^1zLmksu=%XzW; z;M|$HthuibPv#VO@VxfP-Q8RXvGrzFiYu;rDX!Mt_rE*FR{G?DNTvc?VA~ zE7^YH`}YXf&Rg&HoxBuO+7X~LV~UZ~r+6E#m>t44GFw@z{%Ak3I}nt3cEik5|D{i^ zdbxMTR*l^+pRJXsU9_p<-KqHhr_V6w{9xz2x5b>}qeZCKKHF6jog(8DzADV<;l1v( t^6*vF+@`RVakA@Ee8e=W+WyVRZ7uPeHRD3*l=e1(iE@Q}Kfh|P1OQDY?~VWf literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt35df7r b/bsp2/Designflow/sim/beh/work/@_opt/vopt35df7r new file mode 100644 index 0000000000000000000000000000000000000000..059ec6d67bf8dc01a309621e2991f9a3487fc713 GIT binary patch literal 572 zcmV-C0>k~&?_vuu9G5&WRQwSj9G5&7hU1db;!t#!`%tJ$cLUlMbFVwYFoCPaAwmYs1H^xs4~_k?hm|WLPiyT~pV`<*`CW5#J1iq! z`QqKT;L5D?cLd}FP=n2p>3aa-v? zqV}S|1g#XnNg7(f({$v(=c%~Bj}sxlUuQDF|Bf`kzg-!?Kl@|AKen{MKP)-GKNteQ zKX6pQKcLvaKLGi_KR~L#U8#7$EzDsx_#Q*HCB||r3(U0`R@fVG?C^e|n4zWsaRUWF zqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z&Q-rhBR0`ny_gsFI&t%? zHKMN=D+B~^7Dzy#Y|z+g_mzi0aEqaP6r=36XqpTxk#tZPVyXLZgc84?NM$BzzyEqb z;9pyNGzuBED9Gn55zvMhV&GPAgn;FsNI{cnzqb%T;LneHG%B#RD2TNz5l|u+V&ETe zgn)OTNI|z`zpG3njI0a@SQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2 zg&@7gOP#OG*LoJ%@3nXEpDU-Je-{>i)eHkmCzhK^o7cnCcJI#Ut)9k^8-2xKce>K% zz*~k#A0w7+%Bhc<)D!u1>1VsBl8-GAWnU9uivE7-IMdWAg)ux5N{otR)L2}I=<(xF Kk)w{_Vh%>eLZFoMu@mk*lmfDT$nP>oc{iR*1h0upJllQihurHCB67R zPIj|ihW2yS%NCh4Y+raL=Q-wtmYlS+wS%1PcATQ@?6h-~Sy$yt%til%C(`7eW$snx zE<$-+T-XowTbpf)FHSqeZ|7MTxeDY0bC)c*&olSPlG}>2Hgb~27V#BG;b9(0d?L}` z;dy+4%RgH3`g8JLWZr#CUaPl9@uh07Jx$)6W6mQ>PJd3$8YBq52an5>X58xGeC@T< z7-cU{bbhKNpC-QZ9A9Y7?VkvDow=V{b4TNa*MsI0UJF`pBripR`H}praQUV+Z#2$n zc~$1!vF7o*X3VR}mAE8Mk)nq*rMO*|KTXY_9Jg?UD^m2r_n5!BWSh?tf7-!(-rCuw z`JHzitg#>A)P8Jjq95(7eEmOVu`bq`-?HRGGllzrNSHn&f5cmw9&v*jD-!??G3jkq9%JLw!O+=#i4X5~V_$jw!@Yjds=?n}&dPU-gy z^^@|cm*q6RgL5iue8`2*4myv+XUE`s2iJ4icSQ^QHe8#1;eF=b znwLA{zVHF_?^^OtYCjM=fFIcx{$fAM?)%1_cP8D#p?%!%KVrGMYM0lg{4x1$bME+< z`Jp9W^1IBB;d@V;_&;HO%aUKVAHjA(`=SDg=grb~#yB01L+|f*=^I%y$xG{1rFWPO;`C{96Z;sdN`{rDAcJQ1#=Di>BqpaTaUZVF|53iZRbsv^7 zT6exig<3xRLd&ClmS3{`isd281C~cD#m;SAKKzdL-#$iu&-FjB6gz+F^5M^{|ApnR zEPrG9JIesMtZ*RSUa;Mv%c-!kux>s#x`u8;`lK)v;^|9T0qjw#p zyMB?z13vd@ecagUd`|VfF8v<24g-tj7zP$)KkL#sC*AZsm0L}U@Bi{6Yv&_=VA|k) z#S=Pz4*z~q@6UDk%@*(BP>uUHrHwlMO%jHVw-Gt}N#wzU>+FY7=+xZI+240^zZyDu z)x-bbiT*(Wv?&Y~5%KT8CubWPlbK zVMQ~lu7};yr0C`Y1ge1qln_c-fM@DPy@BS{uv@d}R!=HpA_6T%6fmt$PG&>h0tO+h zPR$(M2_Sxekc}dw0EZ1)Ie86nwK|L_JfOrX?q5qm4UK{t!YhtjseqlRQc$TAy9Qhs zPTjDEgAsuxnWrEf7ZqqRsvzQSK2B8R4t0a6&I24s3=1Eit&E}W4Q>TE7?D@Z@3Dj! zip248-qDIn@Zq?9@Y08XYa8qkI0lyM%_0tT2%F{DE{BHBP$!PWKvW#BO5@?D13T1- zqsPqfHPEAZjlyiukh8N|=LP{zWdvRfMpox~wW;UGgQ^F1Z5XhM3e*Fp?+KfV18U$%n93SkPnP z`s`#xJ`BB0oRV(HPDWKkGz$wli5#~f7F=pDG?MzwbDLs;qJU(OC?LJiNsr%XFQO=5 k#gPU&y&X@YpminMC`M9GqvOeJMwpoJ7nU@2{~4wJ1Hv8l%m4rY literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt3x2q9z b/bsp2/Designflow/sim/beh/work/@_opt/vopt3x2q9z new file mode 100644 index 0000000000000000000000000000000000000000..85f6dc21ff983a689603e5ffaf745d4cfb001585 GIT binary patch literal 4988 zcmbW33se@z70376Z$m&H%EJhvMnN!wJS8Ba@|5BOP@;$uVvU7bqJm(35!4VLD4ZrB zT3<07Yl4`jibkm#l`4rm48a%GsCY=k5015>NIVT{d;jxAE}yJl+srw0cW3rLzkBEI z%{Ctw^f+yo+!lU}zu6yX} z5@;a6s>6(5A*+lbqwk;_l@UU~KKqf%g=)9gG!_G?iXz+71@DD@DiE*>to+xgGC|7( zdLWDKHclYOpvc%@fOeZH1V*7u095S2U>d`b4*VJVQdf2q2#i9R0I1ltAvA_luJTT> z$Jnb%ejs44*mb-QP4SEg@cJrsDPd$7s*)cF7>a!hsD8l-C=&o3jECW57^;#V2pEc; z52$|c2q+T(9YPP~@nlexC^Ec|oTx<_@`vFVECifMXX?U%@SFf=izu3*bbCJI@Jl_=uvx9R#vyA_fhD)X&gF>@8(t*K<5p@d<%Gtq@?lVNdev76g5YAW#Vk z1UMH(jWCIxaN1Qe2LY${HEQ`&?G^(BoZ1%3 zz(Kx%z(Kmx=s-h4G&Q?okb6-J@1NhE0s+U+^Yv<(KuMA@T8SaUP;+XDZo2-wEFoa1 z%JIbnKs`vV#FAmC1+@U>jUoiRYE&X+0-!kjl&J<#mFYuZG*Tu2icg^uX8={1J_JUg zOaN4TE8j4Hs!SgOqfjOQ>O*oR-T-bX4Q90+(Hsr&>$pm%Q~y$y{rT04ff?R8pWQ3&?QxRYHbAHV42rUX4Cetqm2RR3 z9|%*bLSU561kgh;CW{P1L#c(&c(`MFsS zbI&bFi*MX(o4p~Xsbut+54Ooc=a!xNw#;L^_r&+sIV`*9eLJ#tbzrOaQp@{Si#tAR z3&>p+u<~k)|9}Z!gqCJsj%d47?i1d6Xn)$TiXY71oWCixPwcGc!RvI{yP56tJS5*mbK4)D+8A`o zIir5)wX?epNM9aqm}LLxY3ckye~;>D3$*S`YP@hV&pb#6+JwIA=V8!`smvXE-+wM=e`jub)Y=^eTiJ!N-c$WQho9_7PIX9x_ z&d43q`H6{zY2R|&imh8R6Iv!G`K;{wb%xI?Rzq^rwolD1N;(}==b1e+Cwa!;T9N3|sIyzI+g^Dxea);h zk7uqbX#Qr3Q?!G{&=J1Jt_E)nD{G&2sKhe)_08286H=b+Ke0EUtU_v!X#3VP{k?Yv z+9%|uFCW>lzQwG=(m(sh(m(Icl)d(BdF!FLZ$#Baz3~?S3kKptM%c=pm?)|>8a&*aj`x*6$@NyFZABFUn#I{`_ZD} z-7SuPDDG3&S>RTE+Tr6*PhR=>(AbvSN2b-deYbPt8uN8##Z}XT7nv^^P#@Oc`=^}Q zdsnS>YFZXD)~~mm`TK+XrS#b*_D>vsN~?)3ypi{4>Hf== zGw-amEUu0(n3I3zhme`&E?+o)J!-LqG{$FTV5fVtX=;7k^`m!R{qW}b_q{fUUYinr z@>+xQgQ~w3c&(k5ht?UhGf}bQY$r%@Axz?oFeMm&7d|*;YO8apJLn)N;qOt3NFM4{ei|kpKVy literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt5xkek6 b/bsp2/Designflow/sim/beh/work/@_opt/vopt5xkek6 new file mode 100644 index 0000000000000000000000000000000000000000..d8784dbfc6386e468193b75d37705a519cb2bd7d GIT binary patch literal 572 zcmV-C0>k~&?_vuu9G5&WRQwSj9G5&7hU1db;!t#!`%tJ$cLUlMbFVwYFoCPaAwmYs1H^xs4~_k?hm|WLPiyUapV7==^mu1@a}@`$ zrksImUp5p=2>p?lLc$8)uvD7~irMkyt+nT+sDWWWqj(@b287oKR=PcKR!~x zKRoonKRB4cKQMT}KOm^TU1@y4Elg-N_#RNUCB{@N3(WZ#R@j4Z?C?&Yn4!i1aRbFb zqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z&Q-rhBR0`ny_gsFI&t%? zHKMN=D+B~^7Dzy#Y|z+g_mzi0aEqaP6r=36XqpTxk#tZPVyXLZgc84?NM$BzzyEqb z;9pyNGzuBED9Gn55zvMhV&GPAgn;FsNI{cnzqb%T;LneHG%B#RD2TNz5l|u+V&ETe zgn)OTNI|z`zpG3njI0a@SQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2 zg&@7gOP#OG*LoJ%@3nXEpDU-Je-{>i)eHkmCzhK^o7cnCcJI#Ut)9k^8-2xKce>K% zz*~k#A0w7+%Bhc<)D!u1>1VsBl8-GAWnU9uivE7-IMdWAg)ux5N{otR)L2}I=<(xF Kk)w{_Vh%>bEF2#I literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt6qn9ni b/bsp2/Designflow/sim/beh/work/@_opt/vopt6qn9ni new file mode 100644 index 0000000..3b61e8b --- /dev/null +++ b/bsp2/Designflow/sim/beh/work/@_opt/vopt6qn9ni @@ -0,0 +1,417 @@ +m255 +K3 +cModel Technology Builtin Library +13 +Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech +Pmath_complex +Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1 +Z2 OL;C;6.5b;42 +31 +b1 +Z3 Mx1 4 work 9 math_real +Z4 OP;C;6.5b;42 +Z5 w1208391546 +Z6 d$MODEL_TECH/.. +Z7 8vhdl_src/ieee/1076-2code.vhd +Z8 Fvhdl_src/ieee/1076-2code.vhd +l0 +L687 +V1a;R8Z_kc3Q7^>9;gKVIV0 +Z9 OE;C;6.5b;42 +Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..} +Z11 tExplicit 1 +!s100 j6YPGc@:alQm=gAZDnLd<2 +Bbody +DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0 +R1 +R2 +31 +R3 +R4 +l0 +L3719 +VIMmI^hXJEW@Uoa4kJFX:K1 +R9 +R10 +R11 +nbody +!s100 GRUnO8ScI[9kFB=Ki3;5f2 +Pmath_real +R2 +31 +b1 +R4 +R5 +R6 +R7 +R8 +l0 +L55 +VzjAF7SKfg_RPI0GT^n1N`1 +R9 +R10 +R11 +!s100 ?h[BJdc9hAMLfn1 +Pnumeric_bit +R2 +31 +b1 +R4 +Z12 w1242971927 +R6 +Z13 8vhdl_src/ieee/mti_numeric_bit.vhd +Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd +l0 +L58 +V0:R3B671ke]N`8]?lK_c_1 +R9 +Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3 +R11 +!s100 b164i8a]Ti[DoEJ?8VoH00 +Bbody +DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1 +R2 +31 +R4 +l0 +L1045 +VMl`J4ca2be3ejNXY`>k4Y1 +R9 +R15 +R11 +nbody +!s100 G_bI[L810b3Q]LV2V2za01 +Pnumeric_std +Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2 +R2 +31 +b1 +Z17 Mx1 4 ieee 14 std_logic_1164 +R4 +R12 +R6 +Z18 8vhdl_src/ieee/mti_numeric_std.vhd +Z19 Fvhdl_src/ieee/mti_numeric_std.vhd +l0 +L57 +V=NSdli^?T5OD8;4F3aVEY0 +Bbody +DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0 +R24 +R16 +R2 +31 +R25 +R26 +R4 +l0 +L173 +Vd@dC3[2h4nN7HB2XD:8CM1 +R9 +R10 +R11 +nbody +!s100 Nh6>65S7FR:e[I>ADUQO1 +R9 +R10 +R11 +nbody +!s100 ]?UNFEkZD:LZf;=G2=^OM3 +Pstd_logic_textio +R16 +Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<dbiF;m2[GMa2 +R9 +R10 +R11 +nbody +!s100 6OHe=[AFemLP2O5e01aCn1 +Pstd_logic_unsigned +R29 +R16 +R2 +31 +b1 +R25 +R30 +R4 +R12 +R6 +Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd +Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd +l0 +L34 +VhEMVMlaNCR^a:GNXQeK2 +Pvital_primitives +Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG[EMmIIzoCHn?@614I_=a3 +R9 +R41 +R11 +nbody +!s100 ccDc[]`DWjj?>mGBe93>82 +Pvital_timing +R16 +R2 +30 +b1 +R17 +R4 +R40 +R6 +8vhdl_src/vital95/timing_p.vhd +Fvhdl_src/vital95/timing_p.vhd +l0 +L46 +VOBWK>;kUYmkG;kUYmkG1 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt7ri6bv b/bsp2/Designflow/sim/beh/work/@_opt/vopt7ri6bv new file mode 100644 index 0000000000000000000000000000000000000000..e7c03ecf4d066d11ef89d2869d42a37efdc0c1dd GIT binary patch literal 875 zcmV-x1C;y$aLNvYo?!L&4Hki(VD)^^6RdypK~I$rdV=?IkvqM$LZ%~U0A_RSIg(TP zJOJO$JN^Ov;tnk3(A5D3(7Xizg<6=q0>!wEkKE`%f)`EasdDU0DUyyRR9100LM)A&e-6C z&<$t_Rg^113-S|=EDoZ{*_i$=LS3*@ck%@`2h_woS%002opp5_1m007l^-H%xEi1-=X ziD92=@(bW6rfnJ`+tRTC0000H4HUxw0001WAlU^QQo8&b{>nM6;MWLKf4BO4b{vpq z-fNn<2>X~lfY9C@R_w6HeSZjn_LXN^q#pW2c1;u02wgn=309rw-k>wXr=-;YI{ImaICgapXi6^2{w*w#^Z>7uPRX-)39iNq;L#MD}!lSoWaDINkX4%Gkx zcS2Er%s}jy8asiNwF=Q)6$GL)6p5lvTYsz}3A_oUAi;t53Z;-_HU=?5}1z`&sIn;D0)1kzkT8JhN%HB&zlf+&?Xqj2E{_f3&m} z8t`%&>Va2F!vI<3k=KJs3CQg9iAmsnuE(_k60V=Vzky~Ok;5vri@;>2T?QMBkanQM z&XI*)A}OJga>(~We6^DA0DVic^%KjREVq+pPmJ?zq=WZ|2Ku9*8Hw~gw)Fygi8?Y8 BnuGuV literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt9aj9rv b/bsp2/Designflow/sim/beh/work/@_opt/vopt9aj9rv new file mode 100644 index 0000000000000000000000000000000000000000..503520dddcfcc80a3ae59aed6e886a8ae616760e GIT binary patch literal 6440 zcmbW53tWw99>>q=J!nN2l0ibs=6)%Z#Tbo5Nks{1j@-8qqmXnoF>Fk(gB2@d?Fb{c z&?lzPm_##?TV_AwI!uPaC$$z896T~`bSgRv_m8w!KLU1S9fCUMz`vJq8xYf~4x0^N^7 zG1L>8t^lYK+bUxd^j3OUo1g({>V-sMFhEnE;vMmhdtfXkZzPIZ0U!FvRuAZ17tn_Q z+_~0U^req<$KYER;75QuNV+gKpwyAVMp(r(0q`w=22dzstp$M9)g9y?tN>jYe@99E z8etU%0J^v6Pk?$0x-d4R)Qv(jNC3Kq0R-p{a-bEU3!@z+b(>fR2|)K2Z%c+oRH?hl zY5<^X7-TinvgNP?*?{@{W={^T3-BjEcTc`685&cCwN=Dz#g{ci0_>^6%4mQ938-O^ zL+b(p2~fW*x-dFW>P(^ex&iPy)UYRmB;d_4C;-%FKqGnvI06r@s}BI~;{<#JCB5ZT z0C1lsREZ{a@VIr;*G=k#(d0}`rVvWHW&mt%1`V3n?DD#a&CLOfq@*_0yvq~-Y<>$G zG_kn_s!(fFEgAr}8zr!`dy zns^P|P>rUfYUrY-CmR6Wu>s)wv;iJLiKR6>>;ULW0kE_!@JLF!69&M2+EJyTi6`ui zY78YFTMbW10J>uX!1rknJW7%_qUtvfP5`=604((YK9-X1gaL3LPpT9&@q{~|8fR^) zWtRhB&F_Jaud5FL?&AeKnv(9HI{@y3Gg?6t4;MdNM3XveJV@}=G)=Hln8BM5bOivL z0hwZ?K5stb6#(3)EAaQEJ{W|2HYotOPdDIZB#PR8-zaAKi2HP>$~2LZIz_su>2LtR zQY>l!1^-}C0I(E$%`{yQl*G%CG9M7))B|8tKP8t8h@Xoy=tGd|3x`86g?bxd0Kf(r z5QaYzzy=%@e0T^005-^gFvLj)oGdV?Yat8(*dPPK@V;cgK?H-k+`<5W4Kg4MGbIC# zB|fNx0RS6hKp5gB15Pm*G_wG}1{n~BS&{*V9t@gU0APa*2*Yg2fFlqmpyt~Izy=u* zh7TkIPD~gyvjD&b84!j!k^$#uD1~MW0N5Y{!jK>taGHk61^{f30bxj#3^+Q&Wdi^< z$bc}+l?*sYN67{NY>)wAm?s% zXbb?@AOpg%fB@`&_0^8vA}KTm0Bn!}VMr!`4eq}hH0uC>4Kg4M3nfE)s>V=g#sGi~ zG9U~olEH(jDA@pj4Kg4M7RlgA)mYg8fDJMr42vW~hhGhvbpXHy84!k4$$&F^9ED~b z0I)#@gyBO1_`C3;YCMI;0DuiLAPi{)umR_JG=;_hfDJMr42vZLj(-?5>i~caG9U~~ zBm;gez@T|f0$_s-2*XE`0lyt4P-tcWfDJMr3`-?LSE^!U0{}M2fG{kR4Be=DPc{Hx zgA546pCm(fs>~FcSpZ;z3ue!hWcWLScj$``z{Fjr-Q%Eyl}gmgp8FT*`66iPufh3 z=zYR1Yr+rBT34E5XI+mza;zlwWGkmGFV4n>hjvb!aW-+&Ma%S`&y_UIXzTD(uYdgL z?B?BO=I~}q_aF5OIqI8t%w^~Em;*OmGQ2t$?pQc1_~(Tu@+y{0-_oSz)6+Xn2D%=8 z9KJK)zwev#&}a9eihJc_yLBw8^fZqi*fGkof5zrTyHf7H+Hkz$s^k3X7Q-*?NH}r* zamx!iJ>TQnB%a3vWa>lyy9{mSJH*`$ynD>9pd1~szfNS5he)2yI z`mVS4*85*?Tzz;%WmTRbt#99@V{U|gV{4va-ddXP_wPP0eca2kV*?A{jor~Oe$VYB zm%#yZ%j)^16!(}=?$vewXU?hHd`|d2m~tj2t$gR)x4!LpbCJU)OVGaUu_H?zk94kx z{^Dq>&2!@IdU!{@h^y$58|XhiF=?9L^ox<+5#9P#JueFkxoG+8FGCt6U6`^y#dzlX z^hi@mP~^mO7b3>EyEvp>zOrxX#|Mi?q%U=#&-^Wi#^iQ7?dljl?7qLnHLLO0Ijt54 zm9~zvEV)s#eBAm@7ycetIQV73t))k2I?pbjo9%8MHDS*Oz0C0*my_Mkp7%YvqS6pm zn7ZZUqh(=he(>Bd>ARvs^SmyFti9H0Tk^=N;$evmqprRDaPk2A(#-1W>Q8ScE{n*W zzc^vRS4qzkn)jSvaQBl(d!5dj63XVUO#jM1X7l@YUAkw6_V2RVzF>WGrzu;~Ds0cU zz3J|pv-VMb*%ZG!Yo;Gad-~mjt8t!_jt#Lp*>p}!{yW8CgX3a-T}S+_!}wk$yTbcN zT)T8Q$>!YcqKM+BKRtbtQMRkT{ia)&sj7IDy_YJx%(ujS>G#~mDAw9(_r@@$7Kt1S+F#*OyKeBo6V@38T)+f3v2$CpOfKJ4Ik zdie{B|MzQ(5|3Z;56^2i=$83ydzXD>CFcgN=oxfx?X+R1yn>R)Rt&O>E1a9UJE?3~ zp8MoY<@*ZK<4P;{<`p#CG4$?$&ri238^0tz{nOADyYJgh^>NKVRrqZCw8neytV>xk Z$dXfa_0_8Ahxe)nZa8%)_4BOU{{Uol%tQbH literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt9vm6ha b/bsp2/Designflow/sim/beh/work/@_opt/vopt9vm6ha new file mode 100644 index 0000000000000000000000000000000000000000..9bcb92b94eaee2aa4417bcf4afa9fcecf9c0ed7c GIT binary patch literal 458 zcmZQ9IK{W73d2= zx?7#8KM+#Hp15Iye@?rm1N+Il&ZU+-cNfh+U@EA*IBxB~nw@2wag3IR3g-NA6Nl19AViJD@aMT#o-XY+8LP<|FOO@=dMV@_y9OhfyR1@kyyz%Sq4Wo~NxruINrIzQ1cfK6a4kE zB2lSTnK3F6p%lfWwK73KJ9est2vt$5B8rMk6&0)WoMr5#GbYn%X3oF&oP6isdw1_$ zlQrjgOC2M+Us*2)wJc2!`j*R>kDw@u8H)lzQBzo@C?~kmlnE#XBSL`~0yuD;06|kY zVu5imAOH=SJqzH71swhbAUcr+aKzrm83t%aQDTanlq(F0r72{=5T-1R0F3~)QWWp8 z6=x(|88;NHunT!h4+5m;9mp75G7b#(An>L~%rS$E#u6?KZ!PASV}>Q1)=+5_Tp0<7 zQD+2*GZ!)%OT^jYO^G=3pu~89;OY#{83E#K2^ozg;>?GPnTi5o&;|iwV+9!_MS(Eb zg8=EVhK%t98F~=-pA87UI}Yo^zH0=CsQ|KB5JMY~eb)#OQ(MHRff#)T2#_8-$Y?B) zXD}Kv#v5eF1_mZV5PSv0=7xaLxgkLAgZrNG0U@SiAj79W%oG8mrU(#I_JB@@lF2Z_KQ@Su2f?>IY;Fh`of`t=KJ3Z%gA!S=BV@P@hM6K@)D!_?Dn@(; zh|vWjKzi6u5|+qReiuRP{1zQ!FTP7ch^e8Utd6*#! zo85lqg6qRMU6-O-)c?J=;NhZ>EA@4{B|*A4&Bma45xQ3{ujZ8Vwl!H{$O0ne3`x{`WKJ(*pPEAx`d~$VbOUP#;3gy9GtW_e?p>Gm-g`5e z1I?O!ud1pQH@Aw#cLj>Xg^jj99KEuwIjeZ--q@yjjiW+2N$Dw?h^OD&snly*FQr_3 zyz=p-&^TpM?rY_&C#h2f4(YOruqpiCY*uSF9}8c2%k0d?8hud$#hd2aaZ=)|w+r8) z7FAUKv96g)IP{2nrd>J4nYWI=eN~lTu8HE)Xw6#7>%)9i`?&Lcw1C|enI1Z*hmHdfpK8H zg+Lgp;-}Pw0GkN6qj~-U6RF~NayJ1bSD8#hKEc|xT`V;pS=30XVue5;ZWKWh3UY3X zjpZ=7;!=Jb9uV6Aubj$&JaeI*7?~pB!1XwMtr-xd$EYCMqoEv@j$b8_jWGDANKJwS z8r-!f7{S3^>)H*~x*ytQqMBYyQr#lR&FbGhV)VX?t6=HRT;Wx)#Gv)uA+9?tU`Y29 zN#f*yxok0a;6X8l^J|ktMb9Z3F##!v{BA1ghr786?z}AI?}Iz{Qz`_{s|SEooeU&1-?Zop4N~ryR(;$~H`(Dk%)qNan$h zj?8Z*`m8Bq6u8K|c$FI_Z-lbSRBinYlArnksMwX}FPgZw`XThfe}ERnn56WFY8#dL z1-+BVoXPiH>a5kD20^2t%KzFx;{YG^!2VClLuX&SdAhf>W^6x3Ci<;LE!P!kBQ2oJ zaZ1kgUZgq{je?T_iaK4eEx{PgeKI@NoM$b%D-O2VOYa4`CRGM+9pvxvZja_Zf@{$z zclr3alj;C|-Ft=SWY3r#NyC#*^M|~;90~ND2=#up^Q{HpdLZ%xSPOd$vFof17w8sM zt^u;93$zoS+Smvt4z*Y!DOJlA;GNhiT3AN)f1KL~)$aTMZiDKLpkAuepv3RuBq0;4 z?Q&t6Yo$K{D9@1=7k$N6^aPs=4r#DKwik)zwDSRXJx-k-;Lc)?eYh{QUL7hf+BTIA zN3JYf%M>det_}c8E9v7+U@3co(cNAfG_@pv*)mF47B{6gB?EC|`qwgKP!_WKtU zTpkq+D`xxGKg4oWwblZsRW!!~Lw2W?3Jt?|j#|CK2MJvBpHUt$Ukp|Gdm#H$@FV|* zs+n;K3OFB>{f6BMMa1dZ6Zio|1YD&a!}&$cV9J&S`5ftZQr-7{H4!v^x0L_y12_!k z5LP3a2-Jn?^3n_Y2m;l4U4sWN@5l+kSsC1Iy&}r}rqqVZV7$15%jDRf#{3BAICh`_ zdVbzRT-};8)4-ToA<9`>_Q``Cr z5h9k`fl&8f%T!<$5R-0@63`Iot!A(YN@MH?1dU}^EJw&f7=x02p=kY!IMXQsIwhD+DbOj!bjpBE8KzSfbjmWFa-dU=>68bZ@=T`! z=u}`j6+x#W(}@M0Sf*16bSg2O%Aix3=~M-ss!S&ibmEv!HPER>_Gp;hv)49Me%_y> zdGexJ2kTWeOyS2xSuVP*$TI$ED~;nzmOemEV7-ho_W^$>cV}sLh3j0xYj7#875=mQ zH%H?On#Wlys!mmcj|Gw%Y(3>^m)^u^($mr9Qavt|ta)wA)Trd(D?ZV>?;qe^?-4r2 z=`A{adl7xQUUN@;;m0>KJKF7jS)ufP`Mf&|UZvok`gR?TV`?=d)z+WVP_FQMyK?wd zPsRZkusbk#EJmM$cEx7-LxHtRheL&9&hrp6p*p7-?*aK$tWX_p1Da>bi`5#>l0!Hi z5P~OqDmF`O>n~g$->JgK@E20!h2q*H+Ma#wVhrOXl*E@hag!Nu^YJ~T}yAfpC-f@wwThHG8 z>6P-|e&IFe8u)!gI`nar%#zugitG#WhoQr>9)rONAl#V-1S zv(1Z3<=AaLS)NPS=xD3B4aqAPhVo~gJvNk5>S-vJiPAMYow7bT9@@u&=s!84IBktO z&NJp2_=wo}^iD4x>Ze*sTQlR)T!v^>?qce@XIa)vNp)v-Ekbu8hO7aBJHN~&f-($s zT^mm`3SNs-Z$koyi*t{67BJ#9=>4+#By2@Mr#{JHEPZ+ZOr-Wz_t_=hDrxV(o`r z(JTo>*0x9kgapS;G%@*EI#En#B4fE6hG`xe3qQf?LNz|7`FYy*1E&g`ePQEYyQD~o zlt#Z4_|^XRn*NV1iO{(ds#z8eA0mYa)pVF$0-0;?*lT+ zb3?(X^{Izx_4C@#!vt-5^%_iL>)#HOSk(;J+!_4|HpMDt!RF4bv^Na76u)`4lf|AH zvE=Ud%DzN#6%S20I{LeVmovU8{jQ$$L`F~UOy1ki8OzOu1)Dd4zRcevTj#wiyf5be EAJ9cy{r~^~ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptax70eh b/bsp2/Designflow/sim/beh/work/@_opt/voptax70eh new file mode 100644 index 0000000000000000000000000000000000000000..e2664d396979283074554c7a9ee2f6ee5368f4a2 GIT binary patch literal 21168 zcmbtbU2t91bw20n>i8ntLVzJoVj2-U!~w&Ri7`$>f|8ijEe3=!V8BNINGh_VTGz&I zA>4pT+?1K9X{I%uHc@A2>voulX3AuE@Pi)O86Mn5FP(9xJous0;enZYI?U9W0`B*% zz4pG_Tj$98%6CS0Y3;T4_pQCw-uvuxjEr8I^n>4(8~C?v|p)j zh9m6@Qm0z3e(71G-=#e1Z7|S}4#1frFC@((nIGi4X8Krs=ITj1>q>t=?`)^8IN2IA|2o#89k@C1Fb~tc#%~!v=atXT`BMS^=@$7F z$MLhi2aA0}==o;DdjH+~r(_}^`jUvV7&7Ud_N&oB61owf%2m<}@j^DXi#j^po9 ze&YH3T(JH;*7)xX#HIezX_tp7$#9PSr(KSA?~ z^RE|n)Z6jzF?vospFhk$=cPaYxNgY5t3`gras2z0pLjlhnEwL-zwC?PKhPq-;yC^R z1u>$lQ%z~b5Kz*~Y>%ZbN09w%-=9?lp)mxt@= z2mT)AkKG3p$K_$c;O4~h`NMhmPQcIclE1G-e#LS8XO*9LaAaN(hO7gK71x8} zIR1~|&vOa!$o~{%(fBVJzpNM1ufxssM;tvGhqW{QmDZ)jvvsKA`p!6r$2blUx1bI+ z-_jNw~$PU;ExKdbx~TI5$8$G=PYiAVltOZe{(_&=xo zH5@QoSr3Zi_;)Km@yLI$gnv)K|9R!#+9JQ=IQ~B6C!WtA)_;G%ze)M`w8*bGj{l(Y z6VK-l&xyQ`^UtZbD*t$k{EFlF$CaOW={DUR@^Tscmhuyy(eUbi%W0%HZ z?acp5*CUH(uLEyu9K>TBn-#ZDabcEu^ojU&cBuVvG_yVBeMXAsTWm-Fbv*aql~kW& zJ0dy6^D*;^a*01%*^l$z&tDtb88_{e|Dn%gPDT1HMEWg7`dy6l>k94{%Er+X>DL$O zHxubM7wNYU>32TT4-ZqNzFnv%>ujZ+pSHeR)z9Y5%5Wa+iS!#+zh33FGUPq2e)c?V zW$3pU$$K%tZPF{5zw5_WBh{>$eoidnwYdJJ`49Q7_I5r1grrZGp~xen9=}Do?0DTzP(}n#|j^y8PJ>v67j{i&eMn2v9 z8tTZ;KIKn2@OE6!Za03Fvg@GQ-F1-9S$G{}JFkNf@?kr9Mc~Wib1i8k-c0jq^0d62 z@&6j}O9$Y5nf<)@sWX0T%fw6hoZ+7k$8C_z+e4;(*|}~j+cB@0e?G5Z^vpX0zkGRZ zHX^dKqh{p#M=uNy`#-7uk zc#l99jh8pr#OsCh@%D(`#M^D`IsJXSaJ+ZJPCVAj$J;M@6R*$MbNc&u;dteJ7w`@Q zcmtw0@eUe$PJiM(3RyH>=AH447=JhaCPi=JjT?JTe;+R#?{?UUH)H%A-f_{Jcy(jX z=}$b4zbL+Y*bcmxjK9M>DS8vHVeC2miT4;}5#CqX4!l#w-{H-P-o$&u*mL?5Zy#h4 z9_JnLP8)yhpJx5OC3+KY-q>^c6OS8K5#GIQ2i_Uu@8Vk!y@~g>vFG&n@xprSgq?Wr z7=MR%R`e#`yT+c=pLqKri{iVF?Z8`v%GB=}){TAdB#Ju^o6z#^2$+ zFM1R2ys_u>C*G5gMR;FhJMb<*`s?ij(VKYxY3w=ueY`M#Ux%G|9~ys`zmG(3;$1ZM zoc_dn3bH7^Z?GMBmyEv~ZxuV5zn@|nG5(zX#5+)ecfaD*jK9M(&lQ{TbtxYGiT88~ z-ZvF*qvF}?QMc$#yiJNne;+T*-?tQROMtg6z}u>L^e5gk>{oDodqDAc4)N=|E5O^K zc=RXUvn6=Fif5n8+41fU@Ol-G{=_@Teg*M;Tk-Z7e>Y$H0=&J7M}HqL%-?qu&ptP^ z@tNml&3YVAJo@{12!L_IYUFn*G5-dPzl(2N^d{bj;?bXYWzWTSE8e8T6Q0aG*J|R; zC?5TZSN5FiLB%^B;59^V;=QDJ^e0}~bF1$u-bsgN_UAVOyi;uK>>s9n?`ZqGf!+FjT;uv{{+$kS8JG5R{Mz5?%IE%$=Xc46c*@TAYIo=RF8K3& z&vx*w<2ic*=W@35e7`91uFWo8XW|=2E9*$BzYgR)UP*VZLp%1}bc5Qt4tW1ZJMi6m zE;O@0vS#FY#h)I+?S4;_GSB$ocn;k0J~pz`}~*om~kB|!IyIz z@XrSL_PH_bG59>MF>k$)e%@Y$UX(XEkAe3b?Ebjyb7o>Z{H8l9+S`Sm*79I_}c<}`(A#PRtN66X z;J;RaFXu7f^Bn50ivh)_JqG_|3BH`ifL{;r8;Vam@o$3U-xHMWC$E>_%Xtj=jR4=i z-=UrO8?J)?!xDTsj{#rr-(3Ffdm-9m^7Eq-d^wK+U+?D}zI~rWdkjAJd-zr6A^rXE z6!fBfNzPH=)%!ljYu``NPF{;wR+r!CeaQ{*_uqHOIm&xqK^=Jec-=reDaxPJa9?Hb zpSE^z{&jY|XX@zg3+;Q7In!?nX6X;%FhXH z!bgARE#xB)`8c17_)L3~&&CCJ;$@bXJX9#bFNJ9q5d)MFE#EbwLQHB?H$PXdjR|x_v?0CH?u=pJ@gq$+|T|3-{3ur zatJP4p{I39%&(1*RlEm$K=NkJm8k3E*6*|8Url*#VmG1h#pOZmDJ9176!X=Qq^agZ^2WG6 zMnBDYW=F=a?dh%j1$;W+ z4_Bf2c3MBxd5-q%SJ0Vfw)68R_(A(&-gx`{LANh&TdQtlInup3f z#O@<ED&4ng>&O(XR>*F8~ z^e>9*0kpGDC-F@@*~E5q`kG^A>5Sx_$hVX|LR5+B5orx3pgL_v6|PeGT&aN01yJgv>wQ7qK2T?=>1Q zpXo>Z4$b?G=s~|9qn-V4rW^7i=gt-KL;o<2HpKDAXeSQOy<7)j9LyKvpdaI~^|x_w zJbvCpZGLTd5WioKeSl4A(%zt4qq3&5XBG0zfB$Z8C24>4FW!9f&1%w~4o|+&Urn;c z%)}I~Gg)IV0*Bp6^1`oSBpnFtbyUov?&k$ExwiX_jw*xo%=b~d4u*9dA460&r&SUJ=ex_ zF_LF&m3eza^VWs+6_dBIRp!m|^u+S4GH;frFOp|ym3ezq^EMO9v&y_#p1DY#@!1no zTtCCBT(5mPu7yaxW7o*{nDXg+yav=2*X``+G1-r%`pv#HRxnO~|0~-s_9?Hv$Ga-; zNdNdO^Lk=xIBK8e0hjxezQ>EeKej4-eUBG`KRnI&CyySM`O!Z-eU$oCee?)r0+~|c z!|RmIo0V+$_uKud>-)S0?JMoKBmI-+`Z3x+Oup%(Q+3MGBT2oLef|mM)%ST3y!QGP zKmN9e@jt0?=zG5w;<$Pq+FRh)_kt1pZvM)70p*u@fU-x;=cjbM-8f&wTqj2Q-F#jZ z-vQ;*_ka=Ovvpp1oPd>iZ0EI=w%y97)lc6OHrT%M{I>inkDEALN0!%0+is=hpO4P> zxa4_sYH*0_YH~Cgvg;~lK0Tvx>3hWn<5+pT$F7O*S>@CBj1hcho-(eO{2f%k?)KRE zItE;`|FweO8;w7-D*Si&pus&+`m&q4e%5T5&>3hluzPemL$Hpe*{EDOGCs(8iu>6y>Z4Q1827LGsHt=RL|yzneKY+g4j-0!jP&W;PM5z4bDlerOdpjz4kt%xpBR2o@{9BhQlFfjmiy}j zl69C!rf~~{yq_4Jl)TR-ll05Sr}69^b(qcNdUEv0kl;+^BXW)#8J(2#Bh(S`>)*bU zG9Q{1{Co1EKRNxP*k_N(`7g&nsP|(4BI`qUQyv>1kvenytlxF~C8@r0 SgLw|r5Do3PEyicf-2VfgOU42K literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptb4k7xs b/bsp2/Designflow/sim/beh/work/@_opt/voptb4k7xs new file mode 100644 index 0000000000000000000000000000000000000000..a4ba25fa856e99bf6de6f7bedf4fd12c73d11021 GIT binary patch literal 1584 zcmV-02G98caLNwfq)C3;-K0r=?VB{oXtQt9xqXu++W@gG1i^-cZA$=;w|jTsy4$M& z^SuAu0kh8M=yIN?=p;vwcK|_n)|Ub-d>X;70b>pC8oeCh;g;|V(s73@49u*6xP@fqLyO>&-4f%u&T@IAd z!xm_v(i0DHJdM>3hgtiV90}bU-?1zne9J?(9AI^bS*TUUNy(2G)cq9BA2H!P5*U;s zqdOoZyQ{Il0tE3JB@opD3k@t)&sQiNABB8T43QR?W{$KTibyYr4XcAOH8Gw-@!bbl za;)h6nB1C7rZ{HTOvwEq)!l^ORqgP`B1dkQ_aiiTr;sE(3nZW=4FfVG4{tI;C^$(Q zkDWXk%OwA>6CEZ-(MS~~&{gYCCW-#y@C(CJBatQ|a%qv5Cuez9mm&yt22dyx01F+l z4I_EmEw?G8a%3wbBet|+DhMiQqxmk8G7EeuM3)aAb$MQtIt(VM>5?4CFA4LU?G2{c z){2#`4+7|>k4rbGYlvqH=6LOn9EIioY<8ZkS9K-6pqw@B8 zeOtsaN<41V{7MiD$^L53&lAvA@>BtcDj>PX29Q{V#ZaEKumXT-^K!}Npymg#OJ&IGT5(pNPWF)~& z?Vut_7DX*JP>%uY4I9l6|Iiv75AVQ409DDziK0>H7!cu(rS27B>SDewI~0MkzBvJy zca#rDEa`C@95T)$J`-8uB{hgZEE^AjvynQVrqiS-QicB%H2B1W5C}aM!ysoc@(CqE z6Eh;cBM*%_UZ=;nD4url;TS$c+aa?Ex`0|8L=d8qE=(#;qz|=4(^NE&FC_!oG7$JI z1H}D7W)T(1A(IAAGj}&94Q)*!MwSyp@NYiT-I-%zVtMYgx!`(k+^^I9Mup>hwy0^- zv~+3vr!Bt8QHxz{il?u{#Lkx4Ung_KH_jozm-1Y0Cbnjm3Iv9^2Imrf0S?GVLP;Uq zk^RS94&Is2z*uRHz`-w{HOX;s&ePwq>*IZi`Jw7@SX*d7G^*%%!es{;&eJ@ZO!ZH{ z_eNK^lM3yP$V32>ZsPT6nBiZLh~5cd*5!CC;&b z=e<9-_eDH~l&3Y=v2XJ2KezWqF0R6C{kBMbC`rqrtg;?ui(@#u@FpsSCrJL4BN5oR zd1VqAXd!bJJ3i-RHwF_MW_NBqplmGoTfjQ-ekx{@N;5txqXf^XewRx25YIHW9YZ)! iP7p0jzZt_4S49o12~yhKOv9*?EUD%Il?w4Je1Vf0wb14O literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptb71dhz b/bsp2/Designflow/sim/beh/work/@_opt/voptb71dhz new file mode 100644 index 0000000000000000000000000000000000000000..eab2b6e701164fb3f08c57604e98f8468475ffcf GIT binary patch literal 840 zcmV-O1GoIs?_vuu9G5&WRQwSj9G5&7hU1db;!t#!`%tJ$cLUlMbFVwYFoCPaAwmYs1H^xs4~_lg$lNP;03yGxKm^ERfDeWTgVf?q zMlX4no>Aqqi!yU>J66gg`)v=#D%XQ-GCJ+7ah}j3I>#ymH2r``D7sP55H!=^VJP;1 zgAklRM`38d&Vr!8-9-_=`^!?mx7YQ+FYlYcAD(8we|)~czql>HKQSo4KOzCZKLl~W zKS;8`KhPAwKj2}&KY*LRT`3#DEzG7h_#Vx+CB|+n3(SoeR@iHB?C_qTn4x9>aRY@w zqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z&Q-rhBR0`ny_gsFI&t%? zHKMN=D+B~^7Dzy#Y|z+g_mzi0aEqaP6r=36XqpTxk#tZPVyXLZgc84?NM$BzzyEqb z;9pyNGzuBED9Gn55zvMhV&GPAgn;FsNI{cnzqb%T;LneHG%B#RD2TNz5l|u+V&ETe zgn)OTNI|z`zpG3njI0a@SQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2 zg&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)P%Ri)`mVU0X0 zS%}6}x)qNp?4-^Tmq{IaQFy&Ut@t%p#5#Xzo023L^qjcKj(+`5A>N2c(}8PN&Ue S+z!%+_+9@{vHQ2+BEB^8U!AT1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptbm5d0n b/bsp2/Designflow/sim/beh/work/@_opt/voptbm5d0n new file mode 100644 index 0000000000000000000000000000000000000000..b719fa054023d841e87084a374420251d0e23d13 GIT binary patch literal 37208 zcmc(o50u@-b>H7xEl&^aB7~7`*1Mn>z}nk z5m<@V#c^bZrnGSzrNQx*(pYh5t+q5(8WL|3lB$H0sy#GTQ)0_Dr`2g`4@pUmTGOcC z&&<8Q_uF|hyKe>ObkFg-x^wS*zjtQt+?n6+E$bEi*EO1rxsUIg-)Qtn9vNsf=19(M zHX8ks4gFkXvL$(i+UH94slD0Pzfr3C^(_dpuX%Oc-r_W9yw?8w=_S7Q^m92 z_`xHdy{4OA6;IeNwf%iC`c?6SI;D6P&oUmvsp9#p@qle0SiTKhMukn|FDIIGaZ$wLZ&^g*XPQ^DXt8FuzIj^K&QlJ7#{T&Ckz+)bF_Y zy=s157gN6z=GX2g&cUi)rGAs-lu-;IN#N} zz6D;b>*mm}`<|#~;tKn+E)AzzR~{5tSMXR@&-0XjVZzV(0RNF$@*7UYf2Hw*2mj46 z?ymVl6M(#=m)% z{DxEUZ!muF;Qv5@e{=L}jmpk^Pe}4y_YVBo2Ns9hnSaj3(mFVvpT|2b4)BQMgWzWB z%HBd8@3c5h%rXwg^EeJ!9N-bhhYE3=Ry+0lOyC=A-d6A%FT{HV{+hRjDirhC>%2Lh z$NQMY3m);_UWnuILL5AY634Px#^HD##}gI@c*Jo>A&!aYSJm-%8UJSE*D)3HMq=9h zF`O!oj~PFB@ZTw!%b%wc{;TlQ_{V3-Z#WhIGsX`d{2wmx^PH;lrW*hE8UL|a@*7UY zKWY5n!N0b^|6Ibq*!WKwKj%RGd84#{4X5IN!T7<0|D)h$_V1~L|K0ep5A>kRKIoO- za4P=O#t$C+cNO?wO8CFu_?H-eulZ*<75~e|4<7vM3jAji{;Q3D{Ve$nr{aIr_`!q! zV+H=#68>w9e|(nwhEwssZv5cEe@}s5NkRTs^=?9boFjh?f91h3i=)?i=y+a-1|$9u zc*LgZS1(eT_w9XI}7_p#wrdCYqto(I5#pZ6!*n*A-_>ui)1JoBPz8Rb#qTW9m~rLYg? z&*S~ZCo}%PYW{MYg0tTGUnC;dmG=VU>VJvkBN?3EG@SbqUY_5NWc;5m@NP(WdA@y8 z{TE37QU>Qw4CnrYm*=OiX8iwKfp>Gl%k#?#^=IDd^ZUI*|IwsB=f?lc@XxzAo-65m z?@ao0|L^bXZ}A-RR~ei|hO;*rH~02I^;4Kr;$BkV-JkGs-@R7-xqpA3$@=(jHJpP9 zFZa_m@=xb$V}bWj!pnW}kxbk>3;oBF{@nAvp#FY8@bN!l{bimqA5SFxZ?=8HeJzLc z*#gc)0Y}&H#)q2yn^d;-)4%aLeX1^2-~XX&Ki_M4&}0L*_5EMmcLUXaW}~zJ;=br^ z-yHb6+haaMjNg}1SMjH=Qn=OcD)8mk z49~OTBcJiZj$b(6bPoBtxeV{I#LK>imv4#TT^#fdFSy|058jX@ys^E*OFrX=9ls^` zWq6mx{(actkJQn@Rmz5FR{HdFYC<@JANTwGQ5nRJlGKZE8e*NopIc6c=&^N zQ|xzDZ13=HHb3n6kvF;d3-8OsI~x5f-u*%E@a~NEA^gD$@3}PI*xupYYkt`4yxb?m zUwu!^dNJOE(ZAyTY|uNrhoXH5fAGTlE%CgZakah} z^bYT1(LRJfc<;wgys^E*`?&dG$1m)U4DYJgzwc=DuXvvddWZLkXdl8Kyzo9rys^E* zJ7Ip<@nfIl);GKd(s++W|7w3c6Z8)6@n|2yAH1-yGUEvEbA)#y!Fw*jn~e4${J{(R zDucILcJkn4^sn;Z#h`cMdm-9~R!f3+n75HU%*U+xPtrzE_wy~F#e z`C-Q|)PoG~hhzV~bJ4%z?bm@&uWPRx9{%8cB=);1ws&|{7DIi;j^DdOzxnfgzWw}D zbJ+K5ks5b9_N(|8B>aPhi$AzNk15_q4R2wBcV&XN$nfw7FVs8DPm1?LhPSxF3vsuW zBzV^t9{%8kI+($`%kY*acqr>}#_56-5 z`@Mb-=Q(y#&!y`OPbzh(<6A#U0)gkx##7I9ud*d0%s}@%cTtxmE2N|5of* zXkO4Ye$s()Hm{PLqwzD&T>tI(sXuo5`*>&bD%|h#Ov!jDxL3@qTa6T zY7X&uobf$s_xqB=(XSY1++PE&#UT&7219z96>$^-1= z0eU@;7E33On5SI-9crgPcKZ8xXeSSRJe~)O)lMG$xIU-LBkZ%uqYYtx2ULgSy7@l; zeAJ8UQR*^zP@M0LmpW@t?cnW*y$hja-Q99;}G1G{V);r;y6ab zei~?P4zhb32hHyJ9`#N=^8M@k+V`*5v$ptqsaKzrA9-SYRi5o6e&q>v@&vt}XWuWK zJY(PI`tMdd{jt;E$3r`L;^Xl=1CKo0qtEH`4Et>IY_qLTnrB~yN9UZiBhZ87Jn?w< zs^$Fo?)CBY^Yw{(Cr@UZN27%}pPwPlWg)(S>i3&%9Ie>iZhb`!)XzUG;Pk7V@h&nP z&nL%;?H!yK3OM8&IPreh**}hB_r5Bgc(3gE**&w`hcnIZHOaW6-kJZwWIR#t*gY;k zFZ{i}x=q=~e-ZU!o}}ySxL!AW{{OOoTiC1+N;2+FUD8Zr%$P!`huPMf?lsr*GQ*6?UT&)A5%O1vD4qj zLwl$%VSMeD*C+6(Py6*bU46nnoBHJ68>M;o_8Hd4<6Tf#A74LTpQv~8|D5pa=R?#x z_B5|OpZz|@Y}D_C2Q44G-Sh1F8OCwa;#jDT{GO8ckL{hhGgQFw{c}7SkK@Gl4i0rO zm-k-3Ruyn!dk5#YM3BQ7R6BJr-KQQ;Z13Rwb^&LB;iPrUalD>+UHEzdhj}HQwB9<7 z*ImbXv+flS5s#ibd|myy<3*ca?Cg`MclH@^@pC}`%k8sgtv`19N4>lMPZavEwf@-Y zANB732Mhguey~rs&mXq_mrIh@KK^(v545n;KkD85k63>{kG;R2)7S%l7*BWqPZ#>X zV&lh7|EPEOcR%OzxPAV79eh0nH>DmNvU(u8(C+o%{c6bV8~T%{j^}wpJ9WnM#PgK> zn8WdU;PLtVIgaOx<9wiiCgP9=Yr$<`QYPyuz=(9pFW2juDoW*+iqi6r;q^s z_k66A*W0MKO>ejEHl9yMp55s*F|N<9ND&qwZncF4qRU z&N$WueW2xe7xlL3opBsCo?q{gXEfp2X*}^f8)!wn-HLjLXWV!ud*q=$*VpBc@%TQA zdb{QO%-7|K!oK-wTQ7+zc_%gOo5u{_>pkt%t=Qf<@6&sM-v|6&HBsRI+zk9r7{AvS z=a20j{+agM&lpddm)72TzA*pC0{>v^xWzFl9QJ|7;dzOqZm0Ox-g>csf5Px54d3f+ zivRhD-}+_&$NgQN40xTqet$*XTp!apnBW7@nx5c@_IZ6N8ItGo8QT>m2e12$? zu3q71;ms0rwBEO%YMxe_WZqeKo_plK;K!rvif5;_r}Ow3wKH!MA-;>N^)kI$uUqlc zyzMFA{7u@GH{$_kF#JAlv+X=VAs>~l_ZVB4U%j_(zN$Y+^Sb0`V|x{^&3g-fz1L`c&El3C))9Z&(>Qp4 z!uasxhq%ZO=8L%O`<<@!z?#bs`q%U0A!&@Oloz+lPF~zWH|50|lb6t5s|Vq^MmVK< z5caX`X+8L9;pFN8?OM;O9^8el{PAP?s|E zh&8v~^sldXQ1wB+O}FmrWM|#)ri0e~Vn2;-Nl)!}|U$eKJq2D8(N1ChgRx zvy%1t^mDPjnm?~k_~+^q{=L*ExrHC*i@aqWiI4q4eC;T!_^{^kmj3m;{d>};%h&s5 zCtt$>uYBD^cS+8zdYyVgIyh;*`n~0s&7Q^?)+uvupr~Kdb!v<3m5{m{A2xZx^;g*cGmqtI%vH|O}>TpTAlie z^jti@YVGMfeo^hc?BgByY2KbL;Do$Y-fXsc<9S2>{b6-)!7iSAC5SJ4pUXOYE$UtC ziy!;NanicLeSx~L6GyGXKhY=SPV2(oS9|W>@(Z!Oir4D`{<*qhBZ#gNQ`5BB-s}$Gad23Je0z31+IIQQz ztzVa3zn}eKp&vN(YZv;xQ0PY;qTfq}et%^B(s{7>Hp?9H%GaOw+t(|<%s%`X9HjH! zn)yAYPv#>Xx5uyJ7JJvY|Go8_8>OO+yho9J&3Et%C7P$E$LZt3uKe%9`wy{yR~%{% z^8rCF4#xK$>+?eRzfOa&!k(L_|51oT&0$=nI)**>L%uS;Khr1Uv%CfdJxKj?A?G#cALldYAM1eRJ#_v2 z`(M(*@$&&UaQ?#D(|HK@&dfZ}k9~$cHxGYVz?+~wgXimnJ%@LWewp!?&OP#2`B9yF z;A$S5fAk4nn$K9Ls~_ODly|H@IIrt7hvVZZ)gf?n@7u)uf%8}T%;8|2E*|W; zAMn8?Lvr(GeHK2Ye~>rayUCYdG5J>7Yxky$4X5-RHDGq?w)jF`BL5+}>I3cd_rJ8) z>jL*y>cW%Jzk1*EkD}kBwAY`bf?j)$`iks4M}b3Kc$*~SLFnf)?92z>XXWOZc~G3y z`(Nfm@jXg={W&V=wdW|FC%C^~TELY#te^jWPhLg@CR`S5>n7{v} zKmCHJeZ0kRO7-HO%9nNLxr2G)oI<``qW&DU&~Vaqe_LU^1-kXv7w!~C6*1SegG-%>m8d%$5n z-&w#pCM)Bc>3z=$`4P{%3OKN^o<6=@JUrKdLp)a)PFlCgo7{69&s%D*=FjU8{<-Hm z{Cjz>lUw*yTdy-ro$*yy&34RWDQ0?R+^TYS`>2t*I7Wyr) ze$x~6mnZvrCD$Mip zLcf0NccRd5MWG-2jyzgZ=tthOuEfo}*zctl?_qf5*42IwwX2`UU0T;=7I(U?{{o)O zy83vq*VmPC)Ymnr`nbjLjbB=bKS=#^A@3QeV}Fc6b?h4^-${GzzIChNklz}Ah4X%E zXPotRV3_ykWY6tGet#&JcRpWF7y8-n<#o-o&&$d}zYiH-Iu91#X5uA&KhIv0&U-4e z55J6q^goSq<83p<@Asm#ZryJE()E5uxYMmS_WF8Lk)ULP0sbX>frT35g$Ox9_p_f(9F_f%)-pgMI= z0nhhAXwIfvp_QO#B}&^!ryrtGgTVp3W=psqQP_ z$vupRb$R zE8xjJjMwT{*M7&&598%|^t(ap-*|rk&-X`Zzilkc)4vw(bn}EgH&2@iaS-Nobqss% zhjEgpTMgIpT3X15Aob6M|63oNf4qO;{QI8CD`>Bsf7=bm&j;MJc+Cf_JBo4m=jP$T0^YL)JYOg5IlLW)myW-5?wuD7@2ULUo1^}mdwNJfZv3R-*}e*`%mdcx z>Ib;Ir)nC`t^$sar&Nc)(R1vk$f*A=w16{HJlJzT;4{uK!%gSS`YfC)J9)$RY2?k7 z67_ts-wW=#r_i5y;=MrbUW8pg3+EaByQI`{Kc{xhcM;dtt+f9a4jL}=e5vwVDtHUR z^Nh}G_PfR({@x?f|Nqf`UN2nu@1iGV<$EUl>-CBE6Yo==Y!HC(I)6_=e)RiA>(6sN z{ZqdQ^P8B#@0j_0Wd=WAb*2({_?WEdS7yPxZj|R8e$9xh+`{L{Ra3Zj+AZfB$w;?cgDEcnb{gcVq|eW0K%) zH0gN$_i-oH4j%qFyvq#lcV!1}lO%W#nshw>JGql;2M_-o9`8RH@9*goyiru)jhS>j z|2w*qY6lPh9Ny)I_nhqD?Uw}a7fd>y|6SclwS$L$4v+UG#P|F91n(%S@WOj|NyqcQ zvpXp(c=+e=-fDP%AUk-!A_-o2zgxrezqdOnD|q8nDx7fM)bRZ8_)f|S9{xEz>N9wJ)_xZ99`{n6W3)9J7mXw5np{?p zZEJtCBs|-8eo}v5rxp17rLXJPxNDNQXlEG6YfUoms`H`ULpk zT-~SOJ0I(0R6kaRxs z$j9fB`0L}m&h%qF@*Q}Cd_Q1(ynpOvUPs3s4&&R_cvydnvG=@LYCPw9yLdHC=C zdl}F6;n6YH>*3wohLL0Yx5WHxY|)<_=zV&spFh2-wnohyk|bEYstE%yn+qu<@?a3w<}G9{YI0gXU#wTxShv& zU3$CoFE{@7oL=+U$G>e4>$&rxU15FSXdPT(ajcwm96NUq$L^7G{lBP(x_FcEkM_tf zw+82eOD$i}Q|b1Zq`K>Q{i1R1snnID>QJvQC0uV$@zZwKQ=a1m9>?>3CFy>tOnFWk zPwH2~P21CcuBSX_5}p*Nq&r^8p7NYCo|6A;+nqnY(rCo(2x*pVzAmhC_Sqe&+2Rp5aiZMh@!#bwTw4J9u6f zU3$AquS*Ae<@0;R@K`wKx9lI872nMk*TjYMg?q+s4K$2XvX}dzkC*oq%*)AM`IGzM z@Ys$A1Fxj>PInJ>-dm0L+=cRL!0_&Gj2!IcUgf+$XuON{g%JCU_UZCzY-E3!-|C*K zgAZOkc7*%59y_Y%9qPH~l}qrMUze*)U)v*pFTC~VxDxLNjQ8Lhd+mukZ%X1>UD~PK@@*-wSUq=fXY4 zd-RR+Uf{W~-uNebG*I7;?3j;rs!^Iqcq z$enAhMt1snT=u-Sbn5%P2gY_4_`KiL`(Zck)bUjFKD>M-aopNiu2-IV&*bmhhnL?< z`?ALJTa)%%XuqYg{FYq%%0j#FQ~$s#{FFDeFE6yO=xz^j-q0OqXuq-0F8p*{!C&~< z_L~arH(R^5S%bQ-G8XF1XJ}~jAATgt@lTsPWb&s>9ya-tCdW-W&P3D?f63aPm_h!s z*}rVkabAx4;Xk$ZZ<_p;$#0wdj>$77ziZNQ?Du94H<}pw$IqL+B<=TN#_ji7#t%HW zCFJq;eLD`2M|xd-fP4Pdk`a*tcy5r?GY0 zcIs5sz9;FoH`PBi6=(0K;`dCF;COu)-WI?28s4=f+;_ek_^t&w@@7T~* z&rbyV*uL<6 z+>j;~eOMEVJTS5^%=4Cg+pZ7#==Lza|6g&8?A|{m4$_AA6&J_+Is9kw_dCXK8t9zU MoZBJI8gn22e+omHYXATM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptchgsfx b/bsp2/Designflow/sim/beh/work/@_opt/voptchgsfx new file mode 100644 index 0000000000000000000000000000000000000000..b5c85d0e89a4a05fbcf994390c74b1a6652b58ee GIT binary patch literal 7704 zcmc(jJ!~9B6vyYz=k*aR<06HLC@c~n1d=-p3MN$)0+{d-Lnz!N_u<>~S=hJ7-Pv&> zq^tym3xq6@!a^WjL197T2FYFAAX$PU1wtuOfJ9*liZqBc$hSP2>D0RpbR=XU6a>m8?Z z=7l4S{dQw;K_BJ&%utYJkn~IX&HhEw-uhgAkoqI&FIBVkxZ#7;eeorhKbhqBaa_(EKOfhB%E57YFUR9X{64=7IBEB32gla} zo*sg9Fm>9&t%4f?_o9RAfXjm$cW`UqCcwSq;MTzv!EGe*?z-anN#nm8%eO>uvjhK3ST$G)}wih|jsC{eAOy!j5}3pSSe}Q!hKXNpNSuop*3k;3mPn;^2zl zir`*#a5ZoZaIZPI2Dlj9go9fGw*u~U2N#3ufV<$}mcgxqd&9x4fZGK3rh{7rcN^SW z4z2_4XK-&jxHWM1z+H53>)_~+vg>Qo!EJyW2KSDG+XOcXjt?%H|NG|O7PvgPOAhWf zxU=ARKcy2j7@~E<>xB0$j=!Sc9QSi%GFN!NrN1r{X3{<9DaUl4ULsrWSBm*Gou?#w zerVYC)Z>`yeY6Lnfa`NRR*X5!`(rATxlG+PkMx}D98*8i+&=f0V^$td-XFF}dHrru zmY;*mxt}DqpAVBhOZ}W81LnCud`@%6x!yh0|C(a1uQ_g)x%(cDeVNyc(2j?j1ow@Hn*#T(hr@Xj z-12ZW_Y=qB)>bt&&-72J@A!+8@N@o;PK zd)&jVgFE5jHo%Q}IGi`Z84tGwzjGe$HnUwIw}8BZBU zQOO@vdEC+7nL7B^Kp&f}ZEdQUSLo z<)TtwRPv&(yp-#9MycSxY=`}O$7@{qOaDZrU!tzOlxzP<_&38=m@z@zF0|ULrFKZ` zD~QV~o>p;1#i5ED&9J~env=Zt=l=0Luc2RU=!ejcp`So+LOalgYX1WjH&xtHvGhxG zlGpyazdxWKKSJ+9e}(=Iy$|)hFTzakekw7y+fU*d zsrHpv_iq(`Qhrd?erpat-A`9L+HXVoX&+y#{kD{!?uYp4yu{k?j>k{xiHg6d_S;r| z!ikEHRw>th_u(hyx}J_Tr~R_Yck}N4;;Jt`TD9MZ$4~sVkHp&VxbhS3pQ`p7hadhA zbAzreyXU;@v#f;Kd=`rD{TSc#F}~Mhe2>TY-i}AX%e@QV&2bTVd>_a7{*61x%XH;|`F51>)AjZP%c&ikca_i0*6ls1K!EKZHO$(khPmIh*0s9LJIWV5<;~fd zn)NG`Bi3dmwBuk%`FYDx+qN6c7wmXN1Xzv$e(t5h{4B?HGe3*C#PO1uFL8WLl~cT@ zyfQmu>$eNn6W_>${9N69WS=iI5&iG8H{ZU>?L?-1RpssTG{fXR+4ZT~=UZr+Xs>_O zw!avf*}eIe%`cgjeXg!ga&KJ>lX=q3hnAnICG)GBuiJcmpFhTJp=IY=moKTftm0`E zS5&<3xm9^&=W~@NG>==Z7R~cGhOL}^zYEQ>eLwYF#rC@r&Mj~`g?8SBh38J&xZG;6 zj^)nV_tu)7vG1um7uolcYc;1WM+=d)Kns!e)MCy07*d{Jw2c`4F6aG>ZsIrIOa5OF N+lcbCoatv^V+45C!0gah$dis8TC&2NqaVRZqY*suVXViJ%zecUg|W6?+gA-ZPQN=F6Lz zL}S0}g+!8^UqnQ~FF1if^k_V4pg}!>3M!>PIj2ND$9xfOW@rq3=6T!>yVE7%K zjFR@8S0Cw{UFWnew+H&O_kHNs)prn!&=d;<8@Hrgk>TF3 XFCOkc-pk({8RrjmjXKYdn>=3uTL?t% literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopteknshd b/bsp2/Designflow/sim/beh/work/@_opt/vopteknshd new file mode 100644 index 0000000000000000000000000000000000000000..a164d5247cb1db5ebab68993d4a14a58ee486c5d GIT binary patch literal 3529 zcmb7`3s6*57{@Q{VG|Tr)LjH$ym95B66S*93*{+~m01QNvcl2?R7w+5z~Pms1XzZJ z4UB-H7)ywb)|6ljAgF3_1T}qF{4h=i}c}|c4 zz%nnpq(TOZVqop$gfMur9i7ZB= zr85J7WlZQqTxi1$!GH8{y6ghr;sGX|PPe>hjo;ANm%zZJGw4KKrj1E)J?sj3DF8t! z04ALY{1w`mbb>PlASeaEq;lZP#H0WOr2v>z0UUQLJJSg+AAq0~0F#;mcN3EW5R?L7 z(pkXQ{!5A<68mYv#RGy;7?>1KD&k2SyZi~H00gA~n3R8{t`n025R?L7QvNZ#UQ7x= zPzr!a`A4^xm=u7Z6abUX1@0{-1t2H|z@+nl`-n*a2ucAk>3rb6Vp0HtQUFY90o;!^ z!CMM|pcDX;S_1bMlL8Qw0$@@r;2XrG00gA~m=yo)Nr0FXfS?orliC0e6q5oFlmcK< zTi`)rQUHQd08DBJJXlN$Ku`*RN%5LO)M8Qqf>HoXiuV|@QA`RzPzr!a@iZnOVp0Ht zQUFZKzX@sR7CurwXh2tqo8GVc%2nxn$D{9;9h&ZN1GB4vJ;xgtKE1228p$|aI_%^n z`!2U9Jibq68mz5ydm>ApeeBTp*BxZZg=UFi(ch4v)`L}=%n@x&yt;Q%%aLqN)NqNP zD!$Vw(xv8c?+vZ~vssrOw!78e+0(S**z`vUMO{bp)B8vNd$wv-lIhV!)gNcRox8wE zVtinCZsp|+61Y^6ZPPZoeS`#jWK6`s! zgwNwUqr>_6OLoz~RAr~9zpM4&#U~!+e#`WW zRRPvrx5Do^erqSaa9hHC(edHC{+IQlQj>olTlu+rj68U(w)*Bsar55F0^ho<8ABEW z9a-n1Yd4;^JP@l0nx)3U>(a?RdSpNR6B@?>vi zoZ-1)dugbJN$VRH%cLREjUN=eIP&VBt;X7>j;^6j?N4W#8n(-AYd5EQPVuche7#pO p%QwQ)yT2{0KB-M%@<-#R{xv(pyW6*<1n$j!u-a^Bcg<@L{s!h3h;Gmh>9BN`3 +R7 +R12 +R9 +nbody +!s100 9k9n?Y0BCW@M9E4=S3GCM2 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptfayv7q b/bsp2/Designflow/sim/beh/work/@_opt/voptfayv7q new file mode 100644 index 0000000000000000000000000000000000000000..33a5ab950f031e05f53c825b3977da2c0f2c03d4 GIT binary patch literal 224 zcmV<603ZJWaLNw8U}x{2ykKYV-xuucJ%3-Y{riHQpN8rW$4SlL+z$Yr{A0#utG}}V zw>q@A0RoElqiQc9`9NRP@c;@OXVU^bHv(`d5C;t_9ckA9*>_lO1Igq-PY&d4OKF8ti1(`+hV&VrqOB5s4(3-6#GF2?H~8#uRHdrRK_-E20U^_AQCC~3k|B- zw32z%D%#kf-u&Mne5aQ{&~Ya$wUOQ!`!3?)7`#LnV@rWes6>UU!H9$C#i1~ebfSBi a=2+2Zvg%Q6Q6FO{lpJc| zEpb!pWg{cj%}a<#O_?kdOOfm4+Hv^nIlpth&pDspIge75SObWSrwhA}1D1z(lJeJ2 zJCqQEkQ|9HCK)ZLuYU%^11?Tcbo2R~5j&aY)xU;*2dMga(Lf`FnqZq36h3&wpI3~Ee5*YDJoWgx)2%M~>wMpm-FanReOm@7 z;E9*eL6!WDP$Ws76&55MoK{VyWc5SRs-y+3wZR0{lmk;iV3J^d z&8zrX#;P~%Z?}U!omhB04fIGYhD?jt^WknFvow9 zK+84RG8n&*c100^F8>m(h^Fj|ZS7yj@o*nBH84z5sIS=&3l_j&{7^&n`V%@7-!)`hYm8@ZXDOB3AW$uOt7rEtQQg)U5B91cgN^& zci%+aqv1HTp%;enK3fT5>(i%Z^*eojSZuIiSIgm%*2Fr4^GABj zA=R<#47L3*O!xuBdmO5g3Tw*XPzH_Cx=|kR2gz~+bd(@_wATIoA>)S=O9SvCq18{5 zZ+Q5NN#VPKtGY2_GPc%e2{et3b5T=)H$>$?aRDtW2(vYW`6|L<4Pm*8 zuv$Y{uOQT3){D+j;18b5&)P5rrK7EGi-%B42NszY-hXW%VH4rl0 zO_bW1>0hJA{ZII6uQ1fUVryk*cF`tNl$iHbWQIRXpQKlo(_Cp!Ck7jUN{Q7u%+kyg zGmHAX@lMr;()C>~*+~uY%A2e>16RRrX6BN(MK8gxH8%6?=wG#p|lfHRVdizp18kfAarZjKwxYy=9pNC`VhBY14cm zntv{FknNX`%@t39%aj=}#FrwHT(-?tHL@z3Po$5f*oe!FzKm7dWpQb)_Z?l8-*H^* ze>D#1y*;@1IvO7SdcO9Nm?l5-zGWm=PNtD7(k!nNKy)7QPV3%kr{+Bql?R9<)0mq| zrqPE?WsFSgC#c&ap6$p`i>5bK8IezZM|#!D-p&9+BV@d+xqTmoyr&8P_IEKOkx9GM zB(~)So!d#Q!2Xc^5OmVsau*Y_Lk#sF0ydH{d{B@VM4f7xO(>0#* zP||fIxk^Hd34TKjE>KbCzyxS9fzty5NJlXNT5K3CHGl(JO;Lp#2IOdJnIUr@xP>~+ z$u;CEre_lwLn#`}6zIgWO2!!w@H#E1GmP9YQwUTb7|O7^t+2JEOvYFko|y;=fk`L? z9BKu*HwBYW2uwmD;81JG$36fZOqnMWfy1T`fk`L?96AJY9}2uu0Tcq0PzX5G2J#6M z@Y0*pbW>kB-WQFhx-D8c>!k zf#g66yezz2{<>LN5MZw|6O{!4iedKO1SaeLpNCNKSzuznqEh4_3Vbn!QHJxUV4HzW z3<5qe!3%)^hme`#(WOy9V3?ShWJhgz%D@e3CE4dWmXkK0y#4g6ao%)p$w%NK{)}?5!5M%Bakx_K_TGKk(8k{BPb^TI*K~w z=?LV^L{JDg)Ri)nW(4H~K(*8yPasr@Zs8gPaK+a4Ag@8l7C_`yRP)-2Un>yu4 z1af8~C-wl>PMmo+&861YbEB2tKOdlLP@DRd9|u0i*hYhmV#?C(#<=r|I{u?XbML{JDg)Qz%ma-%)R34rRTQ;tI*XC{I| zz@hZq!{?Y203A;Pc`gDuGZ7R54i%hZP5^WQ$>n$iMx-EuLcpPdbIb{VP6RIjft;BL z3IT@-&M_wd8c1@b-hh+jh15{}lt_xf)WTuibwxY zs6ZIR1gLKkwd7<9YIci)s4NIHj}w@z`~Ne9)j$o8o=X*mFw7hi!yiE9qM!6;Y{KT0 zbrhQwUGsUXW@z}a%H>^Ir5Q8dm>n-$=|3oTcr88h;{8Lq`$cOy>g*TJE^5>M@Y=|z z+(fq}Jqc=c`r4A~t5+2%k_wj0?CU6Vx*Gaj+AwpMb2UfLbZ#w3czc`Yr@J@&XgR7e z_;Zg%**%FbytuM5ZkIl+zhS-ZWVYta4$rI2V^qP#`+xbf33n}?Z4bz_jj(B2tb4!U zIjQOU-M+ZRW`6=`g~xt`T|>A1!G#C2}->Kx}c zcJGhLjJ#2Ccve8)m$yBhc;-dHX^kf&mL18{{98d(&(E z^x3Upmf?1nHXd-Pro!ez}q>sbfbU8pPQoY4($oK7~P~D_x9zd zYj-u2ABkGFb5W;DYn4s&U#-gfrsQ|mBpsc+NWIZ<`pU_dFc!8|Rc4Yj+}VL5|I`xV9a8E^l`}RXWVAT5&u1 z@Wx}=L8TdgIOG3g`KChWk|puc+MT)T;FW=XDPM1%(B)I*@oig~Q||ghS^ckP6ouWm zv&A|zMRTz5%IBE**U>z543d_O+h`-TZXk%7V2{8S~=)*?Z;k_Hj)w-QQNPxZ^VY;CC{9k z{OYC73(YO{{d<~SKbBfkG}rVwGYZrER*!sT*@X*JZB)g8ZE-)VogL0WUVbl;op zYl6N1(j1k$_lxlruh{or+~T&Yqiy+__>?i-|MKmAea`fQoBUSv*JNDPg#|Rv$-J&t zSI1v*zjt&&_l5P*Il8D??`>X{k+$yP|Bi886LBi>Ky2mYByHpt?+T~D+G%B*o04@q z&&Q}+_a=E9v%Hq8QfBS9Ts;{{`6P9h3n3~)N9Sp-)iw*uF)OQ!YU=Gnx?;BS}Y;VBoJ^uI9HhJG(pdZnFUoH-SG5Xie$M zrsxIHtr~jz-#6FRp!MtTr>Cb)Xf>j2-*3XkbeQHqdaDV5&*w^995+?HDea^I@b=vW z?$`N!Oh>MCWViB%DQOPFbPbj4Z7gtYyw)V}I@&bPw( z%gX7j#yPWcwpZi4GIHXYal0*XySQe{hqz|kl(S{!)Qp>Q_KcjcX58*b+=f<8&A2J& zxsj8UM>*}EsOEm%(|u&*O;+UH72dx_Ug;)$9_9Y*T#7&9=i>SIsq^AH;ljEt@B4mI zQe2Pn$aK!$6Z@CV_9wOM-!R*c3Yvd5KH&WDqhd_$ymBK_Ky8rXhwdqjn=17$p6lOB zJ2CUK+5O@Ch~uSneps%6gkAB6jxnXC7g-T!ri|3At+{$6?>{~w3e68u+Y{ycxV zUclw&Jiui){>kmL(=DvI)yf-y@tKQdv@VyP&ewf4o z$90^i9A`jX^!GL1JCskVXddI=nyNmN_MY$#i_t#U8K`6j?elttE(xfA0!cu5itn>A z*9AGmbbeKCw$6T9@%V-ImozRtINoNyfN%Nz+hu%k>XenBWdZGi-R5U-=*54)4ulD-VMgcmv&rs>U{YU1f>r#c_lb`eW zrL%f&1jU%+7{QpHr_mwD7sD4&J1Yu)zXnuVhZG8Yg~7NVMH$Dvgp9_ulj303Zu5OI z9))ZtZ}+*5>${BWM{M?aoZsn^w!@O`Z?~`Wx|C_mb;cko4*7XG;`*-6OLl`cNVKQfzK0(cKenX4%P literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptifizdj b/bsp2/Designflow/sim/beh/work/@_opt/voptifizdj new file mode 100644 index 0000000000000000000000000000000000000000..74c32f83e1cd91b7a5d0560c0286bb3fe137901e GIT binary patch literal 571 zcmZQ9IK{UwA^rW{JwU?YchlVa;&0xtGB7Z(NP`F5lDhCiwuYW zVu%7JAgu(%%nTqFSb;2%fl$B<?3i@g>6jvcj6{~ z(P26)EzGf1izz5TGeBKSWX8pMpIsWOihC4z&(w&wipUvSE3YYSUB5+^As}M=E|I1! zJ1*Q4>*GmPIb7}OcfnNc`rGEL{V{)L99w)aCn#uA&@va|^`3ogvN~!pV{@dQ_Jf6o z8A9x|Ym7ZPXVtBWSRwIs_uCsLmZFtfmlhX3nIuwmVeP}2<@4Tre;nNWUFDr=Lfqu< zJ8Jf4PB>n7OCg3!C@wvaEI&IL@~YiFDSz?XdDFLAcUNv-Gvhe#+7*i*$ev~AKXLO7 zXYl#fgW@|H3@4}c?7MMQb@Fc)lZ(=+Lig{d>RNsCdwrNWRq6R&WlyfvYPE+0iY}$C F0|2w5ym9~l literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptk6dv9q b/bsp2/Designflow/sim/beh/work/@_opt/voptk6dv9q new file mode 100644 index 0000000000000000000000000000000000000000..6e27eec5942d5640867bbae7c0443a0946ec1530 GIT binary patch literal 4144 zcmc(juX7Vo5P)CWGz|;_fhaSG3PcqFhGF^#ASx>mb=SMxyW9=Qy>NF)Q-&EL5EY0D zL`%aZl>V)Faly>bqW1qC^=X9*=w66&lwCVGu z>Ur^KYTwcfpZK*$V(nW|KB@a%YTqjR@cs$ENUz|S{dJ#^bwENH<(teK%$v+x%q8X> z<}y?6T^k&4GIyDK%zfqo^N@MOJY~xHy~e)_>&y-2CNpOCnIqf)d4ELx z53Nbg=X1)BOiuGEJ(GLu&`$PAnYUEmi6{H3%%_x3FZg-g2087wvffv5pyIZQLls|` zw`zB^pCj1NxNfc3^>7_+-lF$A$O3vlX)C00hqgPwb_i+TS?|GPii5n5eAs$I?=4R{ z^q!)wqxaIvvo_Vi7ZK^f7ZG`H7?Z~Uaeu)vLVuUzd4}iSQ}|y1o^uqL1w0<$vxvu& IS%E|DCo7BPD*ylh literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptkcy4rt b/bsp2/Designflow/sim/beh/work/@_opt/voptkcy4rt new file mode 100644 index 0000000000000000000000000000000000000000..5e002471549f84721b1bda06d791eaca547237f2 GIT binary patch literal 1277 zcmVLH+7^|010bbqXYjmC`^yia3cr! zm8M(1YTyLr2bygKj?Oa2HVe{=nX}T zveyn{deyZL1YDKEA}t7a)BZT>QV{7cckK}`)-7PC1_&Z+roCVX5?yx>caC%jyh%)y z!I=|Eoqg7wz58uv7b zOaTA@0HD|em;e9(0DF-(73N%T6C0&BhB+&}>U008tIMa=*J z003ddmQ?CSsRJKVjo*%efp?Y1J2Z}9p70000wzp3E>000243vIYii3ms|%7H-- zBt-R`R=sTqjM_w}L#ZWem1I;uLI|cJ;w2-4CTTNEG{-;)Bn`;jg#jlY85)8p=OCLM zDec$*jCaHcEk;&tZU`!|hs}ATm@90HL%A_YEJTu#CT?vlxYq)7B%LlB(_}L;G6;1d z)+l9AFAfJga>pkKDSaE=u?#Rz$}XmuW);t9QlBwl^y@_d0001mPFwl_0001ow9Q8S zpj{16-eqWOP$6t-zy{3+R)3HfLk3#?Et29B$m0B>wFf7YT(_n?Jh|vc7rCi-Gsx8% z{)9d-Q2w8VpTTzm^9jnSz;85oO$HpMUv`iD8k+ZXACTRXpcP_B@y8gwQUCV0K}nW4 zzm(Xx33!L24T+OrXQnDQYlSxkqQA;77>PhT?%_sQ=MOa-)*c`dL27n30D61+YWQh^ zJ|hJ^t9c^syIQ>OKHa(KQ9h(5v@X~Jzzc9Wa7`do1~(KrNwpRTK%MCtOSxXs!+bd3 zv>ENRerz2bN@z)?X@H9t>Kw)3w2@hbi3pRGC-P^?JU$IQBpxLz@zc4e&L=HU!iKdC&FQf_1 zgZ^^I9S}3aT5?J{^#_>bIaaMf@jktD>fyuaAjGV~?EOXr@OgKF4IdR7sNq?^KZa8&DIbp0c%%h7a1yujRB=Fj z4lFv}c+quha<4A**6x=MlSuuqh^SB%LiY*xpUye#@LA=VQ1NE@m$48st%bBc(M2Y$ n7<{zYi)Ji5=j9}-^>BNSq&K=`xbcQ+Gawqv%E~;ELK?5+{&O`M literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptks0q4v b/bsp2/Designflow/sim/beh/work/@_opt/voptks0q4v new file mode 100644 index 0000000000000000000000000000000000000000..30c0fe8f395733351d16392de1fe3bd13db3aac0 GIT binary patch literal 45512 zcmdsge|()+ecrvYe4|*#5y5~V!5HEaCoz$1oJ0u;D8UfZ8Zb!!7wkx~rC27iEM&zv zU>ZR(@V2a&l6GzzS{1U*gLJqwqh`xitqYyIWy9;T&8%$o)@=2*bn{p?+~MFo&-p&z z^S(a!eXrhYGya%9pWLs{Ip6a<&-tF8@42s(b)DDN>a|M_-u?1gtpoNb>;l-!>$O@Z zYz;pPWgD>HLi!Te4%m7}=hk7^j#@_#+-n^j^{YA;)oSO?EvYlTsH1)t49n}6z&=p# ztbZE?DDORoALb8rhVsVu7nqfQ1KG7JIy)N=z`}n~7wk*tx*A^oGW-MeV_J9(z(Dts z2K{TWU&1Hr_rvDvUy5`)^~aL>#BZnmp``w@HtQct>bI-^Oj5sH{j1P#`S`V~e{)j5 z-Tpg})JL+d_|GNv+vPu<)aQ6^r~g-A!sO$}aoSG(O-X$Y{C4WkB=ucC=NWP4n?FaA z`W`>WnXmrIq<*{Ow+8{s$FJS-H;~kCcl=K#_1ooVd$BS#mLLvXkCP@}>tH%hJT08YCAMBK>VZFSdx?j2 zyS`Xy`PHvQ8vIRqmYQGGLw@Qx(WV~ZL_I4Ex0iU-bEVSqtFK17NY6_1i+ZS^dd`&S z3GvJABXGBVF`THU&(gibqaN}zUgC>-Li^EA-f!#7FX};e2R+LG#PLjj_7hC7WqO1Y z^$ftD{KTW4Ta}hy)D!q}de)m?)DzYb#HYVaJ;I54Hi@5j)U#1(`PE5S)L-|QU(^%U z6X@C8rXJx$JwxIr9`$TdT7FSa+Fv8)7xjd70($nfsYf_b&!qT?M?K?8%P;Cl`)fMU z6V^@WIn<^e;Y2+%;wK*U>{nWT_3M!?>aR!4FUE%p8Rys0HuVT6>NzNW;!)3|O3N?m zN&D+D^NV`Akq+xmn|g#3^~{Q&c+~T_((gt?5l+-IXSlt@qn@XgmS24>(nWfXnO``cbN!nQ z=_QMn&>y-sNf+k{%h8Q3*G0$keeAh_*VRir>RJa|q~nD7#kgEXKk!es85iNixIAyT zy~HE`Nu}i%^`ztYl=($HJxEi}!8Y{>C+c}o{KTW4(@M*)egk<5#?2Y?YxKkB`w5SS zLD!sgdHH<(*vq_q5^o^-s7C3==g&zUy$2q)^97C-T*XHseT z)!#~Dkbx9^phikBOgn z)N@d2`PFYDPeFVRC3>!qp0PId2q)@!Lj1&|o>`^k7xkp$=WwFuO6fV!rXJx$Jx__B zc+_)5Y57GxSmqh0_*``~(epa#Iozfm;Y2;ph@W`WGpDrt>Tfe0P0zu{5N&2o{OWHn(Q_iv^LptyBRyQ-smE=Z9^phi&x@aU)N@j4 z`PB!=Q!t)SC3;rS59gZ|UG2?x;Y2+zil2DYb6RQn)o&+Hfu1voo;OI(hBoyGC+g`$ zAbGAK9`%4cq~%w?qeM@a`85uqJb&JLqjc?)E-#<=oFhEnx0YJEmw41geY|J-F!2!| z6w@LqW|yh{F{JZ)u3|a*?XdKCx$B_?4tACEt>d|#mC{2z>iLNIH|ba<9cNIU*RhiR z&~ZXKynH?#j^{eoNeA($V@rvSe)Eg_`A>Wlb|JD-z^$Gu* z#J{Rde&Iy^jp8RB`R^^^=lyg3ym__wH?+wwoXEdf{KU)iD}Hf2Zb|r8iGQq3e&Iy^ z5%Ci*&(8szpATaR|25)2&?didBLAfLiAVlH<87J;(+PjLkHz>u(k8!fBL9Bz6EDx7 z#(yT^zfSEx(I&reBL4yL6Oa7cO4@(W{2B)^BJ%6NYU!xq;)wZPPW`-BEt`jq=j*`Z z(m_1x*j}PzwnPUP2CheaZR&75*YTuu5RW=WN^~5xIP`4_e2eR&C4NT?FRokRUJp9Q zq;mo4Vi(@zzfoUaU6@Kl-nsKXmsC-*Fj7jz`t!G8}l^I-bv$ z7o?YXL9h8Y>9|h$u1j&KpO!wJ8}sW*xW9zHA?fpS*O&IU>vKHUcUJm{M}1+v%AL1d z2VS~BT)G-7;Gfs=7V3tM1JdE;^XYIr*Rj~}dx=LKVZAEQ!S7j%bgYw(BW>z%JlAoh zbP$g^!g^GoV}*2VO5zdjD-n+q(&6Rv#l!Jj$7<;y9(9CusX#|xiH>kz2^}>oAndQI zIvme++$tT!qYmC1Pvq7kU&oe-2gT>jhXLvH_2@>eYrOB>4IJA1`t=6kr0d$o1m|~A zkMlI#hoYU=CiPwtkIL_cJ9+B7&#l)w!@VWivzYg)%W9qXG9NR0>o@Spbz-4e$_Vvt z5k6#u`R|tHTn~Jmd0R!l_3}H0`s^RKK299(Zu$B1gWJ?^s3PyqihffS{q|M#n=83z z@cRaD@2QgKf4>KCzagx=j9dRa@jFn_?}+@oU)`qtd$OWmt)gFFML)Ka>+Fo;{g~`8 z%ldxm^X3N{|C`YeKJT+W=P$=Q$9cG)2HsKCe>TD4_~N|ueOU9Mu~PX&VY%>7RR{m-HR+r@ac_H=p{ktqb)#LuY{`>fGUiCxW%V({fqz~;1_vMIR zzv4&yD$g&%iO&y*4Y!wgjGyzn9_N2D;Scw};NRRPzi=Y|Q{pFHo}UAd{pb8g6aKfe z9Q^y*v*Y5PMQ_x>V1f+xh+LuN3fpsf2fN!t3W- z_U|9pJMYD@2tS=$*W(l8D!#|gG~#iWuWuB#80TBN2k4GEdGWw;c^m`y+_~m_dv0&e zx99Ed#u+_F|GBK^|4`|H&_B)fHUUsRgz?x_TM))CpO@HwBf;A59q@cdf6j{yu;5)zeE8LuF^%<_0iqwv>6h-W z&iiiqW8LC-=RDwVTX5d22+hz%XZR_<@Do5 z0KRzcbKdt`{f>LgKgM||q%+>lR^Cg0;@t&HUdzvTx5$s>dEPY6oVcjpVdIwL%Hlj3 z>Sw%T!lOU&sFS>wpYe{%kL7vZG|nHe`W@5eA9-g&I^*4E<-PPL-UngHYxx=Pe)+MS ze%I5lAkH7M`W=s$f8;$F(i!goEAOR0@fct7T7Jg+sQg%-=S}1MvsS<3G4qeSvmu@F z95TWV@aRuGjvuzy@-yBi z<;QaR_0g{&&f)t=@IGb!k#{bnGv1?C-b;Vth3^r;Yxx=P)AD0E{rsHa?Q}bca_H_j zX8!m+1{=@Mg>=Sy+{%0DPrP-oMeP`3KJZS!=GTMgLpsCzo|X3w!4hv6mhrRvtQ{wj z&-H-idERuqY_s|ur_4Xb@5PYLcweycUiuSnJ1luEKjS?uKbF&v_m@TOMghYEG{eL$MQUH+8<-$U6t^zOL$ickN(8lDPGIZc>CnXa{BetuV5a1)arNii`U2V z`h<5tc=RV;xJN3$yI*)461;m7yiLNRKk>qSOab1w@HPw2`(q@*+af&r6K|K*TWIa{Ap)zXIMFtKV_P{NujbspoI*XM7$%D?IuW@6%Rq zx#efPAPM^*%jtIq{R((LWA!__%s<-)Lps~fd#t>d{=|C&EcNi5M_d%sLY>)%X|Z1~ zvw9JCWk_eZE3CYi{>0@uuL$oE;jK#W)+Km-!lOU&wv^!g1>yAz&-aIc1n*Yi(Vuuc z4;JbBtnk(+cpDQu`~IV=m;S`#xv>cEbHd~Iu=(@d<^=B^EAOR$9b{3}0KvUy!~Ll(YUREAOR0 z^_SgOUTwHdJl84Tc^6o^{tL9=Kb`QOP5941a~=l86CRXAnud#L7 z#5Bft4{UyX`+FfQ2Y&p0c}@(}=Q10tkMAce-Gu+k%m=>aW1K(!J_*aK;QxyGg?kBp zAN3uiIWPVi@eA7ZtIP-QN*l+K*T27KIeCx45)W>L?Rwn&!t;Ed_ph6OQ+vO}eDG?& zkG%dpKg-E`2DTON-zdQk>lE+@l6DO(HawQ+<5||O!zK8?&V1nOJP`H!`!y`Dg8w&5 z@Mndu{W9YFdpRtxg8xCrj|dJVpHV{1EZ|{UMeUpZicNe9lMsHO-gbEa46F2)yet!Sm~YzmLRn^3FG3 zI4_EL|5gca80X-%d6o3RtbI>=fGc?;QM=6EU$w9jS~DY&Vj!!!T0yKSY8F+&m-K2xc~U~h`#^) zedHF+*Dw#*J_J5L?tGnQIr({R=KCg)S-4LBUI~5}@4#Q0;QRYoEYIVY_5bgd;D>R| z_$Bzh-m^T9U#5SR@Kqk)BMRdh^O)}!@cF8)?=AO-batNFXXU;02Vc|ouZ$n!Uk~FL zcry{t&YO=Wcn5?>f8zQ1G1V8wE$|LTJo{et@dWRX@aRuGA1^*m{QK%Rna=<3-lq!l z4{@4Rocx@BIEm8}iWB`Ar`3vw_uoQG*MFN9cuyvH_I^_~E{+I~{&_qe;5Z%}?UQfc=RV;dTw{Tzb!m}|H#|>Y=U=8c=RXU^{khBu64X`3h%i2$9tOZC3q)< zM}Ok=mf-!4@JE04Abzx3)N%4eH-WhW47+FJDK0`_hF_i9iIbL&gonG5!R7Is%Q5Ei(~!k;lXn? zbznV?`=WTm@Aq&W`kMUy4*XuxQD0%t|J@#+`rk!9^lxMN6=)~Z&>x>)u0VPL^gj(- zRR8ZGpY>VJ`oeE8A2a^7<83N`R_#Udyf{5*>C!kk-fXDf94C(> zzgOUS-qKx-QQ$I;%Yx0~Xz8pR#pmffUZV36^DEV9_azyR<63@KgXbvfnNP3R^SC`% zqUZDT=$TVJjvIeZl>K4(nVwX)>%GwUoe;0@Cj()=b;omq&kvqQIPT7ve;l`ApU1dk zImaDLm@mxdxMP~_;JK0U?6-967oVSo+tug__)8Ye!LNu9*}z}in1cmhgZJ)%X2tgqc>>#p}7B3*?0jOBN*ociP+Z)u$*|8SiSgrhE>~pOgP@&nWVka z)%fj__F{1i?d?)~sVm=J;up1dqx?N?KHdl5&wf{aj03X6_+F)YE5yru#`Su|^L9%& z)n|WmKj~NfzLNUhZz~iBOK0n#x6{ilot4j_UVcBabXM+qecjqFz3Djr1LULMb>F(U z!2!f}di}EgJr(%78a|%?vEi(+{A}O*C&Fhr`=<+wf2 zv}dFG&F8_0U zJR?~c58o&J+_hQbchw?WH^O-nK9N-KBI_<2~x1 za1I5}cPsKdk?3x~pO7jAu;k^L^3Z3xLbN%J|w z^0R&Yy(KuE!Z{*6Fz1~QEI-3}UkQ${JN7)%tVho&@!WGP;j?tMe%zX@FP6^AHzehj z&dLu7pXZHm4h-q6e4ols0*B?6&dSlu&=0%kw+=d7iT8;qC^@S>MuGePoB9=kQrn-`5Y8v%aqz{v2nuYqft_ zQs37Nmb1RE7is-}RZ`#A3zoCKuM=r~_j5kiZSCz=+_L%U^VWZ_B3)M}sV8^-^6`o6 zFuuKCPKW+!9^c%@i|YIMc3s|I#O3(5{A`~2Jeq_f*C9)1>rgsRe133#vHu;{+xrRe z{7J?A%ibHx_DQ`Dq+pYFy{l@2Z zwrfn~ejecYit+nF2`-95xm&Iq`Td{k2Isx6$Cmc>Iop@p+`5xp2rfNLkW+in|ZijusyG=*q##! z&-cXR=UPiQpKHVZ2%gthe)zjtTz|qoU4XCr##>78y8`awMvw6ObRJ3Z!+s8(x0T?yzuSEQFI%Vg z3*XPlmhNi!IoZe8a{ypG?ySgT>u<*MsCfLmZRsxkJ%()lhw}k=-d~aDP|}{q#pCO< zrJL8YaE<`aM=J6hPI#Ua&yf1X(#`Y#Un_2-6?u*(JWq?q=f9K|W z3*zYl4#%;j?cR^y3-~zT`G)7nU~09cZ@@q5ot<0X$c%dDRvsi4;QwRAB=q#`HoJ=x z18K0B{c_-2vPkEjUF6M|hq&RK>Uww&!1=^{obTfI4L^nSLY$LB`|-WX;^5a^|E~Ex z%=|@|q&Nl;zZTM{uXOz?NFeM!O|ZgUbA5w zEN;A6?IK<-PBrcytna)m_4eYQOW%Z#1&HI-ECBy1S+bIvf=4V*9PXYC%X{_iY5@+f`{`tv^M>*7N)^aK5w@BP4h z{&1X8S1&Ad+)F*|pHJbF@l4~!QueF2FU2EH2XL;TBXIP6Q&YdNlsJlOW531+>*vSE zLr76a+4$%~ImgEuR>SyME6aPg{CxW~(!@#U+r!FF+xf@LZ_$q|M?c;LL)`jXVEJ77 zSxfKIJvv%taXD!Gl)0-K#b+XggBgp1zzKuudJMh<(j`NfL?1wK{c~d_;&-@ns!1AJg7zlWs_Y$A+{Cr4P z?}q_aL%m?|@kRXCYFyura`wX=tbl%ahwNp{&+Q}ZFXH(4&G#$6XFGrjTz?*_pFge$ zho7bI#0Poa#T0mYn9kwfhBWaxAYtS8D7pvRwJ^#5k4Up$pHBKo>DW(q!tWOJ6Wf)K zgX{lK%*XswKgaLkdf>qC#Wtxu@*9GC(08fqPs8Hx!PQv~J%b;FMf}6x8DKxGM~b{Y zPo0dKh6NTl z?9Wy>+{epsuwUOB4o1LX|Fyz-T??E`fO8MZ*{+TFY=yHN)rQ`7R zEpT`)V_b;CanwrBn^0b)hqd{`xDbc^MjW3HZvT||1@jS$?RoQ2enW64PTl9@Hl#Tp zS7Ub1R&(`A8hD z4?7409QJc7oU-{yoTb1SBMfl3ezn3Wn~%h~95_1(1Dp@xvlY%2C@&f(#NqnzQCQ+^ z#%C*>viV3Ht`GMU1~@;9&sI2P^N~2+z!@hDa6XLBRybwzkvNwEXBT0B^AUWu!YP}N z#NqnDaZa2q_-ut!HXn(@^?@k)pF2aMbL#*saf|o+?#9(9FX}&@CyMI%ewWsx4z?p0 zd<^10*7xUGxtv;6xLf2HH|?x*3`zwx~>iK+1>v{h`KI72ze86)k*9q#U?sQ*r-TwupT)+7}2+vPEM{=C(YnXnPBd%ea zWV{a`&3OFRq#nRqmS&c|}pi_cx3 zLz+6Nm+klcD~%&dTgBh^pS0e5{pR~kTCYmKalN2^>h^O$io;UsekR26(x(3ReLt-? zpKhKP(a-Vx?&IXYF+cZwB;LBWhvkTW)WPvb{lVlud_C6ujPqJ9OAq27Tra-B46GNw zAiI?Lx#y2zq^Xnq9G9-s@5#2S-1FW3cjQCoyw4$Dgx_TtKQQP0@Eky1KfgHcY35@d zQ4j9}pAB}&BIf7E^DiR(D&YPPSop{Lx9^+Z!_3d$zlC(}{_U4g&igmwaDKlMmT{uN z&&yfPxZjRX>P-88CGt6LW=qD+9VPWvsh+)O-#p&lQBrTU>K!fN+fh;v*+J*&P%pbD zWIlh!@Ly5;7=O0!WC zjpH53&|a_S_hroI&nW&YYM;l+>!thES1|Iqe=%N+6VG?VL0Fskk+t+U@WFZeWmt~K zugJcN`IxsWU{Sw^=PBZ(?bxmSbiDpg=3`zR*Ery~<-LXP7c9@O`%fT^_0#@d4S28} zou~IjqUH2*yAW$X4=QIF?5wu5n> z#Ai{wSeoCr(tiJ#>Rn=W5yOA&m9?|IadqeD??6!@({0@%8ZU&aHnAOT1N< zZmf}YJpcc<%psq6^e@6YV)eHEA6Vk8wRGcVS;zDLpUWKbiAVn;yx+2VTYm^kyjv{Y zxI@$e)R+3!h2SBFWA+XGrtDw0k7e|FFyc2^8Co~I^G4#e*KiJ zx6}WB6LZLS|DtwMAL_?(ehw+NH$0~RH;m(4d!JZ*etYSkZ!gE^>(E|o)}g&UNVjS) z;8?ETE5i5UsK@sD-yiVy@;5OqBz~+{6hD5$NW9K@`U9O9^qqx2b+R7uUer8ueG7!Q zaUMK-F7xlR@!qQN{P*h}k0&td8<+>rp4Jgyt9`eRcl_wT{G z8>~mXA13YP_cqiwG7sJs;SHHzgY}5_Qi8`{^dR2kJa}Wm^Y6J?k9g-2JYOHR--UA@ z-$$xrK+^j9*k!yOGZ=@QM_-WjdE|djdk*=WNAw3@)B5;LtGD%1;f3=%@V=bj`QO!c zJo*>m{f_V!3GXYy3Hu9hU7!EmZO5a35gzAdex8S9_ObL^`1fVlznE38Eu5jfM7Hn8 zWV?P$IH?}uZXdsYu(Q^fncO*nb+j?FF|4Z#qh)(K;fL@9dyVX2EW8*GA>Z3wWT!^= zj1qTxaB?T@L~U|s2P|Olyq)nlFZ1(!*DB5TDJ${y4Nj5=&A|{h9_n&@w<*tR@thV9 zrc14;J*>z4sWlhJ(}Os(J@fLcy*Qq}iaa|mFm7*F+%{F^xxlzN4?4F}+;&`G+??lN zMV@UJ7`N*cwyG~c++k26Ged1e&iKfv_F^yn0A4Yp2;AMSs?&bnoOeqFyo>BE)z$8G-@9o$a7-49Gm(~eHn zrrTNPZxru|%DldRRd4@-3hlp1IMv zy4}v>yly=|YukKYRT)ojReO7IfmCH39vR$wQGBzpeNVh_441wAIG@bl5+4>=+N{ zj~Z+{`{nK8>%Tz0i`}p95dTm`{_VTR!}(y>M7m!OhI7i!9ko4G))&x*e*LiQLGd%c z)$`f-&WRA`wB3VycJ2u071%2AClANfM`Y(J@{bLUhW&APoAq}QZ>w{H^KKFE>59C2 ze@wi*&|tfl;fqU-Z|Z3k?VE>VCxIH>mi{R^-c`w=RzFSVg{(@dq#H9OdoXruLn_aK6AlF}g3T_chpd=KXf@ zFSG9}W~i&xJizr)D0fSL_M^UUn%N+n>|ShSob%?v+6b;@?fI!nzmEc!^JjBKU3-S_ zv-J?fCg{&+=uSQOj&u_Pi20)AD9j}+js8@ z=T$8C0YApIwr7;_+_rl-JfDsXjs&}NuRX60J}?p1tLfTAT(9`tYTr*SoOuZy$n^`& z4smw-Yf9_;t5W(pfP3bwShVxd?lbnM*Kkm1+2l4+jfo{KlRGM+)e6aTlGVAel%kQ~4FZ6fk zXFk4r3SUVhPO!!CsO{zW@HlPXZTDAGyT?P^upHU`1k3v5;rVdB_2~DdL*FkK;X^0B zf7!hwoO{PF@cs*0=zcOjhK{jiS!K7V+w!!a_P1*SRwEzS9Bi39(&iGRq4f0Dm8 z@UE$?O?WMTZAt!HO7d?i$?q@8zqvVojm2T@n)CIq4f)Vnm=B$W`OsOIzpfHe%k>JZSIp@G&jyQy-*5t@ELcW@p|b`(D}i$!?N;zwBMI;f1_f z=gd7ye?ZppCoKKYi1J5e`Md~T+y|K*liex%QQ7-t$7OfP;+z!L*ImQ=>|8niU`S{C zPHhLzQ&Y9t4)!Z}g54%NHavbG`+aiM&da+;YCGxQJGL9|*y4P;r#Cz|J}|W{oMR_? zN5Z)p&zoUAKyoDb4Xz32-`;KZ9JRK0y*mr0H8&9!ukIv-d|;UO2#j);qcS>&|-TZaOT~zpOt-9_VSn8dh{XLPz(Do KpBJzrAN;@1^>h*d literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptkwgj9q b/bsp2/Designflow/sim/beh/work/@_opt/voptkwgj9q new file mode 100644 index 0000000000000000000000000000000000000000..159a7c7cd437071355e8ec4425d551d46fa05f28 GIT binary patch literal 11433 zcmcgy&668f5r4MViGcuylaEuCLr7w>Gb4>=#(WvdDWEC=lkky>3FG;cglDB?G^==P z%jG>$KKd`9eBh8{ic^j`Q9f|sm?JlG+~Q0sbocAlXtf&clVrSQ?N8Hc^{-#Qe*OBr zb$922`s7=RelDoX$N1(&M*A7zm1ksU(I2#%miM9^^}_M~aHJ65+EMBv$OUmcJMesg z@m0o;E-CfJmsdzG<6eeUKFQH;9qkVhM{0j~5fIF%7v^}{_+Dear>1;%w2wS6oc&A8 zgMNv9LFAtw*L8kAVV;Zdylg!9J(O3@c05-h&KSRQdED)IY(t*@hH?8Y$L+Q$&xUam z9++ICxb-)ToA5j~&Jg9*m4A5l6!z~@n&SBMJm0wVn2{wHx0MEQKZ_4xTCWByX_A9<7_-}qouBj%N=*QxV8 z=Kai=SN1RS@n13+zt4WaCTLX8GS1gJIzJAV*WkB}`Dgh{{Q^us(hb)8cy0dG;}#y_6%_d*|G$j+2NdUg6eqZ@ z%3LL~u1xajdpzE&FPi4_bSu8^GhblLr}I?eBykfIxy0`WZ2zvY{XVS&u_JZ`MZReN z*w}uuk^Lve_D|>iq>gocRdFr-y2-xyLyp_0#=NKVeN)~aG4JQbyb~Hgofuc{Yl599g=#+!yb{VjR!8uBDt z@*ErTH0Q0e@mqC2BJqnjeoqYfn)B9nd`}Jevhr|g|0e#+{wOGN!Gypo@vYhN{62XK8v>qHR8f%EtXHh#SC`5D`3?!~ea*Uh2IO4(;k<~?V>-ZS)T z5*NB20x|OC^?LQUV7_BRzAP_e8dp>i?&H9GLGqdnnfAmaH`L%(ZPu7Rjo#|dWGlK|H8y!-THzN=MU?Y;9vOp-yDZF z`wDn4&ysvVahR$>jQ*Ute_%h+{;G5HMdtku<11e?>^p{&eVm6f*6VN@>wP&_<$>he zsydB%jN^Zu@iz0qqgu5e)cJ_!V;SG7{1!gBkG*fmH|F`@luz#co*43F!@ka+Nbm1O zt#XroM#d-ia!=RhtMooQ(D{$^fb%2uQ&8l>H@lZJH$6wixCHBEj`1{MaPknE>;r$t0=hAqk{@h|dJkPGG1Coz| zpiXwg-mCol8Qa4;w~f8m+1|T`{#B7aC)}S~zsN8C3W8bk8?FrruQS?TH|q=fTk_mC zs5|Qhz^LpP$Zk&_+TY=ykfP{tBPe-_O_Q zTO993{PK5_tKTroS6PQ`i2Dkz6Zu@81>59{pNpQLd@gvNTt06@aFhBf_+v2j-#2;u zSrvbX^A}D2MEg`+>HcxC|9tuFH{U_MpT2qPWyAzFB*c4pMRgnc+3Wb+W>5Ov#qTZh z%=Wp+^U)scw?6IzbMk=Y!D)FIYIzu*mOD1eZROaw&oy=&vg0ZzSSIhBmAhyM^xXx0 zl7s$&+$CN|xeL5n?zYG~Epop_9<<2AvvNnr!F5ji?~)w+Tq*}Ym&(DLNV=Ni>7@?1$`nnh2*L`JqNYBl_qx#rSRZ8`7 zUV(=&VI0I|f%Cqf>wbBhsUhm`4#s;Z&nLTdZakSIT{8THk0-lTc}j~VGj4)wpg zi9ScUySMatcG#ynP?Te;BfFD|>hvx+j^B%7{rtvsKz0-$uFvPjpX>hex%0z;7{_11 zftwHx+=SeZ*WeI7XAlsaxBq)QTK&=Y0_pSiNAd#>02qfF4giP{9_s&}`+T+e(~`e% z4F^9v4_~^f>&(v?|IGLg#&5&_iNpJ@-!am+pkKiA0_@0-i#)J8MQ9x*t^;pe>nM%` ztLx_0(UDbm;61)#CwO2TSz%!V*p}ls4<1-?(kQ|wpq+v?ytEpB3AF>%PQ#g5)D|TV zErXI9qEgl<#a_^uga>pW4%mUNjoP^nE~nN}>WC+(lz6DrvAiDW`sip5MZ)QTQW4+JrA(b8NHLmH;l zm5B>FppeF09k;wEakJ_ygdwFp`W3=EuLHljT`TQ{@Uks>4?@txL1rD>$~<`a_~=!6-(wJUVy z6$-marm#m8T}oTDfNm~Rpir+;ps8sTl4caNZme!1KHxwL`>aBCO2KJTk`u}6VW^M- zr>AhvreYupxMm8sNr*rvju(ppPFK-srYlG(4j#=0P(Vacp_#5guN_}PO;xDq&P|ps zQ+|gj9Ezkj7ivA5K1CcUC=T9S9Q0iHTxVRTi$3(`;-Dkx)4D~% NDg^(q+y<{G^*@PG#^?Y5 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptnfggqs b/bsp2/Designflow/sim/beh/work/@_opt/voptnfggqs new file mode 100644 index 0000000000000000000000000000000000000000..1343e840f52bbe7d5e36224e748d4eaaf9365f65 GIT binary patch literal 171 zcmV;c095}0aLNu7>Yc~{000r{oydDay%Rp{3H4%6sCNJ|n?Rjh8>v!|0C5G&Q~~Ww z`Ovw-D=7lfp9C=T0t0U@W%LAXMlW-+Fa>4`thEG*TRf`h7~i|xLvN7153-qJCV$f97jQZ%#*(4J13A> Z-;abc0NKm^sPr=whEvQTObNNp0swfJOMn0X literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptr6726k b/bsp2/Designflow/sim/beh/work/@_opt/voptr6726k new file mode 100644 index 0000000000000000000000000000000000000000..e1bae7e75ecd9df7b72a38204de5d5c093aee8a7 GIT binary patch literal 352 zcmV-m0iXT>aLNt>BzR}P)NBAGcxO(41n+4(0TSH_kl?>`fgirWz`_kL0F3cW7nHf3 zoB)@|xtamfs^qRk{?qGXaP$KJ2R^p*0xvo(q1pl^A`9j;XmBo{-k31ju*R8&d|1*G;v0ssI2UM^|&3(;rjegOag0I~iZ`2YX_0PcWZ0LIDf zo>So!*e`>4wU<`_ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptrcbsar b/bsp2/Designflow/sim/beh/work/@_opt/voptrcbsar new file mode 100644 index 0000000000000000000000000000000000000000..629e74ad613633b8632f01eb68531ed442382afc GIT binary patch literal 1340 zcmZQ9IK^k-u;M~hcTcMokd}YIuuy`XLzI<)fq_K|L;x`ZgASNvU{C?l%nXb`5`k z3KZ#zOrk)V$q>v11`SvoL~QxAY`)%#r%$S1Y{~mPQ~S#WM~6##L23Ut2h96osZ#T~ zVq@1)F{fP@o+upM)%x9YsoGKPb7uu?tm4*u*|Kf@%Jk$`g$9i+U-!N2&5?G>ZMa%x zykOHG!+;opnEB^aJ{(@MMSOWjmT##B3)A&g6IHbYrpWgF^Z5|J-&R%EDK1poVgd1b zxhAdhm)^|lqOjro!j0#T>n=ENtL%F2@dH1}9U?}Difu|YCHK8uZ98>olf>SY#;iBe zCu#T9Za?%~L(}Kqu1n9i>^}Crq%H5-bJZ!|?9# zX5p&b9#to%XQ3KrCGMK1c^4SDZyJ%`qgMQ+znj-Dkz>(re6PZ<{B)AY`n`I)UR>3? z^;K7k4)-zdE=@l9(|7Zl3E`6hwO(}`lHI6XXR5KycD>Pqzl-*- z+3flL(nGbm8t1OAmiYO^_G`0(&}X;U{(h4l>oXyaxA(qF*Oz>;`^B&HO&60ksqK0; c&CA%2U31r>uuwL63(u@OF(;%KnM*zg04Ikv00000 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptsr6ac7 b/bsp2/Designflow/sim/beh/work/@_opt/voptsr6ac7 new file mode 100644 index 0000000000000000000000000000000000000000..ddf9988bd9b721283d0d2f28114fa810e7726a78 GIT binary patch literal 248 zcmXBP?G3^p5C-5wsk(t%NHoR?+yjeX+o;7rKYrQ~9MuJk-g}b3!wdK0Zm$)c=)V*d z(-_lL(_$i4u|ri_:4ozHZC=^hX0 +DEx57 /homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh/work 12 board_driver 0 22 BVQhR;nY9[R[o9ATEzKLEaUQOnGh0z01 +Cvga_conf_beh +R38 +DAx57 /homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh/work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 +R28 +R29 +R30 +R31 +Z47 DEx57 /homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh/work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 +32 +R39 +R40 +R41 +R42 +Z48 abehaviour +Z49 evga_tb +R7 +Z50 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z51 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +l0 +L187 +Z52 VeNNJi03>MIdzNk_IKJFBX0 +R15 +R16 +R17 +Z53 !s100 baoj;WW0d=L:Y@hn2U?=:1 +Evga_control +R7 +R8 +R9 +R10 +R11 +Z54 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +Z55 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +l0 +L37 +Z56 VOiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 +Abehav +Z58 w1256138582 +Z59 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<:4ozHZC=^hX0 +R15 +R16 +R17 +Z68 !s100 Y=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R29 +R30 +R31 +32 +Mx3 17 __model_tech/ieee 14 std_logic_1164 +Mx2 17 __model_tech/ieee 18 std_logic_unsigned +Mx1 17 __model_tech/ieee 15 std_logic_arith +R7 +Z73 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +Z74 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +l0 +L35 +Z75 VHkmzP=gd;mD@MOhh4AYKl3 +R15 +R16 +R17 +Z76 !s100 VL:Z2?FJISz9N5>XaK:5k0 +Evga_tb +R7 +R28 +R29 +R30 +R31 +32 +R50 +R51 +l0 +L37 +Z77 VK;WQR0;ZeC2I8`N5aIRdM1 +R15 +R16 +R17 +Z78 !s100 KBk8Lb76>dJd2ihUfkYfd2 +Abehaviour +R38 +R28 +R29 +R30 +R31 +R47 +32 +R39 +R40 +R41 +R42 +l100 +L45 +Z79 VI3NFZcjIh_=T`0za;J3h^2 +R15 +R16 +R17 +Z80 !s100 gzdc1SL=je=>NSFaLPW;]2 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptwchwbc b/bsp2/Designflow/sim/beh/work/@_opt/voptwchwbc new file mode 100644 index 0000000000000000000000000000000000000000..9f85ea5e009ff9beeaa5b7699061bc7a24b3c26b GIT binary patch literal 572 zcmV-C0>k~&?_vuu9G5&WRQwSj9G5&7hU1db;!t#!`%tJ$cLUlMbFVwYFoCPaAwmYs1H^xs4~_k?hm|WLPiyUapV7=?^JQRqI29MP zt%4_`a*?ustV`Av+#m z12#;6Ml8ud%^2ap+HsP=^`lh4s|WeO7f*t~Z{AeEpZwXtf4SnozcRMKKP5cCKMDxI zKU6@#Kj`qlKai=wKVS>LUFkZ&EllM#_#R5OCB|SZ3(S!iR@hT;?C|cOn4yLMaRWs_ zqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z&Q-rhBR0`ny_gsFI&t%? zHKMN=D+B~^7Dzy#Y|z+g_mzi0aEqaP6r=36XqpTxk#tZPVyXLZgc84?NM$BzzyEqb z;9pyNGzuBED9Gn55zvMhV&GPAgn;FsNI{cnzqb%T;LneHG%B#RD2TNz5l|u+V&ETe zgn)OTNI|z`zpG3njI0a@SQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2 zg&@7gOP#OG*LoJ%@3nXEpDU-Je-{>i)eHkmCzhK^o7cnCcJI#Ut)9k^8-2xKce>K% zz*~k#A0w7+%Bhc<)D!u1>1VsBl8-GAWnU9uivE7-IMdWAg)ux5N{otR)L2}I=<(xF Kk)w{_Vh%=wsT)-Q literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptxix15b b/bsp2/Designflow/sim/beh/work/@_opt/voptxix15b new file mode 100644 index 0000000000000000000000000000000000000000..68310fb671dd48ac063912a6b02bed6fc891dbe6 GIT binary patch literal 1180 zcmV;N1Y`Tt?_vuu9G5&WRQwSj9G5&7hU1db;!t#!`%tJ$cLUlMbFVwYFoCPaAwmYs1H^xs4~_k?hm|WLPiyT2pV7=ny(%M{Xj)OL zXC)g4>|;7OM^1#FGAyCT^P}H@E+CBW#x2;M%_t#!+Yu7D_G45qt%vv^8c%@$bly@x zsQlHy5V`xnVKRrngCt(SM+wZp&r-?2-{s-J|BI5qzgJekKkxj&Kc0!eKYT;LKe+b5 zKQN`hKOhpnU1xc6Emrty?Z{GtjpZ-rEe!a(Z&Q-rhBR0`ny_gsFI&t%?HKMN=D+B~^ z7Dzy#Y|z+g_mzi0aEqaP6r=36XqpTxk#tZPVyXLZgc84?NM$BzzyEqb;9pyNGzuBE zD9Gn55zvMhV&GPAgn;FsNI{cnzqb%T;LneHG%B#RD2TNz5l|u+V&ETegn)OTNI|z` zzpG3njI0a@SQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG z*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PKRjvJCY_vHf5&yQg;}MDV zRh);a>v*$O-}GcDCT1BD42+0mSTHAu@R*bW;65wh2a}W}#Muv$+ z8S~Q0j(s{%y%;?&dNyp!IjSKlt%{Ts&V-*Mjs_^(s+{Te z6?uZJYqED3mn6S%ZV3*coKj5ydFAOqvWkMhBvp363F^GSQrq4x2R1FfrIM;Z_2pc_3$ z-=pAdN~mI*)DR0uA3l7mGMWk3-x%$sat#_wY5h0dXWcvabJxrXULrxj^_Gs zT#cll_*y!D@UmiSEu%bYgqW_BoS^F|F+|nT`UiUAwNFmZd60_(MX|0Wj(BzKg&GAx uX!&IStq9KANwz5^SeMEQ@Ld&Dp!<910JnsYK`uaG!yMay#(Doh&AO$>J5KTd literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptxmb1si b/bsp2/Designflow/sim/beh/work/@_opt/voptxmb1si new file mode 100644 index 0000000000000000000000000000000000000000..3b3c58d0f032aab49f18c4141f781d4a996990fb GIT binary patch literal 596 zcmV-a0;~N2aLNuiK&a4Qr1%{=K&a3d2M851VH_X=;{c&xo(XWv2r+LI@BkbDLJoc@ zFp>aBB1ks@%5`*KOXoRz&weZE0QQrwECRpFBe*bg8^miv2;(=f zaSFx>`C%p+{ngI z6E+Rh(Ul(v3HTe2yDSv?%0;{t62-|v7Qijj-bv{Q^O+k{KQnYVd3u{*(}IP|M_@0uCv!j-{NPJE^hOTEp<0Rsq?kgH)T_COnha;_h?bm60T( zYEey?))~u6(p8jmPPt=ya|&7Zxa?e(J_1iwNuh literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptz1s8gf b/bsp2/Designflow/sim/beh/work/@_opt/voptz1s8gf new file mode 100644 index 0000000000000000000000000000000000000000..297e5f73bb3204b0cfab9cb33aca339a2d8f5d16 GIT binary patch literal 15586 zcmbW730zOv`^UfE@4Y3m6(M9RYo&#((WnrijKWYTGD9V5?2#?iScd7x*s?SRA(3oj zUq)jXYqAZ=Fv%8%?B@S`?ss^;_jm7H|NsBIoOACz_w&5Z^E~IAdvD(t{pepS&RjX< zc^&((>2w(0VbYBFvsE@*=yW=~Q)VI1fLIH1j_70`jZI$blW=2XQ-Xs8<9C_~4xR0T;d z1=5r*p_GaqH(jV2B%_Z4CX}O_U8ou(g+Kto=!V5(y|pD>i64fibMjRM3V|jR0*01{ z+>shhPa)8RLcq`pkYn~Vp%7?7Az)}l$eYWcmFUV<5`iP-6aq~s1PrYVIi`g@Re?gF z359^6R*=IlO(+DKP-A4I(qZ0;5fN za>NGmmT0IN7r%-P1Z-1{uEbVos2Xjm)6tWvAoWS(K)^ON=t^vjhU&lCK)^QG=VDtl zRR7gC2-rq?-n64GO&bWbe>7D8bt6H*HcjbD?16^rzuG{+HqzUw7jfT1cI2?B;nFQY)}(u6{w359^6SchWoPeCEjghF71Vk1d!xIQwd3tbuCYvABn zDng(Mg@8T9yG9J6hQn8ZLZAtSfT4KTh`}-&m5l@e+qgqMm>PU`Nkxy>4;HK-IVVL2 z0lv7TqHjqDEONbEfTyce^bP3XP1QII2zn3%s)*QwS}{yIMF$nNF~h0f2!KFkObCqG zfdDTv>{gS9+fejVm5Kq+u1-|hDS-vF{|wT*FMcIOy^6^-NmDcosY=D5 z5)lg!QG3#fG1M5{rW3u=Pf5U|;?0beW-{t%7*dsr%BUg)oM`0`0u$ht^dgTX8%-z# z3{|=%Fac2MJv~-(k%FE~Cp>#9+XMohJ(VR30dEuR*C47q|9S{MOs^N6i&LoKXN1a_ zQ$GUeL~WZuz%!w;O%h;8RVoHNnfO^{L019`kdx1-OPo%PmW?FfL@Of|m;h)e;u&U9 zgCfqRh7*m2!w)TZBnddtN|z-8CmNrEm}`?nV~7ul_69Qf<9#~OtBeT&=TT)JrNEG? zR17L1vj8FckWQRK4eukBKp@~zed!8oz+@lIl@XMYit|XI<(4GisZ#nNFadsdCZ721 zr=SpMLYV+)7eK%J6chr6Dw82F0nn}_H!PwCMO;A*FDjLt1OYE9mE8*gFRD6pB|RVL zX#5D!LtymJT<1sU;!0{Xcke0^@cgTc2?5W)%I;lF0-j#{eS#+;uXKS0$VoT4DW+4S zWg`hV(aJ~#CIH$UBmPJYinyK{PIPU$@}$ZhNdiu^(q%meAM%KAUNPe%fKK!(NC-Gh zDw}g745>=Rpb`-a5K#}(iJPf0x=kl~rJs_3N3BaLua71cbS7rPkg8NvMwJAdXyp(B z6W~@)0*P7FXhI=isM0Ng34lsp``MC=v>@Ojpi=c zM*>c?5-CE!iB{PK`$@n#!A}_w@tPA@fRF>hJ0P>Mp)2E~l}@B@dVvM7=}q0@L27uM zrgVk%$>T@@PM>li3QPd>b3hNvpi1W@0Z*tc$@ym(3%U}R0B9dTkI10P=p+F{tC1Xu z=THPD02&17Q5jSvdGl0O(LaZ&9O}QwTVx73s?9qlpFX{XvbMs#H`S zC;|&G>M+uYe^SFXDxpB2xnY<9Xat}|)M$nR0f&OeCY~8a6DgFtbjfb1%nA!I>TvMx z%WPB@G7DhyC2StZY*fOBKr?(M0Qwc64`oml=UD)o5hOP}p$0|#iy9tK`B>CLU|d_~ zx{ceJ7r9uS1oY2X3@RbB03m;kQEg?TsssW7kJ_AUdQ;`e5o^i_%1FgpB%puBqT-e$ z;HgsjATR-bk0p|5_bDg@nouSHIu6i!pMpZbP-QX%CIC8~pay%gK@pqL2`?&@-3tLP zD&-2wlW(@!0A&ie}M^rP6pIn230yQ33x)uPS;H~s=x$5;{k0U zgDRtw1PpCRHeKXUCIC7G(3Uc&O85{kv=-SQ1t#;r1VE?aW^1xR5!=!U=T0RL5b)Ad zZb6B_&oh;vL9jFqM+-(7fF6Pmi#GvIwWkjHXDkL~?j-?-tn^x70z#ffB+*j_Rpwq2 zFjN_czyv_21KL3bRpwq2FjN`5zyv^N0P00h-kQqj#7?qNm7xeM0CXmx-ZC2%Pa)uy zfF;z3D!+^cCIC7MP#+nTy8nBVumCoRu<0zbQE{FHu$c{;E@ZXc<%`6bLk-OaSzIKx1T36;C11gfaopCCF|Z*`SCMW%Q-gMH>28FsIhy zgMjJvsjCxJo*sb-pf7{XBpGx$b-`^DCcUS@CQt|%s`92ZxjQ!6J^jJsS8g>6Hg&vsPe}!feC=F z19Y|wx}Li5?O+0hfT7CGDKG)h4S*)epc&MK2{wU3z)RX!yICIGq> z&^a>bHtNEkttLThory+KyxtSe6m3? zw?JEl>e;=mXQd{jPII4_b-|$|V1K6p@67tQav8PZVYeBf(GTzbJpApN!57vxE+ed*?o3l=qT3(wg5zqcKAt$onCVUz2P zsGnDR_?{nzx#dlJ)?(wvF~MtN!u!s-)%ch9F;`P=ZJu8vc+{1f>2)Hu-VVrpusOkX zP*1a%H8HM73cej4(|*tDnNemB(^otGzv4bnIzI9K@te;72dDErPj?;?6nt>+*47gp zk8W%8{~Gt7e4kGc9NtjAN4)UQOnp@*ZCkM*WSn??w%}roqMxFBx<-}h^tw1EJ?yh# z^SUI}^8NkUjX7s0#g;2cebK8*$+k01dR^-?Zb9FMW#2nonNXrzG-{lU*ZfvKW81}V zI1sV_YSfcb$F5B;Ip$5Dm9^mMF5kR>B~Olp4PMp8s{Z$@9-r74kh8<`-TZIc)C$eM zY+X0%+@uTlF9l5MaV&9o%GLgtPaN@iKXz-_gFzQE_N~_)P8_h^A5{S_3qD=o2QQqf|w4YogC@XYj`P*E5%0Co!rhf zPZ{8GVEV1>>XudO-$<~16?!*pKyW&o(PhPB<{X-jcA% z{tYT8?6Puh@aAoez8iLjhhLvQuq4gqH;*<`;|%^!yMOt|<%ce#F1Ejsl%4%^yHM{M zcT-+R94da1Y%{#o;c**m4tx_5dhfN3G|D z44TwwN;5b6ay3sk*tP6L*zBMQSDr6^>wLhjbIh5Av4ztfC1zB(d+^@jt1Z_VZj2i^ zJ0v62=lZrghuq6}razhxd1dJ8wmZ#Q&VFxs{_N7M%ZV-L^>p{$ZJTwzwOy@-*}mI1 z&F&hKS*y7&y5S)Y@2~{--I3QDy(=17D$dy3w^F5%E3TAQ3G|xMqQ&1y1ALxk{^w{J zyV?VT-EJ+V7?PT2f1=V+ z&tLAx2d!-u5)@m%;=-{}KEIzTW0p|)lFzoK@2uvvDM}He2Ziq1U3JS`k3)@LpLlX% z!^q<+g2KL!3tp;!Kkdb%UoY32T#);6Xh)~g>7QTy%_ciM>vZCGKXuQY+o$HW)Zisn zub%Cxc=B?+_)RG_Nc7}+?RLLeY(F^hWskt3>PfdF3?Uo*YW7NrIP9UT9G3qw@=Dme zw<{hGoBg(N<-em}p1kE35T0wZB_U6rup(&ch^hC-RUc$1eDaxlfa|I%UkuI4oBTM+ z{JmMny3sFsEEpL!BiF8Jg(po)mU!o^uhlD7D1Rf__rm4kXrC$;doS<>L7sQO~#E zE?!qUcij@}@{i_RcxAS`D7jjPv35jkFJ}W>2N7LCnojjo~bkP zpXg_e8FSsge_>I{tsj;hUETV%Z|79MjsByqwW+Z6SpM_`U8>WbH#h2~wL4M};XQDt zS(^8$&Fz~ky)!cR;r$M-<%S1upIUci{g;Q+ZhdxmzHZoo`0GFAxU{#w=zgtPws)-? zah6^+GpqM-UTK%JJlU{jQe@Mwo!WWbsn)ty-1@~9aT&8L_hs~Zq#F_0pq29rtB&Pv z4hZ#Fve9>}by&}>$4lpK^1aYx|I)vnU72*`PQ>=nZ_XD_evP9tPeY=9whWEqimwY2Lo-H5aCyZ*Xs!uehy?OXiPtTeY3EXZyQ@EjQkr z?!4iYx%(lj7LTKBw=SJAcyUN~Z-v_;*0t*Q_VGA_UGR=R4<7ev<@wwtt+>kN znZ+5Wj!eo;AClTU&nqYG_R+Hz0X9{S51u(Wa&C_%zi(^ZF{S^u5~sO!+zTDDc4Q`b zt*dzMQEN}zuDg7(D=<-~QsM z8zwy&@cKf{!q_{~^GA(8!Ad zc~0?Gj&6@Fe6BAko4G$C$@frE^95}#bhBn3e28NA% z@yEm6Ulc|so~mZiYwD7c-#-8A&CH{L*Yfh}>{{}E=ikkfaz<>Nw>ogC-=9CmFPK~s z$cKHS zeqZg}Wb`jrX8v?OF#gV>3WY1Oqte!lxckHFzmJ#9dHL-5lv%k6_s>k5uy$2R;m-|f z+(>_?J)JmlG4mx#>m2Ip-egoSQ z*tqS;#63BeBUtE{y&=3_q7qmg93#9l*g#6~!8oO!sJ$jKdUiuGNNIB|mH@*31xJi# zt*lnHCus(@nanW1QBEKMDT9%u{$MU~O{}@F_jtf1CwZ9qteWtX}D!pFmG9;IMC99#fj0~3P6oEasy6_n_%!VtPw&}+Ye^Tf?E%)5!CjugWNqKo1KJ z3ZQ^1dpcM{RKbR=EVdXi%W2;3uk!Hw>r-oixOg70z1O3JhyRqi#0j}TZ4>Q6hFmr8 z*!kGAVlqkd62`Q+Nw0c`*%Ji&hfzF^xaQmfbI8rMOEJ63_^tg9*G6HUz>AM{fX#gQ zNXmrapO5g}jOtUr&dxy@-e?AasxO*-AE~Y^kG(b6!V0LACK6wY-rCQkFK3`R{q$%PE+hvZsjLu5Jdu> zKYS-4;B91-fY(`iG^%(l7-K@9Kwjy@z9(3;Xvzc#ea~qkpjT1!|HEB+S2yC3Qg_+6 zbgQ)YTN*+q0~fpO$Mf?m+`=b*4ZlBOgj+X)GT2=b_=g0KzM9=mIc7d8IrVdqy=~}h%!t~9Rcsc90Z!9~ie&YAb35?*rFY){ zKcLd2zt$l>?Q*$6&URpR1wf-!xg>k{(YqWxy36YmhaY(%$?Hfq_lK>0N}l{Pw2fWk zp^9+qGTo+y?}z>YqGdW=7X=VKOsN8r;sx&sHP1xk{c|u93`uT%eK%_duuj}82e0qO z)hJp=d9K5GUMIXl@{#R+JhwELN7Ro#F;XH*)K;Z1S5-S=s-Pk0DT{ z)?R3=uD*%?a*HbK?_BmTg3~rvq1S>T?dZpbztd4Pf?)c@ClxTgX6|E@))N=W7&}2! zhnXe~2*}^`jGbAfJ@z39N2Z|Dku8K@-WKr;d6)F;X|5$=hm{xTAIJE^OOBb;5S=mU>~aBT4Z%a- zLS3@P1`XklBi1Gqnf$UA;Yt+4-;iiWw%!{6xDVktBE_YIQi2Oyf?#v|?mKzESIr;o zp(>aAWt`%BFXwW`7GYA9ha++-3jemPUGItqKUtM>t?=T0g$h;up**O1FNHcB(%H&& z#HZ$!p}T5;m;=hkJB&`lsEWu(Ae&I``^bUH4M#Mym3${65rlrW=@Es6$zgIa%0vb# zR#Yg|jiN2v_0$R0Q{-v4#CI6AE19Tz9>+Ot?UFGcraujsZBI=Vwqz~P9ncJ>&$_XpC(Ex#f-#*JM4agMT#659)}qyd#jZx+=Z98vdJJ~V=h^E&wj|_vgSii zzUBrS!Ue)I0u?ivhf?555fkIc`;Oj!f{nixAlM3}a+DOsUI?VK^5oY34WDdSof6JK zEiLEE0oxy^7tf5@@`(C=AK~SYjc8nA@YDz%T~y(!VCT3;OD#MvKR7_SVH6JEQCv?H z&>-j<3F48Si-jaT2%6?`$ahI0QzvP#q6{2`XsO)~MvGf0P%Js|s_cy)e>88qGVVoH z{hmIF?5avOh*4pr8*;`~YU-F)pF|qS>9;~q=EpO}3)AdJQ%armS5~soW zw$3;MB^JnVFNwi2Oi#y_bA={Xzr@Hf^(R@|x*SzUJl)qU{zsx}ln6z`^`19LBEG$x z{W>4uO%pneEP%hrZBbVAGX2p3FSEbQM~-H~S0^)+_7TsI+fho68pZQdQk<8*um#M) zQ5Z+;7|7M=Ycf*(El<6hv$+20i1ogf(Jp#$^u5-=>1^3N(b55@B30rFm{L_QTAvq0 z_#suB@Xe#PtG*80RbvMT<8+raVcjsVlSz_p16lIC#dpBS(;+ zWuj=sh_wuca0{gw@75)5Y&YXZQ#6edlaD=Te^kX8;7+7=Fw z5hZZi)<=S^!`wo=ls*z6bmyydYv3#!XVRQ*4)Y z2`if0JN&+Mt!Tgd&q<4ndQ`v*K7M`LHRDuP4Uru4+73%)vLG9dAd6fklu5W;-G-Cu z4$nyYpcb|0wOP-!9k?eFI;*4Dmd?NH9%33c!(83l!23sMC$2%PZ;hkEp}YslUngE{ z2U1?)AyCF4X}E<1FNXcWkFVuMv2n;^$i??l$H3lCovoFZYS_8V!o|i3iTbxv^=NQ; zwV=x#u)A%$=M!pQ?}claY{8T992Sn;SqX{m(Hu0poM%7w(eig5JAd01Iy9QuC-wo@ zW4x&q;v5ZV*6kaFU*^9e#bnXTf}A?AM!KVVgYZP4Hsbuq#uhnYfNxAN;G z7Hh<44tT_YCh@Da51GA<_vSb!2ear9r#Kgk59sH`i`S4d8)|2C_F*wYWHQVb+dq=T z>HJ$L1x@?{?Hv1>8E(w-O|Q%1gwV1Lq6e9@M$eh*x5;cMBn5cBwSJenU+0sxJgw8P zJEo%}Jy{zYOO>%?LFLi4U23pO9eLF{S2+MDzfi6~FiIpNrA2rvBKd)WepkW-*Kov; z{#`{z4-;+p8cDP%GN}s~iD|EZnywa}& z9=cciQZG+AUrTFPqGVsbxqc5et?A1Kx_PxEged7Ad#LC=Ul7_6qRTmVH|)Zg>=hq) zF-!I*k@!oI9@Y^<)?f=?)>}4d8<%NiBt2tN8PKUlgMrj7(isHGOywb}&j0?QOfax@ zL7!{t&PDCk0Y<3;U)?SluMbCBWTJWxA;etMSY(@^&Tsk@=|_PAv{HfK0DdGvZFpv^ z1Ob||QM!8YnnEh9iDq58VS31%%6iMoJ|k~USDFA5x+pV2b{jXego0PC54%$YY|y@y zmz&(hEMLKAUe}O&Px?Iv8M&=5deA@K%Z7gyF5X0>r4d!b8l?sd6e`x?-rm=hir=)< zFX2~JT$)JkVFB2x^XDr>a93!mQhAVyWGa6{N1t6gllw-Q0!11gV=~kSvl*8@VtdRo5Y3@HOIB4b+R0dOq3Xh1N;QdiFYBK2sf16IU&~GA;mkb~(oxN{HxnMN!=P?JUh}e0N2cz;WKn%DDZqNR<(_^R8E3{aDBhHL|GDU1D z^2@x5>9$gx<%^+s6My-L?iLRb8`F&r)O+W?)5|gsHYv(+aaSgPaF8%Rx7Z{WAZVI7 zKJZTFgQbtypLA&V*FCqk|*^vlBJU&(~8`|V89UryY$M$721(nx|V6vW=H z!EH^7T-CVSKiz}{h3n;7rDP;nqS*^$bN2cCV;82onxi(K#{&*D7x+so*8k?nK^EF^ z(EDt43ssVxo}O8fbc`VuIpm48Xi$pPyB?VaMfvZny#g+eqA6-JW@gRC#PIWeDD2EOg6S*gm z^IS}Vs3jI3@FMjMfZ9&Vve{xSr zc!^U>$lzhw07r?!?a_Z1#ijJLrY=6ca=0Q>&x9Nu7i*7CINtHa4N9s~-@SBkbaI{e z;VD%9wy3fv*?H23tWUmUXqi#6G>(LhyE z{F|PI;4+yZ&uYbefuO@)s?n0F9J^Mv^R+U`M*|#A<4av1J{5~7wU~y^2fR_y0|n=k z<Eu2XIr18aNGEMHieOL4HCZM|NPV~-Vr|OoyFr9W+Wx+mW zpjmYI;oOJDp4btpNZckGyu2^&p1(lkz2x?Ieop`WWABC0ta*w>g|x<<;yW6&th>`E zx)SQ{z7Z{YR-%GL50WgcpBuP)Z9C35O>kjK<-drhR5At2{l)y+4jm*vdeEF28?&Ox zz#p^A?7hWT*jLV~a4$I9sK&M+`BJWa+~C|@OGGlXZD!i)NA@@Kj1M+j2r?|)%-^m( zc%PX8-4_K1p-uCY0^~{-l4bT6b#l`c&@^7A_cq?}39<9V&6wna2e(CCqb@ct(}o6p z*w$dhACnpM!U`@MlJw+T#_YGg==x;W#T8(-J@`uZz=IlU`I|Yu$Fz#=_N%7JC~!es zZaJ@J-j_Y7px%h?pu+Qh+(JiGA>vuLBKC_ED<>~$DYa~ve%_LM)wD=%Xq$4IU#(wA^{(theNd;^K>l>j`cv!2y#?`=v6DZO88SJD#&~hu zBhy(^xy_}An4;D>N$&Zn{fq_IPM5LgE$Z4&eBunh5*r#_olzJUQH^Fh^7Ut_ZJ$5Q zI+8M|FftRhqyDq@$hkl@bE4_vD_$Bv{bU_@y6C=qSgd+`a%M$2kUceVMu(03Q%_Sl zM($k>U*0JV_q1A4r3-?pf^2IOGiR(hma5u$+X4F4G?YoBEU>jb8Xo$(OsB_LlueTN z752G@l&ag-CMqFnPRy-q?o(23-P*ePK+6q_^^0c~t={qhX0nrwke~d|rY(4Fgvrk* z-e}&uiJHk4;|si(aUMVe>*0j#(A{=MXFT!>I8s^1*aU%Z#%gC!K%uo_5sZDH+jlMZ zqTi9Y^J#tQKixB*=6^ z`AL>y3Vp>PxUMZXxstArg{36wC6~3I%AZ(GYCjtlr6JD|gXM@l7t}Rj=?BnkyNwoE>&rAN#f@dy{N7k#q!W-|T{H}&5dt!YIP`@*{9i%s~$l4Y|OGR$VFD>nb zJp#X$)w(02=~+9Zwrv2^ABW64B`l3Yf+jWM@2XBMk;N}IS55udg3@}!-9iTBHW2yZHUZj9wd_5!2Snr2rWtg literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptz946ai b/bsp2/Designflow/sim/beh/work/@_opt/voptz946ai new file mode 100644 index 0000000000000000000000000000000000000000..ca854a2ff13e83b7f0a87c5acb6a98f996433d8e GIT binary patch literal 1838 zcmZQ9IK|gIFX5}4a$+Np`p#X|RC7Ui4J!i!1B(=h0AdCP9WcqjpaP_s85n^i2(w6o z2q10HjnItN_FUTcZkOfQ(~cP-Fl* zN)5;gv*nu>QI*0&?fIWgm4@d#X0EiwAAkCry zBp~(x8H}7jLKldcz~+D*0#bl5lncmn17b+%fE@x-05epPi5sL`6G$Ko6r=#Jp&$haLqXYr#Rx?Y zmIwqXKhhEsD|H)u?u9}MAx#Lf+UE8|A`{8k|-|bsp*A*WsekWP@ zVNqOyx7$XYPcbETd!{w{sGwcbp~Z|!-M@#yD|t@mY)Zz)-(@VDdC=g9Xe8K;i4T(B>nmO4qS zZ&fH$##QU%t1oj~d9+Sc>zyZYr{kLBuQ@()irP-DU8gLM$V>h{cxi)eSc~g)hj%MV z1UxPzwtFdDdZ?>v5wq+oi)3A!_<|(afX5brYQ|=ki(M4#i*K~;KU63pb$q$mkI78F z%N8$Yy*~f*1FKJZ`|eNdKfLJx<6(|_FK*vg@G`RbH?P4`_{N=OU+NN`+0A-*>vwp9 z!XdfFC0+kTofwWqU!Amd?}n4hr;1J2-`m&pXlMPjCB=u_c7EFE=sxrR(REg@sw&(9 z-Yq`;xRfz#_Upr+Kc9RsNwuNs&-*2-{%#Uo6>q3?T}DK&;)+<$mg4f5wwU$j)K~oZ zRI~B=&V;hxZ`ZEb=5JK7?Xll7b2gd%cZ7^=dG_63Ca1Reh{?4Kuk{CKT%UbV>(|v8 lUcuhVCQ~KzPDtGsWpjub9ACBtWSd6W|qlj5%B&4$(T`IZWVPiKH`_E*Ret9hkBkdT?$C#xXq>!r6dR zLa+_q2?4hi9TL`rZ?3f-L9@56(tifcg5hI|02wy# z`Vf5sCKyQ+P?;J=(n&;U4iV0;fPez61ne^jG>l~L`UF^%Rzlx;1l#}+&@weZ`M`SG z@VKP3KGhWjG`Jd;DGG?7VQ}3<1P#j+1zHL2B7ug%mDeX2B1qyJ=loecQr~m~-ZVwTMDIyzVG(nX@jQX5%C?L#N zD4$qg8x&9*6O;$k*9Ha3HVvS}4k!;4wb4)_3g|heC=aTy4GO3Y{srelz~SF(c1X?P zgbQEFh8HJKiUJM{N8AEDKa*Wz0uBu=_*s}|cfrYU)F$8s%OH{olt_rACg5n$P{0wP z6Ey4yDcNjrc{pHxHwtOz^A&jUwK)ldiu=&86W1nUIv%?GFLsZ5}(6qV|a;D~_T z!mXN*s1J$)txzH$6kjb_Bse_y#o)Bfo)iU2x-R=poB1}>oENY0vaY$hyqp2 z2G|${RFV4hazwz2@Z*n<0;d&<0;*I4ln4lAUsBP~1`mD}IBKk6MWTQfxq3}f6nq>< z_6;2e2^vQYNEFaGs&|-2fi4(3r0UOP0vu4KKm%bl+@V1;gD410*(+?wqd@CS5CI2` zefzJ0Hd>)5putq{7Dohx+JRiQ4jdl*CUB}Au^&C3bQuMz$8-2ofimpdW3z~04-r0r z_9GqzM8IDHltBWQgboaTmDNb~d%&6B29COA4iVNRfTDn)_~U>w4I$#UgCl|&M4uFf z0wQ2xlwpJ&B0?*O=nIC;CJKmvzdtC$2s=dt{5Tl{CRh(BAOikCp$sFWK#HosPZj!j zVSPXW5gZ|+3?u9k5%9ys4@}Sp6cE7)BFZpADx|0n?IBWt2?!`4f-^*vVT9d~A_9J! zAb|(_@BWf)-(q=?W5A~eBzKmifN0!H{vMCc0Q;!!{Z zv49aWM1=1k!q-pG2NV!NEMSEFBErBA1VJBAKm@S>3EWl{0k;eni1)Q?F{9%Rwhlk7^+&5qXC<+Lw{&H|cK&Tw#{4rr0_P;DkF%$(< zK8`MB3?Sl6BrFlOjTEh(6ieP(TD+>6BrF zY)DZR8a6i+5CK;@WfWdn11ahQ z{(A4vn&^UW0LG($2ty#E3?t+~iU@`f`Gd*8J`hkqgrN{oh7ry}iU{o>LIMx=fq()c z41AARft*2g;DQ}8{J#Z41)B%9(PMYPeGaN5h@cHWwvmm5D}?IMu87da9|fO- zDhVp+pP;AmeIT-C8CCfxpz_tHjUxg=M}eHj6qP?3B7_RcM*)@p87P&nf>QauAE1Kr z5lZEM21?~K=y_;E<&S~LhGkUcqkzg+UvnH0u>7$g=P^a)`$2?ILHQ`4@;?Km@)@)c z+E7n%;p1nlU=u(A^|U=i3NU&@IU-j5T!qJW_4TRlevga(3~zsL~a z@Q?+8a{?1UQ9w}j-Jc@@LMMToFJTC9c*rJ$a|RPYQ9w}jKL{KV5IP0q{3V6}hllKY zaJ|6dKek0~ zY{9pGc+33GwUria^L0FTv~Bd@y8Ft4F)@3d2R*l&a=6m3o5JqwjD0z?M%#HgZTPh| z%*HQyo6&$3gEAz)2V@$A#r~)2#=xaH4T}FTo19U+Ye?w%o0lW(vXgzDMVLM{dg@*M z;BIMX(&}B=c@bMSbV&BffBC<+efvn!IzC|50mZ-stDy9*!@U#IYaM(2(z4b1kf~>n zM+Llm7vyeOl9%7fdy>2~*Uvls(X}Vh{|xQwQ_-z)rK0Qk!C5k^##yQQzT?x@ZZZ8= z1;@Qu*y?)nw)X!=r*Dpm4IAf|8*}5C-dxjR%g6q!=O#Vu^U4|q+Ii;o*OJ1C6^rjR z{&~4|*3BFFan)8^OTA*gb(1@HsycY1SUNLu*}4V$><&fgr2O3@yzW%MGS@cp-VRj< zuP)a6q1m#fQ{LNUywKTM8?^9sali(nrU$nVGs(Z@d4=Ei)4PRv@g7H>T#W9gFzA)J ze$H2R`*U?t?nf;EN}Nq-iAmwQpq@&2V7fCLT4-Bh*?^p^B?#ZVw$7`&(+srgP0g z2bE`Ef@uFkzg~5t^gAc>4wW8FYZB_pvuE}^z@6{sI%r7CMQ#Cwxw_Vwt_=&;&J8qo z)|q;#OGuh=ynaE6!?m7z(h{4m-511ma4{Pf&^<0IcxSuF4Qs4AS~)#REN$qy^rF{s zw~ZE~?zRl}b3W%967(o*tk<5a{-K>M<2Emw9<(ZFjqZ!bi7#L7`ZheX)bQ@=&Ua#c zH)TDxpL}NcW1G! zKK!=3!uf3v(;Hid&o7GXD8DuKi_!bS4i0X#SKSx-#o?d((5bdi&z zTgB>tg%b+X67%G*z1`EZdp6%5UAnBF<;XE*zgwLAd2~ubUp?#f#1OE9!3jWt$^99Zec7h*}i0HOR$!?~h5rwXLV-*H!wyX`Q0j^ExO( zZk={L!Ka(9v|?`fWBtU^hy;0F|ELu?2TO+a zx_>swGUBNJY`@zBeE;@nms$Dl#=-G^>3QQls%*MgJD!_9@9>CWf$`5Sj_qFX=5F*u zZr7yN(XGNFi-UZtM#)c>`m|p&XohUv{luI}J@k$Q=sv8OBfrysRMoE!Y^J5z%nkK# z_0-<0ySRt*N6L`i(Aq^FVpC5;aSVwH*I$Rabo)~ z*6EkL9)uZ&PjE4-dUCDKt&p4gCTGbhU#mBJ<4=AUv#r$pP*?YYU$%CvEVy|=@4>#c z>0K8;A9Nh59>G1_MZC{*R5B!rxv}e`0dmw+o%O63RAD^Hk8V1 zZU)YGThw=1QnkDO51rrcjhUW0I=QT6^xtvE;#XzeyPJHAKW;kxLb+jik(0AwaP^`P z>!ZD+FP2|-QH1-B@A&g8_jeIL8l3iae(mu6mWqqPMV?`ceeSK!ZDb$bl74kxqVRc1pj%ytZJ{vfCP=-evf{6)7W3|i?H(Q>NW?G=Cd z)+kyGe!Fx)&FS*oS_8$({MsdR+?!9izA9O#aj#7Uapzs)Q#!3#Z{KI*CCj@{=gS(s zlo~(lH>cU<(Q&WjmqL=gH%?lj2%08sp;K|J%h~ZR%_}[o9ATEzKLEaUQOnGh0z01 -R6 +Z34 V^=j1omIkg8OEUbG72d1?23 +R13 32 -R9 -R10 -R11 -R12 +R21 +R22 +R23 +R24 +R14 +R15 +Z35 !s100 >[o9ATEzKLEaUQOnGh0z01 +Cvga_conf_beh +Z36 abehaviour +Z37 evga_tb +R31 +Z38 DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 +R6 R7 R8 -Cvga_conf_beh -abehaviour -evga_tb -R13 -DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 -R2 -R3 -R4 +R9 +Z39 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 R5 -Z14 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 -R1 -Z15 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd -Z16 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z40 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z41 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd l0 L187 -VeNNJi03>MIdzNk_IKJFBX0 -!s100 baoj;WW0d=L:Y@hn2U?=:1 -R6 +Z42 VeNNJi03>MIdzNk_IKJFBX0 +R13 32 -R9 -R10 -R11 -R12 -R7 -R8 +R21 +R22 +R23 +R24 +R14 +R15 +Z43 !s100 baoj;WW0d=L:Y@hn2U?=:1 Evga_control -R1 -R2 -R3 -R4 R5 -8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd -F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +R6 +R7 +R8 +R9 +Z44 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +Z45 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd l0 L37 -VOiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 +Abehav +Z48 w1256138582 +Z49 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 -Abehav -DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<:4ozHZC=^hX0 -R6 +Z57 VWM]N=KVQa>:4ozHZC=^hX0 +R13 32 +R14 +R15 +Z58 !s100 Y:4ozHZC=^hX0 +R6 R7 R8 -!s100 Y:4ozHZC=^hX0 -R2 -R3 -R4 -R5 -8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd -F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd +R9 +Z60 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd +Z61 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd l89 L36 -Ve;Di?_OoPUgXCMBlVURO<1 -R6 +Z62 Ve;Di?_OoPUgXCMBlVURO<1 +R13 32 -R9 -R10 -R11 -R12 +R21 +R22 +R23 +R24 +R14 +R15 +Z63 !s100 m[>=IM[TaR5C=MnzMT7>c2 +Pvga_pak R7 R8 -!s100 m[>=IM[TaR5C=MnzMT7>c2 -Pvga_pak -R3 -R4 +R9 R5 -R1 -8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd -F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +Z64 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +Z65 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd l0 L35 -VHkmzP=gd;mD@MOhh4AYKl3 -R6 +Z66 VHkmzP=gd;mD@MOhh4AYKl3 +R13 32 -Z18 Mx3 4 ieee 14 std_logic_1164 -Mx2 4 ieee 18 std_logic_unsigned -Z19 Mx1 4 ieee 15 std_logic_arith -R7 -R8 -!s100 VL:Z2?FJISz9N5>XaK:5k0 +Z67 Mx3 4 ieee 14 std_logic_1164 +Z68 Mx2 4 ieee 18 std_logic_unsigned +Z69 Mx1 4 ieee 15 std_logic_arith +R14 +R15 +Z70 !s100 VL:Z2?FJISz9N5>XaK:5k0 Evga_tb -R1 -R2 -R3 -R4 R5 -R15 -R16 +R6 +R7 +R8 +R9 +R40 +R41 l0 L37 -VK;WQR0;ZeC2I8`N5aIRdM1 -!s100 KBk8Lb76>dJd2ihUfkYfd2 -R6 +Z71 VK;WQR0;ZeC2I8`N5aIRdM1 +R13 32 +R14 +R15 +Z72 !s100 KBk8Lb76>dJd2ihUfkYfd2 +Abehaviour +R6 R7 R8 -Abehaviour -R2 -R3 -R4 -R5 -R14 +R9 +R39 l100 L45 -Z20 VI3NFZcjIh_=T`0za;J3h^2 -Z21 !s100 gzdc1SL=je=>NSFaLPW;]2 -R6 +Z73 VI3NFZcjIh_=T`0za;J3h^2 +R13 32 -R9 -R10 -R11 -R12 -R7 -R8 +R21 +R22 +R23 +R24 +R14 +R15 +Z74 !s100 gzdc1SL=je=>NSFaLPW;]2 diff --git a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat index dbfe3d792a7248bdaeba8b0703a19302f4ac9667..e1bae7e75ecd9df7b72a38204de5d5c093aee8a7 100644 GIT binary patch literal 352 zcmV-m0iXT>aLNt>BzR}P)NBAGcxO(41n+4(0TSH_kl?>`fgirWz`_kL0F3cW7nHf3 zoB)@|xtamfs^qRk{?qGXaP$KJ2R^p*0xvo(q1pl^A`9j;XmBo{-k31ju*R8&d|1*G;v0ssI2UM^|&3(;rjegOag0I~iZ`2YX_0PcWZ0LIDf zo>So!*e`>4wU<`_ literal 352 zcmV-m0iXT>aLNv)R@{EsdZ$+0ey!As+q$<>E4!6iaoOmJEbt|v1QAI9Mv&zT2y%>g1G0RR917~+9500000C@DCcrw{S? znSi{+vq=VGnxm!1K!R%FJeDh9YBUuC-+~t&B=^ew!jC yk|8Q0cyEmIbUq^Grw04Fext!WR|lKz|Atf+6pMT}3|-g|5duUv5stER0*j10JCwly diff --git a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs index 993bf1b40b182f7995abb71d887045bb93f55005..454b40ddc414206707b3f0782fd587a9314dbdaf 100644 GIT binary patch delta 477 zcmZo=Yh@E>EI7r-q*9TtQ#hRgNKNIK@W8Ku<^4u6Q^xv7GuS3S)1RniGHqhalRwJ$TQ*2O1`Lf7G@oxY2 zHkqAn<-9sq^XXA-=6c5&Ylznz^MlLzvPp%Im%!mnvt1M284iD4VlboA!RexXV#Q^mVe@&8YsVb1x%&UtT(jXB3hi%_q9wyP#O zMaC(7RhZGkd);Z};j5~-O<^nJWY?$oh-p-{{hN{7TH-fr#)Z-;?QH@RJc| zEpb!pWg{cj%}a<#O_?kdOOfm4+Hv^nIlpth&pDspIge75SObWSrwhA}1D1z(lJeJ2 zJCqQEkQ|9HCK)ZLuYU%^11?Tcbo2R~5j&aY)xU;*2dMga(Lf`FnqZq36h3&wpI3~Ee5*YDJoWgx)2%M~>wMpm-FanReOm@7 z;E9*eL6!WDP$Ws76&55MoK{VyWc5SRs-y+3wZR0{lmk;iV3J^d z&8zrX#;P~%Z?}U!omhB04fIGYhD?jt^WknFvow9 zK+84RG8n&*c100^F8>m(h^Fj|ZS7yj@o*nBH84z5sIS=&3l_j&{7^&n`V%@7-!)`hYm8@ZXDOB3AW$uOt7rEtQQg)U5B91cgN^& zci%+aqv1HTp%;enK3fT5>(i%Z^*eojSZuIiSIgm%*2Fr4^GABj zA=R<#47L3*O!xuBdmO5g3Tw*XPzH_Cx=|kR2gz~+bd(@_wATIoA>)S=O9SvCq18{5 zZ+Q5NN#VPKtGY2_GPc%e2{et3b5T=)H$>$?aRDtW2(vYW`6|L<4Pm*8 zuv$Y{uOQT3){D+j;18b5&)P5rrK7EGi-%B42NszY-hXW%VH4rl0 zO_bW1>0hJA{ZII6uQ1fUVryk*cF`tNl$iHbWQIRXpQKlo(_Cp!Ck7jUN{Q7u%+kyg zGmHAX@lMr;()C>~*+~uY%A2e>16RRrX6BN(MK8gxH8%6?=wG#p|lfHRVdizp18kfAarZjKwxYy=9pNC`VhBY14cm zntv{FknNX`%@t39%aj=}#FrwHT(-?tHL@z3Po$5f*oe!FzKm7dWpQb)_Z?l8-*H^* ze>D#1y*;@1IvO7SdcO9Nm?l5-zGWm=PNtD7(k!nNKy)7QPV3%kr{+Bql?R9<)0mq| zrqPE?WsFSgC#c&ap6$p`i>5bK8IezZM|#!D-p&9+BV@d+xqTmoyr&8P_IEKOkx9GM zB(~)So!d#Q!2Xc^5OmVsau*Y_Lk#sF0ydH{d{B@VM4f7x^onCgkIF0A%_0tQFTzQ>y{r~NS5331!50VW5--(wb9nF z-g|}wNZU-{R%qhvxRWwcJZkup|KwusxFIt$Z~#Kb z61x4!Bam|R4VF}|95o#Zr59w>G_t@T&J6prVkpFcL}2OS^~)8;#gfTHOX7&a8`)aVwBKpHd#m-oNAF zF;;X65K*=5v<@!R+|Keje6=ArBFj_@ySwk4FAj~?>see3!kX%shrA3JkW2SxgW!Fjl zZTV5;*OJt|GT8YCH~mz--t0%8IQ+Q_Fy`$1gc;FSCz*VtcVq2Ng>3^o8D%WoIe(*q zHaGO5ZnF@l3%qY6>gjoPzO)_=$;IKHRA<};4phT#5Gw74HXXS%Y(ccL0Sy7+^zjX( zoRdfA(8Zi`{XB*#8@Mcz8g9m<>Gla}idJ$;Tk8VwcdEUN5|X!qpspbdRuP742%}ZR zmNkU&3Ze(RP&l0n9QY45ORKV**@XSA*&>5h)SUM4D*Mdic}ehIsg=Wt$y|Gh6HX=E zBr4>r8vLnG?@8qnC4KXdEngtL@?(1^sR(yY`j|?rNt9gzQn_!>81EC{6AI`nWjfrz zg}{$A*RebTfVgr8=dLgj#nZ*1djpj>;ojbpEBf$-aP4tOvunBEVjquY%OOH5Fwhde zr8uH+-ofeKde;{N2d$v~&&%S^x4=G*x39zA#@-~z9UQ!v5EIkeJr#-!-BMF1=AjA6pNTKNLw|pH<$U37x6I}r-SY%8Y6g-i zrZD-*uGedQe^9k3_!O$Qw-?hj+1PLa+;4-dZ=FAzD0ti3Z$!c5%a12{F#?Mh zkcOJCY4l@UZ;oh3keqjPPosB&Sf3e~?#Q${L&bM!Z$Gr&4nG9va`@x6?6{?^S0)YKk6SY!gZ(|zZYKf6WjG*1c$-5-B4RX@;>G2j(;nSx9 tsachU!I~XO9&?80g%|m!Sh2en8`)vAwUv`voJB!fTCHE}UBRWB{{uH2sB-`S diff --git a/bsp2/Designflow/sim/beh/work/board_driver/behav.dbs b/bsp2/Designflow/sim/beh/work/board_driver/behav.dbs index 238df3635d42c284bb62881ae1eb93df5feaaf67..c6ac581c781e8738fa34dfaba7dfec4cca9a7927 100644 GIT binary patch delta 1108 zcmcbidP7y5vEURRlS)OpPT_P0AXUOX;elTR%lnOD)A;Hi&0w4SOn<^AL605FO5W7Y zEAZ_Ijb`DA-#(P9?K_MA#K>ZrXD7$+U?vQ>JU4T+;G~Tlt{lS&g*TPq9H6<;x-$#k>96 z+hlgSmGkOc&8J7Tnd=>AtRY@=%nvT-%O({(%16NhKV7yC%HC@8#l+{wBY!f6xGV zy`Ch$Pj<{ZczRjM_7mT~N08v^ue>)648qgrbS-z%)c81w6DiE=$q4k?Zm+Emfq`Do zdF$Q6TV`EHb~0Hl&1;>lvikC|AM&y5@2$GO(q*D;|CfiS@h=^R6*}e{^QSU&k5sie;;8Z5Djm_NI1cl&x`i`zxI$&(KqD+#aHLd)6sT z>-@4q+Va<-_nDQy;&Vexbmi0M^%yVSb2#{i;3ua$A%|5>r`(s_x@hl$&B4VB)1D}t zS}t((9M6JZ_FZo`C2g@wW1XY^%I}J1l=0<=TAxkD@q2xCirR1&&r7oQC-;1>R4`Nn0l|@;*I`tjfWTJ%e6OcsQuSmFxl-ozi<3zV6$1t|6S>E>Rgc--7%}$Fv zf?K8LC;5uMtlhfo_Z8i}(i-U^o3^Mbq;N8ny;&LXe_A?s$5GdbED2UOmg~;bdX~TP zsov$fC(#+6U3lPide{QUj#{W+$Gv;R-u$@oQ|Kb3Do?kwxmb`5u*+&+3@=f9;# zRgN*7O67c3AK&IPDbKK4si^q&ZHM=>Dt>L-{bT17iEDcqYOc&%-F>;nQTM>n;PzFQ z%oi6){JS$%ZPB9(C0Cr)F*@N5d+f$Fr`Ceysj?OXAzx zO(AV&!CQ5w>n!sVQup{7u2SQ5F?LqK-lj^T!pV+s*D^GQ?)Aqu?FVPn&R{{VMMkgr% delta 1108 zcmcbidP7x=vEUS+ZPxW^m(uKk}?(n@_Ic< ze$QQEpb?(P?Wwy`?g$C476>+2^IcUA79Z`UiR%^#=;P*?`wH~eGqb;W$?)PV9S+ok(PT5DO(S=d7Vo8 zS)%ylPUOnJLVHwgtnAY2k7zhA{C%G%c+(WsxlU&{-DKY2YPMQm;?b2$i;BGK>P3x@ zKfSX=yLcTN%P!-+D_$kctiE*1zIxAES%2w!(%QBMvTL1hor;P07j=Hiy%~&k%GTF+ z8NB=_yx4b=Py4IuzYaaV$uD5Lb*_)@Ugf2FTNIpEKL4}e+`gjlC)L+hh;=-!KmORS z$?Ms7=3R&1om+L4{k!P=EQcKX{GKgpdKJIT7;daf_*mgE*Ie2^^I6WqNd9hvTu~d( zw-4)$Hl28MukwNXyk*~{6tdD-Hz$P4n;!fw?Rvgh`tD5r#%Wvy!E1h=yO6{7%gN!d z$4AX?Iw6*Vl}_o>TBp*VtU9Z-IXL_xdjXv+%rA zYGS+aztUZ&BILS`DBcO5`SDHc&gatKpK7q0PH)I)3Qde`diSd}#nAfI!z;{&4wWz0 zG$^{0ANL4bIrNp6JhBwaI7Sy5|7QOA?X* diff --git a/bsp2/Designflow/sim/beh/work/vga/_primary.dat b/bsp2/Designflow/sim/beh/work/vga/_primary.dat index 8f55f0d9489f40b117aa7ac9b1831cb08aad4267..5e002471549f84721b1bda06d791eaca547237f2 100644 GIT binary patch literal 1277 zcmVLH+7^|010bbqXYjmC`^yia3cr! zm8M(1YTyLr2bygKj?Oa2HVe{=nX}T zveyn{deyZL1YDKEA}t7a)BZT>QV{7cckK}`)-7PC1_&Z+roCVX5?yx>caC%jyh%)y z!I=|Eoqg7wz58uv7b zOaTA@0HD|em;e9(0DF-(73N%T6C0&BhB+&}>U008tIMa=*J z003ddmQ?CSsRJKVjo*%efp?Y1J2Z}9p70000wzp3E>000243vIYii3ms|%7H-- zBt-R`R=sTqjM_w}L#ZWem1I;uLI|cJ;w2-4CTTNEG{-;)Bn`;jg#jlY85)8p=OCLM zDec$*jCaHcEk;&tZU`!|hs}ATm@90HL%A_YEJTu#CT?vlxYq)7B%LlB(_}L;G6;1d z)+l9AFAfJga>pkKDSaE=u?#Rz$}XmuW);t9QlBwl^y@_d0001mPFwl_0001ow9Q8S zpj{16-eqWOP$6t-zy{3+R)3HfLk3#?Et29B$m0B>wFf7YT(_n?Jh|vc7rCi-Gsx8% z{)9d-Q2w8VpTTzm^9jnSz;85oO$HpMUv`iD8k+ZXACTRXpcP_B@y8gwQUCV0K}nW4 zzm(Xx33!L24T+OrXQnDQYlSxkqQA;77>PhT?%_sQ=MOa-)*c`dL27n30D61+YWQh^ zJ|hJ^t9c^syIQ>OKHa(KQ9h(5v@X~Jzzc9Wa7`do1~(KrNwpRTK%MCtOSxXs!+bd3 zv>ENRerz2bN@z)?X@H9t>Kw)3w2@hbi3pRGC-P^?JU$IQBpxLz@zc4e&L=HU!iKdC&FQf_1 zgZ^^I9S}3aT5?J{^#_>bIaaMf@jktD>fyuaAjGV~?EOXr@OgKF4IdR7sNq?^KZa8&DIbp0c%%h7a1yujRB=Fj z4lFv}c+quha<4A**6x=MlSuuqh^SB%LiY*xpUye#@LA=VQ1NE@m$48st%bBc(M2Y$ n7<{zYi)Ji5=j9}-^>BNSq&K=`xbcQ+Gawqv%E~;ELK?5+{&O`M literal 1277 zcmVha>e{rzZ3)X;6i;W6_lptY61WN0AIg<<`%JL>SzG~005Yt zjQaop0091}WSzp@Orh%3DMc61Q{yfeVeicJut*4Aie;)s0009pG!g&+003|@fhCw%hol=XNh^@R1{FnZ`o|pNe%0002x&0fp^0002r z)O=4z_riK5-}BI5atykCtr^70RR91(g-7;000004dY!hTPnYJBeaUC zxFpVtKfaCU2#0y|mp!5-gCg!KoT>LM*H$8(7VSEr?wS*ORR-W!Q{_xJ(Gv z%I2bO^DntKMR_lf2%`hIHGzgOL}{6p=4}-x-`L?X!W`Sr0RR91j_vHq00000`M)Lc zci#TxU{pPDF7GPO-tY#q48F+G#o{YT!18E7I$80-eNUwh0#Ay0#-5OQR4Qgu)f!pG zCn0{E8NuzMT4iHili)NJQ({J?YjD}-1a{|~!Np)zU5;eDx2F>a_+ldADov8w_AjOf1eQ;T1#jzWAL(oCz zB^EGzr$e*nzs)BYRW-m``Fy4xGgm{f;TTB13D%Nz6%U_aG{(2Q^4s4cK#*C+fMID^ z8K93H-#^MnHLZ!#c;^l=ILq7%aFgDF)Ot(wu}qAUR|4=P4ubE=Yi*w{IaXXN^uD(= z5qVr&Rt_!fIrv-KDahDjMi9rod@Z<0wud@izqJpX`C;xLJtZ>u<;lefevGsBSA~wI zy$Daj#TSPp1qknq76C0+l@5Yj0=Tu;lVe4C{-fs@9;VU8`^8*YZR?zBgjH45wT9 zPvqC~K|H+&Vz>;aS@>V%*YZ>;m41xwMt79){2=-Xf zZ!`12d{IDVR;^Wx-ADbZ(P`?`KAV+~@8I5;l^4&<3I{?N=wAufllw nSGrXt%eJ}Jp3ZbPmnw?crZpsoyFyd1`yd^~F=*qhf`7$yZZ%JD diff --git a/bsp2/Designflow/sim/beh/work/vga/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga/_primary.dbs index cc79726beadb4bb78a4608f124ee49acb50a5bac..aba719ca0a6058c5c67d54b81eff87ca573e9ebd 100644 GIT binary patch delta 921 zcmaDV@>E2OvEUS6ibBAPzd~t1l7DKW!+ahVu8rb%*y@dY!zRC59GlD{v18p2#Wmdu zz7030U6thDKVhoRzUZF+J9Zg4Wp3Ig@P3BV(bC_Y>*hIy*d4rP@Uv5Q`S(EMF2AR~ z>5GpF72MoqAz|8lFlLVQ6N!yi{xGlSnQ)^bt+#GMbFKQaK)p%RU%zd#bN9G$;H>6b z&u5oHPOO3GttUov@7pNn+dH-%j(0emxU1YDDB$p4jlZ6A1e|VeUbA&?v%yxSg_c=Y z&dyBjTwrzWFsDS0)|!vKyP4ihSZB<)P3R4e%}(|Tx756ZsFYv#Yo4O`xSm)qAJ*EL zc6a&rfbA>-TooTr{fe8al^l3AUsRs!W6Y$W8vBj+uIzYKyJ4F3gU2CeTjUw)X851{ z?92P&dgY1N)op8}?@hSzy6st8!nL?vYx|GMEO-CqY;bGVxB8sPF@nJhM5>P1iXMv% zcwSZAGri1mxr9jR^%-ru8(rh}wJ$f?z1-vFvz7Nc{u{`w__jIYVOFW|H(T=rJ>548 z^VARj+qzjnE5T;vvmJ{PD?;tAy^cAjcO$|1FI07Z<_aG1-R&)E`??I`Hs7D|b<)n|zns(-GdwrCaZUS) zV&8=xktWGh!(&{=>AH6Py`0-kEqo;ep7CkTf{t*z zGUYZ_>Qd-^jE2evEUTn?nei_IHmM=0;!hQUCi0xG7%fa?y%J#KVROR{o~PeH_HXui|0k0 zWDI3((o~GrTP}L;vzO%B=e7@fw`osaH+75pw)T+6JYN;JiOJs#&UUNKZ+m~`_=)_# zCv0R40|F1)CoeyE@PUh@L-d=^U!Syn*_<*fvW`PlzucZ}-<49`tBno$^NSAoY<|Dsi_Ok( zpB0~euKJefyWvRDJF8=&%^^igmRAI`vPPbL&Y~pay1nRkQ*phy&Whlo9TOF+Pli8t zy}IXU|G8~>d;TncVE(Ov`GMU=nfddTGFgJTPW;npHRmp}-BA4Ex~N77cX3+BlpLNf zDyB2c_e@#6wDA6k*T)yATOD6m^ZoP9sS|aSk}mqrxW<^B=E6U1VaIOMT?-BvU(*xU zTiY%-Uw@+df2jp07oFd9x37L}-`pJ=I(=A*kFhV~&wl7WcjsI2M-qGt?7Lg{^y*CE z`m^L}enr?~#s^uI{|enqcV993`&C5Yg!6hKAMUn}~k9awn`pRdaW;N!(f@@uRlZjDX-oHA3tsced2!g@JJ+o z#qXZkCtRm&C~OVwJ~Mlo>E7OzJJ0m8sC|fSYgH^%-n!Xj)=s9yp=Y0*^4_-R(!Lah z+C^VNF3vh8yL}Cir&|5t3XpeLX3HEPuR^u*;}IbD8rL(BQp1W*Af?who`aMc>U7VY zvzB|U2V?Dn10As^*`7(R`V@F|uHNLu$-e6*#m&0TTJ%9AWI|~w#~+4M5&v_Jt>|3A tBBPjp;?5qH#+OeI3jJwaTC~O1IRECvyi29pby|^6ZtvEA5~6j#1OOu!*p~nR diff --git a/bsp2/Designflow/sim/beh/work/vga/behav.dat b/bsp2/Designflow/sim/beh/work/vga/behav.dat index 33f2049d745a60014ff5ee879f3ab8541922c271..ac0f0a6bb41fe989a94239090e5929ed5bccfadb 100644 GIT binary patch literal 3258 zcmXApcRUpSAIGiib7vjSb|SX0X1QHz#+IFXTY9xB;Tp%I!w&~v6wPh29UPK+C= za{b!%X?3&SOnBY%Dz`i^U$4e?{h@ofDb{_#6HTKmsj<&Q*Fpuk4>ohAH*(^&Vim{?W zjO>zw2k1%+Z?JwFE3rlQ16=X+7>65dxQTLKRy{z*BYH6IJy3r_)8Ek^M91*mfj#sZ zYL}XdiYoFZT>9@u6;h*i(av^}mxCwa?eBJVknyHYAE&tA2Qx~D%raX^3C(Q;d_FmB zHRmEo@4c070UXux$-kQ6PV29EX4wW%*iAQj$OUfDX>eoD0o>TevTVEZ+=@?I;SK{Z z4?}?}Fy84MUzqZ5J~l;1n6?arqyG5j1d3m-)qaSUMZl|Ckqe^>n)9`=6ND^cv?$;L zWnDcASSNMaauxTuOUUoa^VC{f(0>D2b;1O_$)}W1+xsITB~%t~uqyJ5*;{1ySGpj8 z40t*s&ZAo?DzZm1g);%bghe^Dl9;D5)vW++08A&)Gm;tVR&paHUjy)v#kHOWLv_c! z^C7~^!#$wp+lh(xAMuMnII&EN2xC`u)~OYlaL;W(0)tC$q(pS-Ik^m6&RuHk)b0rz|3TxTt~n{2NTO_Bg5+(H&>&oAzN&N$m9gLG#{ z4H$Pk5G~`zrS^_3pj7ku^;Kyq{G|D@ z6o5#mo=;~wOe@PPR7uSo&7+YT6Mz@AXvxe;wB_~*krznYY+zPWSNb&o^sptanf~&h zdx&zu2~f^`EHlktP)A)NR@UfHHHJbzbcm6q&~=HcX>=aM&+im=n7$`8?sdL=7zG`5 zmXw1Be8GYK6UZX5ztThfyQ;^vuz#=ZW37R@$hvuW*fuPI{%$bHtw`R9)6m>Nk}~&& zV~P!BZdkD?KF%8N#Ck_QWn_v4FxYyntNc&QmV)Hbd<4K8Sa2-tQt7MMYYKhO92pxo2&`6g=(r7zJAODWXWPi@gAO2__D6y zb5RdZc&Zw^c^~wyR5V^)8pHpplvQCIRq^1Px*6EW|5}^lw1N6@nI2hVg~VVP`W~4# zksqw$`j+~g${lhCjP3rW2t>VV1AN`-&qBkb)sUtnsZ3)^Sm`G+U?Cf>RiS4Y<^SaP zzDh|Fk|nK*N-%6#dw^cb3$pn{U||3mS_xme;&&4UJB6vd zK7VCrP0{8=Nt6+nM$*T3eg7Kid1j+W*ufRtNgLdk4v3#{rWh+T*)m>aPw>a z^>3yva1_T* z7K{jIGwm3fp4B?s@FJrJ$Jbp^O9_n|X#K&ZGCNMyN6LiT=zu3DPZG6w5D!~bqx*(k zCvr$X(X<f@n5tkoN? zgX*1)oj#X-v2AcP%c*XzDdtMf0y!42qHM zCdBd$zY}EFw1C>EPvDI&mw^Ctt|lA%6(Qdw6pzrckFn?$Q6wqb`G?4*bX=$~zi4)K z2A}BAzn)^0o?`#+38nOeuCB3`84Ok|;r0q;4dkbz@)7J;GP0k+4afAzamrCM#4bp| zk};vs$nSPXs(7&3&*|P~@Q0zTucEBeg41O6#hJ?Xg9$dl@Uma3(fkn&)b+q{(+CDR z&7l2E2Okbo34bRT)N6dneU{+(|5B-a$+0|P&ERSQ~ z<~T`aW3pcu=Vq)&)h1uUpjPV@JF(q6*MCW&xVq6w7u0HIB@xnGcNIn9cR6y!<222p z#bd`FnOqYL{i65+!4+Z(tM=hgd??}WXEtUz>#5i_6W0AD(;QRIIi`d&P35`?*Y8YL z3RDC1+-f$JU{O-9byjuYx4<^|Il4%k67Wb$!wMYuk zMEo{EyG$RE_40PZ<0g#Z6J(T}gw{7%AzJGgg=1dWd3^AE;k1or#yT^rrl&jMC`b+d3FrzL4Qau}zi^B5u$ zDl^Gkv!o%#kTI#nR3lTolK!uufombdu!j1-3Zb`iMp3ejg?|;)E|>gQbziQdB%!vi zDap}WiDsKcRK&Lvv@5b=*25q351ZVEx9uw0Vwh)#*d^ly;uh-~3jit?-1hfvwFXN4 zAK+(NOEV=R6}rTyAd?4xV|y#jG;TpMBQXdUP9YIjcM-?y2BF1x)HOrKAq$lD92Jx4ZY0D144{W(+uWSI~&3Oz{v z@BPon2Ls?-#cpP^>-;N#TE+`4H799DkNkS>7CUNZ{YqFLRpp?~yFWT);40k^;yA4srpve? zn}D%!=Id@mg%29N?okoQJm&#c2*(pvH$kXdbTz^AR&+?_1Z6kpoGSVKj|IvR(7=^8 zrDE?)7tQm=r)TLR9u2j^Z7*zh=Hv=;#Fkug8u9e7JcIFCkhbU`d3pZl-w!(Szs}%g zdG~<;E0tB<1&DY_`iHUB1bVg1qqV^}^K&J-YTuWn$#MC$f#Fe;&p`pc(O7nCo9{s# z4+EQkqQk0J`zm7Tl^JnCOGybIHBb9$X-6;)t^>QOZ)}ih4y(-v_w8b}a1q?jOsluo zvdm5zD`s07R9eP5Bu{R~6d5J55_I3l`TIb6B=TCGA!0)8QER!_J_dHNQscH9&#e$_ z@zEsup3zjpYe`<^B%MgnE7*ZgGSJyrQ)GMs^kzjp?bsE|6Dt8~si4uR9(EmChFCZ2 z7aryG0OPiDB;5lot2X)b_`v5}h-*^=8n+}cZs-u_`kaqa)7IxrlLtmo-tT{`m}}(j`YXoIXVf?JwIBZwhx(E zC4D}?d6sPMrn|Vi00~`8hCTM!h@SLF6SpLi(cWB{xJn)0w>gE4n)eg0?YINJYwj!3 cm<#8bFXscVH+(|>500GK8UO$Q literal 3258 zcmXAjcRbbq`-hK_thaNFV{fv@G0))~;uy)^2iXo9#>d`d6P+j-AuF=7QpreWMwvMd z$vMiN2@%P+^ZWhtdOhyP^SbZrN%7wZ6J{)n8EfSW>V1>ATvatn~ zzz;!J&~vgqkoR;T<6`;`6dM61d=vdC=&LL8wxCvzObN=A^tQqWb>VL&+GndlikaUh zsDi+2hXKxc+fNyOMoMT|T&8vkX1Bd0|Na4?(69xu-+Y7v)2|u7<_-Bd#vWhuM;rf0{8E{@mI~ z%qV_6*UH#yTs%g(1%x$UaGqK+9mwH!5c9xXIhSz$AMnJ`^n+`^A+X2gTFf2{y{{-h zAP~24)%3p)WG7AcMj-A?hGln5aoh3(#5Xw5hSk%y%##d>8eVH6Lncsb+wt4y0c`jA z88=@(1MV2A*HoKAXSZH-H~s~xw=TVMy3d~Q`Ph)D4rpy2WOL8v2;(RZv33Ta%k!pX zFiryo%xelaE;HsRp03;68MQZS56gMD<%D*5ukz;osACv?2l=z(_L1+#2mMg8*eQ4s zLl%Gb85mzU=p*sp20}hd=|Gm0-pLA7%@@>=q0)3v8W~c_CNNX6Xr2qcno5&AECN`^ zUhiU6h1k3w2T5xHd($xrlOw{W^~!^)-T*8xUWOYga%S!ac ze?5Go_}YDLjEF8&-_t7OgU&kEGv@az8|5?u?3`=3KqaP7)z6;UE`Zrdc*fn^V7nUi zynq0RJ-c!!Go|~y2)WZk*(;;uPJR`x%IVji=&}5=!{6^BLJCiL+F1`u-!qaS)WYy2 z87c?L3028=QrWh{!lNw)0A1^kMm=s&ZN5_c*PFn-OsNlbjaRnL+&XXdLT1GG{lv_7 z_gBdWgyPspl=oj87;iAD`llabs!l+Odja+!#r<6R;cxB6CEI-7U*~AVxP@;VZgBj*yX0R7A4sGAWFOtkP8- z_X_c#IMu5}(A%h^Axpw7vgDk7)T@G=JBSO0rN%fwlQ3#L5z>i}3neEMIbWVJG$!YT zGgJ3|?x^sYIJ;RULD>C;x#XhZGVKMv5xZ z5wX2Tc(aY4E#LVh2}#uYG-z=)gWuYVk5ycZRv}?^naPClx*X zswGG8zVE=(U3(Ua!29o=!=Dq+j}9JsYE%zU+xQgk_d^_TwZoSuzwIgLl?o6}9_Rmg z0>?Gjt_D=!Efft&F^9wG4&v3Q1oYRv?2(sqFI;shn7UWyb6W%Te<4BGE^{ibtVEsb z2#%Cfzf9qL^_X_2>SF`#Vve4DZW#ofDT}Iph->^MCKKa6bf$`w5pwG#HSESG+2JFX z!gKT*A+JcsayTLzImn(Et3$hfn*ZDq#VG|U)3IhA=bm(fAp{q2gbh z<0o2V++}az4Sr&)P3R`I`+XFjq+dVHM-($`E&dVNl*ZQ&^jR-4ia?DlHGf@6w15vV zL9Ij-P;soxYOKa!s~#<=+;y7>hbNINJvG=OdGH7B*m@LO&B#tIthiD0c(VaIvioIQ z;ppR=p725TUGL&pmE86CR7fRF?jT+J^3+*ahvhD||SZArCYbJ{^NDnY1LWKLaZ>i>EQlY0vP ze@_u|PmxGgd6iiEs*7s6WhO)U-I#BxX=3!=)h~>e=8GCs3fEx?Q+9ephIZq%vTux{ zrhSdS=Wu%$)<3Qj7=I`2nrT*EG#xVKG9|fc(xNI8T1B;T!LHZ{eK~>`)VL|N7-hS69Oqlg186D^Mif^}d$DbgAR8 z$#6>Iy=bJd;?m#`SL!KAQ9%t#r6OTLaq31X!5=}C$}wX0o@~xNhSHC&g`4pv8E7jK zpbTc__#az<;x^G>+hVD|#8k0#Jt^5R*>cnxW~^k>`x$N}9fO=wS`scnSr-hm6ez`I zMbJKRuoq9W~)IwS+T2vXx*PeC^H#D16-%ST_HPmu)Ghfp^;w#eNl{@vGC3m^%sRX| zF*c*5-RJt#sX=0C;ppuwu6?_3WPa}Dk!P)i)AtdpRmqSUzcdzpuhhr@u-nu8HAA8E znRrmn2r+%5O_w`6`_AtYnWP%N?e6KA#us2g*#zt(8Oz#_yO7IzLX)No2K(kTZzq=f zLut%^Uo+3zd8-=&jhL(b0CPe07IC0GgCak?PMc5(<3Bw)X{C}Ak-ZpRaYa+!I*cbT8rPUZ!<%(6mU*I;;q5uh!7h_;GL={RD58~Nw(QF6 zf$r`0L5f~B8l$uLTcz5{bO)!)xtYHr(^e9MI^vqX!kKMb&q7`J@_x1;Fcyc5_g6{!z2ciK(~R33z`jGJn=nN0|E;Y4 zOoPqwI*@7gWK6S&w$O6W6N1D3v$IKm=@m+$A;gd81ros#>wQ?+9C82jewgLLYqn%J zZ^0u0MIIxfP$Xhj9ui>aR7*r``VrX$FKX8$Ng;B*D}J0&KiN8XD~zyijE_zZ#$ zqkPjL*9^6gSF78NFX7!_$@wVP9x1b?vv0mBmuXu4NVh$TN9P;ve@#@Qb)qC#~PE1G#=6Gsi_2=r{VoHPNViohz2kfHVWlot5af4eP zhXI@KCwz68^_pV~Lq4rD%Dn%y_9#ZK?bAKNa$KZ7HrM%;HLJaz02YJRMY!Zduo6#W z=LRezOY)folRF0zDYO{2E0*bz<$N8zq>{nayO)w8#&#aOk2rG0QTgYyGN?tZND?)0 zOy_3-f?ku;?qmC!LUNg4KPH^q^v62C#G28yJU$+2bN7`yP9=1`{^i;t{coMt()NV4 zp0-U->)U`++e~>rI`;G{XltZlxqdlwJXb@Xn2lmIIlmmy{!nz4EqI1Z2=Le7@@dW> zdD&g7;=D*P;re)P%a^Mn-#x=&G>M2-b8ccA?G*?v;>0c76-PdF{9CXh?2?U`Ze|s^ zzN~SuktnHyM)!v`rs}eo_ilItCJWR?8OU8w2$sJD88md^J3(y6ST$tt8?Qo;dkITl W<(?=Cr9@RYmBogMa#d9C2>%bt&CYTF diff --git a/bsp2/Designflow/sim/beh/work/vga/behav.dbs b/bsp2/Designflow/sim/beh/work/vga/behav.dbs index eb9dbb3261c179b5fb6dfb82ec42a3da17f12e5e..06857701f20b454830d0d961f04dadbd64692e83 100644 GIT binary patch delta 1724 zcma)&c{tMz0LK%fc$4F$k|bBe_8`htk!zb)XwJ-1GfIvuH93AFGqUMm6H!L3DRbmn zhpQ3EX3jE3vmB8|k{o$G&-?zpXd9>_xpW5->(i|9aJPD-pZ#Cy244x>!KsA z2LIaWx>T<6b|+v@I{Bs$$WfNsH9e{`Hh4pKM+eC5;SSlUA=nMhsnjRmvENP4)d*4h z(WcE2rR+!_Q>1m){rM;eidLv@pXO4&;RU8jDT?$%H0+qf-o$&O9bC?Pd>q$4@;=VA zf#&rsPHRqm&SQLPq7Rpw@wlNi?m^Zb8nR>Y-z@Ma;ngqbZ4^x8GqfYs2wP_C?m;b^ zx3avaqM8{V()@~mS^R~Csr9sW=z9dg`&v*QWnTtoiC<3`dkOZ-3DL&w$5jcH<@TH*A&0shX30I{`c$L>BB$4 z>tEYkELz^cJuA32DR(yo%o-W)Adedr^dVD^Lg6<0qRL^O#6=+aPHd@ZH33BbcmTiD zh)H(d4nJfesvNi`b9rlW(z!L$@&(YNd2IYL50j-XUs>b|Xm*UceUK`>`76Gaf~cMC zCfcHvEUPlF>^7}v0ntAbV%KZP5L}NYw~15i<^(2=vp}r1O)9_|5|R&j4;ZEI)}{v@-wu{e41lO#Zqit3XXaU0o?lGN! z9(>gAFQ3w=%44$mGFD!ic8F)kt$7B@om;k7XS_6V*nrM$DP)wmH|gFq`C$>^so$?& zXSsmB3a2gB(=RE@zN>j*Nb;ga&T3tKbhuwW!P*Kc$iT#(;WD#Z;maPdvWA0-MZ~^j zTLaHi{V#Ou@A}ZGM`Xa68USe$lSipoGmF)csxh3ydHS3R&q+gdnuGt|UJKIGl#L}M zq!wVEz$MpnL+7`JbSw#dSGLj7PnNN9a4>U->a|~5LJ*CgQOM4JfZ1D4GQqVh)wWS2 zbeRW{f-Q9>T7hv?c&i;Dja}K}YWcZ2*C6h>|0UEXd*6?UomE2M*KlP3s;o5tA%Yl! zt=ht_)|_+=qj8213v$(%=dvddiChor6G6!Hgcj}YnA#0 zx7nb01XBE_4k)?@F6%{3MXBM>IBAP!2bZ8+$&eLxVxzCoN(rUjA+@kir`j0CxmTbk zsKLuB)_DjvnD5Kqf)Q5!n*is{7!2dwb1c|aPad( z#BTnO6B_Rupm+Bt>{r}%r6v!XWsCC<1l^&XZc*2~CNo-O&G7P)#shZ(PX?(go@Asr zS^)MBtEGsw`0iqXo)R+H?- zP5hR5CG?Gs`NS(Q#dAaHG?1KS9*5lSM4{{7$}~Hi(`zs>7qd}zQ9|RJ?Uk+XeaJuI zz2P*EoS;NB_JV{mNbu6IIlxI#uxIo{@Ghbo8id!#WHd>dVDN+G-Qu4!7nQ1DFiM?tamiXp9k=4R{LPA#DLU+swl)cq z%aprh5{Ava=F-Gbo4FlMGmFjfJm-Ar)9K6mJnx71eV^aE;IQBT-TZ6k_A{IZs!84( z^1&In$u2w&PF}^sPFNcE^Ku>aBFQ0mW_4ct+yBuKOh%qY!)BWNdD} zB8>KlzokCu8)D#lkzunuO??Al+V>+b?HX&H`c29TJ6E55DajY$elz^vF7Ur!gO|z6 zLmOX%B=JX8EcqnJ-zC{V@n2zYL-DX8fs?zTTLmYoPNv>#cpEWKF^f1;y{-WR&2!dX zU=s9CeB?wH%hZQr2sUJj?qyK*3J#{QO8#1jO0ZB{K0L<+tU_mtFSsG^UJN_DL*8&E zBBgfpTv54sG{1WF3=H%sVP&J{;J$m9po`pV1;s6ano>=$ZLIArtnayZKjNIRX#_r% zH>MAzB|OiOc-6bKr^@?7h3seb%?QPtCQmpK9~$f!h-4G`$n_9>7Q?mQ%d=U1pvnr{ zZ9<(nKm!a(+Ql+>^qwGDPo29gjI^srs<7ci6h9%`;7e$~6{q=$Tq?;s0Z!~LcGaDX}bId6ryzLCh2RYZE(C~cxmFD zI1|-&it07A$qgSPIE}Kim-6vls{tzx;Y_cQRnZpTJEuMBR)afgw=#B?^0Q5W{rbCw zo#Td;IOt=0mEX`E_A1)Bzi{8m>zsPT>m%jl$?Z&Zs8Y^w{F!ymbm|TFHiZ=tHi5pw zowE8B=Q!f%6SoY%_F}8K*-|}8{Kws>keNm@cUeBX#48~&@2l~}mx%UCK#NQFLrm{w zI#E6vl#P>(Y+%_`U0#_s@zG~M@rft}{t8rKQME@y4=jdt*!EDdfiYRS z-cVEGx}V1RqKWYU)|wSOnyR^+Ur2e#Ou0VJBlAjG7}#)m4tFG&mbXKTxNK5blb2NB zA1a)#8!O$un11Or{qUqiwY0l8t^ih$Y0$^q>ykRC%XbfK4k~iTm49kq@;n0W>g|WB zL0r>nD^UBq?8SgZGV!%(FKucQH?*m+)UVCQ$udPJl&8a?6;&NegSm$7r&K+Ea2&o% zP_uGv!H7UZ-htS}gu!&S`BZN)bZ)%RP4zwWey)o%r^rZ-WoOPMA3h=ysqR`I7MUjPx&+>RoM^T0Sz%Fo~IZd)&3looW-Fb#eNy_ z#HbJzOz38nQGOOMez$4hD<@~0Ld@7zDVksrC|mP0#DJbvnB;Hdd(x%zk=f*QnQ9cV z>g~2%m-f$?MBafK!r9{r5;lP=GTYLqd!#iY;K0x^h?{dFGCh7;J>N!0!=xp?aZiaU zXHW4>s?>@kw@C1t zIeD^LQ5HpEr}zd{OX?%4A7?sG`&fn?JKki53OKH5o@%03nt24DcI= HZ2HVU$e4Xj diff --git a/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat index e54088ad9652ae8e32154500fa83831f5f883763..1343e840f52bbe7d5e36224e748d4eaaf9365f65 100644 GIT binary patch literal 171 zcmV;c095}0aLNu7>Yc~{000r{oydDay%Rp{3H4%6sCNJ|n?Rjh8>v!|0C5G&Q~~Ww z`Ovw-D=7lfp9C=T0t0U@W%LAXMlW-+Fa>4`thEG*TRf`h7~i|xLvN7153-qJCV$f97jQZ%#*(4J13A> Z-;abc0NKm^sPr=whEvQTObNNp0swfJOMn0X literal 171 zcmV;c095}0aLNt?ct|+IRsR8aNH}Ex4+&J10X(4$;330tE1|r2EtNJ?068;HFafRv z#Z-EM9Tft$TucUcW&3d2= zx?7#8KM+#Hp15Iye@?rm1N+Il&ZU+-cNfh+U@EA*IBxB~nw@2wag3IR3g-NA6Nl19AViJD@aMT#o-XY+8LP<|FOO@=dMV@_y9OhfyR1@kyyz%Sq4Wo~NxruINrIzQ1cfK6a=1&XW!^ptEz_J%ea04+IfJ7J&A|NgsSd0zGGVV4$ zGTriTGE?pQ>q(7kdR?V{I-6aU&wBBa|INPGmn#k`OmfP<{xGxD!s)QUnhz#+PC?Pr zRxGm%nQ`mD7HgYV#y#4bO#U(-6%p+_yl97M>k{s#jV=<~H?FQST{GdHtl^g@2F=w@ zA2qfZO@G^Q)&1^^8^>1EO}XrLDQN9);`R2vwij8XBzibfb&7<-b%zksDJ`2~J!h4Q zF3{xJy8BuBnH`LlS(jFvlM!aHy09!R@mtrL?=Rj|ml>=x&3>3&w#H_ElD_@_a|tnw zYnMH9CCksdHb|!3KD0oS1V=x!=yO!F+Sb4SgR#AaBB1ks@%5`*KOXoRz&weZE0QQrwECRpFBe*bg8^miv2;(=f zaSFx>`C%p+{ngI z6E+Rh(Ul(v3HTe2yDSv?%0;{t62-|v7Qijj-bv{Q^O+k{KQnYVd3u{*(}IP|M_@0uCv!j-{NPJE^hOTEp<0Rsq?kgH)T_COnha;_h?bm60T( zYEey?))~u6(p8jmPPt=ya|&7Zxa?e(J_1iwNuh literal 596 zcmV-a0;~N2aLNuVUI>zEyVxmS2$FEc3qfJP6)y;`cp+-j3H9wXk-roA0A!%X2{TdP z763wT=;r~=e+>W6;B*5Y`XQtMRzs*J0>^8ozkTXhsNP65hyWIXqA~+)D;2d-L75(o z3=3MyV08%u9F_@k1>PY&9?u1{d~ z0E-D(u^|`6a0oOFz}yEB3Mvs55QGB@4mnSQ47xR4SOEY40N|AhOaK4?0GrV_8}hs& z5)E-9$Tj|03$Bh#`3{Jccv}Gg006tEy=edd007~Nm#p!|5V8+cAd?44eF(?Z`BRqS z5IQIrfm{;{fi%2Z5rewSs{sH20Pw5_-2eap07JpJ76D`%of6>Cv<#og2&I_Tg=T;g zF$b)15f}(WfXfT9VH8>#LberZWlcpEja36$L!<~(NgP>6lkh(gxSK2?c4lA(GzZ9V zv{8NI0Z?tq);i8o>i3mEB#EuBwy56`3A6V}_p-6}1NgMJ7O{II9f0hh#M9lhf)L<9 z@lUzMEs0=qSG8bz`$^kzD@wxp#{85Y5p0p+Zk!0~a|Ej7yqe}TF4Vsa1#TXI)jKQT zT16?MbTZ(_jial&acRh_=aXVX2A(liwS)ST-l%shj=iY6_Cjvoj@tP7QtlT7(`oQG zu-&bv58H7e>^2}ZqKN*LBO7BWg7h<7Ed2#6^J7jbW6h@ets0{Nkwv!zdiE8O*nf_5 iI8_k*Kh3)QZ-SU|jHu1Tx>r8o1sC~P>taO3&ox(m+RD!ZP0{J>9ghltUk zVw+M;$$f8E+fH5DB(Zm;G3$-=N!oq2+Yde0(DeDY>(cWryN`V@Y0JCzTy@Gf`SMt> z&+AF`IMOG^Oy&Ccq3XkTcA#3_rhC(b|ExEv7oRF)oVTb{>yJ%`qgMQ+ zznj-Dkz>(re6PZ<{B)AY`n`I)UR>3?^;K7k4)-zdE=@l9(|7Zl z3E`6hwO(}`lHI6XXR5KycD>Pqzl-*-+3flL(nGbm8t1OAmiYO^_G`0(&}X;U{(h4l z>oXyaxA(qF*Oz>;`^7K$^i3C&HmU7;HqFb}k6m-uqOedlc?-|1J25Au7nw^w2LQ?$ BAg2HT delta 577 zcmdnPwTDZbvEUS+b{xkfA+tD5ASJT4Lb2c|x8p_$PUiYMWzXgaWgYJMzhZlk;eO|W z2~ITv99EC^Z^((c6u7|VgG=$6wUd~3zENXaYj^ZZX*$E&^?m1b{>hkWY}wwG+t2^} zsPdjycMAW#+PKbE>*axKZXerjRTKs%T&nu+mGb$2+N65r6PbnE`EuH(uUz|_r$QjM z_fX$8bL(0)!G;Rk_4O5tiPxMY_R2@SP+Moohck1FU#?&I;0)u#`Z4!4Sa^{7nDPU^wYteAE7 zQ;#dev5PyO^yyB1w(eTz)m6VX;70b>pC8oeCh;g;|V(s73@49u*6xP@fqLyO>&-4f%u&T@IAd z!xm_v(i0DHJdM>3hgtiV90}bU-?1zne9J?(9AI^bS*TUUNy(2G)cq9BA2H!P5*U;s zqdOoZyQ{Il0tE3JB@opD3k@t)&sQiNABB8T43QR?W{$KTibyYr4XcAOH8Gw-@!bbl za;)h6nB1C7rZ{HTOvwEq)!l^ORqgP`B1dkQ_aiiTr;sE(3nZW=4FfVG4{tI;C^$(Q zkDWXk%OwA>6CEZ-(MS~~&{gYCCW-#y@C(CJBatQ|a%qv5Cuez9mm&yt22dyx01F+l z4I_EmEw?G8a%3wbBet|+DhMiQqxmk8G7EeuM3)aAb$MQtIt(VM>5?4CFA4LU?G2{c z){2#`4+7|>k4rbGYlvqH=6LOn9EIioY<8ZkS9K-6pqw@B8 zeOtsaN<41V{7MiD$^L53&lAvA@>BtcDj>PX29Q{V#ZaEKumXT-^K!}Npymg#OJ&IGT5(pNPWF)~& z?Vut_7DX*JP>%uY4I9l6|Iiv75AVQ409DDziK0>H7!cu(rS27B>SDewI~0MkzBvJy zca#rDEa`C@95T)$J`-8uB{hgZEE^AjvynQVrqiS-QicB%H2B1W5C}aM!ysoc@(CqE z6Eh;cBM*%_UZ=;nD4url;TS$c+aa?Ex`0|8L=d8qE=(#;qz|=4(^NE&FC_!oG7$JI z1H}D7W)T(1A(IAAGj}&94Q)*!MwSyp@NYiT-I-%zVtMYgx!`(k+^^I9Mup>hwy0^- zv~+3vr!Bt8QHxz{il?u{#Lkx4Ung_KH_jozm-1Y0Cbnjm3Iv9^2Imrf0S?GVLP;Uq zk^RS94&Is2z*uRHz`-w{HOX;s&ePwq>*IZi`Jw7@SX*d7G^*%%!es{;&eJ@ZO!ZH{ z_eNK^lM3yP$V32>ZsPT6nBiZLh~5cd*5!CC;&b z=e<9-_eDH~l&3Y=v2XJ2KezWqF0R6C{kBMbC`rqrtg;?ui(@#u@FpsSCrJL4BN5oR zd1VqAXd!bJJ3i-RHwF_MW_NBqplmGoTfjQ-ekx{@N;5txqXf^XewRx25YIHW9YZ)! iP7p0jzZt_4S49o12~yhKOv9*?EUD%Il?w4Je1Vf0wb14O literal 1580 zcmV+{2GjWgaLNufkcY-NO*fE-#<~Xb&J=0Oin{9RuswY>mXm%V+kb zH^$}lhFb(AxsHJarx~c3f{LP}ERj{rHM0vc2H+sl4F`PPm8cAWq5fB<3J;M>0GA=q2s-sak0RR91AcX-y00000A`zhw zlLcgy7ef;*Fia2*lm*UKv!P}l6g=lyVG-06?p_k5@2mL%0001jiYK}N0000+v+Xuh zVH?<;gB6cBYMK$_r&j)2-dCPO8o>UpVNH>`pHIly|h7)e(8;4f> zXdIl5MCL=e9a)qXMI19wu?!y;9-Co18654BTOpFzY?^1a7&BLFU?02&?`jyXuL&`}MGb9cL@?whTgUqU$7Q9wc(eA`R0mywc+4czk!%_DD-Y zg*H)rpWf#W>l^ZLA%6}k<+4YJXd=pqM2{m5^$kNLk}<;yC3UU8#1Fhmc%_n>wY7Sd zVr3+9SHNW^d|cwjC4O%V}3bFL&9 zNe|JGoC4wG22pR#3!c_sX&hLW26}6K4B6HfZ5%4lEe+cb4cYw@-5hPuS_z6+_nL^j z3&)nddd97~3$m)Es~iElk-r=y45dNU8XN|!=+A;94Nf>@gd7n^n0}@tGFZ`&oC4?M zM_hH$+Z>QBiY~ZRE7?jwuO8t_0vPTk08JS|(Wn}lXFX-}p4a@?-WlEOGT$0t^5-QC zM#{Yq!Q8Ul&e)HbiHIzRiT|2%pfB1FmZIk=94DXm{azBUHdlEVK9sOfA9f2vwise% z%0wW#O+naXoI@KBTC^iY6l7D&IlVy-yBj;Z6YjEZA;jAeuI}<_A-LPPse_KWmw0bPVpC|Z~u;*YR?E1y;I{z1J z$& zzZNPl&cj==LO@TAjUxbHL3xSxTr=vd2ykOFOYNj~CVxH5>Xj!&6C8-Wwp|g-tL^UP z4DF-pT)nsOq=+Jy*4gm47tXgr7CImt5gA)v_7|s|1uVyhPDLQ*CNF)!Arr{zYV3x zg@RtNE8*~6rzV}K^Zci{v(_ThZmOWxPIOlZ#IjOaY^?@I?Zh((*9lvH47mYD0SpJ0 zD>$-3ZY~a~7e)~oSC%U{vQR~M#bJ5B1HyCiuB2ukBzU7)R75=hY9fG4<##rS>ol9?V*X6wrumULc~7SIp?w847A_(r!f1M eXT-(NIVT{d;jxAE}yJl+srw0cW3rLzkBEI z%{Ctw^f+yo+!lU}zu6yX} z5@;a6s>6(5A*+lbqwk;_l@UU~KKqf%g=)9gG!_G?iXz+71@DD@DiE*>to+xgGC|7( zdLWDKHclYOpvc%@fOeZH1V*7u095S2U>d`b4*VJVQdf2q2#i9R0I1ltAvA_luJTT> z$Jnb%ejs44*mb-QP4SEg@cJrsDPd$7s*)cF7>a!hsD8l-C=&o3jECW57^;#V2pEc; z52$|c2q+T(9YPP~@nlexC^Ec|oTx<_@`vFVECifMXX?U%@SFf=izu3*bbCJI@Jl_=uvx9R#vyA_fhD)X&gF>@8(t*K<5p@d<%Gtq@?lVNdev76g5YAW#Vk z1UMH(jWCIxaN1Qe2LY${HEQ`&?G^(BoZ1%3 zz(Kx%z(Kmx=s-h4G&Q?okb6-J@1NhE0s+U+^Yv<(KuMA@T8SaUP;+XDZo2-wEFoa1 z%JIbnKs`vV#FAmC1+@U>jUoiRYE&X+0-!kjl&J<#mFYuZG*Tu2icg^uX8={1J_JUg zOaN4TE8j4Hs!SgOqfjOQ>O*oR-T-bX4Q90+(Hsr&>$pm%Q~y$y{rT04ff?R8pWQ3&?QxRYHbAHV42rUX4Cetqm2RR3 z9|%*bLSU561kgh;CW{P1L#c(&c(`MFsS zbI&bFi*MX(o4p~Xsbut+54Ooc=a!xNw#;L^_r&+sIV`*9eLJ#tbzrOaQp@{Si#tAR z3&>p+u<~k)|9}Z!gqCJsj%d47?i1d6Xn)$TiXY71oWCixPwcGc!RvI{yP56tJS5*mbK4)D+8A`o zIir5)wX?epNM9aqm}LLxY3ckye~;>D3$*S`YP@hV&pb#6+JwIA=V8!`smvXE-+wM=e`jub)Y=^eTiJ!N-c$WQho9_7PIX9x_ z&d43q`H6{zY2R|&imh8R6Iv!G`K;{wb%xI?Rzq^rwolD1N;(}==b1e+Cwa!;T9N3|sIyzI+g^Dxea);h zk7uqbX#Qr3Q?!G{&=J1Jt_E)nD{G&2sKhe)_08286H=b+Ke0EUtU_v!X#3VP{k?Yv z+9%|uFCW>lzQwG=(m(sh(m(Icl)d(BdF!FLZ$#Baz3~?S3kKptM%c=pm?)|>8a&*aj`x*6$@NyFZABFUn#I{`_ZD} z-7SuPDDG3&S>RTE+Tr6*PhR=>(AbvSN2b-deYbPt8uN8##Z}XT7nv^^P#@Oc`=^}Q zdsnS>YFZXD)~~mm`TK+XrS#b*_D>vsN~?)3ypi{4>Hf== zGw-amEUu0(n3I3zhme`&E?+o)J!-LqG{$FTV5fVtX=;7k^`m!R{qW}b_q{fUUYinr z@>+xQgQ~w3c&(k5ht?UhGf}bQY$r%@Axz?oFeMm&7d|*;YO8apJLn)N;qOt3NFM4{ei|kpKVy literal 4987 zcmbW33shCd6^8G*_i(*ZC4d6*5Rr!u6c7;c0g6ZwRD{I$BPt*-D}t{?BECW!!3biE zNuV`Esz#*&452C{C2L94D$UZkD2Q<>+TaQ-grL|2uyp@(BOBy+n`YLUeP-tT^UdD- z%$#$ij$44GdM4YP_&2l`~y6Dv2zrYzsqGFHqCf zk*Y?8mJrxcg9RGuSqlMLLSWxV0I8D@pe2@ZrUr088UdN&5p zbs$xZ(2GFeJ{o!fZqB5t6)Rv|1A%^(L9LRx@v|X46hpsxHiQLLDhv&xtLz4Y5s@MV zG6YN>Og-71j2=S`$%M=}s%pUz?n1!Om#7y^RiAg^E(8oUh1>&%>I)r#fajP|Po6-= zpd%14RKXDl7-|l==l_C2U=Rv{9;%}>4}p9N89aSaq@i>fMO7ob7b$}P4_*|>f-cw; zQjb7_0J{zmTauNhkImw|Gkb=pp_Cs87>aWXsD8r%(o48_R@RDX5^lnH>21SW_KiaeVPZzMxh_J*YJa3EkO zU8y&@KY^Wm0fC*wv&Y-2R}}N8+v9`Un0k2r{Pbi9*oXd)SHlEKk{Yw+Fft6q?h(Uu z{drqLz)*$P7ZU(=Be^`E3_}M|4^TcRLcqIbFx|vF4n@NRK=JaEBgimRA$$l7dddVq z#kcb1K2U}5AutGK0-*R5%CGc+DufS#K`0Xd#ivkS)CZ~%J_H7#OaRmi(8YbA3gJUw z5XuBVy#Za)2dWT01O}l@0CXbB)yv4B$ZN>(Ia2uGK)~ln;U@qB{qkCs54Gi}o&jno z{RBY3Yg$pyg=(*(8I6flMNym51zWb)er5q8!s|(1ONQt4KLbKwFilJV)ECegG90`@ zc4GUi+TT+d0$$aedf3ywLSX_{#am8}BV#ZW2pDQcJ^m5Z;T{tJ6)(O78b^`Wli}A|0LcmakJTL*!K$5Fd$)IR;u-Idk zH9y5lN1?5G5C14R4XiEBj`JQKko?`$xV*Z--yidf zGdbv6?C;Q(Q83@~bV1g^({&DGEw9xNaZ8l$hTQR}^C~mFx#4t|S+IZ8cH`*dg{5!S zWH)c|Ix#vu!qa)er)L7UtO{# zg6k;ZkMAwGzUc7ZQ~xp}v8u6TZnIm_Tlt|2x5c`gm=HANRkMBbGa@r96CZ_M-W;Aa zYO(fMb?J1A%YVA_L1SckL0C~)M|6?fC%gd;g=w$G&y(DN6iD?OvO8UX{-0toK;OH*I$*j(_b*vb>|hw`p}wT$9TW8@(Dj zf__*Wzi@MDjs2+h@|w(mxBs)}_MCY~TM{f}cUM36^yEY5TjN74&TP69_v2yDnil)F za@6?)JGa>`z5nHh2_KJ`zEj#|JY%1AN8G)u*X#GJ34iaCc@9Z(&EVhr$Go5K8nGkh zh|av=vU8?!q4|RBD)Tar_eUQNitgO^<+7ueo|W6)8}oQ)E1l<75-7oySG+l zx=!gTJTTd`>7Tj2R+@#Gb63iC3{F-%(E-Wy6eeHN-&yK6XPm6t~9?oxb zIaF2DQP=L)oLlnv)i%4+Kb9R=-G11W@!>ZC)lsKRQ%;P3;QyVsrtQ(n+41u#8vm7| z%{kZg&dr9QZwB5U@Xq>)rs18tY=5%dYf`p4{i)dwyD=9^ql5kY$}d&qEIakO=L5HQ zXI8buMTa#^yYQ&J;8IKb?l(Fz(`wv~t7|5mSUq64W~te%->oc(-<38u^~C2*4i?^y z&E6@)>yra23p%|loP57PFJ@i)xUEOVwnVRW8g|pnYEA5%6+s8`XQY-oh2(!<5axbt z(=F326Q||*hK+0~ks9hx{q0O%cVpeR!wEkKE`%f)`EasdDU0DUyyRR9100LM)A&e-6C z&<$t_Rg^113-S|=EDoZ{*_i$=LS3*@ck%@`2h_woS%002opp5_1m007l^-H%xEi1-=X ziD92=@(bW6rfnJ`+tRTC0000H4HUxw0001WAlU^QQo8&b{>nM6;MWLKf4BO4b{vpq z-fNn<2>X~lfY9C@R_w6HeSZjn_LXN^q#pW2c1;u02wgn=309rw-k>wXr=-;YI{ImaICgapXi6^2{w*w#^Z>7uPRX-)39iNq;L#MD}!lSoWaDINkX4%Gkx zcS2Er%s}jy8asiNwF=Q)6$GL)6p5lvTYsz}3A_oUAi;t53Z;-_HU=?5}1z`&sIn;D0)1kzkT8JhN%HB&zlf+&?Xqj2E{_f3&m} z8t`%&>Va2F!vI<3k=KJs3CQg9iAmsnuE(_k60V=Vzky~Ok;5vri@;>2T?QMBkanQM z&XI*)A}OJga>(~We6^DA0DVic^%KjREVq+pPmJ?zq=WZ|2Ku9*8Hw~gw)Fygi8?Y8 BnuGuV literal 875 zcmV-x1C;y$aLNt>#3r6v?<4@kCZ17%*u>mM0b)1`5Sv3ua`Na zoB(pm;-mpb5j7Y4$1268Cvy~lZI2g`;FZe`*K3MV6f zFfR#H@`op`dI-L&WVA$^3L3R@Q%*ArrZvS$3?7{x(g6Sf0MIc84*&oF08!ze7kGAt zx(za^_>uA(3uM0J^$r4G-17kd008(cjm-c6008aDU7T6Uns^WJh|rCB*$B&)Gh;sP z5U}FVDRY?!VwR<8R7Vj4bi-2;YCU|^vO@@YIvA`*Owy@~SQF`qN}S)o6sZgo#XpP{ zp~A^1>4+A@kH_-&q>q+&Ex;WV15=+Ls zufdj&^^h^~RJ0rT*ASSt;Mq|sR41Tj{(_Y#k_=DzE z5AjInqHk~Q=O2c+Rde$*EGQmPfxo50{=cDl~CpDse zuC^CKYnMF#g=GO`hrBlrY>;i@;{B!=_C}*=rs(?SK9>!z*QRnbovYB`I9{5X`@-g? zmJpLQmT$z$^WL2HI?&2)78d{XkV{I9M+4OZ7Y*eG5XF+;hwR7{U+k>Bpq{op>}V`j Bn56&! diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs index ad749ca3189c063309b7834fd7acffe720f4740d..ca854a2ff13e83b7f0a87c5acb6a98f996433d8e 100644 GIT binary patch delta 750 zcmZ3-w~kMovEUS6^Sp$wa>|K~K^5(iTtB(NsB-;fmG}O8 z9i#rTMwsd6t$6F%6r20@#nH4s3*#>@%ry>M_*vx5m!g1$N%7ZKeN=nj;Q#SbJ+sR1 zBgaE8?9u;Zusc^xMeyA5C)ciRUEuxjxYqCXt*`5f4;8V_x&$KR}}H;D6P&0m;raOi%2TE6wp10hom{(4Sssy>{C)7!2HUU}*Xa)LR+I>MTu5y9Qn>U` zSJfhB*;f|Hx;F6zNwNWtEdtey%`6wYDA*U@Xxo3NP(0edhn8>#SZ?Rk#JbTYUO)DPz{` z*M~oUKKWphYD3kZ_e)m&-6Xmy-caefjEG*v6|tTz#pN+=G3(E%ulVz+W~1-*oe5>X z->zM=&EKeE+hf0F=4>+i?+6*$^6b06Oipd_5tC~fUh5CexIX)!)~~BGyn?-zO{Plb doshaO%H|q%rDi&>__j%LrIDPyCmyCQ2LPlqikAQY delta 750 zcmZ3-w~kMovEURR(-F0K#(|$1fK(Kp!0f<=8%H*Z`?J*lP2TOVray7*tFI|(C2x~b z&rb9RjgtQUC^4C|*r3+%`FPD72&{Tfn?e;#KK6|Ysp>s>u zFH={wZ(nHjMAK)*_D@H=>kocYx)9)I@n*l8tB{9(YJGm`?!9t%t$&5p0Eq)}f zwWu`fVDGyupHpQK^>>ak2fzNGWOvz(=hL!|d)+#pRtM+JIKxyv=W4+L<46rhFINX$ zx$AQ3PxsFi)Q($xTz5hkpC*s~l+M+Dy*!IH=gb#1Dfnk`e9@QQr~4K@v;KMN{^^(R zwCkBd52>$RRG=dG>;L?-YExQ@t{R?Mv^mkOZ|3UX(~Wu7KK`#_?yj@h_gCVZy?%Sw zH|8DwdG^`EF@8b#->FI$wFoMMmZjQ$_%g%Wh%- diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dat b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dat index 1c676ad9f480a39ee948cb76b767eabfefd4dec6..3220ade9911ac34a6c462e4bcb7c4ad7bed4c4c8 100644 GIT binary patch literal 5559 zcmW;LWmFRm7Y1-r1qMisk^v3|NRDR2#uy`n0h2C8QYk4xq*X$?5fB7KNona+Lb|&~ zcPU-(fA6Q~%kSLt+_?J)JmlG4mx#>m2Ip-egoSQ z*tqS;#63BeBUtE{y&=3_q7qmg93#9l*g#6~!8oO!sJ$jKdUiuGNNIB|mH@*31xJi# zt*lnHCus(@nanW1QBEKMDT9%u{$MU~O{}@F_jtf1CwZ9qteWtX}D!pFmG9;IMC99#fj0~3P6oEasy6_n_%!VtPw&}+Ye^Tf?E%)5!CjugWNqKo1KJ z3ZQ^1dpcM{RKbR=EVdXi%W2;3uk!Hw>r-oixOg70z1O3JhyRqi#0j}TZ4>Q6hFmr8 z*!kGAVlqkd62`Q+Nw0c`*%Ji&hfzF^xaQmfbI8rMOEJ63_^tg9*G6HUz>AM{fX#gQ zNXmrapO5g}jOtUr&dxy@-e?AasxO*-AE~Y^kG(b6!V0LACK6wY-rCQkFK3`R{q$%PE+hvZsjLu5Jdu> zKYS-4;B91-fY(`iG^%(l7-K@9Kwjy@z9(3;Xvzc#ea~qkpjT1!|HEB+S2yC3Qg_+6 zbgQ)YTN*+q0~fpO$Mf?m+`=b*4ZlBOgj+X)GT2=b_=g0KzM9=mIc7d8IrVdqy=~}h%!t~9Rcsc90Z!9~ie&YAb35?*rFY){ zKcLd2zt$l>?Q*$6&URpR1wf-!xg>k{(YqWxy36YmhaY(%$?Hfq_lK>0N}l{Pw2fWk zp^9+qGTo+y?}z>YqGdW=7X=VKOsN8r;sx&sHP1xk{c|u93`uT%eK%_duuj}82e0qO z)hJp=d9K5GUMIXl@{#R+JhwELN7Ro#F;XH*)K;Z1S5-S=s-Pk0DT{ z)?R3=uD*%?a*HbK?_BmTg3~rvq1S>T?dZpbztd4Pf?)c@ClxTgX6|E@))N=W7&}2! zhnXe~2*}^`jGbAfJ@z39N2Z|Dku8K@-WKr;d6)F;X|5$=hm{xTAIJE^OOBb;5S=mU>~aBT4Z%a- zLS3@P1`XklBi1Gqnf$UA;Yt+4-;iiWw%!{6xDVktBE_YIQi2Oyf?#v|?mKzESIr;o zp(>aAWt`%BFXwW`7GYA9ha++-3jemPUGItqKUtM>t?=T0g$h;up**O1FNHcB(%H&& z#HZ$!p}T5;m;=hkJB&`lsEWu(Ae&I``^bUH4M#Mym3${65rlrW=@Es6$zgIa%0vb# zR#Yg|jiN2v_0$R0Q{-v4#CI6AE19Tz9>+Ot?UFGcraujsZBI=Vwqz~P9ncJ>&$_XpC(Ex#f-#*JM4agMT#659)}qyd#jZx+=Z98vdJJ~V=h^E&wj|_vgSii zzUBrS!Ue)I0u?ivhf?555fkIc`;Oj!f{nixAlM3}a+DOsUI?VK^5oY34WDdSof6JK zEiLEE0oxy^7tf5@@`(C=AK~SYjc8nA@YDz%T~y(!VCT3;OD#MvKR7_SVH6JEQCv?H z&>-j<3F48Si-jaT2%6?`$ahI0QzvP#q6{2`XsO)~MvGf0P%Js|s_cy)e>88qGVVoH z{hmIF?5avOh*4pr8*;`~YU-F)pF|qS>9;~q=EpO}3)AdJQ%armS5~soW zw$3;MB^JnVFNwi2Oi#y_bA={Xzr@Hf^(R@|x*SzUJl)qU{zsx}ln6z`^`19LBEG$x z{W>4uO%pneEP%hrZBbVAGX2p3FSEbQM~-H~S0^)+_7TsI+fho68pZQdQk<8*um#M) zQ5Z+;7|7M=Ycf*(El<6hv$+20i1ogf(Jp#$^u5-=>1^3N(b55@B30rFm{L_QTAvq0 z_#suB@Xe#PtG*80RbvMT<8+raVcjsVlSz_p16lIC#dpBS(;+ zWuj=sh_wuca0{gw@75)5Y&YXZQ#6edlaD=Te^kX8;7+7=Fw z5hZZi)<=S^!`wo=ls*z6bmyydYv3#!XVRQ*4)Y z2`if0JN&+Mt!Tgd&q<4ndQ`v*K7M`LHRDuP4Uru4+73%)vLG9dAd6fklu5W;-G-Cu z4$nyYpcb|0wOP-!9k?eFI;*4Dmd?NH9%33c!(83l!23sMC$2%PZ;hkEp}YslUngE{ z2U1?)AyCF4X}E<1FNXcWkFVuMv2n;^$i??l$H3lCovoFZYS_8V!o|i3iTbxv^=NQ; zwV=x#u)A%$=M!pQ?}claY{8T992Sn;SqX{m(Hu0poM%7w(eig5JAd01Iy9QuC-wo@ zW4x&q;v5ZV*6kaFU*^9e#bnXTf}A?AM!KVVgYZP4Hsbuq#uhnYfNxAN;G z7Hh<44tT_YCh@Da51GA<_vSb!2ear9r#Kgk59sH`i`S4d8)|2C_F*wYWHQVb+dq=T z>HJ$L1x@?{?Hv1>8E(w-O|Q%1gwV1Lq6e9@M$eh*x5;cMBn5cBwSJenU+0sxJgw8P zJEo%}Jy{zYOO>%?LFLi4U23pO9eLF{S2+MDzfi6~FiIpNrA2rvBKd)WepkW-*Kov; z{#`{z4-;+p8cDP%GN}s~iD|EZnywa}& z9=cciQZG+AUrTFPqGVsbxqc5et?A1Kx_PxEged7Ad#LC=Ul7_6qRTmVH|)Zg>=hq) zF-!I*k@!oI9@Y^<)?f=?)>}4d8<%NiBt2tN8PKUlgMrj7(isHGOywb}&j0?QOfax@ zL7!{t&PDCk0Y<3;U)?SluMbCBWTJWxA;etMSY(@^&Tsk@=|_PAv{HfK0DdGvZFpv^ z1Ob||QM!8YnnEh9iDq58VS31%%6iMoJ|k~USDFA5x+pV2b{jXego0PC54%$YY|y@y zmz&(hEMLKAUe}O&Px?Iv8M&=5deA@K%Z7gyF5X0>r4d!b8l?sd6e`x?-rm=hir=)< zFX2~JT$)JkVFB2x^XDr>a93!mQhAVyWGa6{N1t6gllw-Q0!11gV=~kSvl*8@VtdRo5Y3@HOIB4b+R0dOq3Xh1N;QdiFYBK2sf16IU&~GA;mkb~(oxN{HxnMN!=P?JUh}e0N2cz;WKn%DDZqNR<(_^R8E3{aDBhHL|GDU1D z^2@x5>9$gx<%^+s6My-L?iLRb8`F&r)O+W?)5|gsHYv(+aaSgPaF8%Rx7Z{WAZVI7 zKJZTFgQbtypLA&V*FCqk|*^vlBJU&(~8`|V89UryY$M$721(nx|V6vW=H z!EH^7T-CVSKiz}{h3n;7rDP;nqS*^$bN2cCV;82onxi(K#{&*D7x+so*8k?nK^EF^ z(EDt43ssVxo}O8fbc`VuIpm48Xi$pPyB?VaMfvZny#g+eqA6-JW@gRC#PIWeDD2EOg6S*gm z^IS}Vs3jI3@FMjMfZ9&Vve{xSr zc!^U>$lzhw07r?!?a_Z1#ijJLrY=6ca=0Q>&x9Nu7i*7CINtHa4N9s~-@SBkbaI{e z;VD%9wy3fv*?H23tWUmUXqi#6G>(LhyE z{F|PI;4+yZ&uYbefuO@)s?n0F9J^Mv^R+U`M*|#A<4av1J{5~7wU~y^2fR_y0|n=k z<Eu2XIr18aNGEMHieOL4HCZM|NPV~-Vr|OoyFr9W+Wx+mW zpjmYI;oOJDp4btpNZckGyu2^&p1(lkz2x?Ieop`WWABC0ta*w>g|x<<;yW6&th>`E zx)SQ{z7Z{YR-%GL50WgcpBuP)Z9C35O>kjK<-drhR5At2{l)y+4jm*vdeEF28?&Ox zz#p^A?7hWT*jLV~a4$I9sK&M+`BJWa+~C|@OGGlXZD!i)NA@@Kj1M+j2r?|)%-^m( zc%PX8-4_K1p-uCY0^~{-l4bT6b#l`c&@^7A_cq?}39<9V&6wna2e(CCqb@ct(}o6p z*w$dhACnpM!U`@MlJw+T#_YGg==x;W#T8(-J@`uZz=IlU`I|Yu$Fz#=_N%7JC~!es zZaJ@J-j_Y7px%h?pu+Qh+(JiGA>vuLBKC_ED<>~$DYa~ve%_LM)wD=%Xq$4IU#(wA^{(theNd;^K>l>j`cv!2y#?`=v6DZO88SJD#&~hu zBhy(^xy_}An4;D>N$&Zn{fq_IPM5LgE$Z4&eBunh5*r#_olzJUQH^Fh^7Ut_ZJ$5Q zI+8M|FftRhqyDq@$hkl@bE4_vD_$Bv{bU_@y6C=qSgd+`a%M$2kUceVMu(03Q%_Sl zM($k>U*0JV_q1A4r3-?pf^2IOGiR(hma5u$+X4F4G?YoBEU>jb8Xo$(OsB_LlueTN z752G@l&ag-CMqFnPRy-q?o(23-P*ePK+6q_^^0c~t={qhX0nrwke~d|rY(4Fgvrk* z-e}&uiJHk4;|si(aUMVe>*0j#(A{=MXFT!>I8s^1*aU%Z#%gC!K%uo_5sZDH+jlMZ zqTi9Y^J#tQKixB*=6^ z`AL>y3Vp>PxUMZXxstArg{36wC6~3I%AZ(GYCjtlr6JD|gXM@l7t}Rj=?BnkyNwoE>&rAN#f@dy{N7k#q!W-|T{H}&5dt!YIP`@*{9i%s~$l4Y|OGR$VFD>nb zJp#X$)w(02=~+9Zwrv2^ABW64B`l3Yf+jWM@2XBMk;N}IS55udg3@}!-9iTBHW2yZHUZj9wd_5!2Snr2rWtg literal 5559 zcmW;LRag^_*T8W)H@ZiUX21~G=xxO4?naQ5ZX^UGlu$Y(l~#}+0s?|`mxM@{bV;Yg z``>$W&dujJ&-dnFdkvBOz_FZD8EpUGY&MAy+&#C(=Ds5FQi+eW?@U4p;mu&td9Sm& zf_=oWJYiD)*z@|~!h2Uj-bpKfd#t#Lc2b;%?@<+8*+WTZlp9P~*frzpxN5SR{oT*% zRS4emu7ghPxbQqwAP)F(1~oGGpDarN9>)0-Bd8Gqg`QIt!jPAFhv>Jbf(yF807Re> z&MD#`F%roZt)+RQgzD!D1Urp5p*j-_^dwuM2fe6oTdxjhE<;IW#@J5)iqzS>!2Y19 zci321SX4XgY5!YT2(T)Y8jlQ(+$F8^rI#Y-OJ=43yup$M0i(Vy3S#70wb&>|;&w%W z8zm`l;~Q)AVR%lIG~T~)0Yb9D6Tn3}IS-6nJtK!;$9^g4ofQ3Kw7z$)b`>DAIQkdHZd(1!(f$kSfa@yo zB3)>=lsHCK8Wi$jWKZ_lyNXAa`+xfNzAnpwK_jzWtW%Kk6*i84nLnP;yg;*`IhKd9 zK^C-|3ASfp-&ml_9D0A^;GB?Pm3(2w`YbT$1$P}MKul34axG`VNe(i_RVd~u$o8et z$se5S(8x^~xNpa{A<}sfT@>D|GR>jKqfPD0!)ELF&gFxVvJEfEg|q@-pOZ-rxG~-g z#I$`FrmVoUaVJk=mE#C(KL+wugU-U!AZMS@Z z&&232KD&|_T~@e%EgPA(?0Fzm++X4hz)eUghL}hu#9~lFP}6%1YWXf*3CYVLAH5Tf z9laQ01AZ~uFWvKY2gv63ifoyl=COs3IqpbkkbQ&x_cWE5EjXJp@mjSDfL4V^igHu+ zK%X!Isg}JVN?l^i+s}4` zf8WPa<4w|V!$7(aYPMvt*RbhQ0#i65(i0m{Prv6(xBhPQ9uxUzgliEK={1!kVPYcG zln^I*6zq}+nC`#wpp*S8v5G;S)aP6n6kvU@sje3_hx=a2?n5&kU`jo+jgDjIFcO2d z$%5}NsOpTvR%WmkhP!t?oxV}f3r zZDuFNF}_|GqejAStpG#le?@)t_8)`{=-&Hjs4xGQ0aVhOHI7|%<+PU<>SO)#SFm+o zvQniXO$a=DB(!-yvn+@>a2i(#B)kf;Qurx-=`BRe#&oRmfaQ*LK1^F(+O@!)liUf~ ztzkTAT|AqE#cxzlKhZtVpjTb<@3mB(dx%3+ha+x~jj3spvO%xmZ=PDm-^Mx=nIn`w zvzDXMyeSzFsE7+X1(+w*K0#nwqFP6+oV<(&z^VrE}>>!oWOj(rZ6y*?5R!a0ZWgxzPysUgV%I=nTBOGmkE|U>1)cQpbKV+-7iWT! z89J(4Z<=NAv(4X0pPkntW&2XS=Sf69t{iRrL7&OuiFY8?4S)^v0gm$iw8-CNitKK5 zqW-y-S$K*TG9Tm_@@$BNwwN@eYE(`FvO37%&X2m~X$U1NNlV36wZF>(hf0bMg7<*{6 z-5^VI^GMzyAY_p*x%(}wx0F!(%^3r{rnP34B!{o?3u0yGB6~8roxks#`B(Lc~_B{EDCP3if`!6?BB}B@cpjam*d}DnLBA4 zZ)S9UjrYdcYQD$H+F*~uSw3x-ht`332&Sexpk);OPG#3!Y!u-$IVO&*)Z+SO^loT3 zUeAFE!C3y&4iy369z@4LYllFJcWM1!Eir3VBf&)z@C|@G^R9n6suVg$NHl5HD8d@u* zaAS^A$ChB;hxcTytW}*5V)59tDHvDL9BUyIoKI|+!@-=crkyFpPLQ*m%*s#BcwY#R z$Q=BeBmTAU)A1MDJEhuJQ_>tB)nfy7s1;W2+{59XuPN->AcuM-LzV6qaBm43>SK;6 zVD=b#Y{&L(!fuN)c-$iPhpyOMTV5Lhp@%N>Y8&$w9XqiH?W&R)7KkLe=D-^=j*YAB57+qI!(Qy%=jA^ z&tXW{;0ky$uGJ;QVQ@n2%K7P^#OQaMDs)Yczq0@Pv{GIt)53J>QMJnGv@Ec3@Lq=D zh0WA4RfjKi2UoNr{Y9RkVX>NGoK&U6Z5oBh;<|${nr?g5H%2sUn$CzGw3%v4 z%zhng4fAv``eO3T-!WIV1ge_|NX75BG@==SF9xu(lWg;PDf#0rNH*z8?2w9o$vT-Q zK_68Gjo=NaSb=GNb)j^JCjGq7H$~ca`dgv;l!=3(J-X({1r7P^S`=$hK6V>td@|XoP=NaF`4+;?{cAM zbqF8t|Jkf_wl@bhNX%&kK|#J^OU^Q-?iTY+pY`S z!d^R~S6uv38TMRDjQY`J-aDf_o6GZm(YFUY9k8E$Tckn5`H_?ZB!J?B`^pi=`L#-E zg945o55FQ^0sT#gCVZ(s*v-EOY7iu*ln2v(?$Od2f>n%l z2zMBtBarNOJMVTrofkK-Zrn3>ybknoiy81$##c61=C!WZ7v%H_F%9}=<`9o00>L_K z@5teAA4vZ3Y}8&;WOZ#xD5vGXVExY71?V5UMX#{7B^|xS=y6`EZ%ipE32I7oOalV2 z0vYtxcgo@OFD;IwA})fF%|j-YJY4CYbQMNPD(efgB;N-M=fKO-j`RkCPV-(DZTvFb#a!DqjBpIZpqh>ijtZFc5WtoMv1nuQmCTY(9 zaRDXP|LQ|Bah9NMGt3N4k^@0*bdv}Xb{0xx4h1)%db{LKjke?tVkqhnfr@;TzCoO& zF3KrNQ|YS% zF3aWIxjIIGN0ik7{y9*7Iypwfoo@)}ltBIs-4FmCDdt4z91b`(YiSlgn`rXxUxml;0p{Td`Gw zI1dZRu4aBNqj{di&)&DF?OrII_4b-lC>#1(LoF~9F5sx-#o&ea!lp<-%=r^pnPt;c zBAS|{qJguV@5yI<6Rws))el2^KF~p7$^!+Oj#08Z4nh_{j$ zRm1O@XJ!!t^g*7$>sMNfOIGDeMEz0K+zPYB(defd$<3rnVl&8Fp!MbQ-_`bRW_jzX zwPwQiW!xh!$UL-FudX?tGcp2hf?uG*JQ-{e^X;`5X@b;$HoZ8LVBm2i)uzl`ZO?cW zvCYT#mW{&|+$)J%OXPh>5xV_{K%g{leVez1~=u8SP1``;O zVTjWu;Q#avr&NZ!{CT_M#*SKzK^osc4td)6J@hC3*5q^M3ejzTuBRP}Q}X=Raw(#@ zR3yBOKUVt*i($;rmy~??+$c0NwW3&yOr4{g&2yE~a`ap+0b8GTYfvmgIXMBfr8SaE%c=XetNL%TGgjW5a_naJ>ASQMhiLXB`GsBN7`P2 z$Uk1)!{}EYPtfJ87n=mB{Sq{)Qh6n08P_YZi$xV|!F$vdY?|FuPxwD%&rzznP*K|{ zinh`SrmGK^HPqV6gIw#xuiz(M^pnqMI7TU@<5_rT{AwJ8uRMLYig+Wu2_t1|i6b4a zDFEcG?@u`Cc-x)WPQ5uqH0e;DVQY2SjPB0&6E5~;cq z&KO?&*7KhyJ0Pk{E`Wb6k4#kEMM6c`o1mTANAgZKLD1G~T%Joph)vQUP1%zJROIwylotxGcg24&!^-mukW7^dXKG zt3bknZZ=$Yo)`{k#@RvQwXi8#SgC()Rd8|rpIy|J~y}8LRC7jRr z)eDPoT|VkjuR@J%im_cX4f*s~fV+KmzSxc?Wya#lSQ0|_#3j@)@t2W7KMZCQ0%(0Y z=g|=L43UCaoUzTkmh_TLy=)w_+w|VA5VBt-*xSs6$;kNpkBa!eK60msuq0mssL7tD zcoi%n52v&Lr56p@g)g?>AGU;bj3cTSR%L4npmIsS<#vx>a>q z$_)9__R<-Xja>c}@4xA@cmCcP?G>9eQ>XqGKu+!TZfFLj2NRAfGa~dd4B8y5QYqN? z_UIm;!p=NOt;34=!?})inDJ4QI4r%J_y)Ky72t;d+#nn@YyO^lCEcNUxt*Ma<>X4^ zO3XnbU-_%HK#o776O%(d&>}`sc}TNDDLANeXQN;Nel8P{>_2$^rf!m6`Y^F#;yP2M zmjAk;3Um=UfMP$0`o+1vQs2ee;dzidSjLy5FOzruQN0vL+9)PVhmLdZvW^J*{akBOD}J683_q1gnc-y#(KO;oV-^b~|I2R~_!Pu?m*DTI zYQ_&_v8A5Grw^|_AT9Kj5(G_m&A#A~6Ax{mhV#slYSO*4^*6lE1;YTHyMLoVqL+4? z_KR<+?L6;6Mj+V>$VW!Xo3xt`cZp)|1#P+6y)sU$zXlv#-S?2Fy;CQFX^^ko*ok;j zi}#7);HTLEMHfG_`Uq#seW9V*EmLyF_)5G4sRDyS|GLJ2dkN8SCTQ(b`uv2qQ8$4<{P3OtG#r z3`pq{2+}`XpRgfav$@DM9pVZgF7CKi`(#=EQE8>BfRJ^W!H}?ct6al$lt+5MUAQCn zBSXQ3di&m2>DC#ui*6DT5vh{eP=c0Lajv;4brhTAw>T;1X)5+HvMLn?ou?3W?H%nU zM6>h!Ch@k#vF%vMtNKzKm?bw|rl`Jmm~9U$u*Xxnqc17z?!>#AhPKh|2nHn0`umu# zy=3ZOu#U%eDf+_MEuPE!g-kr3bAtQ2>jzZer;WMSjZ83uA7b#&ubhH@`5Nt5-a-Uw zq}8Tp{|i0!(VH_3y8O3p5S_o?hHERka+Ckt(U$CBxG++czEmRC<3!o5&+~*a#>nQv z>P+V|=Q)G|M{7eZE5ON5Yvx6%AJkkrw0P&-M;yg=Q+R=*_hD|WtTu|tU)!c@nfe$s z-P-i~+H`FJOwt@B*zy%DmGD98AkQ$(;9;PtT<4f5_s4vBShv8Nz4P%Ikbls8Zc9Cq z0x;j46RU3muA)NCc1URcehT{0LGN+ltD7E#a}Rn;Bj^!5|L|QWigCF6fj5qb0zV>< z?}H}aQYkU9`cE;vm+5=W4_sssNRk_sGXSH20aSulyg{<@_T6 diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs index 299d5343f0c85ff79525b52d28f0555710f43db3..297e5f73bb3204b0cfab9cb33aca339a2d8f5d16 100644 GIT binary patch delta 2591 zcmb7=XE@u70>;rgRVfuaNX;6xV~XKlMO&*@Y&DBY zRcj?;)ritGR#1EOp69ur?zekByMn6;f33j6$iaSc}Xh{k1FkzWYZYnaR5N@1co7SQ*y_ii% zz*dQ~tLa_5+z#ULTxyo#i$tCMs#_UZ(#mcXK>Pnc_MO$SE%gW&c_>N}@@jkKp-hV2 zRjI5DuzT(2W}mVo_3_+qCV8nUo!`!Pda>l1XWgGfhEc{S>FL&sZ37ZPGH#tUtjkdB$OCu-EYXNgZn4 znOMhu`i)krHP{mTSnad$>h`QDA5cUhMt^)@J z9qOmsnTpwCWQ{C+_Ek*DS2h}hp#2* zX|eb{PlA+qL$*Hh)LSwppkFZG6UDUcu%_*X*DyeCZ2=FM{{eZ5>(U7VenQ+?YIV1@OnMG;cenQM75v-1NwT8 zngI%cwR!_{l4sOM`xj%|?XO;als~=CWu+IXqVfytXt-Pbci$zbkdvMA;){4w(2Q@S z5?bWBp}LqQtW&r0eizyMo6X(`j~+YlsRrlhiX({+UhUKUxE5ji`Ub)_P?SCMiI3se z&zG11j!8p&?in~ulbU=H?Tl<`<*rFp>ybJh+$I9$j|TE>QO_}Uxs2!02b-U!M8X#c zhwiuJ_G9kPeBr5gs~t}G>zxT9)ka_zXO{y$+HGSWni7e4o6P@DX?ssd`wL4$cj%#+ z6_pRW?8$^EHxjT&_I$6#z=0wkdQYR$PV=`s5>KT#exVPCmW(Xj2s||ai4n-Rjd~ch z1_bdrGf}p0!z`6va{caJOA6oe`R$zfmN5FjEaMR>mH?Gu+m@#17&Mj%S_EFWGM@w| zPSMbYTrBOA&0Dl1Z%OmhXW6CusiirbS2k0KN6f9%Bwpi|C~PZFN6F+j=z$;Z%*1#< zdx!NMh+F}3SQ^c?T!c$?n(LOuPj?*vjF>|R#y%r|wb2G~nN6K(V)(auPedJRqHjJ`NB$@`he9mHavU*-7M@mF%WzF%_N1Kb z@{CD?WkRfK_<&Wd^w7gih2RWdVXp{8`+{=pNWRW>bGY$VUukN!#H3rJ9}d`Qi;5+0 zGsXu5%$Yh+sPv^*d3{A1$M8Eiqe@fXSxvUOesT=JfRk%GnHMS0?p^RSaB5~QF!)@h zBb~eQh_JDCQ;F5ft|3aeQ1tM9!P4#b=?orS5p(Yv6?McXV6!*s4Fu;gAU%Os|3|@IM)+g>M(EzfQXwXWJEc^GHJoBtA>VRU`x;UMI6N_{tP5 zv&oe^G;jV%b5v{LB=2KHLJ!SNU0&Ryx+ydI&ZwB^zK!^v)X2qXG^O$*gcy&ERs<6UKue+3q_FH;lsiOf} zLMWw6Z0Hb_GEPYYRFK@ke|gpxTV3H~Rgs++$vo@6MJ|lb^v{`H`XIghWbU$+CdMh$ zI1gFM?5)BbU~}CyynKyF_0((rU}8~NMZ1U)2$#Ts9lrI z`j(Bl?w(=+jcY27R`Izvj8Ni=Qy}Rz7A*ITvRLwP54gmId#9R7f5mIuN_N20XZ>7ZF(A|DPY zYt6aCt7_b9ArqN%bvXIzkXBgHIEVA1m3D6@?Ha&Nmvmb14F(U_qS#4t5nx&6Ef&MM zoXgdno>+JfRUt!@s6QL{*c}zquXx=G_2}T+M(ghsbi!v|7K^AH z`j`7ZPvZKlW=W)LEjj1SzZ9^I4=dA(ta6RMzlq2Qr~66BK4wA`++KJQg)`d&5$ac+ z2BJLbd25QVHC9!`|m>k-W73$LY9t<5m$XS!|U2dY^*g zhd*8&{~DmD9PaK##uI?Gk?4@uFX@yIV*K;C6M(H8%s~i*TAL!;%A_t^lmAw!p&5_v cTy?wuaVB+u>!QHu5Wt?xMj}}=MwXQQ2gCFrPyhe` delta 2591 zcmb7=XE+;*0*2KVqgAsg62#V42*-#~LG6*!BBixzb(oDHXbFy4HA*>@T2aIbB~+~% zja?!1*eZ4pN36K#dG4?K@18&3zwde8_gz9Qp#(2I8{{D}y39}_I{(?}Ucj?f$7n^o z(1I#PV9QDfN85#aGU#lUWF}EH2YO?r|9T;$Jw>ADYP~_9FGhQ?SE=4@RPZPbzziQM zd8mWouS1;_rlF5^7Sy0~L_~nVro%&a9p2@j$H)u!D!!!|@{GO%Y;^dA@j*v(tJ~67 z8PEqYJrIA0BtGeo6)ko`FP{2XaTRf(K8lh1|IfH&!~Vi$wpG|Y6Y0fXFNmp0OOW-` zbN0S>KgBcW@*DS1d=eH-Q`aTOuZj^UDM*dnr1UI369Oo~PEgg6&>=#WIAem%8EZ#G z<;gn!R~+*%&EubQ>bG|W3H%G{7&tO=T`v9S7pcp1N7gli|0$07m&vI#%wqLF$x9rk z6&-4#coLsr`sj5&Kkrq}*LBlF2v@!cz6^JixgG~OnCg6|d99>e_~$v#NDI{?^i5`P zuI>EtB;f8Pm%P4`55yJ}s{>}==VZou)RM>$upy?hw3z~lfPLDnt}vST&NO&alUI20 z(b<}m)6>WGwZh3<=TO;{9nbUp&=sYsxZ@^XN)2iDM0gmxSlp>;Me3# zt^eka%wt9gRH$38pG%VSQb4SgqD+IcsrZk`?M5v$CDrE{AmTjf0|Vx!KlhzbE=cvc zeePm_c$kG1i>o3Q>@5rb%?UC~;-9JD*iFljCh-s(PC;D}+CWh4@rmvx^p)6$j8vXi z_-M602bK+6a~nZZLqQ(!-UjV?QhL}}X!#Z}3aAW~A~ghgu^S|V0S+hGywwdThg;_Z z^_%e=E=VXNStN%E6KIUUccVQrFS_=foX!hdR^kuqjoPHqlLf^7@ zM%Cu|FxRAtKrY=v?T=w|sgA3@X=mk4gH|!SZaUPuhd%;`rhivDiRGM35XAB>?XXi_ z?D(zmVNG4f=ZeZZTYqG~Z;oe6WV(Dz9k|C|u~ryr!)%??$~52VF~PHP|K}Q_r-;KQ zVoT0OFPQWywy87U!k+(|=gpq6!&PBK8keICC=+;jB#wSy57gC0CB4HLeNd+@TK`iiIN)|`{RkDuJf*CwKJZ7{YM z7^`$pe{;eF;H!m`k>p;EJ)62VCP256|dsb{!wXmauH zLsi`{K6>ELtRppvpxYQXsQ+l9NO=Ske_7WG&|4vz{hV1QPaPk1f*>q_ zz2~Yq70gDs4b&{cd(+c5=VjNCl;P6YPnM1CwmPK6z)j%kR80i63_bcL%Gby|(7BLt zd3MW+GJ~`L-3fr3tHeYK?rq^rC3Zb@N)D!)FEhQr6(gQ#Q^+~C7%eL6$d5^lkUxbF z#M2tVrDQj+e1)c2j#F84Jw6?VXUZD5WP`$l+f_z#Cm%L$L!@dz<9I8_1jcMN7%{Nz}kv2zrzGDSyLaaMRvJFQFQY3DP?=c|1Um+Tw zAe8ax&Dt)P(iAg8_}iitj>ps|Y-)p98ckgn85^86U3G4x;P-Hx%5fv-ya*I~b?d00 zkpG5sP%Cfvqxzxgn6w39`l|#nFgwOg{J=rz4(B)hCyIZFCdYaRVwnD_4AC}7$c>{d z`x@PE%0@QuT$yAmYX{`pSb|w{-dv6vLpf>sS0SyJq#p(yeqQ4=KH7koz4mtN2CAXQ zB{(eK@#py^-I*j3stir;GHPW*fGm_HYP7#gVt(Fz~cSLzZWq9{#%(_tJ(TaFed)wTv;$b#}1$Vl- zE8x#;`}&bHR=cl#>CXG&3I_I_!}SJoEch#*^(2V0GS{&z=z|+K3bq~MoKf$)Uj*|y zzzTBB&Xhk(_G+3wCD1z3&BKp!9v6Mx^b8@L4%bki*r0Wc*~Ok~uIb~Ro-jbanG)E~ zFFE@m+)GF#>wDTsc!qgLXFBCCTxG?{@=DmLV{npTz+G=reLQVrBOY%O&$;KlHnQupf)&uB7`k4~|H*EET*mCTIBfNqx?6sW4Gj2%yQ zmPz?+78DTbiOVhSs*94#p?ODoWtYlIuMSnV7_*ke*k*va{erJOzocn490I}4x13qonB4B&+S&6WmW~*t!q&h;}Vv(aYKou^dXeH z0VbMZ!Y~xh(n6+cz2*JJD^nAfmP0+@J=CR5ja|8RglIFh{$RX~>o$6{@wIssh!B~t z?ws}MH^{WAP4R*=wS8)D=F^v2R%mX=OWxmw$pvf6=d=;b$aa?zKW$Gr0}NHDPW^Nt;S8xkM^=Hah6!a+0}KVk#DL zL^V`L79nzCtR)>MajZ1=OZD5g^V45F9{uzAJRa}&>+yMf{&+$oyQN=KZD{yc!sA7W z`;B0?2pjKn@(4V9fD2D`UahKz$i&CDPnuQLKr|lgs({90j%!EzTn#?pkPr#E08@__ zsjc54c{5cFNH6`+3%NShH6=Pw_UN_3dPjFCX8a>cXUt3NhAZ=7lVT7?kS<~&er7;c z9CqB}y&{|zF}g&nKaG$uW9*88dC7Bel6MX=J3eKaBqEewKz6~78_mEZpG1tzHO?wY zN^Q;EB@1&GEy2MX_Lop|soE|`GY2avQ2FoIa8&YF5iR3}MXLOURnje2FMofKEgRH+Lj%Qy*pS zOp;4@>xDz7hx|Lxs`zJ!T` z4yr9NaJzsDe-5jKChB(powkn+<-$H2zXVG?+eozVg5VW<1D%lS{3i!IGB^%d8t5vz zH83b~xm?cp{ZtBAURU3<43-)BoNcPD==%ON2o_59iAz1ZNwZt;=E>bFn63Ga##%jq zwwt!-97jv%nfc){-KP2WUlua-6i?Cw`YHx{3M|aCr5pGL`?%8#=|oJ`K(pjlqvDzR zZJ9D9|6=D_`b)Pv_tVNk(~^IZ{Bzz3yz}RBJd7 z{MGx;tOW2^1tS-Vi8qXcX)r&hL#;zQsUaix0dDQ4*U0cUy5eBzXLAJ)mYu=<7wMmO z&2U^(mq%`tnGA5Lb%5wfVLDRR^l~a#?v?D$0Lzj@iBYwyxN69{I6wb0c6UzS!Brr$ zlIM@S%u4G9OXZf!1z>5bpOfix2Cqn++Sj6Wm0;>s!l?#&62iuiX-mWLU}?v6>jX=7 zEc@`}IzjX~>+3(e&xD!vcNQcAiOXkyM-JO(odnC$enZrEI6*TYhNgAKFpsX94 zm#AabfZ#@~4l>J=v-gO*^2ctS zHrzUYcZ>Y7TNj~QG0@W9pILI^u= z{k2&!J=)cC`}3JW{X*-|+1%tf4WrqJC8D^uU!Ke)$tG0W!u{m7yWVy-7!!Yny=X!} zk(iJ@jtG;xpB&xq~p2kaR6t?v-02E2Jn>l$gs()&0u+I4i?{zFNy~Hp(Mc>imw?fg7@u=Di%E z4LkIo8BAlV>lk0gszSZ!55Bj!uG;n5Y41_uW)D5Rq{aXON9{@QEbI^q9vT$s6FO-x zw@G2!DYvb8Hke2gbWr(=7<=3?#6a(atmtvq7Fu?Sx5wUP{^PGt;OACq@0X_N>W@D( z9ld6klwS9!*lmrx<;(P(`{=)D)%97an^cFS&x3@TKGKJs24t0bNt&HK#h^f{&ttf% zH-KA@U~!ucPZgN9z;qwc7F?di;dtUoX+6RrI?Vq=*(?%_v=o zDTkgtdND6+UZG}qBIda+!>7QX>;$zXKjkZpFHZ;Jn2qDHy)@_|qRb!+k^ic)lZ=mgE33Eq zMvky!vixyzYJVv&nuatOb69U3LInF+r7Sqh>_ro*^4#BgjTpow!wi+;GeuW)wBz5z z|Hl9)2*c0i2 zXSG|UitaygMeNXZET53s539Mc%6}`2frZ@|X483|)xUYO$ZhOC*f=2yyDFPI$-9G; zQNh;ZPe8AN9GDcrcN?D#CfgN7wlAvW_Gm2`o@?V5p{iZ4@1t=O45a7+(`E0`@R3d{ zt{@+Wel$bpo#&RuhTHS-xf#beMDJvk@x`gGb3!?mwQTs?JF!m@BkxS(&EFL#BjY`* zi{Kjcuu4Ik-kD4_sf;opYs>j`6rSq$mmmxV6T&F^NEzM#EPP}?IT_XNX6?nlF(q=g zlXeCYm|Kr&-!?E( zF&4%N?H?tt35PK8O~yRDzLf5gUjpv;D*!l9|T z6MWr{x$@jHU@dtE?IPbY7;s;QUj%EcAkVY0mgLRR+W25-HNT4b-8+lP#9TThi6Vwf z#l(ZV75n8y70{eUsPsjNG(n{vVGqoaSNxGu!vSiR5~4ePx4H!Gv%ehJ41XOWlK7*c z6{?wZ?NX?A`_#V6+CdUzagMmi@$ySuI*=*=w%ht-i8Opg`9tN@Jx?@LMh-MzpJbr% zU9LaOkPcMod*>4D!Qk3MiJ~YI<>OFUt8hmTDr2Iqq<7WG!~`82kf1RVWYhKK>EOxA zC5))@#j9_j(pK<>8&u*ioW2(%AXi{5?Y!7o6&;iMJXYRsM7_`EfP&VJ0V_paAgf!6 zzU6f4uEKEBQyA5xLk>qIc9$mc5tYgJ6~G+FOJYKPDob)+(mqWJ3>#&4)wY{9>S{)d z(pG!oVJ(u_zLMJBTT?jI3xrU0W3a^9(K&j)DtbAirem+luuvH|&C`nlu8t(LpsS9b z(u{}? zP{3jKm7UF00W(s)(}2u;buv`8Ruti(GHfM8rg_9s`^B*3|*(TEYxe@=^ANL8Y!{r`%=0K=;KruN+=MWkb2pG#EI) z#WE8}=LdLM!@+FtOjDV&$A;4q zFwP>#+VoIgC-1!J?cXB_sFsLRske+tOWzh>Y{l16DCyNF?dtA~=CZ#DXf5G}3sSDR zhvA0S3Xn}BdHJX*&%%beZs|pA5|eN@@fIDc((i1?xv~C_aYw5e<5bRL=N>tO)~BMj9Ib;*|2T;c^(Citg&Xu zee&!<@$y4{Qgt;!y%-nqxhPnO|Lk8uPlJ1q*&^uXke8NOUgeafoKfWMhWCs%s{8GF zMi^B}2R5i-muAppTVZasN6chP_;y}vXZb79CBpq0Vz^fY`v89;yQS)*B)iR@p5*@WRdIATx#*Jw?%5wRH%LL%3Q?7V)NSA7g z?Q%%AYYY^x7Rt7d+-6glw&Z>5B!2vht3la`;An!JqI;E=H3M-PjsO9gKGN2YicvWl%;6 z>7jJD%yQ&~Fg){Udy*V;9of`mfA;W4Z0PDuf+oE&Tyc$k#xO41zWs4XfCVBiMe4%3 z=UjYy0k0$uFQ>t+2cAeY2~d#%urV(|66rO}n=~FGaxPF~)_YFBHK@Ad_Mr2*o$+op zB+`hOG~v&Uo$E;z;=1<@C)qT-&x6=6_a}^%Ez%pEo6!P!^sEfqY z6DX^bv@twY!iw$dq3eIDXKvP@?Nn=QQ5boUXm28ar)s2kmyTrfcyReHu7b<|04bE+ AOaK4? diff --git a/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs index 2aeba12c5378cf64ada62705744b520d3365fc22..a164d5247cb1db5ebab68993d4a14a58ee486c5d 100644 GIT binary patch delta 793 zcmX>peNtMKvEUTny%wj|4R?S1Hj3`&s;`SLKEB*UQ}3GXo9FqV4W`QdHLkrdZtejJD=UMJaMi3g3VN+7qv_7 zO`6~K_6J07Ju#XO*w>3s(m&YOn>t-XmzlSrbo!S4oh*K)ViQzu{K#LH@@MPS5_Ps0 zXLaPRl(RgG4g4MVPkBOw~ahQ*LAJk;1j!Oao`mfb;D-AG=94aS?}|^EuO8O zvxIro)r&J?uy`M3;rRm#myO~xwq5;2;9lZ2;>BaiWEekx4_X~eecz36NbM~>It%?n~Vtx!p zc}qkU6+*S^l(zJc6VWr#WQgtZpA&z^wcldXjR&_5uejUR z9JW3?u%x9+(?{22ch_PJdervO-R=M7!PK>K&O~gf@9PbmuQ>P5V zOx9J+STo(KcFX0Q$@ewTYCvZvej_nr5%GDmx8;)#fa@Q>6-273UElff1 zdV+#ETX6R2vIYNpqJCZHU4P-uy$^TSpI>$1RGz5nv6L2P9?xUlXP<~kct$(Bzr7K0 gqW*@M;Lp=jypI$_KD?FQ>{mJcse|N)(jzfX0sJD4%K!iX delta 793 zcmX>peNtMKvEUTnv8*MJ_8b8cQi7`|-izoB*(kc7tG;ORKB?;Ol1fq^46WCszMXpF zh-mMnDeTY9SPydicTFkNeOJa@9%bLU`wsK%xkWO+ZZUgZ^9ZZ^tA5zO?r71JWjQyD zpSSSL2++@oDVeKzmD7Jg)#9-Hu9LhH(*l-h6CwT;cWQoiU@&4zG-wog7U+PWwE6@nv!LPKC0k722m% z1wPz;Qhh?Zq1Phs?fX=t={v5x*L`N+zQdz-`TLKT4Yd?qdWyX?7NR)19lexZoJYksM!J@BRYW}de%KBW!(}@q>-JdGMe+l%h(ci;5O{Ikom^{O%E+%kLyQ}5Pqt*o8OvR~fjtucd7b2``jjK9vYQcY*y zZ%S9yeKX_RqK9vnKMmWe{qFSRyM2>yCly<_el^tn4D7hSbZ7nrwaT3?g2kG(PL5*?`%64wm>Hx<6$^m5TvtAd27JU}@{jX&aI zpVKUoszM9BfBNo_U+Bl=Wfj{qp(vGIQ0b2RABKM|zFX6#wikcdq@A0RoElqiQc9`9NRP@c;@OXVU^bHv(`d5C;t_9ckA9*>_lO1Igq-PY&d4OKF8ti1(`+hV&VrqOB5s4(3-6#GF2?H~8#uRHdrRK_-E20U^_AQCC~3k|B- zw32z%D%#kf-u&Mne5aQ{&~Ya$wUOQ!`!3?)7`#LnV@rWes6>UU!H9$C#i1~ebfSBi a=2+2Zvg%Q6Q6FO{lpTO zA^;98BEtb|GjBEfMmAtO&TZxZoM#Sd0;Hjn89#}9oRSLvtN^gi!T1AMjaSxnb=6$o zDynM$MAJnCe_TD(1)M?|Dx9%L5`|-p6;iEY*9Lrc;C*+nx??X_k8lR62`rgkaBgpj z?wsm;ot>_po&GQwm!vr2$*wdxqiFEYF#NNsrldwvpit)z#1lrK%`b(`-3B4)wA3;D am3^+GfO6 zct~lgd}6p@-;aN>kHjq(wlU@3iJSOEhv~4iFvnIcrl0`L0Cg>q85iq)c4@3C?or@9 zQzPCgB4=o=yr#5u{T5kxtBS_O;3CsKt!Uk$Tz>79M5@vD2^gx8CYe?|5lOeC# z?UV8sznwRIt95tf_BAt(^R8X7_<`(McK#DL-*5(>Z#^i!qrq@;TF<^4S5+tfb}_jq ioho$yeyXn3H^0}1nNyXX?^X8XTCG-lIH2fK+ByIPYrbCq delta 410 zcmdnZvYSPmvEUTn?_+w53=9lEfzx~BeI&}x6>f~0x3pQa>h%LRtq zo#@N8QsdaCbC1z^xFjoZxqD@DSz?UrMF+cYl`eTJ*Ddm ib1%PJ&*l@D_^I}@QGmJTs~m=3x9pz3`&QTakO2TRfW@Hz diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat index 43fa277f8f89d55a6cfc8493ef5dcff81be6a48b..b206255ba46a745903ca85179c42b91d357ec50e 100644 GIT binary patch literal 2525 zcmXBTc{Ei00|xN1-(idlGYrPQjWCvBkX;kmiLq3aC0o`(iVDe^%3GF_rDW};Vx(j& zDQ0Yiu|_3J)}OLgwx9dXU*Geb`#kr2&OP7D64nKG;~a60%5UmFeRwK%e`{w1C|enI1Z*hmHdfpK8H zg+Lgp;-}Pw0GkN6qj~-U6RF~NayJ1bSD8#hKEc|xT`V;pS=30XVue5;ZWKWh3UY3X zjpZ=7;!=Jb9uV6Aubj$&JaeI*7?~pB!1XwMtr-xd$EYCMqoEv@j$b8_jWGDANKJwS z8r-!f7{S3^>)H*~x*ytQqMBYyQr#lR&FbGhV)VX?t6=HRT;Wx)#Gv)uA+9?tU`Y29 zN#f*yxok0a;6X8l^J|ktMb9Z3F##!v{BA1ghr786?z}AI?}Iz{Qz`_{s|SEooeU&1-?Zop4N~ryR(;$~H`(Dk%)qNan$h zj?8Z*`m8Bq6u8K|c$FI_Z-lbSRBinYlArnksMwX}FPgZw`XThfe}ERnn56WFY8#dL z1-+BVoXPiH>a5kD20^2t%KzFx;{YG^!2VClLuX&SdAhf>W^6x3Ci<;LE!P!kBQ2oJ zaZ1kgUZgq{je?T_iaK4eEx{PgeKI@NoM$b%D-O2VOYa4`CRGM+9pvxvZja_Zf@{$z zclr3alj;C|-Ft=SWY3r#NyC#*^M|~;90~ND2=#up^Q{HpdLZ%xSPOd$vFof17w8sM zt^u;93$zoS+Smvt4z*Y!DOJlA;GNhiT3AN)f1KL~)$aTMZiDKLpkAuepv3RuBq0;4 z?Q&t6Yo$K{D9@1=7k$N6^aPs=4r#DKwik)zwDSRXJx-k-;Lc)?eYh{QUL7hf+BTIA zN3JYf%M>det_}c8E9v7+U@3co(cNAfG_@pv*)mF47B{6gB?EC|`qwgKP!_WKtU zTpkq+D`xxGKg4oWwblZsRW!!~Lw2W?3Jt?|j#|CK2MJvBpHUt$Ukp|Gdm#H$@FV|* zs+n;K3OFB>{f6BMMa1dZ6Zio|1YD&a!}&$cV9J&S`5ftZQr-7{H4!v^x0L_y12_!k z5LP3a2-Jn?^3n_Y2m;l4U4sWN@5l+kSsC1Iy&}r}rqqVZV7$15%jDRf#{3BAICh`_ zdVbzRT-};8)4-ToA<9`>_Q``Cr z5h9k`fl&8f%T!<$5R-0@63`Iot!A(YN@MH?1dU}^EJw&f7=x02p=kY!IMXQsIwhD+DbOj!bjpBE8KzSfbjmWFa-dU=>68bZ@=T`! z=u}`j6+x#W(}@M0Sf*16bSg2O%Aix3=~M-ss!S&ibmEv!HPER>_Gp;hv)49Me%_y> zdGexJ2kTWeOyS2xSuVP*$TI$ED~;nzmOemEV7-ho_W^$>cV}sLh3j0xYj7#875=mQ zH%H?On#Wlys!mmcj|Gw%Y(3>^m)^u^($mr9Qavt|ta)wA)Trd(D?ZV>?;qe^?-4r2 z=`A{adl7xQUUN@;;m0>KJKF7jS)ufP`Mf&|UZvok`gR?TV`?=d)z+WVP_FQMyK?wd zPsRZkusbk#EJmM$cEx7-LxHtRheL&9&hrp6p*p7-?*aK$tWX_p1Da>bi`5#>l0!Hi z5P~OqDmF`O>n~g$->JgK@E20!h2q*H+Ma#wVhrOXl*E@hag!Nu^YJ~T}yAfpC-f@wwThHG8 z>6P-|e&IFe8u)!gI`nar%#zugitG#WhoQr>9)rONAl#V-1S zv(1Z3<=AaLS)NPS=xD3B4aqAPhVo~gJvNk5>S-vJiPAMYow7bT9@@u&=s!84IBktO z&NJp2_=wo}^iD4x>Ze*sTQlR)T!v^>?qce@XIa)vNp)v-Ekbu8hO7aBJHN~&f-($s zT^mm`3SNs-Z$koyi*t{67BJ#9=>4+#By2@Mr#{JHEPZ+ZOr-Wz_t_=hDrxV(o`r z(JTo>*0x9kgapS;G%@*EI#En#B4fE6hG`xe3qQf?LNz|7`FYy*1E&g`ePQEYyQD~o zlt#Z4_|^XRn*NV1iO{(ds#z8eA0mYa)pVF$0-0;?*lT+ zb3?(X^{Izx_4C@#!vt-5^%_iL>)#HOSk(;J+!_4|HpMDt!RF4bv^Na76u)`4lf|AH zvE=Ud%DzN#6%S20I{LeVmovU8{jQ$$L`F~UOy1ki8OzOu1)Dd4zRcevTj#wiyf5be EAJ9cy{r~^~ literal 2525 zcmXBTc|6ql9|!O;W6XCLUjYkx{&7Pi!8Hq4S|_InGEJ|K@pD@$p{x z)??dtt8NFD#6DWSKYOeGnRqUO5TvaTMF-##6Tgh8BK1)|?O6z*F6PXi+ziP@?y)wh z8-oLfQ6V*)XO3>b*o>T~(w6jcJBEao>BlI@$kNAPbC$qFiQn4g4O!~d;AFXA+^6%> zPCzQV2ghd1|Bq9+dq5L!x%Z5to zR1qoo_0Wup_|crFp?bj6k-Er*Pvo6M^=+eJY|!x*Q`N!4^>tv+bklIu}-6w>#u)&RDzsu#;c zG!Sv+4|Mc_g=t;Gm#;OmwzbA3Dgb&=snpE;DsXOKR6guIN%Py0^!Q>3vn~3+#ELzfA%rgo8kkTfzKYdxJ^miN|-lfw@AN z``a(+$?w}25~{GA%Qs>Sqw*?KL8J5G#wO4h6q{a#a9X*y$LIk44JL;%095ALO`a4( zNaGxj0|Odd&(+xjVMw>~7zWUJ>Tz(vH{n^yi?4LQN~PJg>7bIhEG`gJg-?I$veYG= zlr^=8j^H6?WYj$dAiycq$8M|_!bEoO0R}|Q5{^Cqw8$x8R<3*X$cLA?dIM<=!@hg# z*CZ68BM9rj3-<-sH=)H|%vdSvCv5U+D-nDX$<@WJie-=5u7c|MjQko<%^BsUQ&PjS zHifvg{ZF^>=U?)l0|`e@cA=aL8wvA%kRq&8hd4qB{VPTR>?NwL!NDHcEpocWqMhLx zO8o66&j-srjC`cbPaahPLrvSE-C*eUm6Yg)E6Pp9CrGu9M)@iiSc|5>e1O7g=(}f~ zWWqjJCpOaXhPZ09n42=5h9GF4bv)hYy6A z@xYM#RFYQag^b3zW0bBB;mhh*{+SB{`tPN#k!RT68VqM)1rQv;n=d;=`bG2Fijfi! zU5cWXxK_N=Qth2%Q(uuKmTC0Wzr+AtynQB_PZ6*IDUI~tM&0b}1K-xTe13a6w34AR%7UNBQwChI5zuT&!xjK(jf(51bg zb{f)=KJS^cHfSi?dlD@pPH@Y$mO*#tWXt#i=!}JM+3xp(C0Wncy_>B;a_@<^+T{Km zZN1W5Ax}KhcVGSz>F?ol_Z80I+J9I0IXrhfVi&Pky9UZ>WiEPpwmF)q=Qbn1Z|1srcwMU z7xVF@vC0P%VU)H**z-qB55yMMHNa$Go#%OXHYBnAE7ZkRb_D#P^a6dPJpqJWTAR1& zsFP&r+UI|IdTXJ=iOF~1XUz|j+f)UfYM+cTYrC3%75iDH?4cRS3i?nxk1=CIn1{EurtH0J+^h{gce7TBCJTCC2uY3DT``y#}Cb@g2TjUut!%%`7^1A>}Hu+;E0x@^j{1uO}la!kW@tZ;V%Epa!wX6{= zz9dxq~3%e&3TYpe;2(j=h$Zz{RMiusMK zn|rH#&u5-7Y}f#r_~5*LiEe?nxr}MxnJy;V1{M_gAAjb06TT^Z|o?)YZOqa~Hgo>f6O?v2sEudOR>KgsDN zH)F1uAIKKqZSkRl52CPeEtTQf=EoZs+3kVZ%swr0#wmnN8kaq>$Q-KFuPNxEn+uoV zA%A44MfFa44H<@o+Y*!Q>7S;(b5Ms|0n{nLy z(|*tXs)3=X9W!XQJuM(Yy7^H{=w?Jx_OVPO2aO`ceDs`i%Da@_g&EYH($To6a7_f~ z=+SIue9PRyr~U8C)4jg=X8)Wj=YKzTi~+@?bPGpNVwqneB+Rfey&L|S&H~0I586{t z!CR-dswlbBgJ(FW*gX5@ zy7QaUUrcr?JsVRLIOm@US0h5|cKAf;J&fVv?9)4}O zq9Qx-1o1=X20Uv{+5V?g%lYr2Bd*Iafeb>ArR!t}!*bfrvCi^2v0npPJ5d+E|Gt|f F`TxYJIEDZK diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs index 6613a2427facc37276309d7c4eed8722f38d8db1..503520dddcfcc80a3ae59aed6e886a8ae616760e 100644 GIT binary patch delta 1053 zcmZ2sw8BV?vEUTn*`nv~?v|Ygl2?^Z&)pyS?#@PW8PR&-Zi`CqZ~V?oWkK9)i+g(g zmaFz0`6{0nYI;C!UhE@L+4l)a`FCQr?mCrpSXxl$_nD-i0PT{@GbJ-F)@FP;cS^WN zf&Yck$EPB4#`3vdqOI$2+yw@qs&^q%N#|yw|d#=lkQpkeM*|>`u%7W`FBVlrAq% z@;Ky@w3sVz)x!!gC%4ketd=#$4PqZ_=&oNXQa|71fW@oS({YWDmz7FfHN02HKcm)r z-NGdQvjSVS-^T3OCOxYtkK4dF;&=92oy{K3(Ipk>mKhhrj6?OTKK#1u;dili(RWw& ziVLYzY8X%7?+9b5@eWHocOf)fNsPa-{>sgDY26!-`*yVQ10|hnH-&H3Iwm6!WrGAb>W)FA*a84AGB`E6)AXJx?CwCICfQ`Q9_=|l`5q( z=PkB%y=RCxR6qOhySBgyk5s27-#D_VOyiQ@#M@f)tNcG4_blOxxc#>w#g^~v%+H@c zFQ|W5(iXb8ys5bIV8yRual`Vx&nCZHBY1|T_;Pt~$3f?~SvlM~`ZEJ;bo%-BP7xPO zo!$7BC6Tog-|f7dYWZYB#)ifpH(uS!R!!dJ%6(Y4C~l|Y@j$2SBnuheC2G+| zr&a{ngx+w zk>d23`_*#A>f|azj*V4Tvy^XdU;8+Fqt}sXDmOcq^Uv^yqt i=fZT}HBTnhG&|I;{c!7FU(D<0pY5g|-BiDN-ev#*Y!&hV delta 1053 zcmZ2sw8BW7vEUS6_r1CzK4H^NAhpb*>UYk?+x{EHWJK!^?BMnhu4|fL=$am^`Y(Q8 z?W9^3Pc@yOgj|owzIhiFT+`ofjCr5=*2sP12Mv{yV#ke%$#Oam9ha|uC%Z0=8wAKNykIq{hF>y}RCe?^y*->U8`SnOZmQB}F-vv1114Y7x3P1pW9 z;hVq|OU1;g#X!CFL~5?P!9GVVHOnANR%TB6ip}Y~G7MjpcCGZCtCCmj)^YE>$N#!h zGapWHn-Nlf^6|WDPd9#zKXM`EzoCCDTX5!k!^`J;qL%O8v2k*~rK-|GD}<-(N$|DZ z_q3@merc>F-ph4Y8Q6{{y1MRZuh?E;b$JuZ*EARZ=3myKhA#x@(3O*~Q% z{~>nUa(|P_;jFFZ{&jpWote{8#QoZN>e*k-4ZdvYHE;JeE1={Azs983i@eV(C)R8{ z{o(vtyT4y2^UwIF7pKY{Ah|1ZVNpc%?2m;fo@Q{Q#;LpR{;TydI3eTH&;6TY#UCoI za+Kk2-M_u#s-Vw`Z`=6pIA0W7U>)F@=qmkOOfu}}8qcZ~{zsNuX1`m?@$#MRzcs6? z>x%0yxo_sR{uSup^+loR-w`I4j(yU}^-BK@wWEK-#dM7h@8HCb}dU>wW;me9Wg*&{k_xwRe)TJHM?_w+Dh#?DnrnXUN!J z9Vk`ZW;sR3&-&Az+fAPQhgOHB%)1cx@{p&i_^Unt+r?u1Y?ztutn@k|{miUgT2VKy z#-ybxexCIW;Y2?c4Zng{CwzWpyede4zw)ecFpssLTAbux-u;<}vz$VzJ`^1O-gU3o z&e-e6npxh@1nt*vUwvWrQ-)`9cjh-eovSzHD&M?$lj1ry#P0oZgT3mOb#TrU6S0q{ grr5VCnlJR _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block, or +; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active. +; For PSL, Default is on only when vsim switch "-assertdebug" is used +; and the vopt "+acc=a" flag is active. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that +; are included for toggle coverage. This leads to a longer simulation time with bigger +; arrays covered with toggle coverage. Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable is 1 +; SVCovergroup63Compatibility = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the memory +; requirements of the constraint scenario exceeds the specified limit. This +; value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Maximum number of evaluations that may be performed on the solution graph +; generated during randomize(). This value can be used to force randomize() to +; abort if the complexity of the constraint scenario (in time) exceeds the +; specified limit. This value is specified in 10000s of evaluations. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both diff --git a/bsp2/Designflow/sim/post/vsim.wlf b/bsp2/Designflow/sim/post/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..ee090fe1c02591269affad14b3a88dc266a1a3b5 GIT binary patch literal 188416 zcmeFacUY5m`}cpXES5|a1q~4PQtPUyH~;|$iVPJobx^d7^j07uhB&~12?$(C+A5+g zNM+uY5rvEnCn&TkP=%1T8j+dGNF?+3!QRjJcOSpwdH#9+`yB^4j)WCLuJihQ&hvb~ zUuS~NAt(+y1VIoHTp=uV{Q2}pa6!OzA-G}?#5hYzPfbc?FjKL#Ba4?!|MY)d!ryJW zj4k(Dc7Qm2M;M*Hg&^wm4GONLi_MkPOX6$xvFt!NdN^M^ee@$Nf=ngaG+|$vB#} z27I#Y(`Cz6u0du&zQ@uIG1AjMhmIsCr0!2iSOX!J{%I*+`o};!Bch|eU?y&ZViMP& zWZ2&nqIkfoU5~jQgj^519&$}~O@~~MyY6(2bd7>sgIvR0H@I$uT=%#}xNdTdhFn8j zx43R|-3+>2~Pu6Nz)8tb~linRI=wC<1<6n+dskGK*@uw5AJ2-_2!a*lx~ z3w=v`aIB{nz!09txfr+7ID_3|@cS1oS)D8O&Che!D zrZUp@BYP}&rXM^Ao%$R~PfpIHZe*lf{xUfs4SGsUo(Bg){)ZVU3CH{oK`(PS zbi~TiJRKp@p~cJn4;=e)i9c-W{&_Nk=?@({deA>3aX%gUl5vclcEB%#cKDFhF01p` z!D2ub*`7bL&i4Es#P+;Z(*+cP{SbnSt*!L4t+rB>xZ*iRSn=B_Y()cRtG41;l1)fW z@Zc)KxYLmoe@MA5&7a9QnuLYGlw)Z})2Rp3(vK!UnPK*kFbe17_+l3m2-sW<{+bM{ zAwmj-x<|XCLGHUCbPFe$SH&9WPzfG57Cbj1D)duff(etDv{v$Edg>oKnl1(#7gGEFG7v*9;XA= z$a_Kz5wbi)Ph%t|CPNAIgN*dlw1h(Vv^~~|c#;ngPA?>f5xk%UW*c3g{q`m=knQHT zPJj93QGch$GzaF~lrVQ0*KqpO{D`;%d)Wd91kMgFJ$mA?OITKX~A zgqm<@KO6$llaCzm2SKo!8+ROflyd4zMruN8+A*t%95|Rvw%SofpZQ9z=%SnMB%Fsx_NHLla@^RZf3EJ64_H5yK9@dPoQ(n}dTo z0sd$mF*V2eDp6*EI?$rlU?iup^(HOw_5H-K4WAr@Kl8p83V&u5kJ3U#Zg8|o%LcQB z$yVqNa`Hx^tb6DpQHD7%tv_1_!OUh0PRmLNm2X&D2AJ8+~G`Hv@NTb`_+{Tlfuz$(t#`n8t<%7K5kjs1N! zG3;^^Oq5wY4}!v8Tje^#q#+{h!f*E<5mM)wF0@qsVe0-j1s*}~jN}y=3B@}GpfF2q ztLYZ4wFat2WM~j2f_TRPaUdgP8*_wwCYVTbwe29t$c`w@w!IJ~*?ro8hFPxbp8a}( z6-x6!?;wZ=@eaDTXcNWUoiYGHWaQH%bjPRHortN&cUZJ+prWb4;GnQ{Vli&_?=Y}3 zI-#(f9rJyW9h7T1sI0EXSsDam&LAG~pZ**2$%(&03~MX3AEP;+_;hI@6n4bP116F8 z5o!I0oaKa68hR-xeFsK5O9;D+p`K29=X)1iQQB$jisk8@MA`!9Q478s8b;%$VkB@{ zuvPU^DB8<{?2gi||5AvNrXZ5_*XyvD)L$W+U9iI`C>mVg^645nbD4?2Oax{kFcX29 z2+TxaCIT}Nn2Eql1ZE;I6M>ls%tT-&0y7bqiNH(*W+E^Xftd)*L|`TY|5p)c1&m%4 z3t0s3ErfTW2m}7Y!xY;F z6ITUJ!(wQf7Ei-sXqpxuN=QzJ0WO}V#n3b^p629GV(Ld^e9ZRfL9E#CaK_<7#A67I z3{TH2>~e(W{fC?E{=bUB%rDJEU?u`H5txals%tT-&0y7bqiNH(*W+E^Xftd)*L|`TYGZC1Hz)S@Ge;xs%02}~}P4jonRtC zflwD9LDbjiA~F&YYKcH`$T|d~j3M(qVJKOLQeo7|>>Kb>e^!tKia5Q7PhXht4&~2> zoT$ir59q5P2t|lSWZnk&yaD{Y48i6QJ*-ioF%+He21`i(b;Ml)r~w%XLfQ;iToi)E z)nT#;tijdno|DNbElU3FH?SLO^&kkhHx^GlaHEO@Vz z*}Yyib#dNT{$$Nk?=;sK*`V()bwOcIjlLrGrVl~IMRC7JQhcSOqiOLc*>&RRpvrUV zGDUL@-@B6&D8D7tY4>Sfn<05d$4?40)T3|phGNnvM_apv8!Q`AJZRaAL(gcAV)O15 zs($XaJAQZ2#=2%%<4(3lY^iA-VZRWFiM6YWbr(g%p_U#Tz3;%)cSV1#Xw@FY(I4!2 z!oBgM^HrsY^i0z=`sN#u~*aZl0{Gt*FXk^BVfZKaRGDq~vrq14n!0$Tb|TVnjS0 zFb+u2=94%UC%aJP6;S38&+d_!R#xin)nDc6g`=tLa<@tPR3Y-iOXB-^#;ozi{9xf| zYaw>+G@<)siDi?*da$*>H9{>GQ%36g?wwIzlajPOWv+2k5@M^E)GntRaLlizz|a|+ zp|6q*_mU3rv2xZ`>u3chgr}9LxgqLUrHEXq87T;6cK7iK?KjtYv90F2l=n%=qeELcMv?uK<{0DDo3^}d(PFmp>CFNuBq8Go63HeR2hCrhLZ78u)uo;~? z{YWmCKB^oRkz-TDq<&>{N-z24i+jp1S_~07x{8mz5|QJgdBv-YKS;>qYI=qMyCo8z z>r!&Gs*bnO(b)n-g+nilF*4i7yr#CvL~cmAWJv$`P~tu2Eu&aUKB@*Aps6D0gptoR zwlrOBW5f%3iGpfgo}K~LuB84ZG3H8{m(jmYOzw>q2vGJb1%b`lS0S$%67sMUlW_{m zQSc=8bS7L2bq$b^*lGrjD94&u{^?@%`^MVgUP3b8Ro&W0>eEi<)qr?=spTNvip;SZ zfuQ=XS)PbIX{c=zVA(zS#(^Pmg?o5+A2^ICx4n$U?Q~70oD)*ZidEvMqUM35o&J&& zIvUW1gJplQSmob#=s`(7EVWWB0K#hCnU)zCHGE(vvg#0 zfxfdx(b_*)tLu93>g7}T?zSeKFyXwvx47wbg{BmRM zaA~eedjGh3$WX(_uJ*ggJcr8GraU|@)wcB#ig;MOcKqhqcB4W>RgOG8E$@sGQ%0p~ z5&1-PS3yX>#J`1)z35ZA^=nl)s#5VT)((%H&Cu^I_fS+xMii~`^b!HaeD!1?CK{}| zZbLQKn8EBCaeI3IxHOtw(%;(M+@W8ijbapp@CLI2`N&9teng^rqAxjz$XmhoPT*q# zb(#B~tb!1Ma&2A1eMLpiNdAg{hPmuOWg;|!8w7$EfGiKn3ieN$r&_|(Rm9S80R>fn z2$Fp$3eUkjPU{dVO7srZz>d%?1X*cB=DY2H0#U-s0c`(HEN=r^XhgAHlO8%0Ykmgm z0M@|%#*Cqbe|lk_99#Cmw!`+Xa;ssxzlOqnrE141&sBd0mCAfY@x>qZ9!V~9R(o|k zgO4ET2Rduw*)43RySA^9X4^=|7F!WIDR5py>j|bUn(T`od^*BN7nv|0s<>Of7nvLU zpGyerMjX0iuXuPjpj%94w&2iQ2LpdxUroQFc%scZ$X%na9&MQ}8>9(@%Et;qc8?c? zoV?!ORQ8A2`Q3*O@4DQ$PKyl%2!}>vK2V?GGCv}*)*id z&fZN-F0y$-DbSb)MecM`|5@Ryy1#uIu0ij~TlPQBEP5cTQTXmXgyZ6JLDqJCwUPy|YhD8dYYZ zB2p4vLU<`94MJ%Q873bycev`YJYix9;NO zf}fIFI9iRWBz3cKO3=iJkdR`tdQEQg@?v7&mV1F8Fkb1!Wl^l*a`&Cl%zc8UffI5Z z0tsj}M;EQA6_hlCgAw8wGc`#{q4P?1v0jy(Ju%755R)awK0X?lQDBsKv!B930T%yC zN`BSNxb;;aTee#*<_0UO{6TJEva@isMc4gljH((wcE-D_)9-G3``bu%5013>ys?oB z^;IRx9&T{lWOSV9er6yiNG=qSqp!W!R6ooM&W>pzgEZN}8oBpSTHSen>}wv`sN+Es z!h%hWgJZpv1pHEkQE#k%p&t=hR1US`#MT}@Tzk9rt1h_~$1(#%CY|wJla_;R5=!5s zEK7h@)Z{SZ^bFzlQpp$}%NJ~9OPX@?Q|YW85xKu*RL92}xcVtM*O1j+$_eSNYR5^p z`@&dj)fL|IsTSM%ipPE3F}mvJp>`2@Ei?M10O`S-j8XI~J{k}pH8Bb==6CNmiYSeh z0aYb7&%3*DVaxqWZCz&)%J-gscdP* zoFhqZamIv&(AdiPwk3_FD062vkKQh2__IL%j<1rJtE93`C!KxgS zm4cEp(tU5NzrVGl87%!bygbD!W66S|k+W(|$Gw8OU5d6EW2NpJ{qZ-g6}8*kJg3S} zRcS@)F*V4Y@k1**6!E<2qv;TnTUbm*g?qYTh{-Xs6`Ww9QjTM-AhXgXI<7(1t;!EE zfJkjF>9?sHta&n!#_Si9YpUwReT1WLx%yTqWwSa#o&8imc-1F*P~qh-r9_wL5aGCl ztYWfaaICK^s8-g~G1xLX+;HwtFR61axC>p}`$P0g3Aslue~Dw#z^sRvOr8MK4~^eD z9>J8o1gW{wpvTdj{dIh)R*u6>4|1Y4Bg1FXC&6zijrHZkuHA2J2H8V>d(veOLGrEy z7n9rIJ-~`U@&aSU)G|K8IrKwh+zBH7zaq_G2v#ovWWQF%m!;PJU2@&(@^To87+}^z3k!-P9aWP zg4`q24rR@DiduY)zt7L3o7YP&yhb+UJBHlUd^6?M6y*Ovn6DKfEA#TUQ>zoDG4 zs+hfJjP&M4qhey%r zCwR2^6A{7KeHTZEaU_$g9c|}se9rosW2_cjNdK7nxWO483yun_aQ}R)-0O#C%I@nW z<7FO2%_aqi#6&55RsHoox)3zYhSUh0ptBQEqK&)D_I`q!OyPOjC*@0IFF9c#3rHy8 zMp<7W<}i>o&%ak^-2K)@Tct0=KKyz0y)3W)t?kRF10CH$PDrUysnW@gHa+F&z_D~L z=Z1KT$!sB?BxuUnv?r$hQJ?5g8(YB*(e&Y{P(%?|1{lwJ@0L)ewCt>g^DlXrQiWfj z%RsUmT?G!CCqz9cm7lqo$O#b)dMn%(76c2mC$f6ULwkps${vT)GjkXB zH#gzP9Q&3sb#nP10>ikz>X>oaL<}mTe4{G&2s9RiB!lcHF^B}%a{~zSU_GBuz`H0k ztSI;HZv4~x2MKAsTc3?1e#(ZRlT(eXsSa+CnmN@=e8~^}B8zw7))!g2>Ui01F}coI z3EJqs&R?eLJ9W&)d&l*y5@Kwhm^>v(={3v5^QN-ooJ}%y)|=K_wHC1B=5wYmU*y%K z5&c1JxHT-?&J>z6Qv0aG|E}6h6n1wRw`Ggq1;DCm1+kH;#?eODQq2@8EepztkRF`A=I#s06#x6jj=Re0TA1k>h+v+@3f9@ZW7e1_hiYv9vg?gq zF?DgtiNHaPPiLC1C>AJhPWYioUn!H>9Dh>UEi}^9qK9{o=z_pC)Nd6%(erwv2X{6Z zWn8L48}YrP{(DWgLdvQTBUzP>b*V&hFheJvDv;9Cde7JsD)N z`4W>B=~F3z&Gf^ew+{LGfQVY2d<2JjIBDTvmxUymiY;OPi_GlY{MF4St5KOsdkJXv5LI_Hosdch9<~3W5e0K%-=LWwySx)TM9@3XSUR3CS%@TQ;8$A<5vR2N={0t@a1MThoYW;2jR#S6z zD#n-vI#1=Wgwz`=<`aZX_{cW?IitF6oZi{P**G};#IyMqHA1eTXR@CgqBJB`iO4Tl z;)YzQj)xwLtMRYv=y)5+>H*!!z2kwbl5+Rx(K@+^?A`JA*y?K+g7u=j;MXnWHB}Ny zJUg?O(o+_kD(p^USN1(oK8ub6Jr6H0qojS%IBFOdQJ&K$vjtd^@ojx)-2Kdvs(7Evr~f#%|O1J6ph(B#IBKJ1tGfhL@9C9s6L~a!m-uuf`oI%Oqu?A$(u)01{RKHbzRr=mbi>eQa8hyOZ>@vb#7U;$4`W0$ZOOBG*sGiAc?Z^@Zr` z-y$Azv%`D1`nyUWPH=aBA@cCXyj`G3jC1rc?8F*DKiD6S>cymz(V-?j(tVAqY58RW z0hUDlc*nc9AFz%ILHL5gRDThfEtZgdJ8&|vnFdEDC*tM*wBBNJkIWb&z?wKAv3dm# z-4+l)#upgd`99w2Yvmr=J_$K)GPb87_mX{qL0#+Re?OB2TH!tsWplLD>G*JY^7u%J zwQ^J>Ax|0wd`vHDm_1h7;3OXmWOGB}l>)T1>0aiu^aSpv3ZSw?%tXa6qkSU6GkHIb zm8_DMCA|>WeAPJA%18F~JRKO#GTuLaa=JgMX;E&)kr*H(josH}a+LsS%0=a}DKWXC zN?j1r$7b%!owce3NL*${`J=nz1;KH2Ah8mZIMxdme%)~A_4w{49Q|PTwzZtbZ>KNAFezRHhc=2!63ts?HDj zLO$8o->Q|ia?or>L9nzXDG)~(9L-=)`@6{WEF4zxE<{Gh-0Qq09T$=1<72!g_HHqy zV)S-Verb+-^ewfbJ!-NfKO}a@I1K*8M2Ixim~YU=+59xpJ6KxXMRcTF>QY%S!o}804zhPDVF0G}6FpV<#A@OEuElI0{+@`r6GM z?JQ6eHU2UDxz1>#@hUgqStuz||#%zW~!L?Z`FJ)XI*eW0TGga9XUUZ=l* z(UH_S8NfNPgbXV00dt+Z1RUHF$gSEg4Z5(z@Z|e@$>X<4%@`@9Z9v!2v zYB2&|?}SoJo*ZN!ds0{rI-hAxf<%Ml=>e{!|L%N;UY6I&D26u#Gbe*#P^=3Ys+^HZxuT1{7q2 zqV9){#FdDVxNN#l`VCr*kUVSJBn_kNW9pSSPf_T>wls~^ zK(n2^7PL9?LeS<+C*f%NNpYs9{xVV0nL%uQ0wx6 zvm*E^L!Gy$40bwF?pqZnF-e!z|L4Gv2~b29g9D>L^W7X_cmX;enzq-b^_VjdWT0_# z27(NR=2?Dh?3|D_BoAc6d>FKH@UC~Df1B>)J{~eLhc>Oz(0!FaqPZJMf3PrN7+h(w z`q{L*CZ|my4YL@L8j8XEsC>vyK5A>8tQl|`w(D(n{@_y`yxL!7``5EY=k4C5m;2t= zZrgi?Db3_fAKQJ0^pjn6@fU|8;xn4LVSAk2zP(ax&-Vvhk{uvBASEFDe<>ko!>c}R)3faV92k= zx2D})882?8GulT6&j5w>>fP&kz55IqV$;d^2V*)BIf{NuWTp%_ICx&rIFQ8?QKZFdHd^!Mt|S>pdTMpy4qvIad;mQd@Y60iNWt<=0^KCL1Shufu-7$52I?or zdmA|$`!!9y#4>kxU&DJPFL$V3U!A6Z4Lgd+gM*@iV43kWD1#Va4P#>RY9vBLW+}u) z^_MRm6l9MG#f1||_gDq#goba;buZU9m+1j*@f7>sn_%FWrk0R3(*zckI_EC#nBomIhq** z1lN$fVz_}5+*p~tQwmQ4!@w`;tyXY< ze|kE@Sd|z6L>w>Yj7-ur!HY5O<^*f~{rOnpIZbp1rR7qBrlq?w>FEE6& zg&hNphSoQaj>&<;@sh)88z~6tSB~R^;gV&gYk||IZ#<0!R$V>!B9UtlYO^{;CTfQ4 zP+fiQKs)RFbeSx9`+jV7M_$M()pzdi%iY@!{NMmEin5N~A|N!>Sg?TH4UO#X;bR>& zr;|FbiB0ROs$amY5sB$tX}sj`k%sM!wcbpz34OZoy^@d_>_E855r5aw|1<{FA>k^m zxL8)g39TE_>fb!p8528r;+m)Q45kW5&sG7}-uHxCRjUT1h=)mgo(?kE$_kGJgNhrH zStX)$cB@1b!)RZQi?AVEUD+xo%ZzR~)@6?iZyD4$L6spgsjSrFm`L$dT*qiBI5;sX zCJ%Lpe@^XsI@nf5$Yy80__juwD(zUjw-M-I$rnmKwhMHLfq}9Mv2ppqhMuN5U&MCx zK5gj{V16k#-5Vv!HDWSdDl+nhCs*x^7Kq6#LrXQE)F=uxj-6WaevfKDp3e`6_>hR+JyyC=vFO6)*0U zXohoV$&DF}+wTsF1n3ASL|4lK<*vCNpaCIMQ(l1RyYW)(20x|qUV zl2CuXxI;Secb%cW+`UJC1sDmu3yK$dsU`rdo5}bDbyf*0cZsp5gwZHvR|&92&X~L^ zYaE;#p!cPk_%cs@|4nzXR6COM`&iFSn#Rxn&Eo{Xm9!t*?0mhjvK{}_h}W(j@v5tM z#8ly!(w_nPrpB9kc|a9EZd~7EsFLW~2Vy&Sm$@sX#u5BSP{UZ)#&hWRtA3MamdQ)1 zFK*c-AuBXBd_qZo*C<2&bg&;c9pmN2#;S4#>kNGzgZ}Js0qTiWJYHPlzFkI+g4*nzJ0o(RcsbcSK!2#sx^#sAz%<1NgHnR zN>T8=;tOx%uvkX`;Vx9;aeD+M*V>FZ~q7N zU!O+(1gl2OkzncveMJ3$^h5ukehPw3qkcg8DbuL`Ekc_{{g7GFH0rk|f_LS1A`(u0 zMExI0KN<10M8c*~KSZHUqkbry`VsY;eUk%Ff7~?bC%=Xl&v&e*|z60qPe5o#Udh`YPI-QELC;!t41T0O`M}vwPj*HZ||7!jGumHKsPs_ZK}c z?CCpz`jw#o^~e4GEYikTDl3UUIjZD|qbo0m0@Po_XO?pUH38{Do&1vKH6Z=_9Sbxe zR6zRMi%A@YWmBms#5a7^enxjT+u6e8CZgaTvDH_{YCv4oQjSySX>0ghqHP6Jv z=tSMcqaq>;;3D*Qfcl%gj&V2A!O7+W)L+$B?!gk2&GBvHhQ!KG0@Uv&Cd~iA_+b|) zZ+BdNHx-Vj#x?dzrR0{O`Ba6Rhw(WEiI5wtOaDMsyqf$2cZH>KhV- z{)~ogodT}DL^;-Au$kyXC04g@zx+!=^1OyfNi_n}2u_r=HP*DsG81v;Mig^uz z4DpZZctD(+r9!ZP1?W>8?G!#8s4%8U(0B>3uXq=ud1W3YwbB%cDL}&a>f5>cIHs`N zO{%HW6(YYs)_nl1f9h3!a2zuMI3K48Noz|i;}q8EKt)$*0Z35ADmh4rT1x7b_m#O$ zTW}d-lEE+rXwJ(5LyhFNzG^(7m*nov$41`RR(aKNLV5wV36V-q#EQtBA6tJFpOEo3 zU(X244~m!KgvWT^W@B81d!3|iSW12Yp1M%Y(M`zt9q*deIGl`MsL_rzZrdv#2B=@y zOj)KHZ+JT{vdFJ+XZhT)5rG?NSn3y#fnwz z{E%)jAi=sPh_uk-L6`wZzm|KWU!$5f@!fC}qhM2t@@$EwwWB}Q2!usxl@w?yS+};~>?Qj*SJZ=n zfp6MIq-3p^H%?UY^AwCBfFe41--;cTN{hzFt>3y@+tA!XPYJmXyhBx(h&Z$P1?U;?JEv_n4Dl1;>tN1K1BF(}=u$ zt)aK^$#D75STC`Nm)B^lkx*pJZ2rw#PXX))6)$$QvQ2;n0%kb`2pgTY zvzG~+_!0bKni5FXW<%41Oz&7(Pk&pvM0_5dROE;7K+)%8$0xFfZkM^oX+h&yD-;km z#}()+`xLEfW0{Js2i@(RzxJeSnsjy3jZyxok@~s-hPn~QO55;@9pcU#Y^|oL+}&T# zOeoD28pZdI)71<rqB0b*-L*|(%2A_O zMDDJ-T@bQX&3Xx@T~tc9wesopER^MCB#fLD4t11!c+n?Q6s^YEp=ncG_2S!Gm4HDd zv17o{MS%C@D#QKbHJTw&e`^=3Prs((1YJs)6i>so0(}Nu`$XSvI1xTA$g4pcmZbx* zsvx9|Sz308*(R;bV(wb;AEOz}oc$-wzoxz z9=Z!3K|c^$LDNEOC3TwgLo2-i>1Sd4^Ds~kc6|>>{{kKO#}U)g>c3qrO&)Nw8f^cv zpPOd)SNwplRN^wV>d*3^QeRO^QY26%$z?%+^uzx;I+!r&UCw%ze!$Qb&z4Dl=mEl{ z+1Bw^eUAv$Y<6B$G|l^8XxB#5^VnZ=cJ*>Mvg)KJ_JI0#ip-7W@n`Bf#Du7HV9Xo< z{DOGX!4uK3JLL6_Ga9q z0igd}y0lW2%k8FT;@I+hJ#edm1Ir27*DY&OJT|TcMTyH6_muU?*@|*EWizXn@Djgx zB!N34O5der6jB7!SZm<@>cZZ(H+qiO(;CeNP8lW91;_HA{O6(fonI73|K_{65my z@Uz6>KIKsTcFwLI8=L*8^o6Onz{$_F2?tt&lgv+5g(30E|gFjCB@&) zy`2-7B_L*%G}Kf+E%%yCnRXwVSvaH>Ad5Nr=!E>>no%%^G=g7H4%HZ|UdS^gCZLsX zXR@?>^kIRqovC;VE1N*tjswY4Gji*z{)flKn$c;`T0a7++F19eal4=Fygz7G+Ub{G zTi^cng7Ug|%ssa$i@XHqErdX1QN{Cq*DgiSB^qW37;>MFgn z&SO)#i=~F~GWWJ#wfE~- z*}yB3l6%fIVdN+0AAVZj)8Omu)%<0I9gJ31ms60h(xEb%sm>6 zWaKU#O1t*-;*hQ&h?OY7x-}_W9W&(#SEli6;bAeQU@AyE+FHIAbcc=a(?{DIwtK~c zk+G2SRUJ~I3_Nb4mXAFHkEoP1-E_}lXYvV2@_rlEEs;q}07FcUXQhC(Jq%{?6u?qU zs(RDb%K~SvN>_7kvlN)ZKz*REG9_ef%UW;Xw+*AESy>%}-6g#wv0iwyWBM+GuX=x| z1QUDGK|k<->{tdE%P>?6ptNWe535^S*D)|IqsM@mGZkyR2Xs%B^%JUN29AEY0sPNM z0MVa1jTN1|z(9cIKcih*>yHBg#{alY=l|n2*$iO~fm_Xz!8Aa#Im3I>u6M1lva#~|UR1(hK;y{)Ihb8i?CZ&CQ2Lk`ULjXUiz!1Q4*UQKLX9(aL zen%6~%?+6ZEjkZV-CQzK;W4HB4A@hD@jrk8faVWi06?M{1MU>-E*JpN_~7W3{15d{ z-|l5!>wnAw0{{s)>E%tE5z&dUiPe+oT2Aovhz>zV@5j+G4y&K5y&Dk0-Kb9B7;g(M zbY%j-CIMqgGWqFg5?bLd-VMe8s`EmQUzgUG)ffNI7{IsZFI9gnmU#7uDSFv8obUlm z0#q5stDhXeO~&v%_1EP~TIxAr>D?s~3eV6YF2p_^$m*K+#-?&e_i+l~2N^Mue}NJB zbbv0;=7boeJp*~AMwwuEVocw7EtO}efAhFIMocy^{P`qMJx0bwhsNl4xgioY*k2+_ z-C+B;-xcuSQX?3-$mE`C*~-!UGX)UQi+168uS67jr}&9`fVYGKnovH0_p0o%7fX@5 zxMb)Rj_j+pud&GJ&em6rek>l8@NrPhI>5No-MT=f0BZnlL8S&b>92VgYm6hJgh+NG z=oAM*{z0|*Kb4D~ght8-_176gH{H{~q-iN=&&L7(SGL>+1FYR_kW<=w&oiPEB$S$3 zmGp5UP6BZmQv-xGDv>K%+vsdQkTj2192j}QTU9smNSFBZ-INgwX2!(f7jI>;4OQvO zR>b_LAA)MZ`||0)Ucu&jtXu&;P7`~=fBV+|Ct{xhL0%m2%N_r1S_5Jaga4@ptZJ$Q zLUn@3<0wox4Os!L4wO0#vLGSr(IqYnDYgW1M5&V~l}JH7k+m@RwhQh10+I_h0R@2t zLV@ULI6}2XVJpHAmB#gLWmD|1Ul@cn8(BGg+Ld`jEJ%dzJW)VRu zyY9O@kg%18{tK=on1}f>W`&Wo=oRueMer39SF^A){uOe<_9FCOge&J3{ZU8S>M>>( z=4qN?Cis4dJsTUQnc83k3S42j?vuzp5yUdm_Vr6IEreapSbm~Lsiq1VxzTQs$I{;) z5kwcsB+Eqv+ilckzbHwLkt(tTLn6s++ilQtofH`4)G&(-Kprsg*t ztY;OWG4NJWH+7|HH@x-o2jJgY7Mlw;tXyXMXV_eVy}vc=X0zkd&|Us8G+@cMh5{^} zBkLU;KTV}uJl|~jBQ#cIyBYn1;NvktstB`TkQZA2e#YjPRTZ(0k7!6cR1}8p#M~Ud zx*RZnfOG;Hqgja|r87FO2%T?X8SsZYY^j?*DK0ke9XLg1AvWLIjMHqVD240MfYmjn za6pmE7Vuv1FWWuH!OkWEd>h<+@?t!ThX01io0aBTD zEM~SeFi%iI=!R2i)`9cxI-$J4NxNrZHrb}$sHgJ+I$~BxTNmy4%DX(wvWn(`#8^As zqMV^_fC>J;B-)x;TMEf*?83-$leh(Qid-B_NrZ&!>vb#aHUwF!2yoLG(~u3Wo`jvw z_FlR|%RhK*p`o{Ik$}zk9&!^^WaZwCBw+cd9j@Lfg<`CMmRz zQU+~XN_Iw;ou$wgyLfLe_jD~GCWI~g3i3x0>vhk37VRkNbKV~sgr)Iq9*1<~IJWJa z^LB%yns$xiJho?7i8k(b~QU3%9nufG)u-<`mUgIk~i;dugBW zoQDdrC62zILWgPLTe0vBE|&2qb>+e$^scQt;mT#t4y+zpW*g-ZRpuWG*ApLke1iE< zEY=WzTj?K$8CL#8Xt$-B#YNFp%N-M(PliDS+xB{aSLd^ecpBJ~?E$LNAt zhpe%)tHYvb^f{Eo^?Sm$yr9QN_j*JfG;`&Weom~j_^D{!6O3t@G(fqhqu5@?IA1bc z&DNMl($dtF(OD^BR!+P6FllIJtd*Iill6ujnC+P&VbGM>iq$1K*pk8{P77(|pDbg; zDBn3)VcTgX9!7I{7#R;*MBp@3y2jBi@z;86{4>X{Hu~bSukZz0-@nhr{7iDav<*F5 zM1D@4y~ZyGHN=*>=N7HsyfKtEFUIxE_pzF8AGNy8udRSUPYdnr1R#^7MwOdQbAw zf%$Ret2X(*p?sd;D&O13TlRKMNyxt0%cSAN4e@jSO7L$~QQdS+B z-}ZSKZ`odGVCkAWiIuV#=N(68J6;USHnqFF{fh{aMaJfh!TTR=IFy!jo>gD8z$d9N zrnhYU=G;TqlYhKLI6$3^QV-vvunxQQrc8N$RuD9c=P>77N(f^KOIk#{cvx-=#VqB8 zewoC&PxL7YP?K9}$8rKU{;Q1D)`0D{$+2BJa5Sn{p0h4|*;g)>8v;wx>{jI$U3lP8 z4At0@cBY4KJK0XW5qT)pJo<9R`3Mq=_@ONH5q5*h{Ba@4#kb({>|{!?^(Tjqe+Ww$ zL(3fg*u34t3|@a?v6OW7gn2|m))%a^M5e9TmXlH6(apAaahpR~z5XPh;#ZL?-JT{@z@l_)}Z)okf;`7LrJ8XXxp3cHgdy*yGo5&1B;lDT8>U;OqxHrfBCG zw-(zumvbW*+g-L>e&gH`TcCNzmu}r~{#(1#o0*UI_|7wzU+8hg_wI8KGuwDo6Vksu z&o;swkF;np6L?(OYJ0id?=)5u!pXJE^IqtWb0u?5oBb5C@YUgbHP3=jI(m5#oo9P~ z`6*kou);k@>~fZ#@W#f{if-8EeOLUdtnY}HX6ugFEGJxPxZ>|St7ggUo$9MWhbw6I4ohN+lIl$F5OxNk zo+W&0(Ltr(XIoj9uf5tzMZ-f!=loKZ753$#&1bE7W$D(}BW<=WA<&&}Y~JcqWY6*> z)ew?$2wT6+iT|vj?04Ly=$1wFip$@Lw>qMC%a#WOMO`jau}+}d%eLLvdbseW|5giP zc$wel<`IPziScvM8)ey^!QU2se?8bpywcF1!ES80^)guX3>_o-hL+N_wRP#77v3y-O$mzsWbQ2@u1E~KblEm%`+d@?myix{+8ZA^`~31ClP#Xzv%vTE8bf{$4eGg-wu83nQpqL! z<>{bjwC`e~zWDxC^RRGZ&v?+YTfUp^qJEM^dCL!-n78#`eTT}E6%G6B_EO~GC42XL zDGdLdx%c1(X7L~3u{{@PbFrAeX4#%EI&QHyYToNjVV%#w|M{)j{38LoapTRY?c#^0a;i<=dE4ehE*LPu$_5U)+U7yZHFi0J;aB&@ z`>i%Hjt+H}+n(Q&V7GT_B-|po*Dw^8j!hY;xEj$L`{X*UH*cW(V$`573aK+Bi#5-duPsN+c~Rs z;eY$g-S@4vdC0+bgSBVykEUVsYt}q9dq{}BV?6NCcbj9z<@H-R!1 z{9hA4EUA6&kYa`p`2 z2I=pV_&s<&Kd$%>d5$?o@tnS^$({r82SbjSV4F<8Y1|vT@Eq;vYVu#}%|pzd3%5!K z613N03$sl>+RhrCwI?`OSbNqp<@$EmGTZ&+`dba}uftYN@$s&1CUzStR>y>tL`0|50`SoZ{8L#-zOa6`uW#UEVY5Er)+^u|@5E_eOF>{f`_=hb{0@^j8)&ADwp^d%U5+vj z*Nt;=Ia!m zEj<=$V)owWs~TV5IicHqf3q!43Yul_(|I=Z9qezi>Cfx%qK!d+mSr5-=JO}K_+&Y^ zOSF1kdo;WxyfNsF&kobrWAG|Laa~ z(Tf(`z5NM3o(WakX*US}(EpZ!%iO)|Y_M#OZ&kvEolU~OQ34Bg_ubR^j2u1|bU!?C zEciYlQzYIL(tAC5S#a-*2ce!RdvjQ`f41zp z=ljIqU;P5PZ$2P*Z2#b0nkM7!=B8&VejtF z@{0au?wW=u><8KJ1dSwmS~d2SiHW&uN~kCq)kD8lNE4z>&re zqX?}_^2{HG(;S=M_~NoHF7D}}s_Z<{8#}mjo-Y49Ao}C{tdI6`Qa>de_mTX|^Kf5J z9>ojYyZo)}Pp*ILMa}-5kWqE=9eTj^Bk$ye;xac}2{xwQuKepK$aTVxbB^9UntI3U z$4f`l#|(L!AOeaVTbHLkQT1l3hvGmBH@Y08ucrBk~(SMI2%v}&uR0Re9a`M{+D-+Ik(+$S5M$ku? z6*tmcmanm-QXiH!kbJD3c>f*JnB zK={8t0geb`-}JzjVK6uhcKKo;j^i>Y9?K_z(7eQRB_4}i5WbP*u>*UCf(f0*9KujA zsh+rZH{nQ1uXn-Nz-~Mk1XzsC_1}CT2Dc!r5|cfJIfQEs_gLxCc?WlV^OV=|aF1Oc z|6Yp2-1EdOUjejk{QHR>e<$LIo&mV!I8N*ipmn=m;?GnOR|9j9IlBo!zsFq%dN#h+ zCo3Gc&nGR>qthdsm>7V;kk-Y8v)#CC(&tx+b3IB3xStjS5gWfC8b3j<^Co{rVs5)r z#qf*s*yX*&AG_GMI)4AjG~8H~cL%YKl!zn8#aqlf@hh!9mjZBOzNazol8?QUuEj;| zzy&Oshp|+VoTNn_hr&H4e0<;aEt-{}*S|X-Ob)DA>XWz_6Z3B1{_Q{U2rHBQ&(A3e zz-AH-Vc!kfo%lfro|Eho=W(bCNYhc6wPn~tNgoG)8IJqW=UAeLh1B4g9Y8VQqD%)r zBeZ#p1$Yl&{*Cn}MTYpLc3ng9qrss;JBahmzKK&7 zq{aYGJn7Q@@IRE>?IHeL%;GmLS7Ec?$n|*%gwF+OxI5tQWAyac4%7+lisSHUg**8}`l1G-<8NM!R{>>#M z`Ny@yWjo1U^Fq&7Eolxc!;8Y-Z1#=YP2aRd2uWxV(8jj(qG+GO%@sn!l_L+ZMh&$FpMP(iGhK z&%~B`+@Y|g8^cLq_{_w`9T?0KUclz=xO4LGnj`q*JFr!Y6RvDp>hUTZXW#J#5hy{p zpWeV!E#73qe2U8sis~AMCjERD#dn8Ce;G~KZMfCzwKAHW=$jV0Dd3&^Z+tNmo=}0y zT%%l)7z^&A(ZrQfj5@-3-P7y$xX<4cw)iEy^KtmnxuKTppw+(-m;K&wOAvNjQ7v`? zxBmLF_ks<{6lktr@JAOs-yV-^n7irp__82B4>*w&NiL-9zkt2DomaDAf*TmTE8t8} z@zd|aGOqYR(atzC1Ud;TS)8nrkt5u zw%D^|+hgCt#I+MxWngiY_tz`SNMHZ!^WSK1SIu2Re30-#IQ}?xZTMGJ=`UA&kT~b7 z!2JvBFM2j?jR|4b9{32?8thjot<{;mqJmbf_kH=OuOfPL(89zya_}4}HuHknMj@@Sb2cLA zyTp%ncpP6t2?+c5Tsme&(iMHMCUINj@1po_huJ%{#w_&;7uI7#*?(Ta|dcJ)nl9egAG0BY3iE^>Bb85PKaRSoW{oZ$fi_ z4Z0HA^J(9rMfKrtRgp}KapViz)hqK84~DPyWs(A~8J|WklWd4uw(#fWhu@8F#Mnwo z>{X;?n^K6YYv;ZZRCWRD9T9zNci5qjNLm2liyil|#E_qf;p^VO^0#&bk;9k!p565B zUK4it+3=2|QBv>suzriM>#M>Ko%vL<=W>fz>*42LW8dq^f4b~%f7!M-I>;mX${j3g z?35Vii^JGezZFcKo7L$JHS(c9<~9?Gh}jm+b0eas~#zWG@Y zt}QM+coA8QUEddaXl>ZUL2`TIn-?zs4VNrW|F8uYyF4qLQtTOp55wRN{&iIi?qp(y za}F*bx)zfUJ@{|TM7)B!d$vo16qudQ^zDbO-tA3&aUm%(AY!2!>u%RT(D z*zj<0LTHKS>HuI;Lsllb%~+b_4&JHCJT8zX6M#huYEH3+-ipS3k#`66{`ZMsVgw zDg9{EQ`*&%7+PUEJ283b${YO$BUVC3Y`psiS?|-FGT68H{0Bc6z>)i(>VBKw%gKnk zU$OwZ+VgY0vH=Xg2Y5dJxkVu&f4S?`TkXjt#a(&W{!#BhuiDPfk2M-}LP(gkap*CCscyvH2|4ZNN5nazi1U zxEJ=%0M32bW)88f9C$$mO-Ydeo$xe-eJhwBZIyIS>0LQ;f2H(!d79jpO6S(K_E_FK zrL04UF@Y5Y&h43K?}WTtmq%wBhSk!n|Gv# zpHISXZk+7+5^w!;jE~+n-?y*=*gs8?PowkSI}`kT!OYcwC5wOyAAf)Fi)!Cfrf(hL z8T1fs%+ggqN4ABnds7tM(Vh|fJUw~)Z*x_%4pCq25DmmUQa8+f7K_3DrA`lS%%A@v zn)h){Qrs3=r9~L^NJ!1Oru}>=7qgVRVtz$bL)P|+baQ|9HF_dzTlTd|>#d9YSi!zC zf1`@CFS{a-Hc<49>Df#RxLmRueKHu^v^oqpEBzK~g=Er--S%RqOC%n-Z>B8P-S1pL z<-dBtA7Lu_E3{v~cGkpio?w5l$MaSxJZJNl+Yh}OK1h!6Y4uSinKYx2S=6Ogn@-5K#XXxL@Zxq^&{YDl|kL zh51L;)=>^gSenM_?3QNfV!wKY&YMQ&cS=0_s4ql!Oi|@&TB`6;@!8WOJ=7UhKrh#M7KEK76b!>;i2cDsS>Pdryj4b-D1Hu8_PdKlC=;-s#cfw?-ICn3 zNxd2($Fi=}aMw%DCUXc(J1ce6RsxYSIhA%TD>Y4v08c?fzAA8#=i6D^zK?SYoX+h< z=Bn#&G1G%|>H#hpc+F&_b6I<2?UPWy5@Dr`3#FCh=XX21IX)lDw~pRpr9$W&v1_`L zeB_5;98jg>2;ygGcW_?On_$whNFM1XJlllsr-KHFEokskn2xVe9L}7}69b84SS+Mnot*`+imuNIW8l5sNS68vuR!~$K z{_DU?bH$|E5QCy;kPzTCL2)sQxg%0XIxme!;cRW@y<2K|y-d}1#>SC(JLtLNXFS$5W z#5r`jWY{U4F$~Ko`+A;xBa|eigiBU5)*e;np%hbTOeHCUS7{jQ=Blb;vPss+CEaSY z)d)_3Q{jlpucoB7S2|L}v1!XwbE)c9l+k+mhJ*8fDHSla5J^)W99eK>VIJ@&a(8zB zetI0d$>uKM=>mjew!QRRz)Pu$=`uyCONWXPKi3%Kjr5{SNjkXlvn9;A z4Sz3Sr6`y)@cD@c7m`Yf+kVRK8g~}x`ixCZp3lCb@z2}M=U`t;K}&gbJkPs$DthJ= zD@jQ|;JL83#EeY!^h@jXbi>0B9vC2>V%qIXCU;!-?@B35Vcwp6e1Qi4Gz9KxMC{;_ zp2{I_n|9>ce&i~;)aQBB!Yb@uf1s z+iK-jPB+MdjJ;f+cuCjq_4eLp8xMhXUTq%NSOzQhG?<1o=KkB3ckMmwvOE^$&9h2E zq}{jy1XGAqBWN6Nr{%L#+R;XcbeMBJ#?HY{(#C75cpC9%<}))Ye|@vq&QQ2uis2-B zxv4J$L>_Qs-*U7ef_vgSR_eG@T8-Ke9x1B^oH#~%n%=MEcIgV6?!gqzJ+sZ@=Jyom4J<}* zhX%KIfV4;}H1CZ{%i$1#zXv7PT@Cr?30r~&tflfN4T5|qaHd6!3Y}eBoLV~?(B1)& zjAzVUTRDPbLWrC_T)RfxZW`wtx+(ga@nj6O@<#sUI$6N=J)&UNh^1HV_c&cI6gCad zx2Lprp03@YNIRvSfdlL&@R5eAou1Y9p{ZRx%1j6MNmG@~SqPy<`Ms{Gv*{%|$ZHtc zK5(Sh+GcaKlPhbmCTB{}i(nA_*P*m_m0oOrbWJCwSyNItVXxi|W_xb!Ko$ zJ*?;Eerfk4)7W11fgB>ajrY2@_LLl9@8JlWxg;e(SjlW6N84Vtx)ns@y2)Y2ZMna& z6CyQV`fGi!ikR#?0tX0fRzO?ItRh$Z=Xm!l+lDYJ@B03)vgft?CNST`cwk?f9RLT; zKu?baJ7x=@H$1)nu((+bEN<2VBhj^g7>TaTF~rN2 z-hZ`upYsUl_oY(}!Oxf&?BZ2=V6gnb;mC9(AyO(^Nqcw0SuXFvIqblHw?o+iv4opU1a ziO2K%H@w5FU)L_nbnlOO!cRkz3O`bd;;Pc3@g*D0uAzM$-b#TW&PXBNXbs*!#(sC7Ez#PuH_PkmUv^adrLe!(9^tv;|WKfJHz>>c^A%r*}W~ zeLm9v+RifgrP=JhL z2&MFm^ENcZJ-R@z?=lMM2!7U{5^l7A2b0}e$Xi-=r+QfZJFud?Zrln7QF(Bn%m5?W zeqGmOyGyM$=%?>ASRa0>WO5P8EXAeYZ3m7R<(H<5FW)d4u{Kc~BpM{d2v-pjG< ztZ9E64y08>WDr>@u0W_ktLvZ84vyYGVBq=|#WXq;(vf~$(=}w@V5bc0XMhVaHJ=$EJ zdQe%Q3IKmB_V&XArO9IzPJMZbsHt>#q+h#nY8vG4L**&)d2)&_M#v*ersvn3bywF~ zq+OPJ;P^xS>Q1Q~-0-vA5^Q<-K~ zD)1e0v*zCF;__pJqW7}3(_JrJTfl30m(navW8xYm4WtmoD$ z(`5tO1n~3#-*f(_%k~{0b^t$3k2eVm60tE@3IU%)2*Sl2_#bSCryH1s%%ao${=3bV zxrzB-ZMIL{f8aYjyos;DJUf7cS_l@mFnrd4XN8Z47ohQc6XEd=FyC4F%5(GXS$k^? z?!$1K=W&mKJD8Jz$#dka1^>@GvoN(<-!NQ@dmUh@jn0AtWQn#Q^J`8=;!@WNG#a)l zz(#U({|~#Tchnr{?ehrU$ycO04x5d+7sR_jI|1zvg}&!6+k2*BJAKJw-Mz-M3#1B* z98V9#{&r3eFmKB|2=m9U$@h;uDT!Em=Yxf3?2e@KkDzpG+DiD_u+0sQ^A_oZ3*AEClKE+5&xa@_r1Emu#05& z&L2^@=6V{RH{73D9{+P!JArFth=;nCXM#BQp!#hZMls3 znl}1TAd62cJ-MNFvw|V#R;%jPr*mh^l1Vs7DY5Bp-3VY~ACDB5v`VP$t+2lXL}5aH z#Bz>j(>XbXZn@l$Zs7RkTjif*b!{=kr@?{1#@`=!@lAW#hZ z_d`98pPwpar=E}n&Yg@KmIpF5RmS}%Kfet^@Y&*On2~XboX>6;t zRCK#hlBs620k7rwHd+NjYJ5ion7PVO!1XEUM`DoW&59p+rS$A;mf_y1L6p*K zrI+%&H(1^QOoATS@f#3>rrk5_M>pXSD?EvJ}#^nl>3_^j|&{7T#`oRlk5b+ zrrkEC3L1NK*8Dct+wuaQXY74c!GnX^1^3EG&OC%7FtoO?1LmS-(0%|X^O>GnW1Rs# z+ond=CsvUEo8seD{=-dnVNdU5VfqY6lX-+wxvuimnf7UC+q6}}B{AUYHA=UfogxR7 z)9P{5-)uf@dXfqI&zPJ%Z(tiPbeD|uGkD;Y$K0&cGt4~cHGaPJ?|GMQ0C%sEeo$uk z%g{*&RK+DTN+Y2|xyXZTbh3M5;B}Pd;$FHref zU4Jg9snf@FMW>uPP^0!f=q&(|Sl2q`R;CxIC^c5q51j}r)he@{hD}Gn&)Ozb=w4b; zQ%yZUQu>^lX}oH0o;s5@yE%;>i|3N^SqG$osb%|WICyGPd?!qC>Qw$xDeIrf3VH8@ zJEv&x>_?uyRrpk4c1jW8UkCf2a^`c%%9iNzW?dfWA;ujT7t|94SONr!8%z z+JG&)PPMP5cjS$IRt-C4)HLn5(OWpMX><}o_m<@IK*7&GEL2x>@m9O=(0^(JJRcbI z7lGjI@8KB+_)0($@qdj_B4CL?A;I`A{vVV6fLW9N6@O?Tv(z*n2c~QmfH_+-?7-## zM}AAKdls4&11$Mxp=qyeFi*T`!+OZoz6G3M72y0XITb$Zlh*gzCymaJi#ZehW66z~ zr2R4IZd+7edVo^2^PA!wi*;GcLl19J3vw^GmYvy~c`5NzzarP_A2$NR(#4GnuKb01 z?w1lF@DK(b{+6BCf2bDE_MZRoJZZjkaNQ@l0@zzVcj*<(&meHC4*CL(<0~8*2;`03 zo`Xf~%%y`3udul?lD1EwI!!guzLFJQjXhbh!IFK0Hvg-0j9aJoy@Es0+x4;Z>~p#L z9|D0B=XPb-^Ycp{g`c$|Kh-$Dw+*BI>71o2Z#`YHeC1HDMXjwvgUsDyYY<)k=qZT2 z(oso!SlXsj8hhcnQ$m;`--LPf)1%SPU+@pkYwOBCm+okn+0tp((pS1Zqvu>yHo<j3(|8C&S zX>?u6FY6eTKQVM50jHevze(S9!)xC13wAirBu+sB=}qOS=qbcctyg_y6mCSwz#I&j zBfY=-fP9W=D@4A@C7YwBcb7;ZpZsKpr@Da#oOB^yKuNQo0D0hSfA}WkbLl18{uEiN zlvbpo!eT4pXC1F3^?u1NXJ4-V*ilnhZ+qJ6uBr6dSaUuPx?XJnuJgduY@~l>;WX!; zTVX%OI7sn$-X@>{N?btw8cTIWidh~5n1O(!;x&zKJ&aiO@xwhY>7XS#c=_-| zTYdCw^4X^>2GM69$8+$(g;DwBvvmi!q@v!Db^5X&_+m%*mTc_-;L%Sxn)C9IK-yOp2>4G4VS#fT%)Vq2p*vkrNvn&a5~h1`6#1# ze5_E(eW4T#aedQna{L6-56hl1Gmt=8FFP&CLc0wIiKj>2oYs_WG8$XiDGU^vvrGVb z{cgvVO%v2s$k)lOC^@22>P#J6(w<^SZbU(e-wa{wtM|cy+5#>tNXxzqh%%Rnt*Q+f z)@k?mE<3^{eZ-SX0Mk09K!*nKJ1*ZjHq0aQMVu;U0Xvn`zJ&*xS`MkWwfc>o61{$~ z!J!H`!P;LlY}vhsuBJ`egePJrp{cei%El$W9qiI!D1ZqRV$$JJ6`{xk$( zCvyk8CroA-^rk@$x!VOI2XCZ%J{m7nFlpoEsb>3Xp`y262m80lo9lK-%80yn5s%z=TjPDUU7Od> z$l#Liaw_|(0Z73X8|i9u>cQqypg5N<%DHUnZ7DxFtjz3&-&v&v-4&gDBjP79FL9oY z& zzg>1e-DsA+czTAWYhgze0|0Fq#1SxO69!(nYpgtlO3Q=1&COktmh@q+&t`F*i>51s zluhzU-rN{oV~x@fZ-yybCu4812R;`7V^cKmWnP?-mAbEl!zD3cPJXh4bI8)}g0Dc@ z+|t?J80!}SAgqp`A3aeYqnK@aJ6Y&cDp&W5xT9;T0PxDlt#xiKqZAtB3$>`fhMIdD zB0ISx&Si)?T8x&bs3i#4SY{c1;On2W|M*j?fnVySOo-eLmlyA0X$nw=_&b%3>K z+&-z!z@0iELB)GmuajI>tMOQ#Y> zh52w`u2fp7KAI+{yMgUgnjwbVSipmxbl%f}>0zuE>;gFd>MOR68|UsG2*zXxa|h!jsR?U^*n ztl$DwvlhAmbg>K?a1S^9`;`H7{@o7I*T71?$7q1;$e-L+#}fXz#7sRdlncrrN0CdZ!J;6kzW@qS&Ub zfxJ2!?P<<}#;@t}cp$eh^pI>)A6w81i;YLiyrsA(;C7AJR2r)Qu6_D=nqt}{hbN%CSlkdJr- zsX)s9;9QBlXHqIOo`8I__>(-r#HHV3l)xeV^SOdStHb(j5~H}6$FmQkfmDeqWSLMu zhsPt@t&cBsQq5el&M~!%YSGX9%?XbmWY}R!1Nh}UlF|(SySnConzeL*jGEQ|DgUck z`@a{@@4O}?`R@SE7*^0|@;#yL{sLImWQI#T#?S45-K zaNu6PqIon9G-HkZbDzaw&+mRbn`(Iwew)94?iO0~BdsC(@>$B#%b2B?=lxtraTVt0 zj?%8ld8{qj*Or2mtID(TX+Gi0?9W~$(?~T@ClydPQgJYYza@7xx=X%K9-?T7g5_Co z5CbVIDc)CBC6W7@_7pki0iK1ZDXhE!NYIuN8~dG@`Aeg3K9DSr-grsYU0tM*@xy1u z^dM{PjnkYTl^oOuJX;{~s!BhB8%KiVTj(P_NM`sZ!;Qdi`P9MP%~w|S2?#e7N4tw<5@Y=#@;Ul&w7>tqS` zrPuYC3?MH%8WC|C5a?gPfwnz}ulgS5I{6=?9CD-l$+mqX3J}43vtGQPC;v)=1omr3 z;d!#Rr(iKm5kzK{eQbTfk2*$2d}uSu6vNi~NIGEuJPSkOjFXp44*kPV+vPvZj^rTz zPd86~k_P(^L%titX>Kl%Hha;4LU%Fvzv-pZ^zieh{D&85HLBocTHOW_x=dJnV_H6T zU05*elv$1dZAPAgP@Ibv59lr~c@@e6(7&$9e)mFtB$I=8=RG{%?yMxuZ%D4F4sOZh zn@gM$b;)vIqz=4p&yFV{fIJQd=)?#_Mz~)5rpEHrY^_fB)DHB0@|b+UCe37TT>U4rKP&clWgu*BU#T%;@f&F zevP5kvEOkyD~Uzr7mp{i+|GiE1h7YOy&Lui*cuT(8nY1t`>mh6Ifnf_#qAh*s{7LW z`76XvC%V<%uanOa2|6_2X?U=2jbRJ(*G(BUZx7e6-0P}~k|(19iuy;xPf-ea_b(FjeRH44x>=BP?+z&?ApJo#8U!&I9ua^5=!$UxX;h* zV5q4m4f1g3ca8JgV^P$1iqSgOBy)6|t++0W$dlTV*oG| z;3Wm3O2Pr|wHb|NE2Ct%Yt+~j`xNnHAb@n=YJN|Hq9nIqn;Bq5>mxYk7&lC!0krB& zdt^b&&-A2zq%q%>e8za7BxgW*zOtW>`fSQ$CU~haG$5y}t>xv!L?N z%uF#G*QeE7Iy5f7Cnug}(lU7XUzwKuAWrw6aRs5w~xFk&3da}*tu6IE78?G7av%{b011U!pv;>PmB zEXS-yd@zrnqqa3(s?azY@Dxlu<@mk%{f|CN*eJf1@XL+1I5DTK^@rv6VDF(^W)_4m zHearIsvWLdRgD5H)7~t7h(J^K3 z^5&sR{O4SpcBtH{$YQxg!eX=dt5YR|wGm>W9T9nE@ET(kCXI#=ISzlz+-9zgkN{pf zsOmu@N&cW@6m>RzF=0U^riMkx8%FYamo8& zk2F3ww{IIiQrvCG>k7^_jCBQMsqK%8QIjC<#ZT_^oQK6CAs}2%eK(mD$o7K?`Ar zb=83lu%vWA>#C0sHpOUPSP;qk>h_cIfR9_o5;g&2?LqZ!eJkoc?JRbEDjzE=&!tgk z`Mn^lV6(>NKPbwe9^vA0x1jZ97G*~5XHQ0m!+Oca`d&F;BDjk=xN4iTdZk7&@UX~i zm>eYB=Hf~=7c}x{)*^iuB0us!J&r8UpuP^a)PVo{Jq~O*01!svvVaGCR=wNnKtI?# z0YrKU=xP`eJsyze;$-eo--7tPcFJ46Cvq!iLMsX-`C{`K5{-%}iNzpZN@}g1PHeZCFz62s>?AL*$O< z7(ry1=Q=}E>Y3b&%H*Y?oe4=1p?S6jG}Ko93JqCqMMG##L~Ve5ghSF5784tAio2zb z>8oouf1b>Pi7G?G+pPDr5w_9$fKRBa&8}lP#VXyu04xDh6&{L^XN-NIRcEO~@xm>E zEO%H9y85E48+pI)Hp}7eKzs^8Qt$a{e#<{vkq1*!XG5F$1Z(HRX(afrXIsbM!=bVz zC9klEk6yUNiW0TKi(7RZY`%t|D_biTY;`s<7c7Q#*b5)t4ZT$H)IB`XS(+v%x}A@% zx;Tx107Pg25twA`{c-wIGk*nqA6+C`n1WtZbS zP~x84*(f#HyY@8G4(O?XsnHJD4{P}`fJyU)xJj++1vLY)+JH_1j!zTI4m{`YSO5*4 z(+Z%x=?hzM!w_MBb4}1O6_-P0v;q=^d>m+L3|%E=*UZpZXvMr~Nvm6SGO`jkaP`1l z^|g-y=b`BYz+q``%Zbg17a$V=-j0)tot&vYpkrpS-OS;EJN8n5*lB^@D=}ysf?S4r zuslH|bhca?x#TGpL?d__y@-buH;cdMWaM)(=BSZCt+Xy;rnK1VFA7ftpf=)Zw>1YQ zZXTFm?`%eHXbZ0f16D4>37V|Mkpt%eKvn3@uh>los06bU_D-i3L)Ttj+f~E4>Xxly z0Lf{PzY>(xkIzbBqE%oA)WFsGig}3fM9=7JzSCGgZC?psR=B3YZ?)F3!6b~Fn9W2~ zUJ^uumsYqC`;hoT@QRS)a!<0f?yHc8`ZYI14n9i&`(d<|T>8!M4-?fFWphrD@%H02UO@b}9!^?vzy)2FfbUHpeYt^t^U_xiCcQjX_1aGtF z%5yWP_l2&#nTuiM0CH!1Ok*iy1|?P-SEH=(=?qqaSq#(^Y_W?43e8B{G ztOnHAni^4WP)UNGQL#}KrBvtvzXj}efS#-X%#o6z##noLdM3a}#jBk)5(|(91o1|F zhxIOq%dZg~E)bUiro$r;#AN{AnC(4dK-1ZS;0EXC!Fhad4+_qIw(b0`N2m)lrwL$e z1qg?}XF;VCM>ybE0geiL{Uwb2mN8P6-E{(|YNQ#KqzhgAxNg^0-UV-eT zMMih4jfcP3AN7bX3Say*3atmV4K)h3hP+zI+L(QAueElJooZ>IF%7Zw76&b#<$kt6 zcpS1s^Ov>D(_!p`X&Tq_%fc@kzcQZsCUnmYLih#~Q ziH93fJ!kilMgOpohEc3>PiS;)S`nzAn$Fd(Qfp1f9AoJT0N|6J2-Tf(nswcy!he7P ze0+W-^`$Q8TurwTV8PkDClowD$}w(zxvsS0qe5LUhrLT;j7)O~tx^yNHC%kIo%N|b zd#orolfBCp*$EL&mfMe)?{ZoSYR8)amB*QhkjK~whh_K=u?T)f?r6Dkn0;Q?3d3=eU&xRZFK`=sQ`dtF!vtxo!rN=SzFmV?L#c5j&p6obneX4llh+j!w?FzmymebfDqxVirIpx^ zza&j^E-Njq?Dy>Ee}L&;8yd69oCFz=nsY7tyaSNjmAp(+TJUeY8vqpk0D;owXKln9}p+D^ACuV z7NA7*uO_vbz3s$p1J%_h?j~lS#8F`s`Nc06aOM>rn3V=+CWKvcp?tg!c93&_3DA%r|(;h?{b zIxl_M;|AfwXwYafx2Zw*2Y0-^Vjg%0TwHg>7CRu_68XZ(j)wBuCv>^SIT+8-JA@(- zZ1@q=+VN`^E6j^Zwt!b3rS`sX4%bH1uZjgT(cCP>C^|6`kYttWeu^{l?mk0^A`|N5 zVej2Kpb)wNCeX^zI4(DHaLk%p1=JaPvn95diEy5wrhBY}7@E2mA@a?uk^$RxKHHT& z{-{U-78-X940txUoplj=n9i)~OZ6gsN1vjYi+c=#$b6*f7|pf19iR&b03w(PuJxeY zYsS6}jPUmlcDszy`iSE6YA#MO)G{P=FlX-waRDI3rq^*X8srCo#hSrRa9IJa3HFv4 zwiH){Baar)!K@+z&|%5}6`|EyYSmjOP+2En!Q^m0YnntFRx|YF2?{~en7&hiu)Zl4 z_vY?(79m8PanTBHq&y+XqW{XL8zvTO-T5t-q)s&XCd;kU zR3!9T0E-wXVXa3C7#tpsHpF)J>Fbx*$jnl33a6x6~N90HS};|2r=D>>g0|!E5$voSW};0N1j* z>Xr8{PpOw|_w4vcM;|E+_fM_Nmp;dV*%`FTPT45)J#n%R42}2}(1pP&G;wCh*6@_k zXxLN^=0<|{ldYA2$oIjbo!>N_7Tp6)ey4;c-DS%gzx@UNj=dgC-oJXZq1 zqNDbEFutE@26b7v{Z_YL4@7PpfMFA|maiFRo$ajnJgKOBr?Gv@Yt*U=*U2iWv1~G% z{yAH{RP5L=8B@PJ=evK7eRup9e#BiF@h%1d9PiZ-F@|@oy75a+lc^g>e3@vb5xHbk zSBt*l+gf4eX+2C78SMZax+56I(&>OnB!aG~HT5eDKp7&YGo_Wdjq!J{G=^IKI)z%c zAo7k%T*XXKNU@s0eG%xiY*A_3VVrDB`-P}4QBN>H0#MPYmqC1wOHdZFKHV1o;HjI# zP5?8-AY9rXFl07>e4McG+`?*kJmB1cL9>=C6YSE$n=GfyT76PW1BDMjN`9_BSYsHj zT^q?5sEe>0j<@q#=`|{^>U_9F%5sjQ6HWm`DTh3MnXhoR9f!dt;@wi7VC_J>57G;2 zGC8vqsMTG}!_}uk9=Vy$Mtc)niT{OjwQaSSpFSn!NX+ud?%$>@`3R9?kWWIG)0zF( z>IIJ1<;_kB81KgqxHz8)hS=|AC>Vf5)nEtt*l-)o*F>p*M zqWgTU@UMl?2R%->EMz!tv9H zcY_&0K(s+1yv5*PwHPv!w-%GPnQhySBwi?V{&h$0`!rjLp3wv5{3)HYhY3iT{3_XO+(i@m^x>Z z&Ny72I7G8%)LV7T_)CXo%6B)kBaQPrXftJFGoXwS->UslJ1K}b>2Te`Rj9m~uF1NH zlb~0Hw=_0x&*ec<%cz)XY#KVH=^34ob*Q|Cz?L0jWjku>BB<^15(s;Ic{B*0B`(y* z1c38I%USu4RFvSHL5OCV&8a2aa|)X7z3A*^9O2^i4*8X#bWMf3p?!jtaGXxpuzwqM zNZ(I#R?9(IX=;S<0rRbvS2d4{m?kh?h%-N`S2Q)3C-kLL<*1jb^Ffh80+8`?&~{s; zDR;YK&5lOr5gs0d#CEfjIny(Ww43j7@lQ4V4;nfoM*wBE%-|Fn5fwh4dsWPIOBzo( zGnL=eAiBRgdR z2>%p$T+e8bJ6kU1ajxpN2s&LIcE(MmYW?C)=pkCOw^|99amy9!nk;Qw#bU|BiqG@yKx_5!A9ZhG=7x_ z??3dgU~s5*6A0*$;Ct?WPY>cTe2>Mr&}G;;grG#iHta4O{yt`Qd~mi3@L2)&feG*< zA^$x-Sa(Ga#s?Sw=X5R+B>x^{8#d9y+rtk-{$o5n5FF42#X_k2s%I!D6>$DI!g`>O zECmohppS3}E3kR*&mPi^b6f*MgJ4vB3fEFYE?5pq1dH#9;8%&1L5eoyS-3T5oxg<^ ztW(RPe>Cr&StZ`_O=47EG;CpRKWcuCIo1}w;6z)YS3`cviSIstbta?b%+c&G`(qw~ zieb&?hJz7nco?;eoqC*3yJk&%lG~e}{NP{N7u8>(J|Oqs?fEkn@pWp2IT!ZO3JkjL zroF3CiNr0Jr^0y4mk|E@FplTR8bi;V>gm}Oq!`4#9Y;VjRL}x(4#5nZ-KGW=pKGK+DkhWXd5m$({Sz3OYGuRYC?ib(IiF zNKy$&%;~=W-`H)>{?Gfo@9TBp)vK2WOz!-C-|y$BcJ_I+6@sL&@KUrwvWhL$rGFbl zD3vz|fb3wgYoxF)RfbQgzdBurqCx1sC3yGsc@orw;L1SqnVQ_NS$HGg<<}#GR5ud;Ok{9oMv4FTHIQ*Gc*;{FW zdo($!x(S+()Cbq69etYAW$s$y@$;mYvY}Xy0kdEFi%D*oe#l)LkVT>%34D6qAE6C)w{! zuWlA)uF+;h0mJ)qlOe4R;U6j{jT;gpIhsLf6^80WyWWY(wc;?kf&b5K{9 zgBR*blvqbxF%qa~BuxqGI2Ak`u0ND=%4p_Y_9&r})npBdh-0er{petewHJ%P%7CE9XTbeSzE)rY>Im<3$twMp>lKdCzR>5|gN7yr`c6m%sdk zPegoAcxjTG9h1?yMF>TOVo>>5ba~1Ut=-s!!6c8fa@pp#; z<(Q(`Y)KocxO*Y&N7D|l3J31>dp_F625`iq@lFYd_U3ww22+0P*C!)*GdzT*Vi@)} zFdy#_jrZSb&E!&zPN<7l1qH-YVf>OR2HQjV1s6k;3r(+G0Ak6q+{zs%t=+9FW#+DS znsubbE=NNOaV|W!LOs4wkXmT$@pN=ngY5=aG|7N)=*KLVTsD2$Zevd54`up>l9}t_ zt$}!_T2E0&62gOi)NaAS~f8GGsg+EWcVJ~*Kkq}&r<+RcJBV6wdmR#^r&Dj>eUc;HbR1~bL9 zo`+**q1wjr8C*H9daO7N~iS>SRyhd!wJq3}!D)fH>6nLk)u`&;aRE}crd=K0w^ zJ%RR8uyp*ZC&@=~vdsHu(5zD`Yhq9Edgn$_Qb5GVFgPe@ z*x$jNe`Wmf5}|sAt%naV^8p}^=YKe;+!90)qFc7rEJ$gse*%@hCcJ;e#;c^am#*Ge z0$~N#Sf_MN+gWqVS}W5t$GvA_(C!!zZSe8% zav7G?>iX(x1N1sG1%$$aEDlynxSg9Dmoqqt5>|a#j)+*=j(L;~*ug**|1;m1B}FVu zxhuR>1Vn)qiwxai+iB%GiWJ+tt+S?*#|iJ@$Mu7c+=hWi``Pfq!s=f@cecC@g{%kh z!4w^3pWiR;{+O585-3G%PPmcSQU9C!HwGVRLyrCewpm2%6<#jtLMdWwC|2D^wdqs! z?eJ=Jh`v&Ldd|>bH6i+@Cl(lm<9*EH|S-@q;a zHh}Zprl1;sy1eJLEt=o@I3lNXn6(`#$MvT*Fma z$VV!$OSbWO2SWuhnMU6X%_>W(ju#JamD`KX zae{HBOTJM@qH=+rVPLv?EW{q|`3AAy8OANJjg)aw3KvC%%?fzAIBpLX1akh5WoPW z<3DfXlxOT=;5O5YfHMD+jElT4)wH7U2y~tu(^knTi#X9s#BEM%2#P?+HisgO2zSktC zf9UnCqBAe$Q5$|5`srtb4^uvm9a`M78lObTzEvx-`ZG04dmaRO&!Wg|eb#}{mM3UB z&bV`PoplYG>#h8=F>y}-{C)BRuPewi`gMY*#8x?i-!KfXv^duLpvAz&0s_;s zdYSPL?FV(~g=l)aYZFSsL!Sy#w$wi99>C4@@nLgcjZ0xWZyL z^YXL*6B2>$<&N<2EgH%e0Mcuzfm^W%k5@DjV)s^!@~g`p@S~*-c~WghBVpp6WKeLa zk6X|yy*0=;+4cN96_bgihS#F8wMi2b5RVj0HRz^(cRK3($c-sQ9l2iPM!!Y4?1MN`GJ0O&SXd%Q2*c|sTJ*bwaZ`8-oY2MqG zI0p6df=ebK*Sci3@V<|)k5Lnc8K+r92q_f22PFpQ?I=Le4*({{vSDmlQMtnDmV|L?PX=1 z7j7;da2*6+S@Njp(&5s;j3+WNqf0}*(@oD=+r?ydOEx`TfTTrTn*rP|XueP{Mu*_| zyB?Tn2L3CL6Da59GraOK5wY0J<3^|Amq(bAT`bJc-yWbr?fKJNr}?8=8iDAyW$W+vmxV=6$dOqD%%&^WA&hy)~KLxxF| z1+W~E5e}O2hUjoTvLfaD7_G?B=fh+%?;mZ2D9Ni=3Wx>HE{>C{ZOt@M3vH>wU=0D7 z>Rz`{XL*msDg{hZqk@g|WN?IAg-)#=p;j6{d(#&ZJM|Qk-aej*m57PVGI&Di#dhN4 zUP%^PjZ$b{?Y~?@C>+m!hvRuEgj6M{+85R=PlTxvQpnr!1Yw&rckWZd76&)w>ZBLJ z>3N8pGZ#+hq#Y@XNNZvgh;1hqMG2RaW<9kIxr`)rPO?n-%mQL3;PEM)bC@llPMv*H zZ(3xxuAL22`^#TFX9=Xe`n!iIjjtVBx=FsNYS;R&Xjfrs-?H(*hCA$>6>HbN^8CuR zoI}P*>at_3;yTvu#*IAoWbsGG5?t*^_d|j?>|X~apLKBK4SR*pS}>C?JtWNk6r<@0 zxbzpgL!Ghsrr>`fbCN%sxFh+d?b-8*N&JfyA7rQⓈb`K7=_uC-~G-2a<<&EtJJAB~!xq@d|O z`vs%z+Q4^s)dNVA0Wm=4$YQ~lG7uk3G%UaCKon( zIPY?~j`v_Hi%%RaAAhb5FSpV|P5d3|NF@08*!!{H5AyQ&vzk}FGVQem5$A2$w3yUA zvsh>lr3^<0CnAQJyeQ5D!GoyoFbC78wKH?3zZ$vFnZ18}E?zEFJN4>@9UVxT(Cxkj zyKuSi7hT{?*$y8xLRU<9iC${VcX&aoM7K92(0gI9K7T)45p9WKtGZmABtOd-aN6PQ z-sYBk6JS&}V5%$_nJVRDB#ctU<~##Ndt!h*k1|L)I#Bx5ot=`(Y5*dEEXx zyGIf@a#W>mOmU8qdxyW;#u=%{!rCb%m+gVoAAWUlvt%{R3$!2QtieCL`E0R2w?jwS zMdxBLkh$ZJ59tS8Zg`Eh86BOqO-gZ{(L6|0q6iPW9}prGwf8BrX{X!-40HR*403KkdU}&;}XnJA1g9UM%{4>$?xSy`$UIvBct%5 z3zbc^WFEv2ihN)C@2XobfJV>EFpUBV&@qDb*&Te`Gj@ZGHnn^U%m1t~hyJ!h({ ztWp%n4+9$>@2mq*mGi{_C#HPJ!oDsDNPVqP6Wfd>vIbB*>0$Yh1YyRhwbMi)DB6;@>{|`O7R_r(zep#W3b}k8NN%S~KHbjSZKVSpl+P1I=XM zjwpBdw#mERouL9ltwMUwgp8nuGKFo|Z|q_fE83j4nOu{xv>`v>!aFRKTf<**+i!K2 zo`AJNPHP_%kh%?c(`j8gBVI9HG{n2^J|xi*^ke*d7nRL~(Sma{D0cMGy$Km(ku+0+ zyW=-r*A(D4%osUVb+#1$79)M|Yic1-2fv4ij*UR!7Few)crdXR@xZ6kS7O-M{E zDjA;}R_P3r`%F|=U#kuZlBn3k6G`M2Ahej-6thDv1~2YKS~Bf{e~s^5FujN2pkMa= zIiDEf6X5_a{y&EJq$QBUNq%E3VHtV(e-Ib`GaP_)2(o7=@H<}mcXZ!2&kolG+!qP4 z&;PVHkpE$CxS#xT>Y9|N?uON0KXo^_$?v`Oy}JP>`ii7EpQSWHFu;GDl@K2iH97w) z${$`k|K)}Do%1H=`WD$~YT$Tt4?q^@_U4w*mdES=tbLj=v%6(h%S&$lHt%7^IZgx2 z+?&2wGM-vw-GhTtI`R~MUpubSr6l|e$>A@59RK3{@?R(B^xrH$l2JpcUGDg3;~$o# zW}9NSLLS}@js8A5C(zVi_%%AqTB;+rW5M%tzj>JQ;oQG3z3@yn<6_EfVKTla{ss5J z6Fq^ubH(ach{sm11__K^&&z8^?*VUsq>o>}DDSw=jMFA`B)69?NP4k0&_D3pV2mH7 z!vPe@h2cJPUH8BwJePp?x%%~~v~w^nH{&a${WIH!xguSv+shFUuZc*$DgN^%wJsH^ z1jQK;JmsUA%ZFpKzWRIyhY@z(({p$0QmLLs(qP-i4g6|hw=jubTA%0CzzJ2_NKpvt z4xz0p-R)D|pE?8=B(mcZefawMUl)1rhXvotnfu58VzO7DsSy=;=^uFcVeYmerwLBt zk}~ln20#{C>;F^UAlN_Krz2t&U<0&u*IQNqtxgFZEAg=6pycT?wS=b&4wkMr}XiQ_iGk>e$b z)aG@@sHw7-tp%XA+Z?yT?ko56R}`U2KgT;3lkW=y8dtW>Laq|!GYu$(!5O(7u?#%K z#Ry4)XEDWu=ygU8x1Qr`dSAG;%rMCkiV56cLP}F2CP+P^i!pfP5HH|ELk!&9dExR> zfJjd47N;1CdMe!zuSFOYUe*qw85JA^{ce&fT^6{ZON;DYxx$I0Mlra#HYlr&XI1bf zvJ(nQ;U!a3&lz>33YKylFKEceRGW0kEH&&(QJ6n&*~qlMc=`rfMaVxHnd-T7#kMn0 z{h&3=6m<@`vi!AI)W}9UB+OB=*w#`WK5hJ}PYr578T>{=SbTZ%zT>VHBqmriC=TCu z@HPkFmFnXJf`Sx4bb_?%p5fctbD)G0UyOF}^Q_#vfU;!YosP@PDu27MGSFHQ6|(9MmqAXX*xHukR(`^=m&6qkjSVh4uCdTUhFe4*)5L#Y z20JLjDIoZ6Ndc{=`kGJRnrv>YHr(*77_h5pjF*>?q;Qy~p67Caw$-HpYty@Lml1ao$gl~El2iuaL=EE&>}$FT!0kAvWm!nVUjE)4SH)~2rg3>R0MkPJ1w)fI)Sx`-N{ zd8VyzU^0jcm7h=n8h7;Vs~BWBHTIrfXe-(NlCS3scxF>8FnsmqGBVwZSNXyzlm*dZybM>kAP-*O9lLy||(m=kt zI%=4t8N)V`bTIw}$H~w4`pWrlhZsdLwgEcS&kj|?o@e>!sHqn@;Z_|xU@U@78fF8l zFs|U}UFN~b>`LrMLQRJtb&7Z12~UI(spX?uL(BHFkDvWEe?xVAzfW&=%m?EFeE57> z|H@mEiu7X4;43NB_?IaLt;8Iu!xyvtZPfoD9A11P4GLtqwg7~pK zVgjrQJf(%nohzSO-1KpX97vXNW*^@XnKw%_o0%P0WZ4wHyreO64~RWaFS66uzPXnE zG+t~w@6fD68&K0*5GQs_IV&JFsvjV92J@ff;yVv&yN)CNGELV!$ zeXXm{p`)DVA6`wlv-H#7{dww>yH0D*eQ{jbUQm32x_-s_w&;he*HhyyA@EVP!;uzX zoeDZ0Y=DKF_dKIjN7B2G2nf##3A z6uE19Ala&nwLm5-$-+$qBwhqUQI0;fHw8^Ku{{EiyQiE+Ck9lS*97T}OEZ5UOa@nY zV5^1Qjbvzi186!oWNRcWZ6qxL5Z+YE%UcWL5PD@J$^Y5b3BhHLT*n8$r2t}-($R?PxYEDB(dNUirwlRKE(zBriz)1P`K1`_;3!0 zNYvm&pJrPXpw6HxcKOcfQ@OsLT^{XvB$aLj1Tx6uoU9Tiwfk*{r{nt8HL{I@luhN#Bxwn^)f?X-Pc{S*B^>^C+^*h-1 zO30rT?I^AsUl?KbL%6ijCd)sr%P`XVR=%HL&;NY>obP(IM5iG83$r_5g*RUV9&g8v4hA=0W$ zMUZ`-t;?VqgMT;ZbZIoJQ@W-LIB8wFH3To)n<~pVm0hj)1P}rMb@Wa1air($X_gP6 zh~08v28)*A*YJ76m&H|XG|k8X6HZ)2oI)ucu)l5p7}g9Fza=Jh@TTuwe62mkyu z0Wc?ay}y|mx(Qc*U4BT>uw$3KNhHkF4(uETg(A(X>5E;;F0v*~f>IXLk}&uW{Pr}H zhfA@cxPr^z+8}2bj7WgHRSrJ#4z*-zIgDaBx$P)rH=PLrfp)n^@=YDc;Mx;_P!4NE z`i&EUiHYp+K(gK<$)*<}X^6%*6_X#at6MTn;mz41a;cBlbU)rkFPia3qYB8@h37)H z!QE411!(?uu8JRjGRQ!p8~i#F4#B5+rP`VNa}^Dk+`WGAkqNvwi0IPrC@Se3Q)Dua!Tzt>YEF-z83O%(t(xePi=7TxOWuH_`;%0?8> ziT;VXG+I!S399^Dlw#!C#DpOK<7&3E_e^s;C}{gfZ>$uCq?tury1BkXxS4Bw8=?vb zAA`zP9EF9*J1iEEN^?YYO+4V)QLwK(0Hw5zd^h$B)v)1v{2vbbRQO6tN`CtDa#{Lz zSSS*Fz{`nMVgr1wNIEb(86w6A>vkrSk|@NK%%o-OiOUJk>?D&2Tgk61AyWP=(m(Sb zQI@a#`#KReJ(u7G{s$7ZJeHsUk{5iKoV4I681<6``kyp=JAv>rgjz1Z;bPgIxLM$0 z$sxY?lq!CbeC2XNIT}gsX-S$-`Y#u2HfvezN=n+vxm)LDy#w0OS;x7sA-u5li)G8U zCcM&Z3#S*^wf8r^&=`J=y&jF^HswbXTgGn_!pS4dL-SWm(}f3;53M;;pYpTU5=+C^ zKR=prw16W!B6Q8HH-_BwE7(3{J`Fi?PU9OvXI&5R3 za>bHsZGD+bgnFZzzc1=FA?Y;xksy*WVg0#lW7XHQ`=i z3Lt`##C~wVwDouC8CQvmi>wSzxVI9kHX!NjxEFK@C(bQ*mgI1phrkQ@_h zNH{1H5V(B~3HiTo*7}1#GB#hi_-3|{C~3*`-QvG(|XuslZn9cI(hbP#{w=058JRl-j0x6tV>m)+qQx0k%ve19MJLu<~6EI0|g_J z;qhg|67PY%0*?tV7`u>E6_yPxTNfIMOL%^cM#qoSOL^OY1Em)z#cX2IuiSzy z<1K6TOhddqj_@&-PFQr(E}@@`R&k}Ps~q>jK3LZo5D59+_*GKF3%n#pu=#|U zMfqnv*B8jMILJIskv>h1R&zzfRKoI!sDwCrtNLz3N%iR6v(a{--Y1;@cZH;5o(5dlZnv(_;uMHTr<{u!=3b-6tLv?thNo$V8V5V|o%`mRIxtol zUOfcQKr-UZ?O6AftR2P&`iQr>G8au}K!&c#^`%y+sa8C%-l{mHyxXx3pE!kKHZ%2`x8|CLm-cFpOQuugLp(?aNQ47LAHBjJrF}4 zOpf-qE;vwRS?2?dv3wVm4cAr_xcxdJ4y@of45udU290q`0%0hFH>be74js z=d;Rw>6)`v8WT-Z$#o*4p^J~Jqho0LKGw+H3kq>+`Sn$C*)4s#pIdlmuiUOrv&CV5 zsAZzYuL6Ze>~y_ z{@oh!bOT--C>id^iT3>6?-UU{v2k?T!NVkS0|u-*%>haWIzQ@Yz?x)ZBhdvr=N=Tu;8QTbVsHdi3wFvaNyA)DsWYA!cH_&4kM1s-`!eWba7m~ z!7N?Lb_jmi6>3>AWBgZ@#vtq(iEOi#|I!ove{#m>6BkBm_FN!}U;AE6^csQmS{+U- zINY5Ox&-cRVeS7i1t1cO@7ShH?nU8uA0G%&1_{JjvOk#46= zbf)cTSwTZPV|79P-v2}>w|iEg11O0t6r@~UW`65|<0}p*axlAqylUkSLW1Pmjy{=& z)35_930|17l6n^-6t?O6A`SAWzhV3FFaH2=R83mzlN2Of+1?GSUeAwjm-Ba0O+F+; z@4BN1(4|Y{8<>r)L4oABj$&l= ztz3(c{WR2)mXlNe8&q-)tqbL1cX;@E%scCC_RVh;LwCT!_Jzk!aDIe;g@mYZFDen zp}5-FFY~dsyw-}4P|O2b)64S>d@dMUqVN~>g*b(cgj1g_!h{zzFU}BhbQDj0J_55$ zfobs-B`nTd+yRo+8`QFr>wCpHs;0FtyKfIi-xN^7!poDJ-Ay+FYRh{Gb(V zM%nq%Q8+Ms$H2!k#lxa1g+P69q(0AOu%wBFMD~fI>x&qp*1~pX!b%2$TY_gj;Is}s z1=W}#;9;rYvcXiPJm&LS`0vCKv6|D3Q2ZQYbt6$xcfN3Vw$f~v+?p`m#KA3hAcXat zfsZG1;xCEig`l09poKs@BEf=Vb>BV|Kj#+ZcM2|vBOs(X2#^^CEuEHRA^^QVV8MVI z)^1Bor8A$XG5Avt&{qr6aXWb`fuz`H2Ky^5J01S(3qy=TeOeoytrZc6vDz}vW^R36 z9Q*;L=*5q(j4uFAL!ZVr7VGb}!ATzj*o$NwiYt9s!vy8ef2!arrT;$=B1{rRc1kxZY3IWVxG} zeojSa!UvYqEX``eVsV+p4%nhk1LYIM`HQRK99mI*epsGhwRku<;9`nmwiO85W*4e! zB)k_U-2@>eUe%QWVxxga1#}=V#D3q0MS=3KLwEU~%dMdT7?owr9R7|GUcMCV=)BM$ z)NgQf^w#W0d6@Z5o zeVWG$Ak$%{bG{Ef1;V5%_--TGr(S3eL1{33c7i4DthG)HFR`0?f5_72W`oelUI`!! z*w>304xmp|vV;h#AijMvln@kE8kJ(8#y12zTb)d;B$H!o)}^XlG9@^y3aoNZANWH| z&6q+6xz-e*pTzRL(lxXa>s`A{jmhnC@ZS+~(eb_l4pU5K<^Z!?sZR?>rxY9Q(eWp0 zyh?>oECEQ45UP2Y)r}G~U|pF~x6-hL0ohayd|bk$Y)`P{Sh|nX1^-@s!bmsb1WTnx z56e-OiTbYtI2C677#zT>l3z^u=e)oAe^3YHEM?Xti1Dc@k&-bFwA<6-=ggXzyNe(C2!Pi5r>CY=9Vt;n~NbuWO-U;kS~-pDp^3b2pvc1tY6a-rh-F9F0c;V zdaJs1@(5S6e_(9a@aGNrrpa}vzKDJ<6#5+mHqaaXl05pp@XI6CNGD$QoPe-QtU9FH zl5LH*b{)BlLE>ym<|`w;XNAbDN!7u-GC6g@YlBlJHOPt*PJ%dB%Zr~`+AQ62voqGZZ4^9Oiky)6`X6yMoz5ODxZM6O!w<^2J z_Ci7*6X~!vv%$XW(a?Eu-J+*=jas&?0UD1|OR@z#HIVALIrTe?bO$DM#FbktUDln` zF~xmrc_Yd2^+zu)iPpXlKxdP`Ds->#v52pod? zFeD!)^$Uqi^~_hO#)OdRrC{3ulK{tuD@s9LZDDMisRnj>47i(wLpVW$jtIz6UBl;o z)@WzV<;8rQ>m5>lx`Dy@RF@vb)MC=EsNq!y@U5PT$*E-bPMoF2v=pJ7~oqQIl> zw=5j82YV{Bv%!|$oG^$Q#^-TMBx|IRY_z+;2*QqKy0^D7 zyuxIV)fgbQV<&{x5xOnASSL98*>=$A-bS)jUY=dANr*acyvMoScZ0c^181vHC%DXN>K&$e0n|Cc zh{M_HR&C(I_}O~Kh;?J{LIxoO3@HVd4+-^YV$In2;uvZvgoV=HkRP?;m0(!#cX9m9 zw!?w;W4MU$p5Rj3)@Y3@gagY?b;-f=06L|3+f$#i9mx5%4z>BL5gl{|WauqiaUj4P zoK$d)Gn#e~q9F&cs^N*u|Bk%f)J zze&6q?fqybs;6|}b{lzfx$Q95rB&$u1jZCdbx^gVzy(xPOdR$pjbDv88@5X$-QpBA zFDAtxzaz^qE$qfiUP$CDney?IF&XRi6l5=Geup zPnj!&D{_twN?^{UdOY=PwD*iteoK;>!-b8wD}r>6715gY6k*hzK*=hxbQHbSQ#rru!iJLlc{^5{cw2QS#Q%$aT38_LoVz$ze0{_MxBhMlx4D>g(M`+`cp&5MHvtRXUa5=a^L&lB4EhWtUwYA^w9!B;-~13yZnkg4>Z?zb@TQJpCLIHcl64W% z9th0+BhR7(lMufkdF2C`qkSj&+d`ps9dQeq3YxQ@`8bI)+ianHyR<&t*AWmOt({b& zgX3R)?*@w+VXm6gZ}qo$M!>WK2fP779`2jK)ag;Q|3)XxZ1%xb2x7;v_L(6@rDTm3 zl&K5pSbe@mCjO7uzz>?VSw;LjBgjRA!|5j>E(bv3GX~tli^J?QQVOaVO(N)lwf=q@ zLq}n$GnbAzLPJ83n0n7b%tP?;<(QRRID}R3w&Uuq-P(@vzLT8l#uP<34Gc?d9W&E3 zZfRh%BO#~F)uj&laoLUE!tVU{L-K3#JHYGB&OpS7WBeNg6@ zF5CcnCW8y|4pa%VdK^?iKAn_ED{jEr+#y`v4RgI)1u~;6Ll#%x;G{aASTTdT$`b#$&Rw?=#V0g-z=l#H`fd@ix%c%@#2%OLXZXG+ny0O!LiKI7F2m6P zXR!>-JaG_90yIRdMipk0%f^4}6n#{bQU=g12kq^`$>32dVPjf?_-39TeNxVNZreU^L^W$MdZGE+=tMeoDZ;N^>o$?%@ zV~hdjD0veIw>pR8QtzAmpW@{ceZpx-uwadfNWC?>c4OQOaR_cG@JJ~b>2a%#(IX%# zRk;AJ+1=EV9aT+P-vfa##KC!U%0vXG?x(^W)kXdT@WQ3t5?l&?uerAa8EkkQk(b%7 zbPsAN*+9zP#vre5?3#cS>F$1)Vf0wi%C(kVR=pqv?{l2Kf+yxgSzy65Y|GByKTtTej^b38mtdiY7jb!N#fu@ z%jN)zD_9YOfQZJzfZ1a@&xaLUUtA8cIO@*YvEt^Ht8!sYF@xCSpwu7)!Q1Q!>%#z^YSOVsQVp4sw`p52wPl)3j8DFTb^>VL1>U1(Uj|LMWZIOnSU)m5rFS22J9MBIkbA)hYFTWQ7~A=8%T65Bk%o|G z5Yoz@`Myig#lLy|j~TUZ7(wF}b#Ge-XED*-eyUILtLYMn{Wb(q=D}3weP+4iMMB-+ zjU}DcxCK7;AQEX)_3`qiO-zI|3B5psTlLY&4rgBg2q4nmmc}^kw*r1^S6uGFKr?YL z&{d~-Gq>SO+&lPCAFR)-pfQ0y);{%Qsqut*{>>ByO0ri&8jL7;nl^I%*X>OBs8$Ou zyZpR&PMey*=A`vYjvWM1zt5EeqZX4NX;&bBqT59c`QCLPW4x=60w`AyDD0UBei(l! zRNP3Ix|w`)$#}2naTQ&_q>8nWk7w@vC6wh33 zyw87vrlDAjcm52dWpY8*Oe^Twr|HnAO|$IqX)E&~8?Epu;kwQOz;Q-+Sq?fElRb>2 zW9|On4qB1!C?s4XsTEKlK@bBNf9R?IOH?=gDO`2_mdwL!YmuI!@@?BDCRPo9rqsac zb=103XqK)4?IP3BCj?0;0Bi#FW+Ozo65}%;R8#@3A64J~@E6`oCE1YwWdwxNQ1hya z$_A;05)oc>B)|fQraX*~)?BVkAW>X6FH3yGJx59~kOGd02t`#u|I2P^@4!^mgyJCb z+t-VWPszd-inE^|1x-S}*0se#03e{3m%j~XI3#bQLr7`@DC+KlTWu@H3f#C9Xm(DC z@);C^C_FY^0HD0^@>Ru~Mwd^4DMBTpA?*k&# zeQawXJd9B$q}_l-zi&8RV86kCD-pv}Ty&j0-R|ddIq;-b+Wb;GFpS3n0XNej%HIyYlOZRR*>y^f1*!x|u5ISHisRE$*j|@nd=_%|r9_;`St=yT(W5fR znD2*Qq-RDixGGri!SJBOQ9&{&XYwo|TQid>7peVRVJlZSRLXNl(0gCXizmoO!42S-~R1g8@D zlqL1Jv<8j#qiKiB6(35T8gSVjIQhpstF?6B&?8Tz8>nRN4Z|Z}jtM1Fv2P!}jwI9V zD!nz#pDuwr6gar_s`{}$Xhq2L2b zK9bh`F9a#5@PQy*0R(9zdD*lWPY9W?!UGFy%HlN z$r?$COa)>m_FxvX1%~O_$Mq}1X^gyuFicO%p0h7l{O-d>2z6Wf?$T{1j0-1l&HAr= z?>t%8vBljO+}`?=?wRchoS5=%#w1ift$KI<;=Qf|s~E1l4koqux5gU6ubZLO{OT9a zv)HN;`foWobdIEDQv+?Qq~%`AZ};=w#i8b7A!EHRK+V$4UJ?3sJozz=9#>m6j=%1X zjL%A`yRi7jO9gc;G${R=!y$Oy77fc$C8QzO>R zapRjl|5+4ELDz@Z7;P06&tK!%s=;3=@_IRQPvo9O`uRWXhR2O{Ta< z2p>#8@7>~ z2wCx5DgMGmp#uvJLPtv#vOp`d zHKx~pJ^kFr!irM2TfM;2LU}Xs4FY0RFzXzo^kZAA2F^zXjZ(~CBN%FeLfZa;-~*FGl;Joh zBGbYxKTzV@qxZa~eG)hH_kPfM4&4yFD6qyM=)Wx2b~Vr~B!KUHkDU%xQbPZ5)d4D2 zzbEWfcJg|kpE)p8F3=10L-l)4&=}KSFX|!MjMW#fYPi;wJ4-}}8sD5NNEcsxNq8S7 zuo7r@(akGnH6y#ZuKRhd^eXEI;SOj6kZ2_afi`HB8{Su9g)>l|$IXh?o})V@8=9lO zm~Nh5=fC^W!@(KEQ@8hhC>UI*o9$R^zW-I;-ar_3&xQ>^>RBnGRuDIgsGf~6a8y6UX5Mi9xPBhjAw z%BuYWlm?}^beC+MAfrmRb*o)Srkj!ZZLXuos|2$=h_LZUSV(ee$GYksK)aq}y8i66 z+W9wNw#6A^tfVp~OlqgeB�%(>h6x!*}@c(u&YuG0)&En!Q4t@vCg!UTOg9 z7&>A-`_%@&$}7lUtccPhGH59-C>)$_S2ye`uix8stK&xfgpq}16$vP@MY{4~?gW1? zX5;M%h0u|aTlH-RXhFwt`=9p7YW~UB*~f z?ILT$!rv7~bPLvgz&~_RXqO$cH|(Jy^=|u*%Le^ywPo&ld1w8TfoVx(OpxvnH%K}J zq#^)_cQ<>R{=A953&s5KqIA`Io!fB3W)|d$rjJSS&Cuo$a2-DM`%*y?Yikt(Jtt7v zAOSsc#{Mmf2ZBZhCdz2DN`A*SXVEN+H8XNisDk>(&#H^){u zD$S9|p#gb9lFyBBcSBuEp*REaqjN)SdBOAcDL&Vzh9;Wso(~V>Py5YOB7MMGh&*v_ zrjk3Ojt6=WHq1Rut+LEB*I%%e-d%-ipO#4I=SG0SBZn0cC`X&gW2m9QCM&>srEp+I z3AOC|7{xPhO)IxEt@g^88sNy7fB`-3s%mYG-~lb_75!{$4DKt}U1wnE z6!h7%7ER|khM}QO)ghjBf>UreQ!4MiS--6yEP}dY=qznoD)$6-Kr1v3*6(A~NI;xF)#z+W-7^5h0c3ZCcF!W&q|)(=d}wpGCk7TUqUs`vX2MFk3R z)i&KcsvUYuoQUrAB5I}no~r$IK?an3cgb|~b&h5~!d>3Ww z_O@*;vdj$%ejBk5`n5CkL`QgT_6&}{`L9G26c+J>T5f%UJ$n_tHvDr7e+MLUNhwJg zR|pg`i9GL0VjXWGH!JWx_2y>E1KTSCeE}ZhfFiyI|hknlgx-xqOfUGMB zl$N=P?&l=(^Lw9>$7Y?o8cqJo>{GJhrO!)eAAcvZ5OUN1k<9z@mb`To&_QSx*)=Rs zYBxTiSG>n-IdXYDs?Fs<30vAtHuyeo?O3Y%Q}=ttibk$mxABl}_V!TS!Q{3-xa#v= zt5&Rga9Xl*-EdbGebo`^pi_JB2;YcCK72%oeMqm_okcTRXxtB{4C2ETn$R-A#nvFmtAGrHimL0za*el`h_Tyx@YF0Xf7oxiLkc~?vw z02nHgzT9zv@a^^`G5X|5$q!7QI=+@~y(&nJ)y#C>xUHWrANw=yAN5=WIKa%a@w(?1)SW*EKCQ zeHw@z8SuoLkU7%GIYC-ci+1b&f$td2pPBeB^ZZ^((WBy5UypZKt?e+e*(Zaok}Rn1 zodtakmbudca$Bq&+vFUw!$H&EH1FZW(&$icHQRpvX)Ed6@~wX7@U}~b;Zr{S_h%kI z`FQ0A$h74+J8ZPBg3Q`XHfsSeodtDcTEF!_ENtj>>HqeP2{>~6<7cNi zMdQN}Y+XYmGI8IdugZxD!u$)MR?m%hH=Rzrr$QKg+c!Z|pJ0|w*cgKn>?T!ijw}|0 z{!f18ergmccQgCz_j1jm3<;#Ja%jcYqh22(&GGQ|c+mb&=P@e+`B=M0lyTsikhqnB z&awIgX_-%}l6ku!7`K(o@F@C~s+a}sggeT0Xx6FF-x5RUuXgJfhLL%0m#4M(zMj7q z0*fy|jrNODwiZkg~5()8D6xe_J~AzYVZV#)z97R}N3H)b>l z8&Fq_zb6i>}T z_Hj+#Xa6^l@QhaQq1EZyIu#X_t=-yHRJv8M;sdK1QVb#2wo+FS*i=XnLs|tN6DXR8 zS`AoB%t%NwO2a3LolQ5)G;j?T2x?6u{5>Ab zAc)^2H2=YdZs@v-Bph{&YThn}m4o?1X#J^BeW{%5k)<-3w#P3vkLA?Be*#N7zWbUW zyj+dl%f;&iv7B@75F)%)H!Vx;o`q)_T&@!)>;960nad9fzdCI~H>HW<4vSDER8Dvr z^mgNfLSVkuaimo~>CLdPL0Rk62;+C#)xWOyboWjbgTpEA`M^N`iGc4@y9!FYLjfV* z*<8JcRf56mntf`BRo1`Rg+jZy5KYHCdZ<^M9?L%+y12Jbmzb7~B!R&MOFB3)*@w*T zd_WX8tmqfK%9&N((CLop6HAPBf@e0O+c0eLoK`PL$VJDW$L{d}7G*P@AD*wsq#zRcxtq!1yOuUri;BiXdRT;1|&{{DDF^zx4RMGfN{s?#+N&~d3)DeVZ=ereohra zo5jc=&UkE_6vX!sp{75{6b3Z0fR3SwLY1mX96yQN^SDp(_rh-Wf@Dk>KRYyTnjnkO zefhmp6S^ruJVWA=Nh&N%K090bQ&_|5ODoTA%Tep5L@D`W10=pM`tg#B?`<0F6*r>O z@T$0^sqX%uwwnvaqhehMKWMj`+U3E~1*L;uy$@{Jfq?X8J;0Mw_u;g5AtNoVdJpZe zFrMY`iE55;TZa~~p|U%~2;P<9*a8k~94Q=ac^eyGjf&%DL1D+O7sM0>WCT7W!em;j z@a4@k1i$M-HR>DI!QkBqbQo-cBQ}{#F)K~oN0f=;)GjO$XNP*^UHZgsHuR;YlmY1E zC!)n3!}PIMvRq||ql_S0^SHY^t!9Rdsc{&PgoE6CbJD$m{vt1g1w6W@!PfpEv@6sd zyu7vC1dK7AlWULw-x&pSJZU|6xH)PmiCKvx%h}E;XQ*)huH@?C>K0w#|ryqO62Nc zalCV81WS~t48nNzfW1oK4QV%;7Z2$#V&GKxow>DlGb;r7qd3pZPKl$4HF#`Rzrdj# z7DP9zzF~KdE#FK76;k}Lu!cn&F)BR%>7XVHu8cb8RzX}qb~m(f>}wjnjRFlMu%FF)n`mkpx zzx^}RPjy7}qZz7p2f#^d|Bp@@>o@4FzuvX$ zQAB3h%<}EGe2Tm?#OjsF1#e1MB^Tc*V&?nyKG~qXc=V2s+I5i0w`Ngp9C#|ny>V#$ zbGHszu9rHQf-Lzuf5XoM-dw(E<;ssDR(_;i+WC#3kcvI$Ck9w&Sog}d2~*8l=Bc#5 zf5&y}m_=R)ul?I=!q4BR^*$;1O>cbtJZHy8DiAfX9pm3{rK%IFcImRQ1y*2WG;jEL zSb=-d*nnfGLH-Q07k_=n=E&oF{t3ebp6M((wD9v z&-{6bK^->DkbVpEgL!xA%KaY)WvLDWe>a^rCx~t156nh|hO?4VJdSinmqc z1FDhUPIj^Vft-7Z|7O=21F|5SC`J+-#9l${D^>XMu@Wb)*vuLqu!0$SSMs`%%6xQB z!_V-;y^j^zq_@6sTpLM*j}uym*Wnu711u5l0aGIvhLA)pOikyzMbR6SpP4jcCZ&Iv zzt4mt>KD`-d3)JH-kw&u3#x@hlm21`yw9!z2|(}>Kpo@!7`V@J+YHlp8h$!x1m9-< zh%nO9&d&^#gFUtlT^R6<{>x0Zyqwoh|5JKgxGhDW$Yn!2E7*?Y@T=aV<#K65wXw}t zpM6_U(^!$t+fB1}m)7tzt+X6-(v&J-V&@4F_qLQ4-s7a3cgs4@wBMJ)y8pL8CzX^Q zvzC!h$bMdCDfjm$e8! zd7Woe>2N<|ngZzB34PUHa|?ra?F8J&40XaN=LU2EODsx|G>?64225TO+O1FYvFGGL z_yVTddS_6OqAx7}sw@!X?S0^FmHt#2=tmP~SmiC%7nbUi$c9Dy=rs{lp%=8`AaFAC#!SQfw;tB)MGz#Zgk9S3b^keGinTRJ*Jvt*hpa+eFY`5|-Zx6O zd-bwSC19(Ge=Plb78^z9V?E$lFBH~#xG92YFS|~<$q5Qe%?zwpRH)TA4uWS?0Xz2u zET208v3kEv|BOs!ZTm-gVdsZR)u=DO}ls0^FM^5Oo*TH0=wpozExK5vOR0|wc8gD{#| z-f>!~C>2n1gder{^Y^ajvIj-;hX=~`%??zchH=va+6buE&n+ytCZNivcv%A$$@SOk z>6&zL?=%gbMxa-BeI^En(GDh5bL`;EbJ><0ON`fRaJ9F1*9fI*cu_x!3my;s!?(Qv&0%pfK2GcYv`tO9E^pr)%7J0J4eEZN#}6 zAw}tKuGxFcyX4`^&?PxBr`|60`=qG`au7H$Y{~y*+-OySh?eVZHP25~8~Zr{Y@TX8 z%bYZvywQ+aRB>c?zga0w zc21&+KBfm^w0xC%2C~A9s5GaUd8Ws_AkAYpI^jtIOF5IYPp%xd-bR!9t*(Iu;^w{s zqr_}goU_=#+Xqc0XiNA8=};ee^tXsoA^$gkh4KXN4$$&N@y}w{OApY&%Wm>pWG~Zf z4-alNm`>Ikrli`Y(L{DOuiP=x`C&Rs$lJ?wOo^b?)^tNb;0>Ghn~bHzT{!OIyYsvF z^>_NF%#z+IRtR!!abn>|k`(7z!2u9UMvgROsDd~Mx0O(7#izE`n0$)RQQ|IL*xQM@ zCxw{?%NznffRkJ(8!H7gxx1;Q+9r(mhRo8RGoAWHip;kXZWZupGpPEj}A*l(Q^#|{adBPA$*pSrjlOEl7Vuiv>|eIRQt5c7VtM5%R4m?&1C^JF2{X)xasA{F#hE z;6N2gmUasxL%GJlb>6m=;i1t6yAzy@ZPyW{DB_FW$4Yhg!=L|N0N-=7a=QUa+P#RI z>uDm8>wK#l?lWF%c1^w7#rymaQP_Ffr{WgCN3i#?9Ofyp*}`}oB2C3L`US2)k09PZ zP$#4)IQ9V!d=!MUz0c;+P%mJ&rKvr1Zb+J{ui3-!Y8v)%A1)O~+5j1LK3~(@3We)b z2=t)tNnYl@+5Y_sID2RuvYR>+Vp-v0f%S=_u)b-Q!oOK3jL*he7SbC5%amwh4T|IK zdm1)+Ta5~7vwp#TZn^oHsmYkSj?+dzfs5h}=+rmlKI=PhxOH(G)19^zka4#U$Ws)` zdK$CMnmwzo>nH5wjcqh>_;AN+OsjEK7nu-2Y(Ca6Dy0<)Vp9v6{9a6-=&k$L@Vi^F zuitq%{EjcEOZ-Hi2!J$P9N#19Ix8Wp-YpLA$aN{=Pt(DA8_PACB%VGeI7vX)4|7?* z9)%Hcdt+!yj=w92CqivR*k3gMLn?LiW1m-yEpCIl@H5~VwSXk)EMumPI(8(HJ z(xP`nXirI*;F-2wU1{K~px*Jx4>=V9bjL_K&CkMDkgcpA=rk{d{l8X9qa$`lEsOri zZpyN#$V1fm)Xe{ms=#t;%n|qpUjEPS*dK=fM#jsZMo^;ur;#e0vf$}nX=3=o@bHuf z$g)5fk%UUlgI%Fo*eQ)-cQ#%ML@Q2Gn z+ap>c7Hz5xB&N+fs=DT1+J0iOnOO^HnpIgVed`VT!(aT}8k&(x`Q;nn+r3FP(YBSA zq`#p~y{BfaJhMGw<(Z|q{h2IRu7Gy)#ox@qHdWfX6U0edYTuW42HL{&SA)gZb3rSas{82OtQQAP zg@nJQ4GAf3=;Z9bK5HAU-lN|3+12yNJhc@*sl=sRL8P%xQX0yJIq>Q-TAf3fK&!|- zxu)rgkcup9RG5O7bHT3x!yhIcaxtGCaU^^CgW`dM&-pIK>Oc%>u@fSRpoJ3j~?Zdq@ z`%6$k!p2*`*Xo^dY|b(#wb_0?f;@MES#~R}z$9eY`FlN1gAl97l9Zl1b%mg~pCG1M zqOE4G%SPN_8J8#QB5!49PcWU;`8dFLy6<<$f zsd(G9OabK(acbUi*vDR=<>sufsrGC$dVdYg`B6*EfgEA9f5h^8wsojF)2+Ra{1q|o zv8NZvY@EY_*b#Kgbh#x`1#PXt5W2vTUTjH$4#6hQx?gzS1O$*YmQ-T4z8rZmdV&8J*<-K-?tw=!C>(oba?weEt|(6jM2EwPhy#k~TQYi&M>saFNU*`-Z%I3e~2=^2wUL za|SHITo2FFS0OkanBCzFZ4tyUs^0aRWvRfw-#&VM37uBQ%Y>9sTxlcyesCrP6h?Tq z^v#sQ=5T~QQ8+%`yV`J#x~<~+vU{I4$3KCG4aW-OBqiAiB-)6(s74h3iQprNqnWpt z&M8C^+fTwU*Dj1&Um(D|ticxk!^MI~L{;IGrUIr?zrdr0HmkJ_+Zx0#Fbi*`g4hHjE5!L3Odd(h1Giz*s!ha=X6uo zR$k5~7+oJWqDpa`a>JM4RPQk43*%cEv_&Q;&EHEylbH3MM@pAI(P9h<;v0j#GhR?b zJ~P$(T(>b>Le&kAn|jzf{Swcp3eWTfI!}9?a0ee{!xVL6=~5#n)a>0^is$rBd)8y- z#Lne{xO5G&L>G9q&&vc^y-cYLS|naV0>yOVXt6i}=>`@CYc6nJfe)l(o55rNx?=>a@}X=S|oG(cSwmGlHi*FzhM6VI{Ev{ z@r7*uE-Pc)XxAPUL^GrzrThf*BK>g33xiFPLCfduD2^<+&qYA{K5LI0#<2M^vKn-W zK9X%N@B+jYMA$)Pz%0=(X;iq*zR2*say3)ekU9_$#49158l;alq{5qq8`RK>;q9nK z#PJ8pYWAtJA#go{$)x>~WmyCiFhjy9wnTZugkrcnI3!4r_4Gc@X2ER7b*J*ROxjRu zjx98bCU#p*Q2aOU6@??`1k6VN)3v{vfVJt3R2as?Fag@*hj)`Tv{ri*E?mXfGj{E? zIIalK6H?v7y;B81!iZzT=2h!)R(YQXdQmld{9Zt4j5)VpU(h_3--#7yQwy)H@(NBO zx+C8a#IaSg=sX{nVe3OvQ=8TW1UjX|U{7S)B!9HKoABFaSmT>7u}|SG$mE}YRFBTL zxIK7B-(_R3FQivXOso;0S*!n~GLL+E7;1`X4s*%9U#EJ`8(}%r0l=(z-3)JW`<+hi zFg+iOZ|oLCWt9qI0(vZ-Llf~bLmtg|-+ktY!8x&M1c)R;QM9p15SypzbJ*NX`e%mO zh2rSew$Td*JiIq0DmUb~%=G$THEZ_Pzc$xnF>_k536sia$JxUa{?$nlT83|SYf<(D$jR40GFYN>y@2!9Yp^|S-x`ZjcIIg52lZt&>E0= zx?C^BWkaIL zA0s#~;{d1zyVev~kw_m0Txi4k1cHW_!>VMk`hFI(jAXT@2l#Iu;~WM$SBTJyDK4O0 zr>U!IOx`jG3p-m6PR}6;LLz`nHjN3o#D?mf#0hH-PrFY~F?!|MI;481RUK70Z2NpY zKH9R{MvU?^j6!ysXT1KM{jvd;+oDoWKZPQZGr8BFBJmio%9auK%v+S-LTd?$)cV&!Uuk0A5^78O z-h^VvXF28_P1!$3((vvt-LcqOBHgGDR6p6yYuR4E5p%1Ixu)1-?$ks6EGTH@@;+LrcIZ$LZ1{+9g~_lJDy+(SA1B4 z@@l)5sgGtE=MU99iuiK4eEBHv)VP^}9kboztX{e7vr9zsvOTVGy0Ya6^B z{^2jbMdm3Ap8xpD3vxmq<-fmbAy8pL{b=Msy?=stC8EmT+1B0_YU}Wk0^_5Quaf|f zT@ZE{1Sf$W{*F@L_r|p6wb2w75>0p6dII1E2>xC`IW7#N+3+SE3OUx>ORHW!ZmPpo zfl(xGnpw}^X+ux;0Q*i;8?`v~)87vAxx)iNx^GmP9NL^(OX>ggIpM`T*v9?X-7j66 zX(UFgcc|nL$>WlY1eYj6*x?kX7IX}F>-$sd{mmlk{Zhf{J7WvXznIB>y4V$)O@d@L z1MbELEWj8Uwt2TX5(4~?x%9eRr|tf>@t*7vaTGutIO5hOjc$4-ZO|*U|Lrgt)n|JI z5q1ubuxiG;#kxC!D3|cOn#5VN*(_KX3jqd$T66suY;B>DpqImzhL&6xh1BAldQwtc z$_0qFUG30=x~n2|zAo7G9^pvGRN_;>f5`{E7^fIMAsE(lEBP2rV*i`QKMPci@oImu z4*mQDJ}Zjo$6|*G8Eugk0N10maUJ2 z%Bv7Q_E%eQ#ROT{)a<1LAxFBQ z<_!Wux6)T+>x~%yrtc~3+2ExG$YmvcO~!5-{KG`QK;!ok8X%Rpdn5}3IS+dt^FtLZ zW&q;g{ViuJMyk1iU>%l)^pAVgAjT~m-BqsylMKM!#D1-hzw>uU5|B4N-u1SS z>S}}NHDLE4jtjdz&J%d4kgBXuBd;7cJ(ZopQg^@QF!n`>8=DQD?}isnX_Y0rONdf) zberJ9eb_j(q9h84QQ`yqvuC)ErLdUlYIgdAV(L-oTFGCMt+!xJ-?H6PXiO>B3V%qt z50`*}BL;(7JhEAHi??LK>VIhR|;4`JvXEG83V z@2~?AJjb^Jy)0&`^;SA}T($wdGpguDAnB}jPPbI0c|fECA&U%}4L%}x=*2uX*ln#L zb@dOI!~Nf9Cw@U*3pc{ASANJ&d<)qLYQ(Cr6_jV!0kjm>k6#GeM%@q}zW2btlNUdR zbGZxt(yRY@GpxK0+|qx;B>pG3biw!F(wpHghDEQXQpi=bn`@quOHEO4pZShl`q5Kp zUG;AuGLeT+|9PLP5r@lH&EG<0;M5jb!qHv+*hlk<+0w;k`DwVSt(5+G#p;qb=avbQ zv%pQ0v-qJqZ(EgY>xO|1n0Eo}Gr09N1m zTk}xw&+lksZ$o+?H$jNz;Zob;_5ud~O;$13aNRGA3n@o-+y2hV@0*+h!0Iv-OOTf{ zs&^5_Y{4(5KqqE}wNzzo^e@|^DwYW^`mMsdd93`}D8tp~F9|Q!w@DVU*%j3pphdTJ zPq94axO>$f^uVsPN2@_3j|5>uq2Jt*u5DEpr=YQPBa}FWspcEBGJW~ zN#eMoK;jlDUdka_PIX43dW#@}A-D*+-k^d_Qd4W;1vwMLDWo};?tQ=zQkp$AxEK@=m~v8xS9>ywEh|r{1@curi0ia;P8=7&{mW+_|B_4p*=y zWT9nO|IHTUZ61Zq3%dbccq35HbVOPY7U=_54fB&=E2o~A?7Jh)3l^#`MaOTmy*96f`;)~wmN(TpcIa2E-*`1O(*bPi( znJn2v(*)^8f4sDBB3Fh|fLTkmGI)fa6lZbpAy|(Kq{2fkXQ0ECu5N3ZWxNnmrQaE8dplt^1xL+`EGzSXP6L%tm5e5TRb9F z!6QmaJn%vE`|mXV`r!pRE>o{pR7H|#dNA6<+l^uJ z!l3?kuxXQ#PICGTeRg`uzh%SqaGa~)IR7P_-cUB?6A@u`+rts)u&oLYUmWrG*sy!yOP@q+ zhq#+8p89v+rx1keIykTD)!^QQQ&4^1pLcxGR-b>~(R%;V%MA3pu26|{+E#irda%(l8(mtI7=F{ z4ax&9%KzlH`+%zLxbvSe`3 z1HV=oa43Pj!zbF)vUoeMBG34H04FWJsBG?Y>^HK379CIlP+Pyall^4!v;y?J)Iz}p zdz)v=nb{5KanYceJg5DW%uBbU}D4KCvy z2>@ZHh%15ngW^JCneakEapy^&@0LDBwQp)wUPmT$)2VFkEU=^>5ylG;Z+|tdLeeq; zqFzG@EE-F3UZ})dj>ZH+>ATIbqx_1Z13cVU$A1IL%Sr|;V@+c*0~Qad9E8n#YN5WO zeXAgh5Ly@#$gxB|UfP*CLZT)?hf|+3MV|SJ&9H*s$_q@S1o|dU(P2tMlA;N?)5x{W zNb~^<4(JBpYrb)+jE4h&pka+^5bhF&@v2QjqNoDK_1HYS+X-6DQ6HTFHn31n@cce_ zhKv9)Uij7fOnG{7%h@@>g}HKt!7*Shw|9FKkhzLEWh5z@#*WPTfe_+1v}I)xBk&Ej z3C?H3Mz8|tFyuxsJnR8=gaCfKmiqN&YUe;_1+&dCp|gr3*B1&dO4XB)#1jyoJh-jVhpZQ$~Piwi!GwQyiUu0t<6iyZa0Rw8Pk8aeX9nxZz%!w-5klD!;O& zssq3e<#gf2(p$+rAS`47l~wA&XCV9eN+lbfQg#=Q2QYXlDMHc z7vIogtJp0)3ytCn%Vn;4XeiSvtH6D>v;wuJ(r#= zb6K-p2D{D;2l@2O+mZzv>nBL83e|jCnZx5w^N$aLX0_enU9}EHB0Yhl zf(SSjQjk2WBneWtz4lWCc^nxe&j3M7<7ANc3fNf&-x%C+ncE!aS^6k%>zWttAd#m? zB)g{<^)L+MXhB*_B_P=5+B#PpXQRBGQ~V4z7Z+jCasU%jOUYu~o@9l>0UcuQ$Sbi6gqK=32dWUS=4=hqjRhg&z|J4*v0*8& ztT`@A1FfSG zNA&Vbyn&hwyK{3n>@~9h?J+g*EO;NKG_qp5@8LWzP ze0FgZ=xlf7t0|fy!Zn-ga#@8=D}cStyG%`dqSP0fNtm8IlNXvv3pjeLIr~^6VARAm z0V_G%)G;?*wdN#IUR7<^&I%$HE>1Bx82pTOqMXpj%y2y7NX;z&b@(}vSAyz{-6ael zi3!hx4k4(R@Q)x=FCDxV8hGNIWK{?c**3G#s1lbbN(OZ^vz1UVwb~xg47Ury9>}-H*mjVE~PZxUxp0|DanDUat*AQ+~-J@Eel)+sD@%6jcq>S*P;fPU33H+Ucm036hLvRSA} zEo<6aj|B}sqj~-OUl&0qh311Bhs*HPczkOOqK59yW<1YwE;MaRvU=@kvEobZ6&^QVB1E?pF8I?FfxkhT8%g0Gy7`kpk*3i#0VNy1YDamG|VU_oc(2 zJWE~&Jn@6foZ#I%=5y0bXhXu7Kp!(w0$bxYH34os>s+rLh_ABbT%cNb=bCGX19(|b z;Z&u0`X&aMP{-rL#^7m2rTGe%nE9xkaAuBqFZELDgo(W^R8J&sjdd-Z`(7yFZZl%f*|R+QpAP zd9rlNs>Jc<>wp)eSTt$eysFB$$VMCtZoDU1WcF`Ln#*JWHmGsK{jI-H3sz_Cxat26 z_W9n4>=W++?33vv=k=Q4Lg=Q1Pkj0k|JSO08p3$VKOS-V&Tm&#p_>kz{YZ^QK`%05 z)v6V2;aynhMZv!n$u-(|`0tkUa&?6@JGkvZDcZw(;{XpcOE3SEL{NOR?YHsb zo$VWiKLx{MjA*HlMeHGM(@*bBX1L_yUz3cW*}->(0}O+4#{pNL-I>nPM|0;vf&R>J z$})!KkTGDehn!nr6`y|RPxbh_W>F~XB)!+lJ&Z)QI{*ZGr+Ur_%+Lqi9QbeO#|o0` zCq=(RL>sV^S$sctg!hIPeSU`0^a7QaA>hBc)qq8B0ed^^wV`~jbPd-6$G~eNqA=E6 zgS8!Xi6bY)VIc%SX<+M(MIFAc?v$zw-#Wled(dbXgh3nl3LkI3GjNk#-|tW=pt^QJ z=~zC3#WPLlOjEOK&7j?W&!sq7P)m8`!@2Bb)gg4a*Y5L{*HW72+U%AKUE=eZi1bAr zssy^TrJyG;07lgm{y&T(%m=7#)q0dmg-y$JFbW>ww_Gm5nlEv8iTr_b~;jsxM3;)l!UentWm5s0d?5kkgQR8d`Ps>G%CE{ z2RDO{84yq(E}fae9NaXRWPxy2KK#Yhwh-|RAUn4C@@g{XTSZYwTUTX2*3V;w(u#o(tdCL34M^-P9l1Q0 z?h&+=unP%As|u2l=W+y8axFAzw~rCblIdV>lG9Sv)i(i$GPGD4Rj|zwr6;xT8q*IQR3jm5)_oiSw0BYX*N3> z3XMom+4_{s#x=2Xf){%u{!d${So4N7hn z$1b8;99s;NfOw9n$8XPn_mjwUtm}UEQ{Qn{S-IE3(8q#N7>5pfJ-pY4ZzYFHNRb+3_VNpOT+QSf?u2}jP!4EX&f;xi>hH)ez)*Q6 z)e|iONGN2ZCvn}UUZPK*{7VF=x+CsK*NMsy>s#RU2E* zU#2{(pXX3r@?G!$6a3taKjLqt%hurc{C~eh{B)_A4mEg8p!``LzWn!|f@*)L)Yff! zb>=P!)SP#38k_f|dYyjZhf4vm`J>fOj(-zRoGW^Ow^#~o18xruTjndfdVb6GKYB6# zE||k3@iZ@)+5hqzpbtSWZ~YV*;TKXyu3jD2!?XPh2&JHLsN&TR&%I*qWq{wLb=4ZU z!Ut&(e7dweG&GoNJ&b?t1iEaHRR^s2>Oe`|Sl=BiF4ve}^F}~z2e2DBIKDhd{9 z^B4q?Ha^!@3Lg7G*;@I@M>m%WsXYzfbc00;ths=L{+p2jLuAZ1*2RIdK4OT+wH5+Q z>tw1vmRa9KbPB?3!izR;DjuZN zCsArGl1(s_u#h$2dyJZ(5!wwh=gZY|mLW8{o#4Www)Cqr+tQWOYF(WACWV8 zDJ@NWfyhQ9Dq?joo^`J-%VKI$1{VFZ%>^L-tbdzVt zy31i^h@Awm4%bIIK3l3PLV`mwKWR7OJ%RSL~FdGpb`ThG7M=v1yFxJhANWA zC1Kr&6%4#Kz!f7r(u1oXqLtr=n{*;b@-q}7$ z6Jc?t05|zQq{_p9&)4(x!QONw@?G*LfayW3KF}eSH#HqO1f1_3x)hQ)4jm-zET~mR zptly{DnwLcX-B%$4ZLwS0WdJV@ccWSW%Y6gXk}8lEC{+O5d#Yd(L$=p;X`^)lGhCh z8h|d5mCG)z$xwP=emOEY*a`TNn3J4(b2Nru?;N3J58PC%+=yX~vx?tzNEgqt@S)i-ICA7sHq0;?cwyN|cR$O0ZH0W9Vi*ABPL zDbJd83rxK^@~0Riz)klAU-aN4^lw7F`QJ7#8R%Hn!m0Z@z=ghBCjS6R?(dSbuSbw= z^?7fC%In$i*j3O~k6!!X)1l}Zuz7vQ=e+S0xzB)gC`KI-*`g)YztI|qvV0NN^^dq+ z1QoGr=N+3LjM%X#Igt2s?YyH!`~5G*KWmn}C|>X=Vx@S6H2LhBY{iMikATLxp?OvI zo3o21ez{Qotmna&V&|f7wX0Tch)+=_Z`j@}-@iK7k@~>2=#h0IVT&>MBa?cAURMXJ z<|kkr+n9UGabz#dKPNA1LCRRt@O-@{=+;4-`1*2L(%JL6Oq7Dx^N3xm*0u8j{#TUO z@j^5K-Nfd-!EV>bBmw#V_xIBKJj=h>{p2|)yZ?U89dF{68sFlm`lg&O^D=349U9}1 zL$gE}Ram!yp&^JBFPySbK6!X_S;IO=URCcP92_)?Yln}I)9{b0L*`fS@VB2biOi9A zmsih)HujI3H0H>S(&UZXUiWOYEo9r3Vx8ycST~@;CLEba93i|P33C8ky=u*Y)*$oM zA1KR@fwHWPeE-r8IEb6IF;x*TbP7zvRa_7*1*C90Tn=FrBf8XY9hbfY3*K)YGt%A2 ze6@jxcY>yLpI6xQ@h{-u4v8+pc^3D;ItLJbr=dEN?h~VNHpfUUwYoO`_sh)3TZ=DWLkU^8MQ;;gpYSL1Sf2Xe@`Q5L0J` z7mrD#RWx@$@688HHi){Mj&9kS-9(_4I$iz2gSr|*u4(vZp4BFzuF%0rZY-?2BJ5Xo zFzSN<69!^Gle|H@YSvpSYPPE?bg-9NXHSxA@TO^_rh13Q@17)%fu8NA1nU_L4r07F z><)6aJJ8WuWJ$$nZWPJLSY<0q-$BB;NrVNOB`Zvh~h z>tvv+n#mEhlV`44o+F8t z5J(k|X%Y#jZ!bZ=5UWSMe;77_M02#7pwla)$@Y*3B*+ioo}~_fsaP>kELmTof*II{caKIDfgavH-1+_{wniLLzfstRYtTAmx@uyZj~xOz#n6;w zVLC9iFI&!A|MbO&(9{VyiUOj@fspA_kMoWoa>-JU=Zu$?EfO7ZhQ2h8bd|~6#h!*_ z_|$Y1yESO+el~oaSVekuh}&AdQ`szvyy!l|Y4-oWe{m|*_!r@C zzww_nzRCF%Eb1p~d{7BM3nwfA!ZiRFje^e4Wq^x@FN=tMI@AqIp+=Bo(VNL(sW8=j z_PZ|rQ<`04RAppK(S=x6Bw6N@3V`j9m82|bZ15*GFSa@_23PGF1lZhOn9OEw83W`q z8}?%{F>hV&sg=I#MHYT~iId6A`o}70?RN*3n-XSvA8`~Yh1|}a{t0a7&iq_Ah{WOS z8{e(e-h)M_}vez>fuyJzw$d9i;#^s@@J+ z*ht2jHMZ*u8;i4gzoq-YpQT-Y$x-9EXwXL=@T%9ma0=MZ+-p2yOOA8AQzrB;z3Wp-APQ=M$G*q>$c!L9ar62 zrMuJdqX7&7b**OzIz#VePa7um|D@0LPTYeJJQvc4)Zv-USw=A&9X+9W(-p!CA@c2P zW#GSQ)WMNa0%CB;gi;O*!)%*V;pl}%au1Z_?&?snntyW!j!7Xn+#A*kNv~4~M#VT- zxQ^}bwGuA-myS)(3c{9R*RKz7-GmgRCDiP4FoUVL4u#Lk*27qJ#0q$1YG)Hj5a(24 z7re(QStFHHX~@@WFX7n6x@8c{JZ1!hss;-{(UjdqRCS%?WOpvt6~G9CQz)V+ap4<2 z43y+@IhZWhbuH}j`iOcoc?3>XSq;twyW1ez%pc)1$?&a{Y*yh zgi{VR34HUaW@to!-vo=9*apX3%V0=3YOvF-WkZpjwNba1!N^wvCo|OS(6uu{V{1-V zR1Q^SZwN^R9{+vQoQPU5Vo!qTV~IPBi_2LcR*sRPDjbs7GaRIxFx_C1kBml8j#-Go z-dGR?@8ej?pnLqb`(gL(^BL>!L`7V_kd}9a(TT=Zuu4KOSLJ<>!m0p$Rk7}i51tqa z-K05oQap=?g0i*uai)CsmC0KZBGW&RO@Ybe8R)dJK^;t3Ifr>W0W2@3rr_hUHS+xj z7kl&IO5*4-M-R_dKax$FIu3*Y8$Jvg#$XA6p9{G9I2x@~xmUgELBmR;9Zc4$P1hP% zfj1%~hXbxyXmHR`E(A~P1f|OpO5`{JvXx)xCkg9R@p8agXpqUOw^#2VIZdN~ROs!NIFGumsUd%B)d`ogHN~ zmQFy?3#t7i06jGQSNsY3m9Sz&wwmyC95=bQHmqXev-((Fps2tc_104TJXmavk|5?M zqb`A_#E)6|87!J`tXn+G%Sf}7l!>TawkIAhk!MR%2O!06%D(KeWE9U}d5Kp!1CO)a&*tNQ4} zD-^Oz{^bYP82rg3`PJilR$0lnmp z7IuiAfrh|hONZQ4Sie3N4*ujd+0x|}yFOay7_E({sg3?-84m}MQ}vEn`fM#V_(kQA z;Cx_xN=F&fqC=@x+k%6dzHc>h_XadFA854bwp4hF+pC0kY(>Xft22adxT1E0vdE>L zo;*zlVl6}*QKlejl>b4Iu8JM>jQ44QuNon^P_Jt4o3K~|B!3-X(#NfcIkFz%am;z* zwt!+1Cbs(6R(VSK)qC03w?DrAdO!QOWDi}I10&vhAKfhoU0>KUaqP<{(61@6Lg}yG z3jK0S{jm@>xTy8&EnZ+8G_0jt7%lNqG+4zS8bY-Ukv~p(Nl5@ic6q z#IYEhHmFLzhs0!>pbzg=c>std8u*f{x&wg>X8CB86oEfu9c?<|0PHXz z&DRP&@PN|0{{GxpqC%y4TvR8$fETfDt>%J{)9Y{=1YxlKU<4ZdHco|a${B!eR%^pw zS&DAy-xQWsTuwfF^)Ws@AfW5vrBVSAjM`lW&3(x9d^HF=OOZqBheWXaRx$=4>dQ+~ z+p3ps%R*zUF!y%#@o^z|kwYWqC~L^PO9wWpQ?$BXFL{~ZNTBT)nC1t}tqsW~!!YP& z!3ELe^kFgkO^mCeUvPQQ9~?!Z-61m2AfjSZ{i`>VHxC7T#fL^}f^yJWqq!zzX0tEE z4fIa13GyD(b*8{(+QC6#Ym)*&dl$IkbtgE$eCISmfRr5FgmtU8I8MQ85@i%P-A3Uo zQ9mp^mqpUJ)gCZ^ZL{+U7-}N#>|=tFg%b+$L&vQ{aQg`P^{#vtvs5W|?`sXRfmIcz zgegeJ`y6=)H&b1r^PXw^R5$so4FnLwIhI&j>2(({9G=1=!FcI+xc!tz-8;g6Od&h#Dex;J?0@b^hb^P7*iD%q z6}g|17P;ulh#wGwz`qHLN`&8b{%6@eeS0Ug)?XmL>-qrC|7p`_!PBNs__M2?_Iy@` zQ5HN2U;F0Myd?E!Z~7>dgs{aC5x*i&|HQD(6v|HtWz?^zN3y7EV=12yWTxgykop&j zr-90dZdsogTl6TtXt^bU`}1HzSzsdkZwbfU(xid;N2~oy<4!Dk#P!cFHZC?>SIw=D zTzju}!xR68q19O`#s<9?+Ch+^JbU6hIZFUYHe`c5YWw3um&5f-GqI$0#tUTSefOXv zUcWTGcgw4#t7LT%oN`M-0R4lD!YM}^#|D+tR>~`vHK6emO8IW>b>ob+r zQhrt&lPx^2&Eu!D?ff0CkmoPZKZ!A+Xe6X&rhTCB9O@4W2R{=!!Gaqsxz+!zhCEBo zE45ppF5v{mdIH-@sx#WhzW%`;=+1!-n4rs@3 znZ`N_--f6i3bwA0klJn$QV7U#JN-(qPmL{c1=?zM8QFF$-j8Yok<+6OI;V~1eL4u1 zZ|$G3AQ0_?&ia0fr+0l`#sN>mJqZBg4rSqx%cHB@-OvJe@dND;k0`Z_z=cD60s0Wo zb15fm-4?E^ccQfo9%WoEnG!d390~=q8hg%7q{Ci4h3nX0TVN^!52n-I1f5(K*;_Y9 zZHC7k0Y4-*p2N$~lJ}PUv4vo)A`}l`MN1Iza49d?NCctrgO{~bebBuIV?{a!+5Ex4g2e9+92d zP0JTY(%OWVrZ5RcTOc>iWd)S=rivDkx3vF09G0YS+Uh4}u_#-F&;w z+!|C0RdB|Og_jV*1=ncy$^VD5H;-!a&inq^w}1%lR$FVWSP_`EQyrz1nQ`A+HAIAv znYNU>DsqnsDPl-#!37g2dL?PAk+wsi5Ryz45=nC9nzo=*mSn1g6tm4JAtfP61wzPr zJ)eut^vv_zzx$uxIhAwtG#nC=@AtF3-|tuTJPC3cGCaf|OZ)^%F~3n`mDpup)nq?C z91A0@X$&*~$3dIq83zx^+jqWYjw`>WJw5y}{L=)ELH+B;deO@J-W&6My(4{VX?)1` zlSpfFb33;ACtA`r88L1QM|yFDiC2M;M`9nx63$RVpbTL9sd;R?Q_`y*V|u(D7r!&^ zIxgeZa?VP6DyGO7=<8ihc)id%+#(4CL(j9YS^6%UbUxi9{9h zW@Ya~8_lp@A^`L>s-3)>u?K}c0Cx%I z`bt=@MutEAd05tpu-L2>v)3T;6|5f0ndLQ1p@3vcU~GY`-Lt7qby zFUOZApYp~3Fql;4kuP`z6x{(G1|-pZ~8Dq|!p!+qkW;;Bpy#E?BCrb}i>*drkF@zVA|!9$FrBp`?Ow8GK}0 zv55;W@+||fuw-vzxQmq0^0t?e2v{$j6LCF!WsD1l3T-n#@j5o3`dTCgj|ocFfTbmG}8So^UQb$Zc6;BZjm_E$8cJ7FFSQS4frE z1FZ}6Xl*5iL~q>(UXgOWn*)0*aOFkbQWv3)x*bzGY~*teJPej>&edZ%?hFj}P}%JF zWrog9yw{lbuFcYe^E?{AszY2Q`jrS{LD#qYVELPGxz#bxG zrr2u;pmt74#@ow07SX$yFk`fj%tPWcnBcN1HXLomZlm#9axq}{{I?9zBfN-W3d?V< zVt?j?sY?}|z@yrg%STjhzDM~?A#FG)Y_(+r9d(caxGqNtD@3-a$2#Re<9Hhm9I&`g z202qZd56wvXx^69LLe9(U0b9cLyy7EIdnDhtC3fZ%CsRzPWsU2T9D^cAF{UT%gSKl zVyXD)i`AOH{a6|5{qZYB+)Y)S&KbIeB#cjkB!?3fw5rF1@N8o9r|-19I(AoJ;{#tt-IU za=Nppf(jTtr<~#urwxcL^3yEUVa)~{XYqZw`k7)!C?*kq?WNx*=C@vq?UIrlb zL>*_EG-HmoNmEQ8T+!G`K+%x--HXW;pd$$CU_%fRgK*FU&NL_LnKYLb!(B158V46y zyy~p5Y4jF*Rhd_V#_8RRUu2YD{;Oly^&jBg-T%*=K4y7X+%6Ew#6>Q;8WSCs9rHqU z?2cb%^^mL-L-ZMY{-bG#NG^|q_3;0atn@Eo=Ck2z2-W@E*^6ThIE=DljyxTR*b4EP z`M&V-R3bhTB$|Ibgh$JtiQEMUA?DVdzf7R2!b?ooa>>Tm_jzAgyR73SLV6|^wcBpyF zs309XSn#3tyOpbNAN_LmYIb{H({n=Ase6^~U(a9((~rNFe|zCDmPE$zyT^lAj9Gfo zo=(UAT-MrwZw7#v`+46#tk)uIX1;2C_RFH_gWH;!ijluTaCJme+H*uBELdxSB`sVw zFxh>9=m>1LdY*L)1;4^x>pABk$bVwnB|@e?xb*O z-OR?mfzh9}@tyBXu(xF#zjHdo+V;|AChVr#G^+TkNDSIHy67N9g(cXWZ{H`uT2FOw z^)sQGNbw0!5|+VdK+ncc({HkOIQtCoS9!IaYBsKSJ0PF|lR<<48V`KXms$8%3r6}5 z=^#nu7D8~g)+%lb)|BhOUGBiu5FUclh}!_!s9{c5|K+Ru>)zvRb#2Ple!(F0jDUdh z5Y8F_u2^S?2fl(u)>N%$7O}UELC|X?%v2%iZYt3LP;+l6-Or06n>xO2t%T=BEoR}j z47KmT0Lpfjj>HsO=ew{6t9J3>EK@kop|MP(2^i$8_a}$@qa4_+ftS=&7#}}cGVoF- z00_ z>T}*sa6#789Sd5upDpq`S8Gv2tSo5SHPO|Q?t&NuH4Q?6BeM^&eB|lTUZFx6xCAUY zz8>NkjMnxw{M)P`-0raVc7+JNCp(tuTgM8y8|xNR#%2{OL-1i=`_^TgwactzZ|`H2 zD&zTYO*VB*;zHWQ#tbltKxD2Ej5q^2N^lx)Z_R=2@_R@c|D>xf6x0q~h;_8X`V%VX zG9q9#UD(@0D&^lufdEleEY%}JVoD_3s7aWS2yvzKz%+dbiG)1DOYRah-U5sV*(P!s z7=CymF${qw+{N4&i_#UD%Vk4@b@#mo^gPi2g20PRwaH?F@Gy?yC|MqYnc8l%8Orp^ z({(|Pn1`x}AtSLXc0#ksRYvlZlK$PYmKUrlddo(bRx9wpXm1$`u`&Kk_`RMghe1|O zcKtRjq0$FVuO8<)&Xb*x%pIrpQ+b20bbD(|qFbvc}FsXI$E?d)K*Fy2A z*xMwkcJ}r{y19xMv7Q~&<*CQ~KX-cbfH7ZV1GC@Iy$X^1m`nLrof#TUzCvk;0Xr9d zlEUGC!yIcDY^GGgt~ZB!nKIj(*#~>dTcVGEw(KF!V{OBI*+Nbf1v9QQc1cQra0;h5 zZ>Zor5jx@S!qc<9-U91>Rd3_W>EY(pBIh_asuPwtZA!~;`>2qjU^`oBh^fTx^5IF5 zwR!FDcgdPok!jaQlW_1t8qAf-IP|S25A%B-_1fQ4#4T_U;$>C;)pSfVMmO?#G3Wp< z8mr&YX@d`Em0p?9Dn5-ZC@Y!zz;$v`m5M6{;~tQc@oDo>ku%5NQ*llFv0EICkzmuUCVaY}Cwh8ocs%Hd&dnZy$jh3yn$b`gCS?FMRR{N%BV%P%-qP*FrC&2^7$F zM^E4Tu%f%74SokvehoWx0wdA!d>89z^9rWL(o1v)u@v_oS6Ht2-&ZRbLXgJ|r?=2EPQ*m6hX;xSm3L+5&H~Gow=^~!4 zu_XM@sfs(q?7SMGQN~ahqSY&2HK$Rzpn(K4ZFChFSJR;*C#F0gYYR{v3d(>o zmI4d4?;Mh|*`OOI0z3Npe}tWMgv)_kH0ohQBvwNXk4Kc{%58p*>g}N`K#U2q{{6S% zU@Cs!_{#A>`**{Cfy5F9IRsmY%WpnrGesa_Bx^x*bo%oZAW^p|r?P`gixq0y9qJ$y zIk6IxAzD2o4o&lKJT!U{sRV4FhZzu_(hg3XX3OFUR_Fl518D+dwwwc43}d#biOlOC z6NbRMaI{FU797fLCY?+bC$rg46E3XoWi&Sg322XjT*F|_@2PMJvuJ3Xv82DAaFLxO z128rRA1n?OwYqIJ>Q>CVEi+0!$<8T=IJ&c>~jdtj+dKR$Zw`?|9dMlxSIUH;x zx(`F_)$yG)70hG?nbRQG62qzTCg(Cl)Cb$hZm1#na^j%THM9Iq7=j(94jE#no!j?U z)a-1O!=B_cm0!D((%y8Qfwnx)m-|Ihe?*fy>vB zS{_CRAde?ku%-*k!4T@TmNZIh4pi|6t&${9TMmS3ftii-aAd(GZMeqP=r`e_-cUUx zR=|_(HAA5ib)q2>Michi1OYKmZBv2FvlNWmBFQ*AL^W4&q)^4DgAkZp1UWk(H+pz4 zfbJ6(Kr0D&Q;=Lv-&_SRcYGn_*<7XD6pWF3fbLaALoW!J(ZY*cBmqf}iBe3|92a$E z(0y2hAru!5x#4yaN!dZFRg`UXX4|p&!?2W}){u$`g?z8_S1Q2F)0_`yWn{37waP2u z^6BJ+`^`NqZEl%n%rlmwfMHWHtjBD(-E%0$A;VES3_)X0myw~6u_)o21EQU(T3ZR- zBsh9;pmW}*dWKX$m<3gA?@h>+Fq`u|+SSmqaUzXypF5cnb4&*o#|))sgUK&g+EJZ$ zb4mZ04Mf6GruK_nRETUrxpC;x=XdFbgY9rGNO)L*(Z!8?#vb?$#5DI?+bR{jsPc0B zfWQZn*G*Ji<=u;%+sZg5*==o-0hazgGV)pC_)iT_k9rCJ-OtDWmMJ*?znDG#&!*1mM}4~p{b)qDFA6=7 zbV~PmAYy*nPJcWz>4a{dZwXVrz#s+?B_yq;K+-xfB;jn3*+b z8IO>VADxrPodDC@l;#B4Rg@TH-5S9Q?qbM;d5ACL#)DePNXST@ank9qjG$pxXs!Myj_X^0+Fr|c)WCK%CC2Sd{wZzOO+99_jqnv z{w7gD91Yo%hen5N4kUs)%JQ4)w;o`EFpfHXf+B@9@(;US>@o`OR)b{x5^wX$}A z7#tLjxDJXSsrBsDk&{^FY1TGIC0tci^Po?8Fftz<=|la#6DW!4R^6+fu8u5s!L=dG zX+%ku?_}VtIv1>J02iSHxb}yI!B#Az-%i6Cq833Mz4H8*d_HRGe5O@0vrC1z}@}KtDZrxaG0)M^A_7JS@>?*## z#au;0xe+K3-UDSd#C^mcbv@Fyf0ni~GD7mjKfFTIK>NMTdGe%oWkOKL>!^XGvzx|3 zbj5dAe5JV)iQ&tzUUXq48@DU6hEw3Xo_((r8TZc=j37up-hF|JY%~2 z1c^O0JQ@Ki;ILesYrt+5mijGyWY4g*Pk~Q>yq6c&C@~F0n!!J7?XUAyVsR>oC$w4P zgKHO18P6tBCYQS2mqUX2|WI^SE;Zxe=OkdrU13(D`acQXhbJPtu{nRqat z8?71aIfhp_k{!o{J!h$8VmJrI4lMJxE3nLTWw&*t7Zdi@Z$D1O0=2vVoJ8c@3(zV} zaw8r{v3N}EXp4B0C*$FYc3w0k@5XsfAP{?QxaJO@nbV7 z1PUJS1wx3{b>jsy2;c)kSmHvzb8}Mmx)E%3=@$0(GL;{)^~Q&KcvX^?v>|w&G4BeI z=tCUAZf(~?_Ks;cF^*IzM66hzZaV}}D%jf%<*Z#5Sp7C5)oX(0h!1?QXCPtu7Z)uc=8T16Zrdif`?N5GahBSfE<+@S1Czr7 zY4pY_hMb|X0-ZRD{?KswC@qh_78c?hSvD=0H`43EHVNV5;D)ZVOR4+zQ0QK>MRf~_ zpy!RuZR;HvlNfM5*xUV(7$0?Nlp9eB+M^*O(Ozjr7I++;?Wi9Z#cCkWmUrBh%e?b#HF)0*vJbETMpz%FoG4vqXVq% z&coWui#2=`YrBmBu^3UP)bZgacg`<4YHmo_rodtUIu!N)-!`nD$3ctkM?@`(S+io+ z5CA&OStl+LpSBx<7w$*pw?wVk`g4iDhDcpF2(z9TnJoT|OOHu!ESs}?XwGTRDsSqV zMd??4DX+v`Sn#6lY6QCYxu&J5^G8GTp529)Eiy}eO$xF%Pe;p!h#R0VBfSVqkwaVe!YI1 z{Q#EqGT5}7g4JTZGWrZ7=Vu#M44^Y&*1dmnbwHT>!GF)Z2W#f{%V$W{Z#n5fF(A6 zTG7TWMp+96Qu_iruOV`Ix_4cb_WgD0&~^6AtP(%|m1&qcRzmKLA66no4bcM)G4CL? zmYi%{6qMtV-avaH-Br`kPQ!Url)> zK2})k8;$%jY578{@GsKE;vXhNa|&Uv+^<@juOj7P30>gRjgfsnHgM&eV>h`G*hIhG z5QJ#ORuT7#){i9!eb7}{`s-Ulo(@qT-*@H4wZzbsqlbT>g%DY*vm8m>C^*!`Ea1jM zq*#K7<}PoDQ`UYk)7{Y0SHDwZgiQly8Y4{ut>a2MhK>vt^)KsWG-m)(FY-QKA%q%f}={V{1Bb5 zOqR(dR3y2I6Wisms;3#lTvE1v^l6&cu@*qhEm;NV8{IPy+wEVcnJ_k@i9yIcO&sEm z{NU=dHXhZF4Ef|IratysGX&|b>6|k(3lcjqcu(zu?{_>OP0obK~#TKp>Nq6WJ-r1f9Rc-)Sp=aC+-i-YW-;_D0rgX5e}pg`zC3d;xG4 z6dAxPbics;M|xdrnZ=^PKsKM~(DinL7{NdpYI)_jo*R*iBxRzTe|wh+Qz#{pfKK6P zD2w#OVoT?eO8ejNkE7@B1521ADYZ9eYH2O*t$NwAbYABXpyKl4Z?pC^+K%JAgu@Uu zWVd;g$%R26RW1v4WF`9tji z8`IpBp>sTX@d;o!@6LX;sGf$OHaN{~XsvJGd%i7xr34*|s^VcN$ zAT_)Y%C97m+jX_HWu5#OuDzk73}LC_2_)&n#Z49`YFR#Le7f}!ygklbw+s=nfV)Aa zsY>-7V?z3T|72%dWhF03!HI2~u0hVaJtkm&s5|qrb^7m*X_~AQ99}#XkPkDV{CHDf z@5S%vhnfMnxhdb(?w96X>=UK4-*7khqTFs5(|@d|__E7fZzs16_Q4TlHq+@A*p-&AUJ{M3b5PmKfqno{#lE!@BFSe`xTDqi%6B3$E|VO+UtMl1w-l| zx@6egQ*?mJiH4kgxt;@(bbE7_4uq^pxvSSzj4W4`;un9KglSho}Hwax@Cj2@0|1_eJ%fq5~LDFk95%W4fa`V!ttcXLubhA;w zcH0Y&I`p5d==K1#qQ9iP{x7{~(!YDrmEq|yiu_kEy8b_U(fLH3;)hl=LKP*$?MWwc zpfpLG`(je5IDdg5xX-(^VP#gh?^!){ftj+K2>#P;n0aAc`{A#zPH$~|{rij_r`%n5 zzAXL|^W%u`Kb0)!-xD-F=W7JR;#A!(CWQSdhSF9KDSLP-u!~2($g>fkke>ugJiL5# zzIlV^&(+x$o$YbtB@S?SSF#pw{QAFZo2;xl_P#b-E|%i%Ql5i+Kb$i-Abc51WP+mW z&1L3bzsYi)6K*e1CO?HPxf}k^XN_-Oj!&6+Q1CHnD?VA)o*)) z)mMY&O7_k+4U*Wsm>YS&>?V6Bu732&JNneGtgkRsH#V(nvY2I@E~_e%kZ7dW@4G$O z@wnnz%WY8Sezoi3O#1zEs?;*fwEvbWWeTsnaZIgD9-_OH2m8>Z2Y@!}V_mq?RNLq? zW69H0sUao6BRNJ_C;)I-7;HTAiCXm8?%B!O`NzxW2P?my0 zpmeV1M3GDQfS< zCbm#V{zRT`x&yR=piYG(h`0^zQukIL;E@jK08zTAy>}jp^!n z!uIMX=Rn2#7La|EDRdlI6dBp<8kyzPn8&>pLV8;=r6YqBK)omE395uDm2cON58eoD z;h9I4(U@>j@{i|NyNvmxgYs;^F1@4TyI19MsI@BRc#~+7cc$2uLzq2NUYXXTsZeo@ zDNF$7$VC$Ug996j>-PzqbR^LWz_a}p6HrF>%2YYW4fBMd`f=5pXyA^`1Uwgr|;NP{|`bunlaGapDY}H_Dj4%;OD-cRzm?`AE&o<(DfkPu<>p z3oo&VDe_Z0TQZHdUL^k3#Z+cs>NE)V_i-@atJryTdV@_=w>PL8ZOM8A7=S3Z+~TQv$webWokG9phT&?{6~4U}O`Ib5i515FCw?qh41G^5ub#&|F8U1K z?I{xB?Iwdccf8K;6d?)JX;wvBuX+*?vb=7dbGeC=gPNljel zn2z=wYsSbBgOYoZaFWu?Iwa&V;vn5#%8b;&`a7sCB~7B%RQF_b>K-Rhe`nAJ6pQmY0;gBdGzAgFeq^FTb za(CUn_u(`xABpa|Eds%BvGMIrn*@-nqs{5J;Mk;EZ~<1nwP&-z0Ujt^e$>Rhyc5*+ESrmP^Nv^krjuG`yJmd8z$ z(@dI$&T&KXwj~(@bcaPJ5EF&AU*h)y0j^L#FqMUio(vWZy-tJV5^iF#a~CbtTmSkr zQ&v|gq*k+ym34dlAYUNehrBg7x24A8)*h4bA%|oiwDxbb;(Zqp-yl(Brw=k1!;;TX zzn)7HlVNxTWoG^E^5!f-0FHD>IKor@#{0c4Cdbun9UrF!xx1t^08b$inw zIxtBQV!+}#F<8}7S*n@9(MK=$AS7{FrDUrEn?|C0QK%`}n0vbaP=(Izvc2=K9RBDK z)L1|{2|N5hRH9-3Qi(pbGA%3y#y_dx8VFmnA_8m!#26?(Ha!yJ*tWvtePB&7|y3S+#Oe+H}gcUGp}CMJH7<1Pgvt9D1JI zcyBhvtd4VK}zJ&G7&0#8xSe-m^}UBfrf`Jdl^Zsx&} zQBwCyRdj)?Q?+<3G>9(Z`09wUL*3DFuBT1;w2Hg(qie}83&^A6*-%(0EdL0-j4cK= z>ht2Yt?xz+yiQ~7pqNjsblfc#uzp*48cPXYTw&>Z@yOB}EpoSeL!;%sA~e{60}zHo zDt5oK-P;6fC7$5NU`LmV0l>i`BpPNDH=DY$jKS8=SKe?a=P48~qsi2< z(IFFi-=qh9bI&>U9wrY-EY_=DE3K|?#S1VJIS)x%59Uux{XS=wR{hc^tlho|B&NMY zy18#)f?>4`+BY{o0yKP4<;B-OX?oFi+#;=2udJZ6cQZ>_`vfD=saW#x^)$VW#adYpl z`>A)@bSJr8KKH#JpLt>(y7rani82K;yts4J+=PP^dB!9EIwbL{)Ct{t)@KxUr7Uj> zQ!cdI%9Z?2(0NXKkek?9z0nApL5` z=v#pGYVvDVx|rFzDm*vXrCO_C?Jw|DHnDA{rthz!sXV7^Jir-Y{cVwpQ$cMh{7}*d z_u%lz)4tNmks&w4OOu4_`rQmj$V+<2i6(c3WQ`$}cN(1!09R9?Dpl^^i_95c!fFN1 z8j@szHx1d?HS)CcHm6}fy%Lz>x{)84Fxrsy^3$?{RgM_3wC;dM+bC@$hi>(phgf7T zX}Pe|e+DRde!r+;P6hX>*M!6!4MMr;lJ7&}hC1rLYPkYD&-?oZE_9?@Zj?7>rH8sO z0=~HzN#yB~C@s3~uH08LFab+xybGENJ%d5~#qvGP3hZhbU zXX-_th16|IQx*qTio9W4iwf>bmb$D{C%Sj4)TC-FUQdPSMuzK zG=rK-as^K%@ktHIJWhS5{REoI42Ix^)>ajg{TDWhgi=$Ax}pgev;{0f(;l?vLnxm- zuFJBX_hq3;cs^z;FOe@bk+hXOmC&W+CO)oyR@auD-nll6tyjkeC`;@;uYnBlMB_?a1hgN}|KdYVIbe+8~1U%2R9{$ue9HuS#s%&2gCz9@{ zz9tiD03}z}mb=tbgRG@-U@|1XWk`{V0-fSsp6V>B3O3CG#}7vHC7L zS-0EcS&hW|Iiv{(5A6g`T|yC5S2mfp-DLa(n+Fv6E&Kg}%Yq!Z;^@V^H_LoXd$&QU z#^|Qevjm71o7!QZn3SoNRS;%#Pm!7qDjZ3u@MMBVIyxj4wj(5EHG;SLJcbm#UA<*e zHgBj>JtdMuQPOMapRx;KOalWT)flE@l>^7t?}K*%g#z@s;JDy@T4((}K+^*6+2Q&~ zc(#%g?cr-S(c1ce%-RL1hfQZ^n;t*Kvo2;9NfX7Ak1FRM8Pb(Xf7dGN`3s$1h(t@)0(g0_qW!Z*Usk>$xo}|dA=P4Y zBbq`R3whWF0`%e0H;>`$z2#c?jqz^t1!p~-)j!b`XK`RW7F$+y9oGl%FkJO}Wtfzk zczU{{v&*Fv1$5+GpkP)3^X01r-5&0NvK)tk?J5=WpPG^d?uc;NqgV1^zKR1(&^+9dWl0lK#my3BlF{6uZwi&L!>1A6$t-)Ii``UjJgrX5d&t)%S#> zI_9-FM8fu(C%eI~2DPk2$|YTar{UF9uZN&YK_(7)X9+nXRfMYQ8WJt?^CZFgy{C-? zic!i{?WSQ)TdTCbb-x=LF3L@yvG<{KnZ&3qQKCl1j{_wm%OaOa>rR&3q;o>Yct~=4 zfaf!}e`Y_&B+Bx3(>D)vmF}G&tPS3o)bf;b@k}OfPCoi;UYoS%s~lLiCW53gmAc`V zZC%*E)E(si8>pN6KZa^C&+Q7E1MDQy(Wv>+TmSfP*>^P5d2ufILix{u+MCi#|378l zk@JZV#-9^;qdT7Y-%}We78vk|XK%v@?D_9kXqTk5i=Kad^VOO8Lvx2Sig)^+Rn8wX z@?WjD9p3!1BE-%AvUbr5;^g^rS*Fc zQYxz(R5I3X<81Of{yr<%R*t6XI+gKq0-f8GDQP=eP|F-{TCdjSf6f-NtWefd*dm00|F{S|0c&vAKrTNMaVJfm|ZX zlZ0-;r+|Yb74K%j!Tm1#11V0=Zy-JS z{)e|X@lQsUPoc`>E>Hn(HtKJjf)^_yB=V|C2A+6OG(|Lt&h?ES5X zRk8Ni@OExOCnuVtxE+u_a0ib-ZJF|J`6LgW{J8wejV9Wy?}xsb`PP0NOF^9;AQkt^ zF+JawiTkH_*hB3dbw$X2S)e*$nxP-?I4iT<+j4I_=*lu9bB9cp&Do+Lz1a9}S4hMo zNuh4=*`=aW2aFB`<=9>DZ$st%TpLr;ST+UMt9Bgu=y0QZd#7E4rrf9GbCWh6XPq2>yh}I7v3~;KGJK;-P@cd*6wL) z;l)qv@WwQP-L_QUBrWDdyToWG#bX}sT@05+HnqIRHbyC^4VI#*E%ty)@ivUG#EKv< z;db3#y7O2t*y-XWH1-N!1OnGyz9JLK6>_NigE9Xs(HDsoR%QidGzQ>wEedl--LXF{ zu`I*$#GhFqdYa_m)eEYGu9vj5a&EL@?E}f>P;~NDOdE4@{hoLNeCA zgSh4Lhp!rog79;eC^#`jk6g7d6Y8#9%@RLd1GTY(t#i6wvugc8nZR{6$B?WaRJSnw zl18}IVKf6EVBAsd$8CKzKiQ{vh9udz$ZzD23`$@GkP9P^L;*Bl)3o7$%we-pk)-lL zwa~2DNYfUZ=b{cyG_|+}A*--iTrLU2f{o<{-4K$H>8d_=m{aqU5pEe($^@vDNTvR% zAJ3F}wo7b3k6FFu>T6(c9@V!5`)i?b9wQpZh`Q7F52KHpf%fl@#=FljRLoA%(h5K@*qe+mZ! zbK{cTkW@TpcwliAqx|0aHYN-qgJpWpe~s-k-fnbnW5_|(9A6Nsz9wpeGcTu1+_Kh& zDpMu{Pp+bkwfo3V6e)FBDp}RbK2nK}Ja$5WapE8s0N=9atSUOJ_^4u0`?f>CD!Aj2 zt6nvv=GEJH!Rlwv!E8l@!L~5pf-ZB4_v%tW>c&yPs94{LsAzzAMo1JZSH+W~O<4!X z#xdy;llU`O3?d0GBPW6bU4=M@hNa?AjO&qxLQgIyMxnaJ-e=+&=F&1HhRQ)1S4DGX zLs>-*wQq&|4PHzxAj3N)&i3IHYeORJ^foh~{Bt>PHzYmc!3!kf#2R$vs*)Dh1ebI= zcUuz-TSsIB;nfz86g~Lz}2qK4i)yTXkOHc+)-}heWk|E+QwHo(j!m zr^^PPWzATyQ7kh4bwAaDBrrqmoM_lzs}kk-?dI2y7We-XjuSNbG?UMb<4=zao+aau zlH)KPoX!j0a+;>LReIcBcMD&BLSUem^iR;8V#p*hg{s#JxQ^& zZ@PVI+YtSgU+N|mNLovw>^br;if_be+7;g!4d94<5ai@i5O@5+j;?q2b}~US89)%1l2yh zMQ!kyTGl{B^*LiHSb-I{8loP3-8{eve=8K$+ufW`&g-f0+94q0$R~;8oJ(bBkCvkV zS*QV^@{k!V8=W4o-^1dikTC32fc$S93HO;Ga0`ORqFY4WYZxZvlFc;IzgtHGDZ9-N zI@Jf}S*23M?dk_qo1PuildY^2>|EV;kd5{M35A+xI6A9hEC_PIfA6`G+UIeM^a>bg zTqzpwz(hB4--H+&@bVTLE=wc^LB=dZ%B2W$BOgl+@+D~9_A|zEpuo7QBZCw!XkSaS z(O5GqnW8MWWLs%o3D*LN6JaNiOCnbZYYX+~#Cr%=dfpB~DxK9p`i{-)D;ape$4k^d zQZaY993nTvgi$dZGYsnD0rpIpR6PcQlBmq`DW2B{k@O5c`I$EGT=%+ldPt<(!wLpn zHLsN&wEoo$X-!?@+{@G=RlLM5Js-m?udWlR)}|}t&2DfSf$FiiKG@>(u(m#sbzI~G zc$eIztdJlC30LrfLwJr0zzpzOv97oQbB4DE8zDPTW~!Z*_1jv6m45Tl6Nro^mrsAP z`Z^YG^##YR-Ck1H_br1qX_i1|e?k@wcK8(;xdC`y+tqN#(el7uc$yt78u$?q%Jtg@9pgJ>%8urv!Q8LlJUxGU`>w68?GXkPeJ5&uRA z9R0t0*QUtjvC+?mt&3c`E9RB37h-<*)-SzlDp;0@1~z}TcYSJE7^p)cs_4l?@A@-D z1`cpAB}BxpgabSx9RB+mBJ(pO@;Ny0vp$-&Ex!?aWb;R}O4jPAe}!Ae9yu7*v4Ln{ zees8{hINw`f^+#$Vk!0aDO(;#q@HeCmfXCsx+RcUz6;1QiKppVDJHipLdo>^hJcP)B3|1hGAZ)^JlSP8!P>f@z?N5|ZPWin-At*1S3y6FWo3hzt| zm`x0K2DKj2@2Uqy>Kb&&Rb%sN(C`A9_q^{MgiACCkyZzh#(rqvF~rtH4XsX7-Kbo5 zGu6f3M#$cx131RQf#&{JPkEQ?ud~@0u`fHl5vg}#Mq(l!(!P4U^F8*i-#8zQES&ow z;nkT4Wm3>#nA^u+SZw&KVqa6Xw)5e;_nJ2_R0B`^l^XVb3T;%m5I;T-nYSd16HYH- z?;Qj6b;9*JpPIFcreoe^@554ZwI#|2>i)+-$^x8@>Q+PCqYr#0?!x_&cr?FmrvMCJ?#70tx^d3!(~72aaTU0I%w#L%sn)%Jpz`9&R?yZ* zH*zj@if;$SliCf_*~(ZCfU~29xe*5{Iz)~(nN)Z`2-&P-N+jl>m3s&EpDoq=h%~Q4b=#(7;G$l89P*Tjv?4$lOwx@vk%*x2*$2hO3{)uU zKAWq8H1l4_k?CaN7VK7YS})!0{b$!b?Up{Hw;q5r+{l~bCGIxOXlf-P$Jag_^Z^Dk z*yeNvl<^bF$*HA}&^TEhZokr_jH?Q|&{&5{OpxkLcx}2L7cqEsxXdYIh58^Rl+!md z&jWqu%P!R)TH$}04$^a>iR6Y+i=?mf zLSP8g5V%OSRWrmiUE849ElwKq-71R=8-*+6Dspp3Lz%M1Nqfj z2nW^Tki}wkjq{=oe$tX(< zQC&(Lp%unUUX4s7XON|)R|3kX8Q#wJM!yPr6d@^R^uqj&HYgTN#7_Q3ud5D=;`cT}`X; zEU*=xqdb`q@iM)C=i~_cU%L7vn+k4ZijE4uCn1#+*@!K)W!eK533A6KfHD|S_6`}W zHdJw0bQ<9y5whQ(%IAbTA0l@O&0yc7jdwI_%;g%J)vki&J5&apRYFG^9SZt5|HD!L zH6%*s?`ugfBdgrWHjygcKqM_v72wh{LJS~7VMlMQ+HdM;7F99fqJxM)-9`e{4O*&5 zz4qHoW0fb{;hS#SS_L0M*R(bDFe>^4wU_>)475Q-PlwB(AdCP-LU7(wVQb(bATEHF za09@K`ut4z+}UKitzk>T!=BseiwJuzEII0_aEPBKj0+ngqc^X_!_%K7GN;3fr&fSX zZR^hwr|va?2bSLt5ecWvPZLX_%0H8I!U%h6*8+p^IzWM&)?gV*|FMsV^>4w6!`(!# z(be!g4ta4N>$gN*ex&;hiOK@CcDxvHjBhB4mMXuNVTsPQ5M%Lp&T{L9EW@p`ReQ(K zag2h1 zd*j`yE!MsQ`l*$xuSFhPz4|l~CV4)0Z$JdP5`sO3MboHI&%9bQqkdwnHUDrgVt4Q} z&{A#jN-Qg%JqPg9-k$ePGp;KWXK{{@qVk_K(seF%ntb=q9uooUZNvW21&z%HgQlV(=1tKRL? zSeeBQ5t@cbFLJ>?&E8gy&$57H-!)CPJU`y^o$6)I3(-J=VRm6@--mVjiNrH_ke8?EDLmOj9aTL7vVr2Rj73 zZ@`W~ej7vq+711unXd#(6T`2kZgdh#|+X1Q7 z3!}?eD9O!^fhfBNtga=Uuk#dYLoB#zAq);Ev5;4A&${GXRnvzc(SX<+9r5|pv))&i zu!4|0^O?zLg?OFZB?FP5z{g0Cw~dYKnpQK3fFiqH33M*oIZe6-oG*Zid99Lj3G`cA zgf{hP?^(zfY4`#QK3NKY9FT$_CR zW4<^Ex%yVW6E2a0TwV7I62ay`v76h1y;k=-fSN?QCT=7T%77~-2PX!cVJIZGy$tdG zdFoMLW)3&181m{>aJ8So+;dPTbUJh+vmCNLS-6hr$Atp1(h%)1$2^m*Oweizo42+G z>$guDWgQl#_v9WlR_7t|hsNuJhncxxUjvqf_*_0(#CJ-9LE#~q8;(NPtlwIWMG~jG z7N8XPYyCe`jrrXb*o5)uJ3SS_TRqxRy5~9?4J&rQ2?ReA--bk9qqk{m7H-^Wgd{`| zsehdbE9+p}YIObQ|3TRfqgS*aRb^pb8 zJHU}#(ZfC?-UJ}HKB#i>IR%UR&bZf+Twy8{QxPsmaC zYb8H_>eodS{ogiYLfu{PTQUD%ajRnE;|@S(>Acq=vveNmMIwV}J#}*>`NiJ~dZP27 z5X&gWe=egwUi$=5(EnmI{@btlr7?*wPv@6XH-HQYhBR|xmylP66Ck*nnjRu0LO9)X zzX*|@BT=4{k_)zxPbHFSehn;*l~I3!z*2H6_WFaw1BuL~GmlQ6)`_Q6*oj}hOw`y3 zU+zp!IRW`~o~(@!QM9DYv7Eu4&abNm1=3m&AoZ`y&ec3(O@7k$S={Cui#H`1-`FSK zxo98*BbWoVoA==v-&p?$mH5G*rxqTjs0&WG<;99u9nujaoy%#!X1wm#^-GrSl=~ScuUUUO8~Nv=Hq)+~#}J@n9DJ9*1xa`Yxk=xDb%jE_ z^w}GMKdpGSo3x}isde-p!7?y}!<&_Yuc5z1ZzF}mR6gP+bW|L+pCekVJ> zW`orYFbg<452XGMoQ_oK@9C9?6VTC<8?4!lxcj!>7_fT_=P6pD60#}Ut> z51b<%9!%6Nyqs3dzWhg%xUH+$evZ_8@vA!VX@tFvALZtI*SfQq^{M;$IZ`>gx52?~ zzColk+{HZtitGA748o7t+^cvStdP^K?#2>KTwDFhW+m5x%>(AOBP$bQ0(_Us?$)oe@>*|rj@fRo@B%T!8YOIn z+GnHpy726=5SFOLnr>~~8^978qL8*aVPuGaZL$Df&EQaLCD+t&N`H03dJiuL!4;6Y zG~vex4|0Epug+4(0IVZsiKCZ4Q=r->$iIo>PL>^ManvX!>XIHp-8G2|0*x2Y^ri}6~lbzEZnrLMszsRR0aq*F_{rWh`5RY@B|hC zg)1OD?c{odd$wCWzKJ^RkiBa?1Y=G0>s~oK9pdn;0O52*^@4bq14W$A z@*@yC;gl7nn-lW!lu%3aopfU!-W-raZj0ROEd*`I%jf;JuzA=9>q!!oh2CAD3 zhZv^Ze84N=CgZ0VgqlDK7?eJl`kyDVH19uBE5Jomm^h$HAPDTTYriRE)On> zZtC~i8ZeTqVChp^&qBD{U&FjtA-pkZg^w<|37mJ~mwPQiG)3O^efB3$;yS9MNV05E zD;`{P45iRBgQGWKMssTTqmy@RM^4`JwpH<>KHjVSEU3jGeS#lx9_DXkaE|$qhgKkz z5B_|ZxQIRppGs~B*nIUrgmV?T1h;&D5O8nz^_Gh~T$TZ+OdUL~nU4q(7UaXKyg`=) zvXzfWV<;)qqKhvt29>Cgyg#ev$bSAYdspqot({Uy40z`4JZCN@)3 zfq}v%P>JEjV;mwEzVa^xZT2~f_1>39LsBDg@3Y7fExyE)YHeuJh@Ka_&-U2qk%Fl;Ph+;*UIeOX+NvHp@KS6r!-p$O95;j|u(|5U z2-g8@uM*r+Vy1kyKu7uy25`>;o!~Ub4KM>1-K3M-SHonA;U#Ek7~f(+SUv z@S;LoIUZ>$_W~VH=)fVl?vAKG40EjfW zUD6-g+0IB4$9qJVV0SQKI0A8h(KcV!K?@w+xjyf^WgLha3UcMXbOZcNyVFvi03&{n zQVTEW&>;U<`%urltzgp!%Mf%OVaTO{nCj6NCQzZ%rAI81&BnTgty4 zvkW+ps zr^v9EYu$2uTe*I06}EoK2j9ZV_5PhjrPNBtRG2eZxB8}{;`ODe@&&1>PuK4eHvG8! zEd_)pM-bwq?{PJLe-llSWwS0nkm;zJ=hcbLZMZfKfw#h|`+)1?23fRced>b`j*&D4B}Q;5!J1dY*acNr?6@j4!Q z()L8?rG?$$rB+d&1AqKU8~4K%j|<6LU>d7`z~5AYt8}sLjzbIx)vV!GaHTUN(W@(> znJ|!b*=DzI)g^WM_^-{3<$iiHD!pDA8+bs1aui>~t$s4f`wUJJX)4rMFx`<&n5n;E zfeSiI71IVaGh3XT@HLEGn9m*JM~(K{9I3YD9J%n~tZRbm$vXa{ zemIU3eJtVq`X_Ml9G76eP__x_)8E!W_M2q>IH8 z*X9WEP!9}o01J9s7&~f>|2;pLi|R+wVIr$Fqq*sVj}9@U_UJD`?@^bsyyqSZdX6L6 zf@%vJOLC(V9fl!}8U|Y$CggJE_1sZ**KX{yFwc<)K)uB92nXntIYqe~*DaxBxOQVU ztFFUn=;Lp!FcK+pgZDpX_MX{Tv8#Iwru2G{BPSGkuErhd?-W|?bIbft%hh#Xo`00t zuo6Mt$FPUIE)m70^KRc>>do@Vua9(ZHUvbJEalXNy(|DAhaAjo0hxL7b&jK}vvds& z0R@v$M)dy+0Ai0Q$PL&rx)f%b@!Q9va(_i0Z=6Zv%L`-RHs>Qn* zbjTkKI3Yr3b9jODTRk1p_8K6?mVDU!dYwtS+`?)b>7KZnnnkDYKS^rH0)%t9r9en- zSkFAk=St3zUhoXRrcx!-+p}QO{0sg!q?s_sw?W>{daPb|H&-EDflY`=0|U~PT0ASO z=iYw6YH0Au;rOn>TdT!In67aLJ}6C9YbV5UEgf4dkl_%Ocl){RyvX;9ps44DI&ZV! z5%CA2%^*V%o>{I$zb^5!YXZ$}Q(id#n=de6-UalDsYW{{ZxfQaUMPr#N~KQ#MKDP@ z9#_L`Yr*bv89)hZm~eap@_omJ=_itsL!ysEaJMFN(&B$t2b8&;L^%% zNQ)4YMs4;X(7M4!J`Go7?Ep74$tO7D_xfBuyL%kK4#j?W*IoHavb*Lq<}=amYE?c@l$? z=-nC2dI)5D=y1`J#2;Y&`Cq|B51*MzIhGx4j14{Vlh%+~=$vm}LloDmZVHz^UH|M$ zE1n+6=(UbLGM2VEyit~M((8my&o?1(M7SPKNr`&CE0rd)rjfLAO3ON z-fNIC%015r;{Li=@`{)r30OsH^TpbYau{j&3on0nHO*}$9@eMdtRLZAlBPLLd{}Hd zq3$iS-@oncDDvt^#ru57!wBVQK>apRLjR5WQ!@Tj(T?af3slhB^tl4^BCFt2$X1pY z<%=iT!uIG^`iIaBlJZlZ4$k`tLmv^^MN@gE}nG2ZK?Omgw6~BJr!_kqR+nS_XagZ9yt-HSS77-MrT(Oi0 z3Zk_Ok^w-THCYojoyr$j%157nda3-Ae1%eYjYsW%p9M3NO;D0QJM)V*ycNQ7Cdlr4?L(9t^r)G7RGqhQ&R{E zQjUsY!KoIZuB_G5eH5yk36tZI&OtZ|ORIlmjbD zN0#&4v){v&sL_ux*)NVI5+IkCV> zh4t|knpo{;m9YS~24%JjLid4a0=`>_y!08NNM*D5q5V~4Nfa1WtBpq6sW?v=L}GjE z>|KS<-Z2`4oEe5B57?~2Djfruk&uxI6f8vH0io1p3wVmjhteVJ6(<%0qMTg7UZ!CU z9~$Vsw%byZb@l-0h60N8YzP(acprXpLEJv^ndTO~W4m7+mK!w+f?)mdM`TAp=SCb^ zR!GCT+-YmU;KDbBDW$-8p%uf`)ynecTTiPYB_ofJjYGzq=bKX6 z{jc6i%PoEr~$RjPwOT8 zO+vh_*Ktq+t|-jd84d|3j%=ehc`Fi-Y^b3|~_? zU9K_{O0wA3x?oBCPay;%rB$%bnyIc#N#t(5o4L1aY+11^6t;U z`wK7tP|?8n1t5`I0MvUPRFRJ?do7q4o%IJt%2B|=SS2Zkmj<7HfkF`~eh3#lUBmS2sZ8tu6SV-0PEmHJ1x;Y(X^axCKgR)*M7Wcxy zlNRm0a&^7BlD`XQ*ZeVRf)HC?us!{lbR{PrLPUeG7FB!eWEJ%_%53&cIQb`vmTI^lm4}=`S5`F+T)#TWKT1uVHV~0_- zg$u(9Ar^v0`#L{DFtUb>`-%8mLL_L2*xl0;uSuAM4{)uZ1}y-f2vk@&MDX6TDM3`1 zFRBheJ)O?rc(j|I)ADi*UeKL4ZyC95Fb)i%<84cTM4KZ)tnrN<8$58)2^Ti*ic`Tg#xk-BoS1$ztiSk*E2>}!BRRCXupHKPPfM;O$8P_njpca zzgu)Trp$S(w4Vh;s%ygPyOJI|;LCgMXHPw2n2gA~BHsMk9K-{yyMBM=2p1i%{VbmW zb8PV%L;FsGJj)=LK6|H#{c#U!Ti@YE^TYoPX4oG!GJTk<&Kc!31UxVSvN4i9V zERA`R!VQdn2;xemWe*2NL2X*l>D52NIoN96MVBx%?p^O)8l;&sZypE&Nybn3Pl>z8gS`tSwCw#!@rYGa;EnhxvJ(0)sHaAq!|rDKEj&0Kk!$r%Qa;kr%GM zErfwf0Nbb5R6wKPCuppkG3eb$CR5!q^>zKda$^N-a&(CdLtSeLUMj!UaLiBv$X2)B zO%=mCEDx%uX72QO#bT$<-ff^U#bgf9Ec(FIthPIv;YF>3RkdP0%oT~)8j{J_5FP0m zg=AAR^}67+xD1{Vme8ML)WGPo9PD@$gKugQg2p>;S|{KmJ~~gTXlhuw-NLE3a$GvZ zf7vXC_0BsmF9$m#)Pv*kv?35`aV1wexs8*;)7^AaxM@t06&mR>(j|cIY_v{w5Wu$O zYIlp3TI3S72|ZaMf$k_%a>S0d=@N!vx+!r$2cE{|*v-J=6Oc=Uwx=WQL(){mUM?!2 zHjB()i||85^#8>oRUCwk}=x(V?%F zEnK&5JL8NO*~^+&pXMLiY2d%9$VZa6T*b+^2QX5kdD+~Z>M(bc51Dy6w(*Mehu>eD zJze2{vG~j6CVz-tn*+8wa^E8L?KUg2F9N8?8GDWJZ`=E=biPd@ss>_b8~Y5nKIrUN0p)D$Uf7Pq5$4{A4#`lPG=iX`W?{w?|p z?9v|_J7sE}uw!I&^-qCwkP)rOI;M*)2J~UxpcwMkLmZR}bU#W4;mI>yp5+f%a)a>D z?1FyI?UVVtB4_bjgNkX_u6$(Xw&Tq zja|q4ESy^)Y;$`!SiF%&Y&l_Xz>5T->VSAfG%+o^J{6`z5#ec?P}QW4`Z`Jnyh3~T zksSynl3Q^u4&vB#3rAKKvn%gGSO{;U3S>0VNl?i_nCCyg`q*-I-L{eWR!I2=!8a1W zm21q0@~)<0vb2g}Taykj?rC8kY+o7nsymny#9+rP)+^?C5EY4fA|_#7CR`b#nuGAz zk5`hK-Jm&!aDnfMJmv$t?tdJ(|KsiVK1p~)c`2ADP-o0+|Kb4~G#l1D0kt$#{{t;j zYL15jxmK4Xk)>mocSoSRL9{XuY2?Fh!vWT)3*bc~Tf>4gJL}LyiKDAM>0|yqgAAye z!(I+_A8m^0bwk9p#)EzZV|oEu4nl=~yaIA^`=%b!v!DZN2xS9}goE1za1eFWG)>WE zPMwG%8J*=xb4piQxOQDaoyQ43XvYG}JSINa>j=nz+qVne&qC0V(EPrUJ#4K0Ti|$i z8#Jg@myqrE!&#-Tni)D?SmkKOIJ}JpD3c>Ymg;W&O3yuo8|i%iol|cc0VRH?7_xpj zuqFq2+^rAsC`_&l1SrafCHT0Z^Wqv@1QcEo5UfXFVhCLm9-5kzV=a*;eFR9e z3GQf{n4B2_gp0QAa`zz)Hhth+o&!i{m9kq%%7*XFik5*I)kuf&a^1T?{$-4Re))h~m zt`_pE7|ux;|8OHml<^Ib+Ksy)HIT%fRYFI_Ac9l^oUDpwW4UVG?itciL7Nly)lgrTbM>Jm_Y2- zot!Q}!O-gZx8Q^QGlJzH_1b0{93@c*?jCgYH3@nPz~W{&By2+?wl!+X-2t1p$(p zpM$~bwRR)J0y2Dq&v49YDebju)^9OWhb^zQ!;d-93xgvW{FU?qGzo;>{=lzbVWLqu z_`fs?Bxn>Uq-V(U2FO|8#4rA>QAh$v7-bN?=For6^<8H{aQQE?@az~M3sZ4$QLTu1 zgaky#mGEc9KE8As0JDT30K~rh|3pXsiA*@C5K3$CX)sXDfj%K=Ar2Wq4Jn85*MhVE zE1?`fFh$<^<`)9hOQR6L`1A_|O#Zv@+NU)@k|dV4J7=8p@9fL?#;qx)bGe!IGo*XD z{A_KOgsR`KbZ9;oo# z#ZRucoz9Et*DF}Ymwmt3{*OAp#o`&z%nemmtF8c&O?KM|ODK6#P8 z@7p%ss}Av!XL%<*JC^Si^^O79F{Wk3!h!ieSsHaoTK8@rl5$v+@VIyK2@REG)lrMb zAS(F$oj4seh&}^CfvWI0?|@k}@G3s_-p?Tz?KsNKpw1+%1;zv(l5{!KL4QN@Ts>ri zuy`vA{!0lTFo?|;Y{8=f(xC9{?bbhS{zV@ON$)^Ug;DIG?+OQC^v(CMJ`+blPr9=W;%0NbY@f^rH_Q6X*L?LWR}bGdw2yxH2dY%aLIj1Wez?w+z= z@=vNTt2sd=Jgs)XA5hG(A>(U|ylCzfB;MIwUH`GvipU8^74B5y;P8yG{YrHNz=>Q_ zZcZE&RyU^Oyl9xaKO(cqGqYfr!D7SN(appCM{07~i>%;IAmmEO*Pp*%U)EWhQ^dug z#t&$RMI^RQ{bFdS=|bB6njA@x;3T@Rgg~@g=h#;pv1{SjE1=P!dR!g4^?n30aN<_y zU!Fx96V!nNPQakSwB8A+OR_7Zx-v} z{Z7brC_(Mmxj+yiR5T+!vV&PLoCb4&48n!eN}FziK|nG$(~n3~t!S{sX4n;Jx_$Xu zr=gMmiq-_k0^l~2Zwbi3u$T93n4*{*jugArJYMSPto;NCk+3uuhcDX$73#gnuUn$Yf< z02YRi-5hS+;Irwq5e?TVpcn!|sboFgkXp<@cyAZ?)oj#TLt^p~#y}LB6q=$eQyiZ! z;Ell@p#fg7c~5RY0TSsU6D(-E>QkK-R!Qs0LG@Kn1QrWwj|S?KHP({ajcz7prQRI| z-dk2(u)_7WB?MePi0!FANe33>HfwGHbTG<;+2#aO$IC}%eit*MG{t}O7%%o1TX|C* z0x}R=9IggcA0I!;^?0+avS63`OUHij9?JSe)b6iIPmbQGIPu)WkiohO%C4p2F zk3ZxTpE*8=d@XU{yMNs*#4_N3{~8YX!~ciA*h>#$cQ1=o$7??FlW)cJCT+WilW#ET zDes>G&P&G9(Cjtzl*2Cs$Ck{VZ`LdgJi|KoLK!S-L6PHl4Vduz)-TvF&fNy(eTRpbHqX{A}A<5e7@Y+Xu1KFT# zlg!eOK0HvY$++oRg(UfDgQ6vb)DWiuy%;NlT-UV=ddFCW_U=I>2_Q!w&u$V^M4)|-XrD4m1JHx_ohpI;18nRS`Bmsbc+gFK|$f8^%uZZzJ+rL&3A zR?P>V$^Q9wbFWx-M>j8BSRo{LVPKoAJ4c?+mVU^xkK9%w(C`pij6!8)=l;>pU6GFd zaITyGM-5#-DiE9=s&o{2S@1b^;{@1J?W@_CKd4I>lefY8Pe6g#uDE^#8opP+fC17s^KNS?oiOtS~x#&G0&ZBAPd!}0D zR!tBp#{nGpH}>mIANXv@M-q8+RJM~Y5>Ug$*XX|TN3n^K+uMm!4$WeM#|Sjmre1AX zGTtdct0Tm<>A|D(VOqfwRAyDf%)~& zS}e)RdhDYKe0=8=(j=zKuvMeGROUSma;x{Hz6flzA?L@|CDi@AbrelN(&Qq_pnr$w zkfA`xfXt>tpa)@KU;kkzHlKxoe9j$$mt~8jYF#8`J^75I-`Xai^o~4A&x0vI5SFCf zbPM~N&)>Y6ViKq|LDy*4qy=Op%IiEj(+jJJVp+GDdR|NtN8nZTIx8P8zZ?%&WV3rR zEW2xw@N(#K_ZlXg@jzbET_J zG6WMukU@@1x~;{q*HtR|L~WiaZedie@}S5t7Y2;rw?W4*5tLs*Gy$Z)pIyB~?SYn1RR z3^8_fHh9oBev}C-@VG-+ya>*yK)xa{JU~{QULvF^Rj+zOomcC$zRPopylM~%sIEqL zdp>L+cw1SP;!yaF3>*e@7A7!@9iCM{tp?3kHc)7`v*4KqCDUHJWS+|%){o^|t?=%N zE7EI#AbiqF>x-5#hKH%0BVEd!xrn$eHyCWzC2S{x>V)0y6`o?_fcrx`TMh!vLHolp zz?q@&Y+SYBefG=fPCD#X2u6J=KPrY#M9z?*5Rli}{tgI@IY4tCa$ZgKa{oQP7!crB8ioGc|$q{$@?Ip^g?DaVRFdN5l%JN=Q>upzL<%n8mcFIf1!X8u@__o2h*!Ep7k zS#f^p@1FguVA=0pIH94f>Sbt_zx7n$nG^KP8-~p}tV~^Q3Md%2llLAw9J7Hi&%X59 zoQ=xAE_-kqhWvjiNj}bTvuX76;!Hidna=aGG}tWnaYnhn0i!PP^Av@r|HOOE0n(Wp z=ba0MXt7v@D-L!%X`9SmtjOlbb#Yqvi$2?TV4t0uD?jG4MHH^;?$LSPOH(h)o&iB0 zM6<;EM0K3QVZmN@O%D33?FJX-35CKHD=yc$x-TEy&T!Oh3SE2vyXfmpv&`~U-^ld< zqcI`~#g<2cRD&#rIqBi5P@R=~^PKHXVVt6~49no<;4p*OlKPZZ7z0~^RU9@5E1kz+ zn*|UVGW*cUTVN3l$k##>Exyq`I(xzZ%-{(uX(!!&9fi*>jnSC;1kndX2G5c1U+a6f zD$vpg1KqGTi(%RAJp#6@LCUD$q|(HHwFF;0RT8I*|M>nlWsh(*)LmbFH?B4ZBoYy0w2&SnF& z82WrqfQe87QL7;F;YI0fC-YnDQsv6A;~~KaaM-1(TdgKR?7)h}u)x>0@FT~pgGizl zhau~FngQhBfb2FMXf{HV);$*EE?lO;{4n}&nLJgqCaO)i#?Q&*TEL;e z?gr}Pk(&eRsM?&+22cHC@C$tO)4wwX)re9ZX`b-HoPFCAdW<5?;0@pfaiSq z`65GMpQ90ynaG>#>UJ|4*WjW({j7p3AKG*TXIKK4Pq*<#g{=nUo!wKuzC2#E*$T`0 zBZL_bSnTIv&Vwnhhfj%wWJ3|wT+EX6jVe7bP46qX+zP~I{-$y-Bq}h1pt2!lt6(pr zZ6VN*YE`OM{pF+zuy-H{3PIh^ft4zwuM<6l4_-~hDM03<1mhS?Otw%OK96vD7F_n5qbcP$^MTtEKKk74xZQrWhZe6%)#BgJ=(zR|c zhrae%{hb!Pyr98+6KttT1?xvFC zmS!CE*t$3zwSj6`<1sv9v>a)DixJG4USdOC5For`j9=9BwNbeXc2_Xc0m~NfdiKcn zVF`#E5^c7DK`@|m(fYi3%~QY)gk2b5ja={u(hPzA2SWCi`lr1r@9!9ELZ@mt#(}HV z%D&cZVALAvl84f^nd3`f@3KB$e~++yF}bk+vG~N)AvCdz;b#kCea0Im%>IDeh(^q0 zoA9)44KT%WIscM{{ta#gFxkfZ<$tL3W8EM_3M~bp=3}vmv%prnB4!rp zJJK?o`WzXjJ_Bl`&r)Mng0D6ee6=#z4X1`mh6*g{j>>-^@M#V9DGi zZ)`~221od^*d_ay@BUy3gQ)1AWPF~yoT1#gs)xHNu(@Dq=54TJ(P@j+d#fFu<;U~# zc(1?j%423=ELL!Dd2q>-wm1!1wivTF>fX_z`ADMm5&u}f!onyzO_ciRLd!dvySg|7 z?GnaV?T2)P$M~E8SMF($1QkL(}pc=V_9F^Wa*pUwQRF!D+8(gPh?s~B0D5%Tq~ z$Usk#iY)xMjBeY_CIxZ&uK|611C*u-2U1_o6_8YXT#3Rb=>>~dHhvU0ts{nqL&2n81l;%@Uf-7foWo3>39#{#<*7Hz6r zcZ><56lX;`E~6DgOoEG7VUE^r47keys)r;x-vyUha{!>h_fPY#gqy^xG&2CLr&;6g zNgJ-D$l9vN`CPa?J-{f8HZ#EF)eue7g8j-6&Cp7ibndXAO-q=H@`M|jhj`I+oDtw} zXsJ)lhs}jXX%&(7BUlpAZfwkL+1OP1U~<)_foY1;vYbxMpK8YU+EQTi98m z^{nN;%2h+-5*{g?tg}^lqb<$CyGA0H0I4D!V`=CoJix4GMR^xpG(3vE4}SVFqRD*{2GfO0g%!yUu?&3h&F zdhZ=+nis}^KY~%qAal0xb4*rFl%ErgLSevV5du*oFOWM><&fe{H90#VYnMDJtRBFj zZijpUG(KDmOyfeQh=mp4dhQXPAx%X5AK24ymzZL<_!(GP|u$ z8)`cDhfy?1ibhufvr78Mu}Rh6ChGcJ&5kxmTNIvcmg$j1jHI)HQfFex!Fa;1^H` z7$b!Dc9hCf*GXrZr4A6IErL_IG?1aT11ux4!deUv z9-BoJWAzz6v&koSV*hy$2Z?iO2A8Q?U^~#Ef=gCE}5^a=ngh ztu^0UCm=Hd(7BX&^Az?BFad`BZs`+R*Ui#BMotuFg&He_g0SH+1aG&eoHZ<#y5_OgR0I{*N9a zW*&*Mow}I%&_|@_NE^tTACArXH?L_HTpDWlvSa_TFd!|T=bv5}{DPNU5(D83anucj z(F-K2ztAO@{IVkWw?;KPc6G2i_QjYvkHu!aJbkfb?IcmMg5-Vk$o#lJkqF4Zx^Q(I zGObvhBDdDfV6Fhg>Mx_E1uKZr5{OfaGqGYg^v#Mcd2u!#^ErUVP-QoA-Ng zC;de5JTG(aiKYHZfdv%pP90?Z8bC}EsnZS+A+PH2dytFvV(h1B$0Ua>iiV}~7p^rv z`cvHXTceBGzCWgta%33Qv481PHwFc%Pj!^Y@i*bww;O_v?N~}grK7Yv(iQ}NI3IVZ zDo}sW^E^KSeL}-BTHVsc<-!;+m^&{#(9QS=kn%HbH(sQ|b@%-8&o8zmH(zuX0Rw;q zDDrq2z#Pff7L6aWC`2)^K-yt}#`F6HQ&~~ZI^c-VP1P^muURtzC6PN5{AZw54;_LK zhJuTK2=gmiYok&}25;kj-bP?-pCkQvjtUc(<4ho8GMT)MbSns?o@qnUgX>4xbya&2#rPU+9YT!RC=K z_qB37ZDQ(m`*k&!*dAMKKXR_|HtymqU-CqjaRbDtMXn1-B7U%a0%{T#ToMt%>0(bM z9|;nKNWz#zdcq?(f82Dc#svwAM%S zaX}niG&&2LAQVN~sv0?X3U(Ui$HC^H7oN_t(l5T~ZIyHL&g67L-Q!2h$*FQ7#Zpp> z2eV*uQi|?&y$MV_VKo}T61~RJkwvRPEz5w}G6ibo)oK+7JKXCq*43vPp`Td;k3Ypm zOk?O5>(li0>yeSUYC>Mi@DsC;HbbO@RT7aq%CsW#1L5h$wjRgvVlYM^p%4T#K*np1 zOxp~I`x4)>QV%$P=%o?a_|M{Zykb@8pi%q><=c@ z+p?jT<3yrDva|x^2@yLkuTyBCYbr3+;*eq7bKkDFzr=>sXzNuZ8c|gb0VFI(N%--K ztzgmcfS$Nptg2As^|8l@3lL2D?)~q?RPlhiB!EMGUM;pl!*TRZeX10d{0 zvz#9nzoRE{W$W?!Qrqaa?XpR8UKR&3%t`hMJ+hGa2jmd<~)cV{rJfDtxBOuN?Xq=lrDE?f!ej)+Y1pd zTUkKmA={cdQBaDH8DSL^%&i+@Z4v0dj?Qnb_|DWrC4`9hl?08dVkzl?185tSLA2{DBGUWm{$F^$GiMslok2hsOG)eQ1R|(51+liZ&^l71WeBxi@nqP;@X`3efKpfni;z< ztT=q>Q4#O;@{7Np7K~na_Mgd;<>{04NPGQvhcD+auIlDEx<1`C+OS)`7kP+5FBMSU zSs;j&}bnuDwO_aKzs0YLBksZ)R{xbt%!K^;33TvRv~#c*DH!f|&Hc zsfE0pItP0dD3z%BBXc#}L*Pm979umQ@i&PylqwQRkpgdyDysQFXzl#(0Z;Q3Bq-Pa z&`%QyNOVz5arpznOALzdP;@%&qw*h(UH!+pqrGEj8UvvciOo#WS#PNUA7dWsb%MlM z2N5QIn7Q&Q?7rIYP6S>M(80v*7oK*3(Kd;NMemFITDSJ$>!y65+5Z?e+%B+~?_~%9 z>yB5q1Pmwc*R*Xe<+a;}Yjd{vUFXQR&ZWFBs1AYWa@dl`$6e83G=3{6xWPMn#kaZi zO27sNt5M)L0EOBg*`pY0QHx9T?F->OrFH(EgD?ZH^jqMtY}qAiZNo zg%Xrjwf$cjHDTkYNB#K_qcR;43EOzBd|a0>8HQ|?LT$nm9!u}w*Dtm}oKG=CGRC)! z2D%I|BG5XH^i0{!B*X_B4pFsKZ|kn$`uAfA!VvSkAkNUiT95OiAV2DOU!I*Fu0D|Z zbS9RF*VU&5`r}t>mc6cyHudFl^F`!lpY4IkQp$T3bi4;`x&#a;0hEK+7Kt}q62@q+ z^YHxK>CH+AO2&h(o_ozQXcMxBYoi|7&`4KVvB87IyX4)_>X<5Zi0lyTS_VX?*E=P1 zOSm4wUaEv2Y&%2JT1kWx*!fY|!qlghO>3XT)prB^k!He5yOn+`?(Zqs{W0gJ`wOH; zGmq3hYL#Vk3i!RGzdsZG!hYkQ4?Q}GUKtdq8yxb(x@0fZmz1*$n$||-QAjoQM;kUY zhp{A%zW_Fo=|D_|E#~F(@p#3AAY*I9({zF5PJ0RPM)B`T-x7U^dGz7tA`1;7zjGZe zS9*qsff(MW;>s*4Bw4NL8cIB)ORB^A1PS{E33q8v7NhpNz`RZ9e6&8W#uBP}OdR#; zlKMEgY*EqytaZPy6cW-av{zU97?3pHHoRrXfhKv>@CEam4q|(~r9b}uurQG=ZnqEB zuf+Rl7{nzP1z6U+!cgFI$L1YPQ|?tZ>EV4e$Dy*981$S{+BJmmF> z#7!w4dQEoSd7@P{RF5rv+;22$79PQ%0?zZ6IIKaAlE};f>m5UbA zj*C)Sdk!|Iad~g#at1CQ?X}DS_1J7ZYnOSBPZ=ozH#!nPn|faK<^A}=xFCg7 zFj_V&K#S;BPtEJbV3xe;fT;FcysclCW>ur4;IMg)Sa?pXnW%m97|S%g2<$IE9|H<8 z9VTj24#L|MSd#^io+^$tEhIpXb4)!hwG36aR%bH>)W)l%nl>X z8DO9mG_s44nGCHU0YGo#<4C)@=RQz2L;zh;S<4`cc%I|q-&z<9S{T9>QB2q((&1Oh z|JuLYAtl7mzC(R3e#IAYzpX)t320&i{Kopv-sNQ@{vWQ0f5#Ce5TYkgI}xHM^5e_k zGK^iB6hp|JVB+;M+=OvNyy(X1v}mt`(%Jx8CxUGsGxM{g#W-1mPo*%Q2sOrjopMZn1&)#!gKJroGE3w5auk^qEj( z;Hv*puRFR6BYC?aZjSn2sVj`5-*K1!UYz4NpAtFk3U_bM)nBw3LVdcq0%Xy%$lU7J z#~wWPr|*-izT3R$Pjyut4c1DbU;NqbW9Mg@AMI1EP`zzL9;WXH#ODjTxy7t2S3WBN zCK=;)a9ofy>R0!Os5F$f^%-=orEck}4(IMgRfTu#=ouA2%J7)iDU@GFs-lo6L z_?j36F1oew)brg&G@_EP7Yj(jgkIeN%P2ZiHsJLm#qR}u0!k+^Y|W~gH`Jk^M03o3 z$EArQmi#YZiIa7$*7Ml>^Sa~@KIA_L-R#uO^hMUJdUYF;LPG^9bWs8hoM#2ITkudY z_jg?#f7`_cc0uEM0QOy}*Xae+trp466UsNShtKAv0n)3^Z{Fv{_%!!`ASGX&*BE_6 z_plLb-#fqCb=K0Zo1;ZJ08r$eyx*yW>YfUgt=cy^VwlEA<~59;+=eC5Rk}Hnvb*}# zyMP~Ql03$snPib`q8YvtY)&*Sv-S-?pvQ-VH<2fl9J9Yuq4efEa6(I>%j~EAlWCB?s*xiUN z`lV7)!9JI~{yVB~Vb!3sukZdOJ#a3${Id}ZJi3|t1v7-uJ^2`od-={G{^zF182u#bxBU4X=~-21 zb+oDoTCSA89U-tZ`{fg5qo-L;Uw;=yKnwCk|7zE=Kq(Yal9&7T-ix32xq-vi9Y zRBJ5qL-f6DGx|70PXLcqgl)!!tzU5*h!FL0O6xN{j@9peoudAWFnVM_2KwM+WgBuS zzpi05qOqE1ZmtmiUD48c!q0)*py5s3aautYr+o;Svxej2rS%E0aPBZN+ev@`e7Yj{ zLAG_js&ZE2-HaPvWvkP;O6Na<&Xz`*@CujIoEqvgmw8M4QOD|#D0#4dzKhA0W^lO$ z;u*U}J}GJAmllIt2JC2U;-pbQY-5@Qm`iLVWzrg73!D>zX=UAo&e8+{opCfzmxZov zzT#JjP(%qC{(<2gcJ=5x1&DxB?C_{SLNnW|F~R!~Z3?5q!}~H>Na^mdAnERr%Pinm zM$`}v1*mE_S1d@X{nl6lxBM_fk>7o?-)N5i{1)DdR%dEU_)M(V`d^+~cDeGF@++1S?pV^#6u!29J^y@RHSq%jH zb~9V5cebE&X@0=8W-*&KSk<*p7y{{X^PGLWnXC!_rmfzR+N}Yst)J0XdRf=lHI>OV zA+uc?Fq9&Mqc!P+<{j zWHAkj_G}IS6$*57q6)Pr9^x zre0GkD1f zQ{N2vj|h@+v>1s45&dj2fv=M^g|Mip=@aE~z||)+<-)3CD*jeTitPAsID!v!#1G#* zJCt9`Ryra!109;{*$3q6Jb!N9X9?GYBpo0q;S)W<<{5>G_37Ab9N5Pm*Fbsrh(1fA z==;?89{jXXz{KQY4Zl-0W3$VxuJP@vaba>@&;4fjn9e#cOpb(fO)n1nI!`FFxqxY5 z>gO~x>t>rH1%l)TU&o1bPNbmz%}lMjM%+84^5DAKcj-YS32g#2urWU@SpM+CnsuR` ztX(hyO12$2_1^y0JdQ@jX?ibEpXo>o4bN`nm#Lj=iIb$Mhy!(DsNbVf8Qgu?!!*MH zdR7_{BOI>D-mj50i<03x#-lA)Gt;>$agu*%)WD9YFZ28g_`n0UOq^_J<2_Ln;S9Id zWZ?bt#HW(ftDU0*{kUs5AMfkm#%0k;uC9_DOgGO7wH=n|r;eB5LWC65ixRg1>n7yU zwKUsx`dm$u;GL~TTm2T~`}u&8b?9}o@nV%YncF_us#?wA6yRS5v?lQkQ^nN1z-njj zlsUHoFhIIFJG`E7LHqTQ#|I*hHNG7ZB>RDS%hlrJ9seI`?*i4-oi=&{33seurL9(5 zwHB<1^fF^xQRqw+>%CPWB81F4tz{}=-l`!YhD;T_U;;&R(JH33i5U`-j6lecWM_9q z(aJ4TC1ikvOe-NxLP!OYNRpkLXWQwVIcL3Vo%OA+Yw2pcn$Zn-6(F$FSdT)A5mqJeP^l1U`F#N%oC*KB(P6T-D|2-lANzZRT!0WvaX#ZsL9 zp8TYQv5A1hX2rmsDq%UW5r6> zY54HsN*G>z^XCfleD_n-XO{l%;j*Y@zgrZ!h5Pc!MZ(Ga`47VvXP3VFtLj~=Z{=@Y zw({Nc2hvuWH^`Lh-@KpIo%z8!NjUSC{JqD;^p7?+dN;^^H!S>JQT|KL!IIB0BH>sT z#A?@HKDOpLW)@R+keJ!)EGqt-o>jPVgClAge}Uk%V0pn{eUZ7D{JH-knW1}Ly*fV= zwK#P14=B@L($0}6&iQ^Ai~MTMfHon#bytg)Kx*Q|pIa<^`wcPSviLPce#vRGhLg~q zp2>+0G^3PKW19l2Vfou3ud6kG7{g$O&T)DB(R|NH@c3s6=vdNJ_(=jaVYzHA4zr4 zW@-_h8W}Sd+yEpqMVo9?o_U0X4Z1nB0IRAqgA{6IbaM8=nEGqpI^A2Lu>g}b!2}^& zpdZrE`d!M_`r7G1&VM>7h4g1XS$-|&z>bKrdSLL#{lFii+Cyo8;GN#rXVQ=x#_daozrA;9z8CGM} zSKmLGn?pifhk#VY~E?ESgM_Q&)^vmzUuU`b_^O(bcM19{slOM+pYeNtD*sG?M2br zEIh-GRfOKO8R&3mH?1X!GqI|^DLdrNiCV#bA*~$U17qp;L9k(Gn=68={M}q#7KN)# z5jZr{!3z*W>y>BpG@dS5(IecJJ;Y74deM1a#%#?}s}7v;dq5&;Sh=;=YXPe)-7 zf`$wG3)B?H4Ld<&|J1n!oDWgIKKz$aN@06_xm(z78Z~p|)9h^{W-c#L;AL%pz}(ND zv!BnJ(7r>Pgvf7%>@u~zovTfuk;{3B&uV%jF`H+jP1nZWwi^Vs z@#6z7hbG&}(+!wAII%Kec*^5gb;qIVIyo4&3f`9aw8<6{1FTKW(?~Y#ZExz#&K0hv zsYX*g!`!XRFsM-#nr@eaBH$#bj)5c>c2smJdJ0I=Wv%d>kUQDC0@-0C+2eEZ6R8$7 z8%3WIPfqg_+q5aDB#WuX5aB92I&pdbUht3=1?4pAos;}YFxL%RL7NrI0Kqwf+c(Wn zOJ#$Hk5F|oO^Cp9CXr@M6gzw?Tfy`W+wz!>TkG_6X}z zIHspjOqV@1Tl2`@efq6jveNW)rlM~FHmmKCIrL~h=JIUf`>WiRkIdLeFFe%{i0sqw zqK|9to;1+GpEk|?d=P3TW`{d-d;lxwCn~#pe|i8ws<;thcr~q*qftroIzmpBr4G$Yb$`3d?wkbbxSvR>pKoXNMe9qRk$0(xSrO^ zd`@B+Lz304zdg5#xu2_@?8j7xe3n^lvU_%VBB$&8_Q^tyPBr$(f+X|(Ww;l9Vy>!c zMDNMp&recz+g>d2sI?2_P)(s&IkC-EJ>&mil){%@8<=@-hBg}fOQ(D#pA*YT%n(Wp#yfA{5j~T=+ZOR_+;3KDx zlQ5yZehB(Y7*&{ssO4TItBf|w`V$%eg_(<&=&4rJa;7K+9Gy+t)?S~%Z(3;U=0<1G zjs0Id&y79+6{n}XEx{?@2x~iVPa)bb=f{ZsZQSS)40MZ#1_TWWSFdF-E6c=L7CvNj z)8mUUZp}}YfMn|O@8N|A`ysP47V2k)bSYk6Aupi}-uPs4(d|ES*Jg}W(x|d84U=da zlCsrT*;SL~%p4>tX1URt zVfM~xPdS<*@~@PFxH#1Ha;F08eFS3(iH5+mb+Jh)3;H_UVTft+H=yABv6tl5} ze@oUQ&SXHC&GofEJcOx)=P_q^{SUty?5KBPK~4eJ{E=Cg1s2%>*d|Z}TCR%l_Sjr; zXhfUC^no0-xQ#k8lc@n+E(MGX@kj@UxI4W6v$uZjG`(%B zd5a6l9$(f->6E#gJ5bv{O2fj{#U!q-nbs;^4J-g|qS7zB`q~z(6i$ZHM?V-R9QEfl zDYTnNN;VDN;QS8jGINumnht|9+Ib!oKZ#tyjSr8=HZ(c)4Lk1hgB%QRm%>pmJ2s+A{g?LZ9_t zg}%yP_$SBzheBUW^nJop#Iy^9?3ia`w%qxp(w6{J3i02vQ%yeA&{4%aaEqqs}cku(ZHC-!5G8 z@yis=u4jKF)kH1145hj+e_Zh9P0_Db{p#!?a^{1q-y~t{-&JJxhSFxY>~AD3fqA(6 zm*hpM7EHDu+e7{wU8PkYzyFwAk%=<+IHntiX*CbD_D|P#u6`IbeDl4m!lQOHMSA3V!Rd=J&&exBWSeHL$iCJL zBF`D$^nQwDL^g~1MVXz8K7D*^?Y6|ecPW>Julci$=v-2||MA_6@r$@Id*D{+l#@YF z`;66qH8pJCPtrb9^x3B;IG=WKK0;sib2WX+5SM>ZR)p#33#~b%)ySeB0jjXPHI&)Gju(%)lEvevEqiWOKN9{JsG% z?WqskUD!KKA_s0)tB?0UzykZTQ+wf~Qc=WmFxRFk;$YyHln{w%l=+ifJ zbF;oaX}zI6tW7l2U%xz8|MeBvb5XD7#9m>Abw1ske3PnJuW%x53o2yOE z_z+o$CXWPsLufL5DNei`bgmh-k1$u?OtYe;aqmqBcaE&&Ej@de*>`6shl>t}xY3i7 zLH2fxbVbNe3DrIZZ|s3{^+iq!Jmi@0s}r+0OUO7rK)PmnTFYs`APsMlXH-c7p#RQ! zFgA?2z_tjzrx97u4uhR3OeONPySnUpgSRVs82Rl3D*CkDfgm|#6#~L~H%K+LLXB&* zZ+e6rnAxB{&eg^s$zmv_LVi2z8+&1Z$?G~5=pTEq2hs(>);$JFi7Ys|FY^{zz)Mg~ z_sbB-m2u;DO0mJ!n6Q0zO1p4|wnt#S@65I6o}xpgZ@N)D&Q1K(d(J9;b&{&r&SkDtR9oEW>q=h<|aNrexW=sAh4x@@;fSxZ;y<|q_CPU4ZF ztIs<|8nyy*oAZod?N-)S(h#%+V4IKv>(8BPEhm9gDbAW?=BmgpVWv~sQJ=dTvf<;E z%RIWda>r4ieS#BM?FC10!JUfHtDDL^=v}5*g7M<7jx7>Niml%GvOivWn{6Eh2-!*;m0! zY)1GoK)ym!axGwGXYX~c5wD>jw+ofNs|$DMR|vCa58+pk9lJSs6iLB~_=)8j*;gkR zRrT8yx>h8`M@QgpE~`H+3(EWySUICMCiH!_`D0Fl0zm59_E4&jJ!_{~c?>Aq_KVd8 zxbIdyCEQAxNWFcx`C=C;@KwTAwWXF@>OBeQwy`?W&Qt3A6T5e3dnSb>`@68x3Ag{0|G* zC=w3xkg5DCcn-3)^YRTatfSUL>)R3-ohyUAoB`%6XS7F~Qf1JYo(uLaAfY@oUm|Jg z^U@4Mqt(sp**ods!Iq`1GQl(%N~vA7U#e*~==$Np>3!r%2XDH5+YW%=Cw{_2lbPJK z;i;N<3#oMCgQkyy2RuM}n+~aZXaP>VR}?DA@9gtIu{#+Q+)#NQsd;frxZ(^)491j% zL2pe$a~Q7vqvWFCh4frmfL>a^O{VGKCzjE@+FWT^%WfXV5bFcjMRqrAE8z0yaAh@L z?93n3XG?N&!6(a&kv~A@`&6C3Ukul~SHMSMHK&J@6w>B8s}xWirj*}UBN#TV$p~|W zHgEz@2{RAF#z-F8Ep?8~Z= z&yc&?lpKSvp!ex)XYC_%6O!T%j3{3Wc~pvi@J>O^v3O8hqwzItf8c|lSf}VLeWAZp(BTVeg5xn2 zT-`j?1@4vdDts@H8iO; zqem$`>w{1hein41i3&Vv+JE|E58%@~j?iJCY(%6UEWLeF=|2vCV^S4A+8P)|Q=BIH zAh&I8hWN*PDtkNEO+`%)?^8l>6pV|rX!|GXt?ssVHlO=Bm&zO;^cdIJ@0K`BDYBm4 zsa=#(Xh6u2caAjao(rL?PLC*i`4m_%)TRJvl9Qx@X~#qP%xX^jXnUb#CSNM&ci0w} zK|MElD758}pf?*+JA(Z9gTmDgXE2zGxa!IBw%(b2Wb2p#cDViDj0-=o=ti|kK?+33 zjQ%FtD7lKg*|Ac?OWf;yP9Pt?ebS*sQnllTQHGV5>SFa6&l7+`9E$nHc0ZUn=HVE><5wy@Q(^k?w&S3eIg z9rpmkE>aRXvoGoYm|m*#dSW;+@rMrCfnKDbq|W!VPe#`zqaG$zi(mbcimuD zjln@-OXCPXeq)PjTvqqo&DyDXM_qQQt8ORy9L=1@(R(PT@^@VSTk#3(XU@eFHL&>0 zM(xv!zT!Q8?ZeH9ip46SOIk%+b>E{w=0WBEsoM*B*OhCZkp#w;y?Qa`twp1|<)P6} zzw&-iwe!fzv`$xP(4z6iR>(9 zqg#TP#FW$O?uMPaVVz)Js2X^w(vA}gW!K0y*0v1by`l677F_0t>XSiVAF?NC%)gS73K!UmV^Qqht+kpq#l-H5vNJ`;l>)yu~-#Lh; zF!(>b*>x+j)cWQp`Fa=||DY4~vA5-8rL``5Mi!j4<@`BR5;xW`ZhatWGd(rNiT_TQ zKx_J5)!^VIsYrY7=U>zR1T&e5qt=#w*C>0(l&2h-=L}4=Kg4vx)$+;hx+F7X@>8r@ z@9fp*W)(+~7z$j4Q{09N+!zIlb)7W%uE81VUpMh>X}er9rTzB4QM5dyIbr>~kA4hV zkaNpgy~sRt&Oz;5F*@9sAo%{Di>d5dm-dok(nD@A7B(i_yvhEU+aPu#U1oDr-y;>U z2s4XAk6xGAz`ZOny+f(!*RJ`7%Si(hSmHY8fwfe~q#!>w*4948pEfE~~&C z0s(Z{2og`BNVMMq35yh-)g^XHVJU2^;SP5@3u6?8ZZ=C8rua&3ysM+8vBYEO|1QJ& z?KHlC*o`Jon6?{fO&!{VT^KB7;PS001~3#R0e#RW2w5!+qB4V^*NIH3fpoqbYJgGe zGwQ0n+M{-_XFa`XyhkAK;m72W`Mavh>lWpvm1}obY3aQ14 zngOqxXf1S~Z0P$-jDQfO?Y{6~&zq?lT~e?L?pj{#u2I!{8P2=QOeDZ&uHHHJT>cR2 zJ(tdH7QW!T^K75^4Z0PG`0m$P;Y#)&HLkl$c41eL)aoo=bSau>4Y##d>W5~Y3al>E zB^W8?oEY1BRuEH(SBpTI3_H|`T*rak*7@+WECQ;MwV%N#(k4iCYhmrIy>^R1K0W?j zu@6kiH~Htiu*hSW92KoN;9~-(M4-z8QJjl&PT;qw-p???q%n7G7*NkPaXJdatTRI+ zvx6IFGr-6;a;5=wShVrSEtULe@l?y)B8=KKhz9zDvaR5ug1SPoBZdN7 zw;y`@;U-G0L*uG_Yp3U$^;-qL;xRy`nqo`CJi>`VezA3O8pwCNjg$^^zlByJUI7qr z#aOo)*x$tF;I%3W(vatCmQ3)$f(MhiJ%F!bcmh0v*emF%wlslnvXy(*i@HwvEhD92ATvHV{9?PG#{}Ns2r3^VY)zp!yMbiWJ#-e^&y`U*8C>b!`Ihc;qNo6 zVT15M$-pgpYs1DP@Z%shRqNS)vWA@-$OfMpon({WF!pmk4j5pwBVP_9YtjAt-7CVO z>YXM+bw{lXgY(m%G+d|8I(qu;ZU*h&9Hug;R?Nb+#Q5K~v`qNV>i?-`v1D^hR?Oo2 zgtv&RP7)HIj3?#8KdG>%8Eu3QV*Y2*;@AhdaL4^imKK4J97TZudBgLO5lJwhwB*U+ z#KPYa2utyC-FcB2#Mi^W!H1O6Bi@~XwY=-Zy@c4kwUP9PQBj-TTTI+Uq`Vodiy~_L zkvEn{%#Hfi9P`)arxp&*tq{btuiXwJ%#^oqsm{D7)?>eYf#_Kas1wp&I+UK~e3@)` zW}KpqM4?3C<(2=h~M> z>a}<6s|5X)j%)uNnii*@FzQ$vCFq-IWWEo$*^JQ_GR(Vo8op#ot>3`FW0Y%rMc^R& zU7zK%Cp4+}^3_vFjNozF%=*k1)d^7)fW#@kYwfE^85WDyXr^tw10J_28tC}fFqy_3 zWamLr{S+K|-60;YM3hM{Wp9?Ms{wZtrj&39zh9vIz$!0izsGMKFB} zX@L@kNTu+^jd9K@U>yRZ3`!DuJ6^yUCv`np>KTpPO1Wl3t}!FF?E_BqvWea5^V4fyKTy0>j4G&O%V11 z%676s<|=l`ijOrHVQ{4q75%lNXpq`(Z$@P>hm9MIN5U=LKCGf4Pli@!0|3Zy%iY$n zflTEmd#at{d;{z=AdXg3^haDGB{cK$ZUH7i6y2=6Kt8kRi|$9Pyp;xXciJLWo*c^; zm|_t~n0v$^>OoFBwlLi$;{Gg79j(;EM>K6*RT%}VWaTMCe)#qdxr903ND4Ik{Q(JP zmEC$ab{Mv$8J%HR&I1sZbQ**TB@H17lfv4NAA;?cz?re#*Tz!)`m0aN0oH{{xqko8 z-6pGgr^+L3Qx2$P*tUkr&;i29^AFsRfFb);fv4Xx@c1YDVN1H)aGPK4RNNWN2bc-pKLId3g8-8~8y@T4ZHBkLK!F?60TK8L zFei^13+_~co)bzMo#Kpezo$vJhZ<~A!ux8hJHvvF@S?I6hKS-J>th8Dbf6>f#$8FO z54c$&x*A8WS5y8y&Y*_l{RSNGfBbjm@(Y4{+5aiChgnV-Fa7L^y*?uurW#%k1Lz_x z;&s4r*M*5ihh8QEh#OzF-V}tP(?-Bo+Lc68Gx0z%;ugA52GZZMT&>bEp>@A z1mO}Q>y6^mPqg*T&C8X0ZWbluc~l^fMBY z_F0DI?bb^)B>CcFrC+>qsjqz(U6f&zbp+AlNieVZ&cfYe-HciswEf}YaP;WRPiFig zuIfXhyjH^{B<>(<0kcy_bZi-Y{W206l0Y_4uKRqJq5VBTgeKPl;X!xj^3?5P(9J{Q z<)M!HcOguTsUx5<3e908jtR~D=vB3W>Y8`f#KYzeF7Z^A|gy#lza z_wpWt0GX-J3O7LneC7M6IH%D=EjSwW*V9$vw83wOT6$l%qH&@?8++3O3oUd#asoj5 zIJ5()-&hQnL221!Z_!wfRNlskJ~AucROu71wp89;G^qs)GR$?rUNGT{KAUY4gaH%Q zdx{W9G=})+>{?cykB%=Agroose3a^W`{C86m5%Ie00q^Dn={~>h3%J3O&ZD67vooC zd6fQ^>}oQjqW4GebFF3Kb}&WD*TGWxB`8$`&(kGNLqgJ4+r9g~4pZE*MYZ)S7PVeXk}TrFnprZKN^{-&xG zQmkY5M1ep#C#vW)P0-3&I?QJUWg&o57x+S_*;xS~@PHuR4e3ZfB@8D}_HGuIUoqa@ z94h8Sgv{@0){muxxtN3-$X00M)=jx<>;F-gw-{ekgDJ;oC7lzWZyo$v@@85C7C7=`0G z{5cBPU8RgDE-3?USZ*7xYzQ@nCdBE3fN;+ZU+o$!uZiaR_1w<;`&q@K+7I-FagCY0_oZM!7;ON-tm-0Y;1OU%{1UoG=8*uAmh0!fQ>k z2G3+km11uptgPq4@o&U{7Zb;Wy=6xktnwLnP4k^5_PZDY%nYYL3t#_-ASoOiGgt<1 zq}u{*d?M2|_LvqzC;IFbSRX*+tnxC*X9AOtQGHiU7FOW$y5;M8Z8X?9;zDFHjrQ8< znn3Pg;8sN2bX1i#>WhxjpQ4x(KM}4>v9ZsV#1QTi!{0lvJoFr!?~CHf`^PT$k9w?} zWJv~ffKKD`=Gyhzjesn5a8pW)z6@Rh<7BH!>^OSME8FJ7jjG#bRi?6 z+lkpRPyLR7cOvniJ{mVMJcK`c>Pb-lvx$MgNj2g@{m&i9|LYbw2`kf291K63F;~oh z+~<9W=VSK%d?OTHhgr7cj&OAQ*Z9T(9R7(<`-!TZ09S4Fr(B9VadZyV>_|0HFFalv zNY+P2Eno5JD}@(8zOdrI=l|%hTAH%$Vbog2mc_YUIb21~+sDb7y_V&(yLw3d_o2jar=1i@GqMftRH_)o?o0k6}II}(< zCFD!UO!a+LkXFtL|FB3gTFeR<Lk@3CtyBWSqK`ke>Z%?{~Y813Q``Y(I0&F&Jb!txiF zSYIGqFSxc)_)kauV_>uTVK=y#p%b##wFf)LQY4J}jWl2Ocded}Cib&7%sSvc*VG4d zD6+Nk@K;iwiFkX_jbyH1o(R0dM}QrX5IWQh3|4}FU-}Z6DhQB2==-tqcIl94Ta-3h z3IpD;0BKXXI6+1sh>goA4S5WhP_Iy2_gRB7r@vdgVkFd%CwIRIY;zA@GXbnuVeOB0 zYLzhEs*Uf|LG7W4Q|BB-6Dy1;>phzemYji4))@6-;yr9(w#iQh(8y#qs2M`vSGJhz zhHUfHqcUDMOjN{)0q(ZjV1OO1)gzO?fgM@9bluE)0Vk>))CMrlTYFjBQ>#5hF9)im zTc-`48RHU=k%kR=dO47dcxQW>I4X}Cw}R3zI**mB8l<108SeqXoc&!$7pvYTDdgDo#hBDTc-s zkl+tAPw=82O2Z96TJlMpAiIAs2-~{Uj62)03SF#DF$}=u(Sh4`iUB41CoYqeXV_t>dg9D$JI&Vq9}zWdR8zUIWbO3T2-kW9 z%J<3g5pX7tiorpO+=)I6bqSk7F5?jkpnI%Vbyeyaz%p#*p5r%BNdXD4M=O|EsD7hV z?E~QX6)1YD*c(s4&@FLV^u=m2tnV3g{x#Z2A5@Q`+^y~J_V5h>Yi@vhmd+Rf?NH$q zdn43evR%Ab1yVCc$%k;#t#AZ8zV0q$KkL_er;T8ZiUsOaWRTNqY|}-#*fO~>R{JmRb(ZTn<)<`Op-bf8-<4B}(Uy@?fmXbpw;TK2%p67mV z$4C3Y(y$xgEx_GIXS$}s;7_vqN9Cy@oH6EaldWO;3To=X|J=eidt4y7at1#h^i)E6 z{3K_(@v3}^w(V$H!dLep+&}&Dm-iFJ>dx&VmOz*}8-^hY5^f_t^#*%k z4Z)o|9g@+%hiE?hcrm*e(%lE)@H($(<-y42T%K&5v!jJ?uw2$gO8f^;24A=sw`S2_ z-{09wrd+%Ipd21}mGxFLbb&h3Uh3$zW6Y|CybdJcD5d-ndD=BXF16kZIIeh+gu6)e zN9ySR0;Zl_vfu9*Nt&(=%r?Amvwrz>2jZ-*s&znfFGh-zInmE>h)niT5ZG+8I}QL4 zl=8BFxPcSZ;0H$0pA6(QRv6ciL4v5s4n1zj^Xd{#Fw1YhVLL=YluMhmy+6`0P%o@%B!oDpLm>4rG0#ASKUUSDi|2yX4^;!EVS-1H zwX~fbnvpp{UIRxUYXk1~fowRggHz|_-&oP4c7Ruao*&Rg>p}qUp5;dku|wpcu0>8N zXdm!Q5-Go$P{}#lJj}jc1p$4Y&mkH9KK5ha(z(|H{hrG5Ps+ggz}`d#NDKgBK8p^1 z`TU1a7QsQw$nqseMQ;km`T)J=c?lM!9gx=}ogxFcKU@xlFcUPI@<<@iRSP!)n@Kl7RlQG=Xx;6n zM|{j4lobYSU9E#khr9@uIKZ7<21|q_az$5)Se~ieNw5Vv@`u;4E6e+dy&M zQJDMhROaHjR-j8KHtQadADHx@0N(;U_Bk50*CBe6V)c-j;7@u4fNe8RZ_@yuJiEMx$Pag8 z(h;Al&rX|ESafl)+RTYo^?0+;;{A7y%bdRU+Wx@~vB)CM$Y)juFKxpp| z?v&$l{UamcKCr+?ocYgszG5U9Mr&1I*Jw? z{TNd~fA_+&B^ULa?uBB*fj*`&B3(H z6X7$jfg&uiDQ0UA&>( zl+@Fd@{k|nP=)`z$09`*2g(}kHqQEAlaXg^^_ygxVPsAa;At^GEV$YWE9~HPg;ZbV zyYXMs(s#GwQ>Iw|{TV%>{d!vgHyt zJOTgnum7CpojZ)O12X6dSDJh}BwyH9fEfO62{zTz; zPauqd^l5yaUp3Zyn5=Brqzd~@x;R4t5)UU3KjvTq7D9a4d%&ee$mX60IMPo&Y-|S; z55OECXRGos$AR&aqvu2x41njd0W(yM&9h6$EX>P2BfkYVQ#@rvm)H$FeM9N(1@|m< zTSyhq&6W1x&k!%dArt+Rj?MBq#92`E1o*VQ-w*^+-|2dVqPt~YcV){QSxD7#sbrRw zXY=QHieV~En5GL&bE1w^)?uYnrHAe|8ko@q7Q8g}VzvvP(h z`jhmuEI1Od>fVHY&e;-5#l$HHrNRG62YwY%Fw73q>Epo`Fk%v?COHvsQd1d5s>mwn zug~l8%mOAbvy1-ZK%RsqiY_?RXNNu|9Pl2iHr9n^K;yWa4W_&aOhTiDIE3bD*2X~^ zV4w0Q!#$u$sA#z)@>_V({X=KQ1g(l0CJh6kpUw}ck7^?wo&=He3Ba)TN0?K`p(E_j z0g5Fw*4^o-2Amh!UH^wB75ncQK4q`n13*^Y2`0Fi71ho=i>K(bkRZrvi52uwu4=N1 ziK}+0tix5gvbX83-f;yA7Kw`kx0rITX!A-^QLTgP?B)@Qs-P&P?zl+&LUfNIL1cg2 z%B%v{t25()HX0D%c;{HT!RS_eVvroi?^%FEftM|+NqdJe3%Gf@Z^)=aS2M?w$qdNa z+;9I>wBz}a zi(MD*e|L#B(7bISV74yTzc)E(y=TF*fZ(OY;&;$* zx-s!b-JXuHu`@LE#zYlEqzo$Ryw?6t5#rDpXU+p{q!DlE@LuZRq$=LHSD<5K&SD)A zR=)^EX=zp#7SQ$S;yhyQ90$5h8*QOq=0t(9>;+3)k!4k-;-YTtMOHX{Nyk87PwL~H zNZege-ajxa(YS!SAE%y1NG*6QUd|y7iBll~aH^*hx&yHfwA133E5E616Q=RM|I;)QJ4gdZd?|?+ z*;Jp01fa6qZyiguqvg1>8ZYw8M@?}TIMKhR08Y=Xw_By_O|gaiI%z+ea6ingpOZft z++Kv0qVbvopwrw#hXi#}SIojD7Ssv>uxYo2pu#*=0#Y4G`A|%VQ{TpUcZV5feKdj9 z8XobOC`Xp9I9-3jhgWt+no`2RQIts4ifIyF-qLPg>2 z8uzHJyCiIFuSKxYm|fN3RZg!p#RN$)I;ox>>mJ3xb_~ZanG;jZkLnn>ZQ(ayDv5pu zqf`$B4`o38h82tS*A^;FmB=^l&?qL&@iBpG#kEZV^$wy~A06yGBZk}6jDq^GOkPpX zEo^w8Mz|a9Llo07Q8(;Daag(PVF^Wmd8h?kI05XdRs(Sy?U|$b zYU8mQB3!w;xcf#U^cAFSzU*!c3LcQ%JRRh+-*tiA;70nc3Ra#=t$+&H)idv!U&(F= zW6IufD^LjOA^1^G2E{R+5dW<7IKT@T6lj*)wzIMQcPpC|dQ(hy3Gb`}I>1w~YaY*? z4B#ln0Jc91B%JpxP&-jbA&1RjLN!gEldFA!HY8r5TdSC?-vedKPV^AdM1+e+AcJ?C zafn02S42#p6z3|a;rhIRF!l7iM#r{ZD@mXX>vTXA+Y0(aBGrsFgU1qk;xY5$9y3-R zAo2n8?T{bgMBRj*z@G3(du=)VRn2nYO28fxs+2sHXI5@$JR05`Y;nu8!ncn-811zy zG(Dp+(#nC`?p$fp$|Fi74%5KQ5^;FQ`i>wltha{@6eR%Fy~4FB_F%+jXQM)?tKB2YU`$|dwDjl8w&s3r3%NOx|rNuu}RhK}=;6Jdc$vA~q7DbL_;@-Ner~{Jgp3SrH@<)%Tx3x|v_V z&`;!Fp>u2&f{bAf)mebEx@VV#R-i{SwUq6Ti$AH`^H;bK-i!QTS$=9%ArBwn`CzX; zk$jGZB?F9G5?G9oUT=AGMsZOIj_W5B?(WYQ_SyYR29m@$;;V6uLj-diP}~L;xuF;# zj$7}n`TobGhZhNpE*JjOHSaJhoZl6+-oxmxFG~-k9!N`{4yE0gnVRgg_F+)Dc1yF4 z=%N{Xuy=4HKSoc#!SZ`tz~v6JaKPVp>6zm!Ol}sv%yi%T>ceoynr`7T)30a#0d;&N zer-n-1k<|p<7aHpb{e(z0EtGIU=QIk;xilCSm6^EU8qHR1fbB1YVIAbs?pjr=%P#p z_}2msJ@+pHG*Na0HNgWs8{XFEA_tII2in?5`1mX2%tG;>`3gqAjobvLHD>cBFXya$ z)S3+4Sz$Va+!YMIb`$r_u|(KLj1{}9v%u?H&jdI7Yxs%)p`+i+xjIiI0lk|!TzMhl zgf_-N=}vn|c(R9FB}?i-qVMMeUX%Yuy`SkMpYpejb(6fFb@0c?*Gw@_TxBz0;Zi_H zcEAkv?Is^9IAZ}QcXKY(huqqPS^rb;j$8)6p#T3n{P*)SPspi{>ULDtZJhp`aP9nz zXMz>J&=^7b$77HrNLE8hR@t>w*9ifP6`^)dIWgv5)s1)yXR6`qgf*S#++FvbZ zSS5B%pb0^&VrGsUUZqho{W2^3c)`H4kcH`g3_?kVe1If=8TNo3;`M+@r@$d&oiOiv zVB|y5MdF7)@ZlHp3($EQQbUy5%#JXDC#0TZIblQatH)?-J)2L;&^&_6a+jpSEQVnGsG_a@X z4i{mr)V)Qwg!`(fLgDKV;nTsRh&z^31hn!BlH#e zxlzTHdYk`vktwbkc<d0QDc#jtPS)*&+ZDJQGpt#IEB`G&Oh`|M-lQwqOttcS)xbij0S z`lZvRb8Q3H&2~Qo?kjyXm^by{0L6UcAg&XwC9bB!3oUX$1?|vaQNu=n;@){ikFYm1 zh1y_5O0w#X8j`ntO)xv91K~-WG5_ADS$OG;RtPn}sowE?3O+!Dnqv-Ykhz)+wB>6= z{7-|L84x;6&?VqPE92_&Lr<NP4 z=QwgTYXAE*!9(#s}FD_h&d5AAAgktcz{pR?C@rUQqmQTfN6KgleM9-;-f3yS- z-{bzOPMqt=Pde{Yr#{Z!IOiao^p2PRkYP?WEuKC1dR^{Df94Not=W==zv6|`f>GaW ze&?OvX06{!YtBT&c}uKCm-Z|!xnvMN-f-byqA;^-qexmrKFMstm2Q7{jLzHTt7+`2 zw}4A0Kp`{f9)~I@Km66mTQEnYJ-p~qk-V=-_z!!AQM8nDZ`s20*emG^Q?&`+TkP$T zodcb4&`P!;(KK@>hp?*FzeaF-`)+$aNsV+z;|x z5-VVFU|&+<+p~^zwoF8q@;S zj39I3jbrR%OubI#pud%wE6h2L{IZ%7yC z_LDhrC7e3H1#8)oZ>dD!49KW5MHkg@tllnUm^RpN_dgjCJu~=-6=qnjvENfr6)+eC zOd|024q|1@CR0b)(ZM60=buFsnSBnQZ?x-JzyTf2l@ta(QI5MUdHv%~I7%%0$DVPb zE_SHGGIF=F+zkWim;jAa1(;?0=%OG)98xJRdC6&E4&kPb#$nS&E^NA4WU zuyFD3*P3z!SFG#&9jv_L7E7rqhU(-Hk8rUa*kqX$lj7iV5q9kz3&nP=$t;0VGfc%7 z@uOv}qUsFHTXT26)cP3QVtFFzRC^7GZ%8-AHCsJ45P&+R-hq#H4z|?43_<$|lt*A5 z2+NuVp^=R_s24d=+&aFqrD^4;d6u;av}0D0S)qFog%Qe7)&|5PLZ+?Wc1B9kt5Vfrx3VINjhs1jjtN zLUBemB7Wm&et|4bd>qyxd_JgUndR%bQS|b$9)YHtA8oldc3#h`D?wZ}26-;HvrhoA zFP{7ei92M5y=Verxc$K8n?a^+6!2x4l0DOwRR z_qS1vaPI$?XAAKmQB9c-(fhx#19XXMeuDs_ zc>0q@z(Jw!;LW3CCIwLj<=IQ^FF`x_rG1Mg7ikIk;n$aCo;uN;o>|k$*kay?NiY|9 zbE~$a30`s&E3)w$cAiGD1({=Py1#fgpc~QUX*=4(B+m`#Uyg7HU5ih>FUD?Yj#$41 z{aw`N1CNY9O9M1bw;vy9XA3Xuu09%QPz78FqjVz>z-d?Wu8x(SjYmZ z&djZwbrB!4p97^mJCBjNq`-Rq`Z_L=H~zG%KF?$1TJO0~VCe4)OyuNP+P5&Rtlzv6 z^0GH+*&D~yF%Jpam_U}`i)3!JvXv8Y-$0dJh5_(~P5l&db?+zl`-aSww~sl|R#V)5 z_8)B(oCq4V&+eM!En9Lv{D^bzL4o1AoSM<+cv7v=Jz0}tF$FN5>ZQzj)@sV0=0q*X z4K5uqgq+kO)<&c6Ar$4~Wb1YDcSKyMde&N>33*=YwxHJ^;2Af14<}Zjlk!!fOd4)XgwF2u8kTo4{2lV{tT%$ZqZb@@3p;k zd41Zr<0J;mr3~?+cU0O2ML|){lwiEu;-CWEJT&>dQ+1s{yW`*_bvPmu#ydj>KU+zFc2QSd#n=%YzchcStW`! z&9ivG?~cI)j2`n8LBRyt$+2UG+lv(q;hAuOaFuQS*r)j=?X^?0=?l@E_MipWZ9wr#on8SHF>UYzY@X}Kn6i7 zRcoz^ia=|%gA=V4R0byuks)NYN~t2!ijX3PR0VM$P$fgHMruo-B!pBMQXt9G0i-e{ zt4K&0lB`xjBq5{{LL}q9V%J*lfA24Q@8kXW*5l~#0ow48-1jw{=lPqhhL*(KLq}(f z$}d}#C7MQPNkA}QhIkp*99d@zS>OHXAVff-S_NLo#>_EyzCrL{3sQGM$&S`@8K)g! zi}z%)8|ZGy76yu~=%euRrHbYf=kkPE7p8>6_>xfUP{?*oKL>iXIczr~k!IDoNn35Buwko2nng$RY|XV9V5Sb_iL&8Jmf^rjp|hWY zPE*~tT3FPvfU4}odJYX-DM|RO59MGO0DZrTp?%Khymo&`|(Fk=x*RuZ9^l2D< z6REDp!#3bEZ8zoV_GAGDCw=jXqnCCnr#vQH4Dq-^w^cW{eCM3(e185rZ^n56;~ICA zhM%jp-K)3bVZBC%G1&IT3K5St!@GHo2n9iA*otz;w4AcXCKO=Q`V;XWK$A8KJYh&U zKzt0UP4}i!%clxY;NW7h&de6`PY*(^4X2H{<2@G6c3A!6I0Coc@|aPR;|~3P$IN)Q zRB553j)N&rp3*;|Av-^Ct(#PG)+;0r=x^wNUVi&&yH{nq=U2C1BHvvCAL zl*oIU&Ak7qz>C+uZ_Sbvn3}U^oT#ncEt+h=Fw?FvM-hw|RD^MDW+%21PflqDEbVwN zUy~gTeK8$X7&YEUmZjAGt0O$RUqdtkXhDl8uDeyw&;1r!a<9(kyvO)f8^wO{{?q1b z1qMfp&rrX$a~Z*=R`u~L*6Z#m=b!ddukBNJp4Bn?4qOtLX zG&(<@Jz~8=fXR68RmYKwj_+SAxA6Gm{g0A`-sV#X^VLu3ZY&}FdxI&nVsD2X2qGjK zFku-zFli**q`bp1)Wqz|0A88U&m^qOo5UXWH64M=#5>zjv708s(Apto&+Xn)`GUhV z;fJKgY)kW{PPk3CV#$h%J&nkm&}&vHl3X`EI4d?GHEa3$^^WKAdOdQ#^2!%30zxFQ@Oq-c&uk?GDS`Xt5sjyFrhb!b!KBKEN*dhuh z%}-t6I2u$=#(}y=<<#XUZk5~2bNx?1ZS&ylJlA^VekoeszW!0|dYlM!`Z6H~K+Rr+ zJBEf|`h{LQ0A~&IbxZk?A#j!>W?1f!orbiQxk|?zzD*^z6j|hHuzdxsk;bXEKRsMg zTxenKNYAR+Ep2z661ZFh)28p$NTV}K-{XyPKg)%h){`Bcxn>>f0&m(Na6cp0m+#`* z%47GzR2^O4&`eHta0s8XL1>nt^cWovPJ%e|-LOm$c`8XJIu%Wkp(`Bv^^Zh8$~17N zW>c>kbEsF88ohHCgM}_hIx=WqZ$v70)qHGzw6OiM=K=(RV8g^TCelHkmV8B0kEnAsW zS|Jnhn#NN1ljK9=y=pTIz|wLmY#MMd$4T{5y9xJc*9;(nl4|rY#G8{ccwgW@vqf-9f^PiZTZB~$URkg#0=>>XV6W?D_g!wUy#F6bkee$s2~J5+)&Fn< zpk3F8{EsH#KXw4r0th4nyYEWW^FT7s=biuuIDgkH2nQorjKd?!-`PYQM(uP(y#e-K z-{z(6AE2WG(F9kL8(|B&BXAzo;UO~bb@^g}*u9hQvtpl{kfiY`9B^+ALB#|`0!*#l z_vEQV<|WCC$M7p(KKA&Ptu1RJ*5C(29^-1B1r4oA9*lZmtluA%AB8|z#YM~E4Far_ zOmOZIWIt3Maw(jw+-s{NT(FQytqyswdAQ2;wPn|r|y5Rd%4(%xXRA1TJcEsr4yvth!(hyL)@%; zV4L8XbAI*NqG#Tvp6d;Eo^cZ13cXafuB8m0qd-#LRBfiGfD_{Ml3bX3f zlV#U&I+ki6;(<{|*)wl`Lx{nfAV%zwtt@oVR@V!POyV7ZCxU?(S(^Kui4Ay=#h34d z3}ggtRDF3mPqL&|=%PnJH^;H}pS)zwt&GueU^dgRW*tZjZ8SE1-OK%DInYT4VJ1+cvPFP0_B9inw2N0G|rrx8O3YYd@=`qFV)L zojQHk&Y|?A3I~}L@w3G#;j<~5K%M_+${>02+cUqXvj+P41y2Q@$@tvs?p^a&tL2`X ziyZXAuH_xs;l^$Gfa?i9diN#TRhF1yR~mC{4G>wl6+BpSh~6KiqZKeCsyD+%kCe#v;Je6`7NVRDc&1G?*<*%3Mwih`p(y!Vy0AQUPyJDT_Nx~8ow=WkVl`(Q$A#7oh z$Hk5zD~-cfY#;%Dt&NusOJLfS`+Zue5?(u~0KwyRSPGLL=}3v9V-OBq6%Q7t*JxLm zjZN}Y1-Zz^QQ3ib*E53~u8iSvpusx0$xZG##tU^4>H>&itk~Oj3N$gv4$qT}*X6Z!o6VqM z&BYoa`l1f{2y_MfVzooI+tTC;5KK)m+#a=#2RnK|EhNv+rNlby`X>0#(cR=b^ex4Z zYYQl%G&H}O>c;3D55Zv-EeCYYYkt4Y2rKLv3h6XhOjw%xC8UgGd72pnQE+n$bBT3p z2>@(TX${kt#VJBB9j_6h0v%AfUW9i zNHy7Dv29kaI0mH~yOyHZmB}0D;{~Lq{1?9u(>v1_pXdR?Qks zG^b_%m@-A+1tdVvI1+8_GG8g&oNIGl%#b5J=E)!IGB(c49p3@4T-V%a`W5M<0K@)Y zlr}osSBLLewP`wJYq;@oLUlKgR01 z3nI(IQ7&l*Alw0+w%x(PLXA#vWP5onbZ7&*CY2 zmF+_JxRtNm=(VqUrBA1Uy{)gZ#x!*U$V6cX zPhLA8@h@l3@LTv7-pZ)7#4Ou{ly!pGrv;aX+xJVyEnpoT>`?c^iH+X1Wc!xh;gTOK;@)*k+^Lj|VI%1@&7TJd)9+I+Z*4Al&wG=BO z6xtdf-9gnEzyoPt4-V=ZyT(RE!M3ggtb{{K5t#ZRizFk1dfB|Oe@e#h*MJ6MD~!}h z>g%ZP>*zm2%WXrd@)$129Pmbw$U}JDE#>KMgZ$c2`c;-hryPZ`Zw!omMd*B-Q}a|o zR%VrHKp9De2HlgdS+6bsdJqfC1oJd7)_YQ_k8%a)QCZA{5T#_omPtqHPfi4qRkT)> z2qyV?j%9ywF}u#*x*CfEYi8^DpqG-LAzsrCVB*fz(%kOzzEI*a!L4e84=8bd)~`(o z6+Vt!1iC<7-=tG&iSB-|>;wUB5xsq@gW5`kH+@Gt6!rsimGEGQnQ`vRy4Lp2Sb+qSR(8o^iDps z`==$JFdPlj7nAXYq92@dR^T8hgCffDQ84h_@rYs0_#r$ zxQu?vBoCZ`MfBKQUR{_`iIjEF6t!VnNSO?`9LJPPrv0*-Tkg_BR>_a3+ieWg6f8?P z&QU*5_vmQW^TD5u1IRT%oYJmTgEu!-uFR+n)A7zaOk2)4GT;$P>cY%tq{&Xm@+Db5 z#E#r&g=L#$5;*_Qb{iVV)tq0I_iB{n!h3!YaxG{6dML)>6mnWsVgLV`^Y2tRd9C&9c_1JnTBr+PfD-9Yl(=#n zU;{K5)v(*tYk__JW#7kS?OcVuhma$#!h_{4s+xf&O`61%EhLBC#%XiF%Ec}xfWMap z&6iTo+RkuHUDBl5pk~s~fD}%tu$qm_TN$(gU5QXQ-UK!=h|87@cl$Wf+*9 zz1U_hqwW!OTl4nyTEI}xOP+|xxp1n2#9L&W=mDN}vET=_xj1*?A)Z_SKx>|Ujp4cI zi%}+)f%p~fb7&k3@>3=PdEhS;Gb-bi+L^jFI2?oS%Ab?PoXw||$B@|us_TB{>~ZHnIQeIZ_DuSdY<;5dY=COsOQ~ZarHdz?uOpDcfl*J?|N+bUlM<)=iNQvu`>S0 z&>y{A5Bb9X^??0$Aa(>1Fi(%lXdt~$8Xe+{Nz#O^JBGyURq)9rH=iT3dP4L=dt2u37LC(E^nt0lei zJ)#hQPBc<(>srDZOZSEG%a>@x{b}mW7hD>?&boH6=GBeo-~WfRIR9?z7vB7+(dING zjr)x@S61`7aOK{mRo+Y02w&81PY!-e<_K1p9k;K03cK1Wo{1K6wB9RJez(-21g4lc zUHR@b<)O0j8*ccc@*Ufq*MS@JVa3;T-8EAmmTqbj0~u7AR=H#C(8x7`tY9>((}>r3XkD2i)Eukg?GAtuYrN~65{yIDbs%h2D1Ai&jP3LNUJ}U z!j?hVK0UN*>F~7Dassf;wTuM{h+41>+Z!wjn1mKnuh{P+UV!)$PHvGP9wr^_if#v7 za#9j#vWMxXw-`nJ6L!P+!_!2Natx2XsZ`VX4BlBz#crc13&z68*-@&i{l}96mM}S| zGFH_N2{QY2brEcZtwAi~gWjic8m`}bb^jEI)Cu5UK=(J>vgOI$h12Uhz;p@IRXxP< z7-UFua=x<(B2TVb$89W~tDW#tlu|}u=F!S*caWUtuB*PO`jiA>(_Kado@r7hD^$h? zMdxUfp}X+fFMZFY`_B_{cuS7}wVVe@=x$8p`yPs&C@W|_Fwb+AqDuFyT=^9o%zPnp zSeZ6Mnwb4wr(Sf(p&R_VWg4cw;dpR#qDQBG8?$$RYT-1%-trO}XYcuMvIImR9L{&j z;C0OT0-{Ksu7MUQ8m{nmXApwPmN5~zHQK(<1fyye%=_d-;E3KI@970nhWjw}DnTgt zQEEqKRKGwYH~vuN*b?4c3QC=jXsMM5PDaKw@HaD~u>BWI$LTv=+FzuU#P(!(fubC`bq^Zc8hvg}r}fKAHMfn^1UcKN&{$SC$BU z=3z~v`r1Z0{QZ+FdEX^e5u$nQRy_C= zks33*R?0N@g+Gk7Fni_GTlJlv5^*`!%Mp6%&(;*Ftpm3CATUH6qllr9;W3PODINMj zl-N0=#LvT&O4xsI9NaMvLvh)A+|g3>DO{0c66vrvDcqxnrY_c*B@DOqITP#TpaG7u;Vo2j$5iFlVlv3hbu*@}A`>4_%JceS$mGbP|0Hjm znO>7kV$f05P2+(iWwAU}d*t7fA(t$u`=7ye@Wua&YBS{jAF=37RLt|@f53YYnoli7@r@V8g4xJb=hwW1_abjDT#{L`y*!ewu{oTq!)zVmwb znd{OQS6_bDn^?K5qf}pPDy^z6kDGVIiGuLT*k41+kKgQ9YqpvYPovZRlW|yX5tjgw5@W&{?YUY*4&dRD)-Ms10SyxDO&{f3n;Tp)x*TFH?;1KH=3+m7EZs+Dp zVX7>kUB+jLymVT|-B(#@##2$_K}LiXrnJN83UdZ(G|=8yaWKfFX(j4jaYjXKJp#UhE>@0YyrU#w`e$jBW9}oxj550b zGB^kf)AzP^fpN=ea1wZ`3a8DD)_*-*!1DN?EKT#djc;dA@{mR*S!0+ z!7iKmOvE_hR^@(u!?5RvbaxtPzD&lVn|qoBUi%>v&5MC(0pyf3)J0R4&*wVhHX+_bV+!4k2O$X%?Qo$kTG_Y+Rwx$jDTIMO zUCiu-9P&O5QCa zhfi_jVx@YaTL&Tr!&h>7EE{R)?5={FU|zsAjJ2@V5=8cGtPRPmwY=%+sY|Fa6^#2k zz`YW0b?W2^P`bC?UX=^JTVp-^>b-{`zTI3Hr8-Fj(=buvfD-;%R|#25Mp_Qc8WjxJ z>}To6D#cA(pf@g&#?BAaYZc$^zC4l$f3vbZT%DpDYvswCen)4 zy<71)Qz+e({xiV(#@Ot3klEwrb?7=J@3{W3aj0ZGj7LL17J9a2YlU8qWc-pC8>Bh( z5KM58(x&A!SF%Dru5W*X>Txf4qAw0hw3MRTno24_Xfe?X&_94h&sqfaEoMpWnxcFa zXs0x9)~%5;uO}1lP4q@$<&gGeY453tY0s(nvHJd0STR<2slw?r8}sC>c~xLMlp$9; z8kn4F)RWWrr~gXJEqGc|8CrJ>+g4-|dPhpJSj3% z^>{CS61a=RMyfko@IZ=#ltj;A1S4_e)_CZxAa0g_aPWJz_8j4`wYYFBeSW6g5h;~E z_&TY|pUs3DinU+`I&`(` zLif$bU3Z{^-uDRo?{WYXL|*T~ci;M-&BT@_HB65FoBs)tAZPw(iPJXhTsxytUZC+` zxn{vKSJzdmAOrMem&gG0W(S~3p3jtG!8n}Z`oXsjSXbWxT*)rrm7vqi=;m9`yxv&l zmFsu9eJteOr2UFhV?TY|^hSI%!FUXMaxKfQgkIc|dhZv*LP`LUVVNW`@B zlV)%bpI^06uiUJ&P$MpI=4yAgsCH=Fre2Asra(cxR;&A5Jvaw8QTmOtj zj06o<3sLQFQc=epg?V6n86RS6@l@Ayp(P}~APD#r9?C z)^MqHuCPz9;Q?O{09j3yi86V$>Q^0@+q_yU9remCG7*yS7Mg+0BmicSrL`t1*{Fvc z?$hJLa^f1Q7n$l=D-1xC&AIVHfgcCCf8$`5(5D?SUH5uiQNq)8C8eubDww`k?r{uX z_j>KR*ATUWt?c@Y;BXRp4Z`fh#WdH(+$r$0`EQ=drNj>d2<@KX2ok_a>#J9DFV~5w zJ|Q8af*>MI^d2v{<~>{P#1Qx_sXnd9j@41)QYknX0*@>eXYY^#X{B~t20HqMlDS4} zyO@$-ApgGN3EfA{ogFyGD18dz8A>7vekA|;Mg_x5$+mvy(3y6f1C)AoQ?&*+!HPP8 z7y3F1*_L~B%#6J}K?^sVcjl!)VQb^TnO54yE!Ec(x-SYX%D(T2>{a{0?rJ5fRgatw z>V#7&fqxw|klvk3N~kBK4muSqfY%50K+@&RwK9{`%mSfSVBGa7GnCgM1g3)aC%leyVW2*rz?N!{o-g0)U zgKw1I6nbiQWIsnHTQ;)SWFn$qaF%7-O{2X_Pp!cHF#;vIpL+?hm>1 zqw}tD-=a-lW*70Fswkq^ogd z2>j|CN_s}_FzX6O(M-um9u(L23}!}JASx_cNlB2c0cZ%V(oqECe8eE~ACM-G`F98! zEb^9$c)nds$MmGoMO=rnCWKkCg*4NAWIv%=5Wv+cgg$5R^9&4cJHrL57x-6mlyvW? zA=>Bd24ifGNeJXMW9J_!eTK>QIVxr*c|tm=mmq$q;bAcACSOR32uMMXkeBd}tIvgksjaZAU8_+xa}`f#ntKi`;3_X4)T1}uJBcUZSOMH`Ks!YJiA0FzK?ca~Jz*EVid1aPSaptWE9Wy9WuVC}b z6Gnlrg(26QsKWh5;93*b@27g$a%paW?FPoMj5JsMF|U_dV74(T6J!>Pm5#}}9Zqf+ zcAuAnP65MGBL4g3S%@E7Vmz2_Vn-l+I_C7;REI?*MEp&r3_6CxWr&8P!*!7=O)-bn zgk#)(VrnZIrFz{FZIg7L-(fW{z^d+ENVgOLK2CC9;U&ok#V+s>EBW zfgI_*16-^uJKxHe7|HW=@2%0}gIH-K)d!(r=5oXarrF8Lc?6S~dR`M1lT&L)G}fBP z_|@pa(#-`eszIB1y#;>wWXa6DWgE~#OOKhY%{W3n^U7w~lyJ8)M^Ay3Jk=}j zCXxfmHA%L4N&+9elU^smq6cawxArj*tNXLq>$ULW?)1-g0FyfdqG7yA_9>d1T$XI- z&$mxa9jp>wA$DNl97*fEK${j*J-b2#IqhRcRZXOjXAvNNgYZdjnG-BLOelmii@q%>kfwz>$>p{v2z;>F8gDWwl67*Dzu`$UBOa``mIIr}rqg@wp zGpe;GfaEdC)^djvvudKaq9$c-Z)Qzo9VdQM?R@nMaI(=b;7bzuTiOL8duoF#10%E7 zM6}uG)SvAe9b)S_=~Q$7Tip+B`1^%X@p{G~tyL)DM z^P@+D5utyc^iE$iVtDFO(f1&JL?9$o11Tpwzo2^!I9%bQSF4t3c~0aj*V-Xw zAU!12ADiw0bwysxtkE|L=VC`;#M^JsX~Ya)MX^k5F?FBEg6!YDW9+q~6H-E>#Wv=j zQQfyirqNDOG5CUU_cl|@ksSn5QDe2xu_gK6jrf90D5aXAl)AmZi3o89xGZq_OX6n- zZC@DVZ@Iqf5&}{Smyn$cUWV$*f5mgPb@;01CY#NF2>~~|IVLF#$eG6#Mac@CGLEyQse>oy(^2W>bRVkvll`Fmu zS1pO`w?7JcOx)}BMhu&{dp?eE2p1ahGkJ2cvUnxxALmqQv#FsUp4&Est>5C~L~m!Ts7kL@33=>Sn-kcH+SieAil}tE3~FC=7HoHhjgwQx_zJR@j(* z^Bs7ASb-GWubgr~==acuHuCKqlUtzI_bcmvJji0Va1CZ)tbEv#GW3srkgylrS|NGgqKm zHVrUz5}}sSW=(Kdi|JnC zk_WKpdTlzKbn1Q~;y)Q}UGaqKb#Cqwbv}20zaFomd-4*60R$oIiCRn(0GP|#!qiS% zfo?}%V1W?O{p6!iat81U4b8pxs@$(q-AI7}CC{Qo0kSN?4yfVg8mXQln=#f1+3i4) zqDc=;M*M7^qa=>es6h`)0;7w8GG(?2{IOvC!4O8cmfrliip0if9vxHI$FR>-6g|?5 z{Oi~TZf=r%qGq*7z`!J@^go?eP!ggDEmWV?cR~b<^y{OjF9Xe!0|RpwE(;3Njv1~+ zR$cmLi>864##dKMs?ANT$W%ys#EeKuAef%BH5L~Eo{Uimb{0uG$pT?_4c5~ZBw$`N z#WOow4W6Xr=?;)=?6sI%1^xv5sK_5ANeql)&g9H=7x>sRtX21E7>TYXiruKI{;_%P z-Hslie-SC{U?-1(q4oXA)dOztRDSX8jr9Np`q_9(5CAG`DJj22=w~rEA^tf0EvnbE zB{dV8Xr-e`2?({q{UU#dknY87ofs-mw$*7k>8$mt=E?|d-wVKkdXJ`&IA4sZh>q!> zIO@F-p+r#SKvsIS!N1e}-7?EP{~QyV5k{t~R^X6vOYI!Lx}}-@-9Ji69_D+9ILp z))&p~0x+;QbI1M?9bpoG#cU|4Dt5qq7OAHTXH98+h7Qh*z<&medtN!@zBWGp4+3c2 zXVPT)F~111vpxk0zFt}VLi$|8dz_PFfhkmPA;&f~DJ5rACII3b1MVRq%R#_X9JLbv zHwC>wx&O4y9TCPd4*+FEsp1vcmidYf$ia#<5t-wk=QsA;&W8qs ziV^bZgJiJi2>BiGzi%yjU#IL^eb&@s=NrxIqp29iR0mm%yAr9YuVHy~E$+FBbzg&(o;M4NuOndgNKQ_`a4h3Cwf<%6B zGe&;wEJ2ykP|XF&LQVWJX+I+Ae_Eg{ERTb%LN7^5^AX{6akT!4Q1Yi5qW&=PNXtdO z!%A5O0y<%UT+~Wkcv94;Y^95oQrZy5!P&93xlfvQdk@!c8XvUcRCEku1b%vAR=)wu ze%_XqW||u=QTLIm0R!CIU`cc2Soug}4+9egp;r)tW#gNS>bgkBG<@0VftwRU$cWP4 z++0G%a@c%@|JmY(?X1xUtL;*<1xok({eR;1EjXD~iBxx=H`SYUHSr-KjuOv7@?7bk zkU>%tp~MTVB=~2XvbwY&f6M-wbvPE{3dl7X5I`W$e{13RAQVAP1_12;zjFTlHiHe2 z4DaM2zB)-1B&()+AZ$NBlc@w$^JBA-oker{K4PrT0A*6>&NxnX`<1d#n7|dZQ`1>jKx_TNj&= zdpl3>=j3ho6t;$Q#WfosJC^QsoS^Vr_9o}~ zP;LE!E&m>>F~Ozb9I8daUw8f*s-aQN5I!`7@U3tS+3N~PeC``l(E+X@q3CyAlm1%` z!j|4^4}4ek|5V*3pZe7~SOd!)MDO`KrCBK7{~A7aISn5RLjTIxczHeS=AXlX-NXOQ z1>Stv>B8Rc@F=T+L=80m)Ls|1vnq5B)MTslEl74+< zTWHsn#w5x%2dG{j4}H6AVu*eG8RB=tg!pOaHgvJI=@kjKjpj?&9)4iGWUV;BjwDg` zGFE=xS+pgz#!cQ>8l_}y9BUE!i#m+uyK!|^;u*?2Oy^dJa^Pi`<8JbZE@|7uqsBLW ztpShsOy+~7cjxB{@*4-g;wAKJ$g5X|R$hENEcB4bmyA%ou7o(D+zy^RfBBVH?x<G80F5ZG$^VAos_jXjN$(A@Dw(uDhcPxJF( zTrlq-3Vn@^I{*|xwmC#yWKKU2TUAul*Gp8tT1M25W=SHd&-bBJ6atN+kuMD7vJGFp zT1fNG&ZD6-D-*b)EfV<$V1&E)Q{%1iBwbpAmivRR$Bj4oqge~D_P*z4+O~yBp@?NnQ(6Ix=PRUuF7pV354LG9#yZo2j# zw#tP3{LH~0xkcgS2_z@>etLxJnMw0{DhYc`b=8a-W0d4v1}1m3>?1_Fj}L+S@g)8D zBYlT~ZBbLbbWMGK=EIr4aE|`vOv>&2Ney4%e<&Y*59r#ZRF~<539&O=_0Xyak>q%! ziH1S|%altN`YUy}1)13m)k@&1Gka-X>GR--=516#29gtE`Q}`sa52Qk-3`~#)-Z~* zYolcF`!5XdDF`od;#ZrBfnl`X#={QV)~Xdj^>iAH zMnzHO3Hew>^ED``Z&2)(bCVb8&shnn_viZ(8~KXiMHx-go{Q! zD+1X9C2sLt1kiTBEs&~B+d(uOCmU_1Jmgv9q!y!9>wq>gX_ytMwr}ka_;34DfB(pD zUqyC*9hp7X5&cvc-CJ-qu}Khk8Y`sx((=^jRn#9Kn+XYshfSDwrnatJZWJ}YWS|_7 z+J>m!lT9>)s4Nl&sMs5*0UhEt9o6HEv8XPJ#R(5b0-fE-s9 zj=M|FoZUlXlO@I0_Q43gh$d1`1Ey9Cl;IA2RQ3(okiWO}Mk*j$M4 z)bvRAg(y6}(DwbLgF7xgWE`gZWRs=EV0n3{GS5-Ha~L;t;XJCFRGDUs<+j_XK0+yp zAGhIwkw{lRg2`$|wGCiu9b93+A?eLQACt&G)rO;w`A;=d{+M;J?54^%1Aa*94 zb=`(~yR9xVk5<#pij20k8gtk-iNb$aU|8bHUw7aEH#)MUf3 z@|aFj?4V%|A-4zcBCv;ktfp~DW^T=b0)*k6KSCKo@L7PJM`jq_Jq3delTg@rP1Qy5 zEVer-qwuSm=jrYgDDmpfg6e6V@$1tBu)Ba}5m2@2OH~>!T{J^TgF(9~f&nb`Y2fcx zam~UGgRR9t8l8~xtdxX!71gJ@rzTP_RWcoXS$jAcIKnl9e0ZN58tEQ@evQNm8Qwym zwK`3?xDje)q>W#Zn9ZSiC(*{25jEtFTJ;>j!!VKBhATMikhQhFqe&Q$O143DD6E@N zRM$lk>MgeB@=RK#YKxwRo+aO`Uev4HdAF5`l zW%Cw;l}Z;}#c`CC2}+`liqYFd4<&egNrkfA#H@iDP~?X=v`|Okhf1hCHp~a3ie@7r zY%xHr%xwIaMdno`$c1!o`3WumF;Qlr{zF_wjRJ!xMr$bfiD1jEOyqPZ5zIM<-PtEr zA&2FoFma_;?jtCSu@=3dP4%;y?!6_q=4+Wf+`$lzF`?ew5(vT&YY2#R-n!i(ebcaJcgM;SVQ$IDnHXd783)k%^9kZiF zzM0%6u8BN>1Fg>*7>*b+o2N`tGI7r8wG7o`y{Kp#;3V&!(+>{PR*LlQJlszG}wTws7aMV^3@P{XnIlA}hxrtg% zxVFz=AkR0K_qOmfwiL0Ux;+|!>2)qpo;64Wb)lu!&LwM2v4;rEegllb;S^2PRmSV| zuTwn=X&7O#QneI6YHgj@n4s>3uTh=Vo9s!pB8J!KZ0uH0k5$ZTtz!s$(8hFIEy91D zCJqS#SnU7GTo6z_GM|ek{}X5Pmo?TM-;4rR{k>Y=glX)KYgG?rBf#&m(P87eb(o+PcE8hjR$yw`Q=(#=?{(_}wU3pac zg+r#A@yeKGsJ-8ny;^-QllmgRgnu}Wd)rZuc(Lg#KkFTM60-Wh2`u6-yH$~wM~TJe zXCs(PQ0}S=w+e`0R1=FK6)VRQ#tvR+b;*l3METXSIUVll| zr)xJSz`VC!=zD4r)jjmFdXkQ^zoGsWzj=lDiNVY|c)U1`lpaxQ!xGXj;cc~ofT+qV z>nqo0$^^c+oa=kvw+b=s`YN-W<_0y4i~Odl53Y0cIMe^vDRNyWF<;d~dQJnbOvnUI zVPNHVeGS!7=iff_T!|?w^XB*K=9*h(X_fnQNaw*3^{u9FY}bdl{Co}JEA4iw|7)RC zAM&suNCU5PiG0VvYornm%%eL*eZnAEmiS#;eD;Ntu%IJ~zlL3nn(n*BXuHp^+^=)Y z3NfJL@@cIT92=LLJJP8hBGsl*hDVaI`5E$pa52}>RDS8Sy%cl5JkdZ9f{3hR?mFh^ z^?;+Hw=>ky2JsZmavfv1>oq~EU||Jr1(k`@=Y#=dqiD?knDrc(tsME|4~X-iR4@5< ztd_O_i~?3!2Q`%_@V4RU6C1%cdIk{&z&5a|-LK45(SJBBHVlhH61Nv2;H^S;W!M!b6&nonSLV507XbbkipjYWrB z{&-zN^%=?P8`DGleH;$jPo|?I5oX|&vQtS^7l?B9g~SA}ajMT#kzcy}DC{ROIvvj{ zXFwqZ3k|QlHrj&lw7#b}AiNK37x|O0$pZ0A&E;f=7A$Z{h-)U*a}q@jGLKAAEGKjap+f0oT083SsD&k-m=((aPib+AUgc^=u2>JO6~X;s>ck@)Y8h zsTi5M!P3%L3|lX(Nex2&%7?v;R5$iEu>ry*y!nYV59}@0YxX%u>uDw2`E@gO3kXsU z{wlnp0RF^gox#>FH^C52q~{MD|D!yCrRnV%xlZ-)n`)7N)Ho+4>mv zG#34_NdQC9VxzsDJ*s}h7dnSH_s0UqrDDFMzw-pH=)rleo)4wmP|Y<9Phhlz(YZXH z%R_W@&&7t?aNLG0l2pQo-nn2}4v*P9CUikp3wKP*V_J6FCJk5jBc2*D7g`>>Nvhvpgu)Yr*(- z+78wx_LN@nC>aVKwxIOIF=xOtkFQvKdPsML!|oM%$Q;l~h0QH#SOm(t<|~mFdfB|V zd`~ffl*@V9F;%|j5Dw(UERC>MBPpV_SlaK?Jd2IDR9zOaz?~-azgHd?2^v-Ry6xk^ zM#O#}+c>QgB=#y5y77)|oDl6G*_}bCeE(C}Tu{4CHz_djASvUpPmeD$s;0_l=A!)& z{O$M3vIWVZe}T6adb3+O2os_HO@5--o zgA}XdudH6%{MlsY2g&;bDZgJxg{=2CR$x{wy_g!2c_2x<`P}Z3)T-dyglO;8-KBk8 z%K3EqBHE?08*UMlxu~d;otJl1p7*;_px(PmWzRYCd+Ksp_WYPKK22<_t?_!Zm)NDsoGwdM{hXbLM>>B8i>Vhx`;_P(0CEj zJ$FQ<+st%z;wP&bp9RcwNn3GauYR$jg68LPGleC1P{K<>*ZuP4jl`5H-bYI>mF{BQ z>J>b^v3xMM_9rHqKD5nc-<|J2L}G5;*|sUsQaznt`{K(kUo|GayH^xFdn0#vXP)}5 z`i%NG^>JxJRxWmLSSxY~y1MSp(l>hhTOeR8kuA9vwa?`~f{Q+jOVL1m0EIr+lI*9M;) zC~>{@sQZ`QKMZUL-kDSS&?QF5>6<>-vt@U4>ZUd5yYKeqg|u87_!6s#y4%Ou^>e}X zHG$0Q`Rn^r$MJh!|154txbHXLNS5vXdG|k)@dr7H3;+3RB_5Z0jOcpir~H9|?wZiS zuUrNfbZ6{oIefwA=}zg}!Stvi!QI5Bq0>QCboHjpFLuf}LG)$6ogF6}JUp^AxGFI3 zH|(L&IBW=Isz`Oaz};o60)ji^gKoyky9xU$l?#Ha-e^1*^}?yUc=vz3m41}&b0}h< zq_qF{Pja+F8zOeP9r%MCQdRG3I`H^$@Xfso|LIoqM71>NcI?FApxfNwn1gCc$W2Vy zS5F>rBi0wZ{@$cRw(t0c)Xf`S*T%giJ9ur?PG82Jy^wIPm zrKPM>it>5qcXcK?aKT)pV#{8B_ zd?V+*cTwoy)A99gb+5XI(uR6p-&)(|gYrmXrKjRPrUg}H8oxq$UQ-WWM0s_FRNdf& zqK!K-w&1HBbuV5(`MeQSb>aZZH}|FBs`calT#H&*7ed*Lp7h)Jui(#zk`KK@_j~W# zfF;3{O&=Oj)WAb0RoEZn7G{01=vqTY&GL=nb9YfeKjZ&l4E}s=ur>WCi@qU<^3RaX z_z(A0{HAw3fO_Fn$oVb#Z-0+kQdjrlMO5g&g31PJH0zc|H7|W3;)P_gqSHWU)U&ga$_;wYq}~lDfs4rw_fMxAH?|8zI{>{Os`$G1hu7^g>z4A z{A3{5@MNnZs5*B0Vm$uDME@Stj{Q02apob^R7GIuvR%=a>+!kjf4+ly=f|w2cV`}( z>4*2kKQ8&o4fU>hT`*??6Wq55RrB8K!F;p;+xW_bDGh2@w#q*)Q==*AfZn56<>o_$Mm$ zM78@*sV5ib{P8X-?Hl}`TigY!(#H}%a{ui3hr~W=X_i|?aHd-`x^4fup9qN+U;OEM z^OsLAGn$w7x;4fA<5E676`hpK#3YE{NA~7dxsw{ctMmK#^ADaS-axkwvTzF$nC$oQo~+UQ9~M)}jt7OP2ZC39b0RV5_aQv} zz3fuQ@?9O5UOSX--E&fuxqEqBS&k|A_qY%5h6a!4zREh5bohR7`uxXn$B)Gw`zhS- zed;G)pZV>8Z?pdDu>(6#kCY!@w=a{j?8=!%GeCU1!oz^jcIw z>9G{}ieq{zQFLZt(Y?Xxqo1QboeOR+8CJcFIvW+-eCxB{7sf6u`e;7*+l$)6tNZty zyBqxN#K*^1cT-6tgKuYi9dvS-yerVbI54IBD3eB?$U5^Ux-ItN+?nxp6*{kF_MRS8X&?Qa|TT$&Rhas+6P8JqO6P)R-^TwQ$+RPWf!y`x@5ZBY(pqO#WcqEG?{95J_Zg zg#DA%Ojyx?m)l2}{?xRm6$}p^u5+*2RsOp(6kS1uYmZ!nY6+V3FHkM2X9C$k5#y^Q zKP<(;N9E#zLDPd}i&qv0mNnKCOlvGn@Qt#jM+L92zXnj+#^W=g!z~`dgPju9#%3ne zxLm2-hHu=no_gYff!T`e=_fzq4>K*p36n=oli_;I88KmW1lf1C20CnE+Uqo=b02`K zG*&Imt~g{X%RKnq0FsW`(G0S*M7N+LIe1DR_6(Dtx>QY}O}Q;8(QUXq5Io9_AJrdRo}ZU`)toj(a^3}U zl-ELnr~Pes>ylirZ)v}-^;rvQ>N?NYKC9H&s@vyqx<0jENvBzqfZuPrUA}JHom?-> z8+ucQyj3;wu&@EfA#eBBLtDKLLExdg$IONws*yb*!W3fAiRbMl;P|~Q!c2YiQmen^ z_s@x;O_Jry2vZWIviBn-iwc9*Iq3EC3mk-z+}Y;XeT;`mhJLLZzFgT?1(k=Bx!4ic z{ys=bO4*5*C?DbQlAd3-gLGfK^zr=7u(ZT(%ae0(naVkL^J?nTk9g^xXQW@Nc*AZ- zp09=^1BK!07l(c&S9*Eclu}>zK?=fa7B1gt_9DG^L#3nZ8yY05F6y?qM;$JPw;pPK z44X4YSZ(-n+&*g3gSXQmH%K9{Zj2;KTHqsh922#1##aa5Eqiy6c6T6oJ5`LFco=e; zWV;{L`DqHfZ$vz!!P*M>KAjePJyv7gi~LY5O3BM`E487DwbN}0DNM^{pho@igGkg; z``YM%1Qqq!RhY6|_hpqRMbf(xRdep^!F~6X@7K39^?rLu>uAs>m!Q6XH|3`!ABn8D z-U|(9|5N%SxGk$G?_Mqr`SWikUxegw9{TlKtE@F5pHg)Y^50gtHq=&(t7iQ7fuD%f z=rch7UluExJ5Kx-xWHAr2Cd-HKZzuMI2c7)vlCyH3K$)Lt8i#FfY5ubxf^+?!KyhV zen=h!0Hy^%Mvo>pYDB)fwE zdY zY*R?S+52AeAOl%ic!l8h&EystKqD@ySQ1>_EFEPL4^L%SOr^fS%hGJgt^nkWFR%BT zNx5GKzzLQIqR2}E0n7b()ve?$ihcmVKk%E;hketFf1ICr<)(Z(L)Inl#!6}dLz{-9#)02>{XpNQhLa!DX3pD>jWD+BDD zPu)fx8ala-1Pa6K<2Cj;z+qn+KLvG+5OWcTp4ThP3<8KI{7f$Dtcr&Le>+j9XoJ#W zGr*a7GQWjA?retWkT3piRn}mLgIxMS&nXxh_>fWu9P^ zH|xGokUckz@PN47n4gGy4WmA-;0BbWzg@*mLYmVVJ{w@^a~2NpJu?n(opeyzYMpk_ z{U+c8f*~ywDh+U?%vYk@;YQm)VUvNBFb)8<60Rq`UEG?Eqxb~f%SnU&46pJfsA*hd z3Jrapn|RcGErTAgrNQbL%WS4o*pZO+|4iO})P39K_FWO}qoJtP+6)=Mu4FiZ zVnGDSA-t!<_Vni&7Ek`}zBRien~`V_RbgV*a?ni-63)(pwk-05{~kr9-!|Gi8FxLy z4_qx+dx47E7InJAOJKe&D8~x7Eqc5uHxE4Dl8M2+kn!eqP(a}T{s)y^6T6cCYNPSl zWWJI=dUwv98mvYb$`wsB;(EHj;EL*@W%eu4#?hO#*twJ&mNBb@dcQ;@&dv=?_##>d z|EG7Z#uahmPpxyZ^tyLCsw$@!NEo;L!Q6iJk&Xkbng@SNBb?Q(7Qmltu*}V~tWpR` zic0u1EW43>w;DSn15!|lB=g=grJFr~zBPW(H@%-7uCOt+5c(Wbk;wtlPD0ZYlLZby z`dIG$dVHD7K@^3O>9A%5mc8A-H>GRUcJlaVs+%NBkUOZi7#%K2hvs)@D~wBGj`5g5 zF7PJmf;l;N;HRJ73^cb@tqZ6A1rCpS0-8Ku`03P=?ZDbX%H6)nvOpmJB&-*V?ywnm zC>VwHls?9R*HX*t>+C6Qy2(M}PpkEDY4D9v*TBAVV1qo7<(@Tf@B*XOaDsWzV+vL=eaM(4_`wX{U~-#*RcG^n;jh%GK)+##aa3v{Hq9}!(un@?1} z-QA|Rb51CrZW(1<6QB+iyWg6(t11Q$=(gGS`wvJ^B*l(nEmGIVhTaV{k0L^ZG?jTsBjNg_HXvdK5&3>0cdy*%|j0@>K?07NwtmHCN6!#~c7 zuQH%gJ95+djuL&x_oqxX0!z^$Q0(IUa$C3MsrQZn&&< zw*(AFyrlZKG$QcQrm$y`P0JxgXkq_f;S#{n_}E&lqmK9yaVL2B1VR%oJAV8@uxLya zaU@)BwBM=Mk(=8*yrWp|d8#z5-~#;wL2D&9%C`QRAmdf!$xiat?0Hvt7ISLPt&YP+ z*GkXqbLyp^?hd%c0@c+Kd(Im18+xKf5>(^P$eDk;b;#O=I~vXC8s{+ODcN}&z7+f*pOe2QiFzT1zy1v6bZf7& z%9wg_cR+_bj(#*Qqoi5WezT(y>jYQDT#_5{GjYnHINnkr%PF zH4ScLHzVlkF-Xe1G*$I6@YcKYR8xdbpMgBv+1&)EHWMN{mHoP%?~vXYBJmm?)-&EML{95ySk=PvmoDU z+n(1XJ~i0CB*Oi^HMGgSz5A$B?%v+$eiz3VU#guL52UGIpfZA9&;^$nYt#>aLt6(t zNEY+^LwEKImckOVOBkqZAlGpGZSK$?HNnN9i&xzFs7Io3uNwzn!{yOVYsa2g!BR;S z_i0pzN*9tsYoiZU1hlya$<7?NXx&i#^O?LW;525J-#M-UOj7s!cT@j-_EGznOU*ky zU%Z$M0$%0RKWBw&eLEdrH}YvChT5U|q>_rzH?KMxhv~N4cS%scN329N9hPaU)#JE} z-bUHA=$RMq((;as?xI~`?{>F9s*CT9aXUMXqcnlzzxD)Ma)Ca<^g2BDlN$S@&;9LAM}NpG(8Zt zf2MwspU()(Y{d6H!;f9>4|=nP*i?VB{!!3Byi}?HS04`>w+90*izFX`3Vc^E(`+_m zZGuh+{GKK%2d_DuAkgZGL5pdBKr(X#bZ+qFGlJ7ZQsY)Pzf~qiAJ{0`VN%apbONcc ztiXo>(x0CC;+`)6#bm`%P%L9WYy#++kLqGD+2_#42~E*+?Lk&4n-B-=+%nSnBOUo; zQ3DJ>@z=qM&`Z)9?B?(SY#2z#MYphpEelmKL!8Jbut9{n8q!cl&4$0lmnx~Kgy=B%$A?aL3>c#?DJX`# zSScZMN~x&Jyi1~QTqp%=V^Pv$%Zb&0D=32$c^L;Kmr!8bLXzkQTIkZb$6}E>=AYqsJR|Sr~XhYB`k<3Qaw^@nS#*CQ8IsSUa!Ua#N9h zRYwc-qb~IUfhAl0EuymvTd3L;cw#9N71x-&5c<~QGZ&ubh}V#xXV}=cRb01erKZ%8 zr?kfHoHdUr@0j%Ys7$`zOc-tTCWP6qPh=bT=?V%|tB1E_4r#pHH33Czu&F zSi1Us2wYabh*Xx#`LG3&%)bYgV5_GMs+;HKiAg^C@uNYEe`d|k9JBN)$4kDP1Bj`J z?U$HdTheChhU87C-cX1}{aQty!?dKO(yhdrZ++uaDVB=f?>JbIjtz_cL5F4O#6sQA z&9Fl9cqK}G7E`u1RNqGHbdS$&fdPAHTG zhMh+k9TEvL$Z(+02!ZGmMr-qZVC+YGH7X9k(QZ`oa$~M;LxA*zBr^m`P)xh!yv+oq zMYlO6Bzbw8Y~N;xuhUr~fpWKMrQ+oa2@W(N{qItYV6`ZTo`wNKOb@mQW9>?bc|J2< z2jb}1hi&Z3ty}YckUWDaAxLj}FWOIrNkK!MSq9+=Dbit!@ zW%C%0L!0&pJlKT5RI6ZEQI9&-xk8Pw{u@>}d9er;I-Az4iTL|EyFCVLn(!g(mzyz9 zY3G7hMc8{-D(nS`umb(b3ifw6pf&jYr={g&rv<(9^rA=%o=P-rfu!X11B7R;PC6r=w#u;+dz%^=D7s*Xr_g69cO(9@oc>(4hI zlT!v~l@sl}9qF3riJnfgLdf_sk440fIu`0J0r;J3tO)+gwY8DHi)FBYzsr5MKwr_nrlc0hrJY)ydJ3XJnAgD2QEdsEkU$k5l!(oUzb)yCIK z);vppC-zpNF9VYv;%Aw1N46GQq&wW?fXEWE&r;0i%~|L0w|jP_n58vtjc*Lf>0!4n zM@rdHP64`ooBLUtzdmWZE2|~T%3QpehoV_f?hB#j#|*Vs;!SYL#e^e z?2A+**3Db9npoUbvQM{uWx@19v?XET2DuU*%sxUO(Kq%Kyql0T8zS%j#a~0`e*k3* B`q2OY literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/_deps b/bsp2/Designflow/sim/post/work/@_opt/_deps new file mode 100644 index 0000000000000000000000000000000000000000..e70cd5e5a79d037ae1ab68850ad72d9f4464366d GIT binary patch literal 33397 zcmV(}K+wP4X{igNkEXqrP50&KY$2fS^XG()TGtg?phksF@-R>66*UNw1x>~@Q7V}q z?)MQ07bk7Z(<34<6;A%}5oc6JY=OS^F+*MfAopY*d$=>YSE}Px=(_ZL>bNNsecDxM|wQfr5L{aHEkF5pq>ux*B6r~68M zoTxD_wP8KS)c&E3loRG-hclvP1FE^~aUmuvg~t#>A8&)uZ4mF+A_0We_HgN;1@{o_ z@c9z%aN%&WHA#U^m}X=JF3dt^5l&jFrzvjs7eDpjgU&kV5v6I(<$AnrYUcG2RGr!r zblT!X(ExZhS)y$IeuM;P>%^y zP7AR?a*$vbZoEv-^$K0d=O}=(p#23F2GBe1)*!x<;@%n?6c@BFQWwI81eZCFlqKvU?=@?gi=Jb#USy#kt=$3OQEvREY;|4Jb z)*@u=zyoHT#6OC!M9e)1%%uO#f)+@Z*f2u6<7T#RNzj!McKWpZvD zVcrbyRdm$ZIFsJiaHkw16V6P$u}d3?)q3lZS17&95^J|%4BzJ_6}z{7s(~vKVX}s6 zv>ynW>EHzOwWw7Z&QyB)gB3xtoAtwXJ75LkXY7P4EZ>PGZ^Q!wp>-EgjjL7*b-R|p zDFU;TB&S0yadwHMx7p!mA+SEI@3u!hg9@^THDx^4Xr8l3ZtD9-ShG+g<8@Lkjv0@M z9L;JZxkGg_o#Sef11?TdY*J?}5BV$peU&{!BS5_V)fH@AypF(}y*c;1A_vhwZBJUg zHc~qtiafe3X zK5q{uBU6aCAtYvaFGn~T(MVaCKB_h&SQSE5=(S^TIy|Y`iz?V}mZ^43XIeWhPw9Q+ zUP$XzX>ERzUc7Acc1Xp3pkK!sYChtK+M*Ew4bHr8)njZUc5h=?^GVOqt+QeIu+8tv;WqHo+&MZSij!y6vQ>OMqVDxJfb z`(E5S2M>>|XGS40Sg){Da!wPYGmgY&bx4s(;>)mBIk6mw_3z>+haN`ojQ_{RUgtqGHXRM1VmMM>%wQw^1BHx?vqL_I&97Ko||ziTD%7n_Q^7~txc zix77X$Fl~Pna?J2%EP}VXG%XJE&e9RzySdXfeQS-4cB?8Qdy&4(F?L7b*rPipZ#Uu z3t&yLt?ZE?AQsJHW2w~+H+mcKh9@3-pm4JVXEs#cjDb5Yo&j`>E&O8~Rm^I#&EOa& z32-vqdeks3DWk#jY#5tRX6a1Cbk?|ts7!19?5y0^U|)dedjF>o9Q^w>d$)3N;SF2w z_%+)>&Z@zvyp?K>@x5m=t)HOK3Lc??ZLplsb>jgon6s{l(LZD`<^+j-_$jioyl>*k zseo^ToNs|LavY?ErS<3R2rCo=0?UbwC=jb{Tr3VST52Jd~TZX^>nu zXYeIf*Y=mB1`7sWxV+?DcgfL!Ny>b{utTrA+Atd10WACSA7m#p60%xK&L^MM|JWXO z!2slZ+-XFCp}OQkIf}WBj+##IrPMsn^lhowgioOO zo5Qgi2ntwNKc7p1L~|Ep<%!;axv#Iz$%XuOAeTGmq)QL7xXJhEk!0{YiQmWXn8F3XcalYzZo-ZA#{GWr z^A7Q~IAn3-ls%&#F8#!@oE@t*5~~w5Vz`!hhay>aZlOuxA_RXPS(t%N8G}bW$HwHj zPqz}6PpXm+>~2`Vl4l{!*&$NBl`(+>N)SHP8LoY{A^@DR``5OP$I&ydZ%`5TQa9YH zN|ENs`^t>~9l`BdbU7RHu3Y7EHh7rSFzTcT9wl@+@a*y>P*`yQgU2j;T6Sowbm+!pRZP@a+_-3iGU(=lHVu?~$cybOr~U5d%two43c$BT z37{lVym-nnRSIwm0azZV8a&Zz8lC4d6R(yiqpq4p1(t+l;}{aXa2r)%9AS8Bn??H2 zcV8eGbXThO2nVn77X1$9Mz39;#~QLZgK6T-%)uG;d8VC16FHGYwt6UnCf4p2x!kOF zU!XnthtRpun8??rXTU+k0s{k~5@5RGcG72+CkZD(*&3?ti(Xj#i{lT{stAXri z4WTpP1KrAZAY{h1TZfw_Z&*~=$nUXJ|?apEft!N8P4U{DP z;YDUm&sZ{UeUoGE?@RnrVRNE2AimKdTa8QHjPGdk*x}qDC?#=>SvtQNrgi_EAU_E_ zx#_!Vy*QD>XzXDldW?ok@KP6K(vK6e1xLJLaDJNwO?_Og=xq zoP6~H6@TR5vwAwrv=oJz{iH)JEmQrb^^Ie#z8^QBgT{Lf2L#N@pt6tSd)Fh!R zPEU)%1M8`O;_*XN;5R-0v|vcn10$C=LP>{V52H#+pSuE-d0D)bum0d(5Et}0k*D;q z)CU*AF1nkPTx$-Yf^NEf+;=mroT@Pf9C0q(f*yF_LlBiJOVZ%)*Vw2ZY6fuTTdZua z9PswRV0wsFa>r~M``=ycg>hfl!*1B>Q=~-h>9x(smuTOFp&PcV#E~hzNOViO{547` z;w1f6m5JKSbaWWL$$_xD`yfl_pa;Tf54rd3-Ki^#`QO8%RT;9dca{lNwRQdV!m+a| zM1JwHbg8OT%vtb;T#|e zraUnZf3;QNmXXYln+0gtx{TE4%IDxuqV-U-bi3oCBn$1onN$Y?|K^AW^vTm=5qBR7j7n z01-827mqN|`}GYjKDz(H;k$!v(yD4ZR~aB4@dX7g5B4&Hh{*)9ICDPg{ty!rMIq{8 z!1>oM7+dS_z{8le9^1d8zbiJaOH?KA=WW7uEi*aS3e|EkT_!hv;Y}-|VPRq6()&)O z3RqBB)OWGG^%ZD@6wMD>mpPLXR5Y#Acq*r4 zQkf{!OvMUUVsC;|#g&JStLbWVAE>v#U&k>o_|(JfXtRFUji?q&Su!54&+}>}fw3)~ z(=b>4a^MuM_GaSub!X^Uh@i*q zJLe>RBatJ1*K{3UB8>coR7WjdPcaNBfgFG6UZxbzv{lfjGA{XoKKzqRA}{e7+O=XP zd**KJn0bdhuyO1MscZP#NL`JFQtWNz7`@gpklsp~?DlKoqKQ{#i>=dxE;!7#;(MtU z!CQ#pJ;FNmCWACf@KKw|h9C^aYWIU8ZB;31Xj9InJ`mgDeD|`5y`Iu6l+HU69D@wb zyhqW|32_LGW_G@#Oh+Y5KMhRGYp^I7mVe+{-8{~u3dL0H{;uOc^I-5Ap>o&qofiH|2VKYy#E#+2K?Ry@zn|LBuqR)H9R z)G#1R<4viRQBMFA2Jvc9(9rnInp5Cf|H0TS{-tWKqNJZuCp(Y$*5i`uvGP9W!Zn2( z&v8%ad^Yq?PR;d4ZN@bs!XtJNm6*=b%Az|uhRKKxGSX<(IhNb-V9?~o2 z*-u)WgA2c_Gnr8ocI#QE#DJFA1LHe|%VRb|g5+NxUpTdyCqlf<`UeSnFX}%@# zSh7Mr!3o7Bxs*fbyOA#Oh@ITdxnLR0-J{MXu=EbLnt!aH%^CnVI-Jk0X0w>M&F}OS z&s%j`lAz{W2Bg?LNL|hTi6Dv>H}@lfQ(tg@%z>)V&1{si;h15I{fq%P`Tu!fx4-Lalrc`J~0LIU(MA z3J@ZCA|??GZl^^yc5@(F+D_m`KrTW95}fb_Q*Ivk*s@wv@y-<(*<<2+;VcYq&ZkSU zPjK8}B^r?x0-&PkjqE}2H2*mdLX={6{J~{4pr7`{LI@H&tlIT29K;^V)%~{>t?bLV z(Esb{u9Ub>F9s>atqH{dKRt5*O!ZJ)LBOxhq;Li^ylewA4N}1UUpel68y-8+dR{8!5esmdS(J-j?rOAC-Ghi7InXP^JI6hJ`<_uL=%b8i3~kXy)e z@X+yAF!92A|HwjyT!%`pt3EaJ1sjj?Oi3`vjPj+v-EABPO7x2`hpCVlD^Ec16y@KnU_ zKHxH>rcko#Yl`)gy;xuT^1EeI8DCR-fpG_Bb7uyMr*ak`Qz@&+N~KmjHBEvE>QSWm!N0@-D1&3Y3~PgVqdCuTs7~(Q zZ9U}mnq_7@2njYHeSjN5*S`A{0wiDT+}9v{D2bfwm3blKA;1pcTrmS}gfZa5;qI&N z2vJGS*S}e%6nUPs!|Do_D8BBomdRVc!@5HPl#euf>vr!~3M`$>KQZ6#MI84QdcDGN zVf?^X(-dcQ)*2>aB?)67P+@H)mb1;33RILFob-Xb`Oz%Qm;Db`Q+@2sk8_ot>XDg! z=g3N7Dac3)3(tAa?*Y_Y-9>&4bNj5=y#Q1!qGI`9Tq zp7SLRnn;eYg58Yj9(^TV?y>LYF=IjQEZ0cr4A?vCj`MmDPh*Hk>a|ncUiltxro*qR&9vM?u7~Bz4>7V6f6Ak5O#!_9n}aq#eSa_v{$kDPBIJigGx!AIVV|HBvD#_$yjhRs z|M;Mrs=dk1m9$Ncnu@@RTjj@p`u3W?p^t$2X-ZOS8THJ01ENs zQAIZo3T`yKfLu1aYM)5OXlcVG<=0?yqYEVu@V;OXtAngnXb8ATxsBeNS+je z;dP?11wgcCSeb%0I@oBAx2lpQ{kE=jN~93=OX@teq>m-0AXkhP1qz=u;UQ2pTKq^- zj?!d$raCT7AM*$D$hr{Ac)z3lSJO^lS%kESTiZjR9^t&ok7QFV&5fsr2`*9K!#IM1orJ1aink;1? zfl9K|^6j;vvnYnbe(9>P8>;pcr+k2X`=utlr~TNCiDgUey4Q^swWcW1?mV2DpFC$n zd92F(K-BE}{pzMNs4q5Zm-4_oa^m4HqxI3TXZgv5ybn3@+AtpJDZk(011yt8unFEd zm$B|=k8Rqmg{{fUB_*vIeIx-+92}5R9ToVoS)c-bX-gj4@ggJ}H{BLyUvTN8fWqzP zA>2+wNA&>)m5|HQ*7%>pm0E`J3$O2_Hfdl%tTXyzN>idWW%}_JTcHI> z&YM_`lx;+K)gY=Y!!#4j+u&==G>jZJB>?q>1~U&awphS6V{AAd!Y_ACdF*!f| z`!4YS6%LT^_{}_&ev|)fUD}~XfEOqgC85|*`r>{Z5K@1`_Q{Uc=U(hVqW^@!Y-}<0VPQrJjaG&xj`C$e$*9|IWvFrZ4G@I<6(&`GC-rUZymbo@u zjqdB@#r2UIlfM>Gs6~-0?jyWwz=_StYGUJ6sx($Q2XX<8$6yvV9~E2hRCghK5sz!J z6dXSJ%Og${gYFaoNOz?P!7Ua3+Tb~nPH9bbVra1x8!@)8|I9$?rR#fibP?Q0DE@4c zHkFp3Yfo#b#r88dM`)kv!dZEdNA3hsZf9R~Lo;bDv%IJN0q0V8Y;SSr~W#Y;qnqD(qG)D-X}VF3^0Zk#K(F*2># zqi476*_!mYn)9V5nrmoxInEy|;YUs_c(QKM7cEiC9qfQNcO$pnAs0#`(IbQPobqzy z&OmU46jiMd$mOT)%lu_ov49@@&{Q;~Rx*)8WnAAugEAmq6D0-9%^<QfF2Cwqej{HEMsx}eumc4}Lnb=f zP19j0ZmO_q{%Mt6guTwRE}C5%Z6k3-qwJAF8fEhisi6{i89<>c@G>K7JYwc&Pj{K9 zKQiq1CagvLxAYMK<(qUO*XW41hc7vSJP!!yQ;Q(=MNTb-=1?0Ucmr^Fa|nPAxgwQ z2Bm|{kFN%|FRb;O8LRRi{Fdjc1aMB=%$3&1z1g9dXF{53QW?oouUYI$|Lz-c(RpbG zb4e#xR8loYGfP;4WmP0T2*H;A;S~-NIMTKr6_LW#7~LnN8|~~2Yl`73y^nC%C1ZM> z)dHmEuI=D~@G!f2W?0b0-FW|gzW0m+@M>L0Yu{F3K6lvxqoX??`ss>oi@B8J}#g$r;uNOj?LD4GyBClJ-$;{bn zann)iHAOffKn>zHa1<3PLo@>t9ty8-=nJ-EWvHK`Ou1wFcyebafYNYROLLJemp}(7 z4pkT=) z4s~7C0^Pw%J)+f}4J?3Gn`9Rv6_nsMAt!Qn=u?@ac2X>wFIsNlFL-FX2fAvPDP2~? zKXP#n6o^c!QHY&LE#3Zhd#7X^uk*QlYRBH)*KoRqxZq~C^|3v4oOw7)HZLkoHW z5@@j#Xa=#p>fkdnbj^MzcTQ%8StIlO_BXS%;CAE?5c+CpWyTTZ!EN@kP^^L`#CDw@1a0 zz&iATssv_bM=WeHwlm;9Eh= zkWnM_?d*x50YHdeLksaC8u}uD#%5ej2)5l4?c^UlTOOD70yuPqmIBuMswprBR`Cdt z1p#V8CwaGCNcTe+5Cb!0yP#ZH{4@J&O_npof^kH2f`w?uK@=DMHpfK}G);_31c--8 z8GhbbTnzC@OEa3;6c=v-?Gj8k?)_g~fe=6K#&c=wUg^xHWdfgx(UBEaHkWLh)*4o+ zM}c0wBj+P%xJnC-q7yjVHn<@mLKuNW#NzGMW!1J&zd2srgoC|&yhb~t%475?Wx_F+ z#c~8*@eA##ebQ=#6V@|N?{hbM>^rZeG&p;6>q1~(0m}KrdvxinkI9r62wDRTn6yHA zCcwZC$rgyRofL#|N4;NOk(`%c^num{zisBh8M`ASUCQuuuk{2x$c$GA$rz9Ss#~pt zUx5ELi$F#+xlX^Nc~XKD3y<^~E-|}_-qb)`O?X5}sT8i+C6K}qMVmC{725Y=(&}!2 z`3>aaa0`f%J;>G?MPB3t{sPV3Uku5XH-jV-O4gRBQ_0sM?At=B6w><;eGgV~_5+GS|c>`iiCeU9~| zllsbDbZx=5Yo2+8U+sqmim&l!w=6wfDGbPj7=H6@L^p(ot{$f^4mAydC$pKOa6QpP z&ngV^Z1EnwR?k2Cj7m?!lIw6Z8+tEMZfMdxGx6E`ODLpQ(MqR7D3*KWAnBD1{dyyS zF1=Jh1QX2K_Y+S9j2t&Icy_f0GY%%s+weAHZCZVFa%V#~C;_*>@IGVOmFjDIhBEJX zDehxn6{e=4dqA3v!jLCcd#b*;{3w85)4D=*9Ek>P(s^$A%}$ci=DrjMC97j>ku4LG z1}0p=PH!Noqz&N|9b9T@nw&uI$Tz(aBoW#r^f(E^Hk12&ttvRL_Rj734al6@|A6h= z`r@h`LIAu}Ovfuu`uH5P$F!aoGIxr0KCvz)!6!2g;Z0g{h_PS67bp%(e8{{*+L{)q zLj)4Me0#fkaiK^ZE6nzRbqef7qFh z{=B(x4)6YkpalXH(H`l~3!qS$;ptG@O`7u9SlaY-jzo1G>ZBL)_u8MkgiU~p8B#3y z8FC*)hNnSDNAiaQzo%~wEDx5PUG-=wp2EAW#Y7nM)@^~Z!HOCjl+X&Uz8~n&nDwq9 z&jlLu%pa@ZJPt)+TAQ$6a`w*H%8&&BiVYQA?NRa`P{Gz!0LSx_M9#A(jx8I}?Mc1p zEr^ZfNsYuA;=?m$|9khA6-p&h7}DCc0AgMkO$u1W31_LtC1KIO%Ix=b0<8>XZl+aO z-*Bd*F(?cz-;A4z;2` zqpyz+Dss|k#J0bnUF&^v8~Tt9hylU0c)=~wbG>)9R#1k4DJo&z=-0Hw>Ey)@@ckNL zapv@Enh)?L!30{iKAkZaa&U^#hso?*&-(X*Kd2Ni`e;(pVt)xs;(hCO$)pa_Er<$w z3ygye(s%~QK@@O?ijtL;pUBt0e^wBOF7WoD-i4&pYmu={*{sxBy@e;2dh>CDBunx( z=!>-v0Rnulgtd^-@43Xi1RDO*Gy6>D` zU3-n0qcKV$$RZo^5fD?f;nrnrM4=$O0`Alml+AyK_A)Zv6ZaC;$+hd8<0+2$&xeyW z1@L#xQf4egQ5yS5lV$>58f2J$qiJWW?zcy`-^%XZ=OoK)Wo(bfez_2)p%}UsDnAKk`F`5geLus}MaZ+vTg*GKoA=r*Zb|E8z~^(;ggf#KVIxo6}6q(cy% z{L?IzE(A!~q%oJvl{Vl7U!u}7e5VRuJp$jk%row{E#|Fyo~ws_h0)0|Db`)zqqXwn zK_H7!A+IpXnA&8_Y_-yU{VfvYAn}8IZHR#=nzT?^;?MrK-`oaYhR*>Tw<=d{gGU6n zwTFO5EIkH4sJT;`kV6mn+Ak?T!Cu&aT~+)H$)(hA~0F$awvgQT}4+99%`m%DqEmbEvaXbcOIelMnP4`9qQsUeryC zKT+JtsTp~z322(R_TNC+_E@z+5PAwXiHmV|xr~+^ih&gqAY0$5$rNl=)@;iE z34|Y!W6EIJY1;Z)d+PR3&P?|{+NLwI|5&_>hH1cqn{gcbJu(-@rI$l&2l@pdkEKga zB#pJKTF5KB;F33Q~f2PYyD>Hsi87jkiy zv!B35QY+-oTn*7Le->K-*;x=z6mY zK@>>bFcC)w3rIuY{DW*V`N_}4m)f7a`JbliX)r#hzxRm=$;?2dpZKwzKGgV2a|z4~IXlj4@9RS6vs*|XXV-t;eA6XM<`T-PqEkh; z!VQ9|qAiDx`N-|9Ef`)D4ThAQl5s5=Fge+xAx>q3mRs`y6c_(hmSlWD7=vQo@_e@kgZ&O4xZFN6cOfRM zYLjHIN*v8s*z$7;FD#)2#4 z4ax7@j$K>3y@J+s7aX*`9R``wca02}DWJx|AW5fr!@6>h%l28B$PP>fVI<8uni10K zoSYAL(pU0&yGE&6!qJO1!!bAIO0XL8Tq84UlMVX{_%+sNc-|2Lf01Z-LBa33`ccWt z?$)kM{EYj)wbK7n4yvB-po5UZJ>$Dl7tI&8Tk2=}4wA0D%`PN{2aQZXuD;_B=67tG z)UDaU9Y}Ieq&2P5AX-&IdF#y}#f7iEfb@}1s+OrXS=4{}_0@&;RxaAFr};ITxu^S8 zZD>z2AF5!0tI8_*jVWFuUkL~RztJ~jQZjne-r-a=WhH}evI8*{1IU{6LS7Y|7Ui{N3&Xu@` z-m?qLkI%Y_qd%j=D*VgLKRgr1KcMxFwmkkT7Ff%MY@Ju7_es}G$0gY`ghwFuh*^)} zEInNf-1DH_(;N+RdYZ|HVaU=PE-I~to(%|;pV)Zj?(W}OZlzdv6JNFd;I?E;g~13 zY?9hc7@X4wk4)=C7NNCJCjaMYjf6ciDZokK+m3kbrC5vRnU1OQSBrT`Vr-diI`d{* zcrU|$!!|esoNWD)7GiebuP_37Q`=w^RcU9%d_0~f-mmmg58(^y(fEfxi&`Wst)fiB zF-;{(=Nrs1()FeyaP@##+MhPYSjA|H{7QBAM>(pdI0-b0sm7O;iI4BX+W|7w1)X&e zG$@vOu|aJ={^ApvLi7<(<}MpJTct^$32e)fLAR3DLJUcXMCMiiU&tFT*oK& z#EPac_KJ3Y@69b>Eh_b$Y~^z$NsH$I>ZJxK=JfU=yMic)(e29rz;}pFJtse_PC3L9 z9xEN=eDp$nSFTL{rD7)~(vLALH@StZF24`E(ySZ5%ve)A?HdqAW^fpiHzYV}rZoDd zRB4SAWg-|W*NNc5ly$)sct+vQu&^;ag4 z6%dySSGhp>+Wa%-GjG7oN}i(wKclkwF(FbP`M`zp?%PUdVEa>G_{I!LO5S7xu)X$7 z(FbYD(fOM1HJmg}lm9>e^cq)a!)w6wg@=R%0Qp4^sJ#)kQ~*Et-fac@f^OJ^A9*-O z?QeIl^mz;zfi|yO^D;Z7NrP{VKJANSc_UFR^ZKC?OG${&uAULpnPdsYr=VW8T^(}@ zU;tyHhyIpR2CMSydXW$->*E5Kn{2T?PNZlW2Th23_mA+ACllxy-2vT-T3mbINs}UeV1}*=$ zA`~qo)?=TiaV1aXPpi#8^Dxf$%N^jE_=%eT02$n`hMHi|^%=y!E9(Eu4RR80+OMy1 z!M*H@kM#q+eklkzDx36Ua3&-fubV-Ox*CbQpc=Wrc7|=2c9B#ZrwQUN;(Ap;Lb}o7 z<%O(>(dbies+F8)MHXNB_Tbd&ln&aglBg+Bjcs!Z;WP-NaX>zf7Vtc5$5W||zz|)k zM}MZY`j@!&3(n||pz#1emKTpdA?%9%EB`tx>fjLNK$=$0$n#jFlEdhW3N9fTJ{`UX*0 zv_~L@wf@@WXL|I>Js)P!rEGv8Mo7$-SnmS9*TM!&)LDf zt^S%_8*DOcm80yDLkoB#4ymCNXatagw1IH+iG212#`L)+(15VlW%xSDe9CTm@Gns}1Bwb8Iz1Nv0Tc z^X06G%^|{h%9WjajE6~@_Tk7Q1sJ-lleFN#n|%Ts>Ey*_1|W6VR6`9x$(xx}`iEW5 z@8>R-h>POFBpHLlD$q)_M$AwLUR|45s zRiH*ZJ805+nNo_DE#fNrE;%``vkIVGMfz$m%?{KU{%_8F>D92PTg!}&O|Qv*Msg^M21QXl&F z_uUlH*i?V2*%2?Jb`i2!7}<>=$~Bg@S;Z37!Xuo>sx?mW53QxX<6@G)BfnM~0Uz`g zs>bHlp zq0{AR|Fx`EX3F`Py~kxr{*%^gwMKM?dZ;c;#80SnQ)mS%PdB8#qiz!Zy^Dvp*uT4t z+vLk@5INlYzPT6E{9il}F-q6@p+_=bQdjU-X=H@em|oW*R+}O%XHhq8zz|mpUg1Wx z`JHx?Ng^nZsb+QrQdf^QwwT5_Za%!3q0*1TdyL7#t~_k{-RYRzK(9PrE;dvht2v-m z6gmYfFjjj);ezCz)-!Pac2a~#TvugAezht{fm(W$sHjdA>%aVzzvEb&!2Anu4Vv)z zO@Tl(jz&}nu@G1C_4|t8Fb#l-I8S5uqX^VIN}Y^>X3V@pYogb^OLOh(>gA%p#qxNK z?a7bn#uCFj;ovHq3U};hbzZwjpQ=O_t4p(xMCa(g^t z?MwjN^I>M_vU~oWdFJ6nRj5Y(DNn>5npp~;MnVgr0z2g@P2JQaKDAYS@Vm4~-WZ*| z%gabX$a8<7^7+f>N^2Hhy!*E)7p3q%s+V(R*)#hoP~Gi>H~!Zv|AU;j_aOzTSQ~g* zp-r1d?$1*&BD~z+<6>PlYU!=BHF`0=QYe5}eaZ78SAfT@CoxHGF-?LVCI7>8ebRkd zOl$6_{rs@?$+5=3P2 zQ!p9{kPMy}kX%523<1b}mn%lSi|0gxo$L6!whmMWK#|!*b8GrvV`)C(1l`0{ zMGub<3*jwZ?DJ1KE3-Mk`muCd%sF2wU$!vyh}8vVqJ~(_SK} z@gx*5kIBi?N(``uKj(#!i+?D;ueXkQ&sQwSzvWNkV@8H)!D+W>H5YT6z0h4Mwl&gH z(8Bs#{<&*mNY!HM55^>Tg#nhlX{ITqTjap1W2$2HY@M!VR2kO-g)VQRH-Xs#;lUz* zv&QgIsHAC#oyRN0tH1iJy(0;yh7bMK3qc6OSn}1Bk>mz5%VZwD-4canAujH|ZZ^rI zf6n9_N(y83Z>}S@;MQUn;MG{6*3F&X_I%#@`Qy?cO}MWA%AOhj{LGhvFzTb!RxTEr;>O$hzYV-GjOddTFeeyhh>A{?yq@sG!eLUE^N--{WN<=!lE0 z)<7fW8OV;}eI-F&o{{3>^{txqs8eX#l#W9M7Fde+^6;vZ397AtjA>MZ3+KOZgW(i& zsY#x~;jQ_#PwKpz!S-WsmHicO@-=9Ql6Y_uaVpl5=b-hMP!aZJ(3X>2lDfh5I{9ufR9no%9IzsbCOlkaxnI-8oq_ZR%(0fli zW69pTLjJK#@X8JEyMY{&w!T0)&^*b+!h`u*orC*6Kzu@bq_W`1>0kN9sz`e+$%NxG z99-V}sM1~tit*V;BV;E-vtaW=@pbV6_mNg%b z3=3#`@^rSnfk#5-!W;Op7a^K)w0ht-d*}^!x@wj&*YUJJa&Q)&FFdMm@^^-Zk8b&o zLI|p~UCQ+?2Yj0&C7y#v1i$egqtD?;`R*S)9}J9=*RHlOHJBuN>xN)flUe9;YO(!)htB^Vc^MKxmbk&_+jpS&-pfCHOY?r)dvhAANt$N4wNxtzzeoA+}AIL z6t=AlI_vE%2PY!~|671U7js~P=r6!VQ#$dK>;TO2aL#Bih13*Rhqk8>$(A;s9u(AG z>IDQs9M^5eF>VaX`4Mg;*Q8aw&;^$%7cS7qC2R2~ezUZe#B+9D z>Bi~@v;6;~`4+@Bhc7UKfo9`j2zguy^Zw&$Vf4B; zYMgKQpq<28uwIq4OXWPM1A5grkNJ~qGa`G*=9BZ|&%dJIB6-aAs}yo)Nt~6}r`_ck zyw9cE9wm|;g_QReXj2Eb4a?(#D#^g2U0)e;)KPxLjof+*hr z5_|ovC_vEvyq1)i%KyfwAUWLnucg$JmR_rm|EBL%o*^!^nG=>9ImnXG%^r>&a~r{U$Ad@Z6ZvA2~K%nW%z%MTPPk z`JyH_`7zsb18fKd@?LA@OF`Ru%XVifS#!w`;~6}_C+_^xH4UvZINr8EQkB1e_i`e4 zfEmoOZ6fGU^a$EilVX3(9Bld4QZKGx-&Rxu5A+hFm6VCDzAax?5s^rlWP#pK-;F!7VNAc=k_^acn2Ps~{e~>JifCRCK}U`|;&CZe@gsiHAxbGi zjQoQE5L-S^JPDv$WWtQO5k`G290V*3#2huWx9FL=8M~Q`+o`~Hv`?(P;?4Qtc9(gd zp!G&N(Pp1NC9D3rUoN!@lIjfdYlT|zmcL!;)OxGr!=5Q#+u_?kU93hu#**RHIa|M{ zib-bu$;QDG9YGHN>hOLEmnz$^&CqYCbzR%z$eZLdLfd>8b|6@LYW6ozH15_f#%C-@ zU3smSM|L_Px@{fiJO$l(=mh{9Oat+K7=n6Kt3tVo`x(#oO1iw)Ev31f$&|Ug=kHB5 zT+ET-1p{z%%nmW=#2ZR|gdWk*t>-wjPO_{XNV?^2=-y(BdT-C7;hsqUcPWLaK)`Bh ztK_igbFTQ(kv_#pozJNKF%xR}>a*MT3qw8KgTzrs1tQ%X6ug6O8*G+4g_qd2DW+@Y z>uVXS_e;E)7usum>h`AV8SZ5a(eE{pdw7np_njiY>-NTO$puW@+V=z9(=z5`kB!X9 zVjvHr!aao~KMy<-&_PcIzP3H-Rr#y?hHZ)|N(6b=P0(95$GKhXnq0m&6VQQ5e^PF+f0m7)Vmwhbu>^!hPkFo$BoJ zEr#zdMQ)=F+?`T}t|_dRqOL_NF-o!PaLv9f$k6lHetN&KwSDzPNr}qYawBvv-kY8@ z^UwRgqTJrUiR8aM3^RM;-43@S3U5?!ONVo56X)N_)>AWKn;Z#T@_Alo-;6{QUKhDn z8CjM;4-w?u$?bWTMZ+%r!ASd26%vsWE0zv-ghC|(-{w2QT_v3l3;(HPlouc}>mSL{ zCvZ`htY%YoPz&YIrIY;eLE0>47UUOZlk)C^`IQHYT3ttJ?nDp%v{{J%80J_Z|TjZhj_;rzn7;YcAeedq`cNT+rr;FY&-t2`);vA0_p}< zD}fVXmbLk*&@(&a$vSCTl5yKL`Rqb;R+8&+d0+N7ibKM^&WvFb7v)a-piq7TGcd#w zHV#b5UvQWuZ>6YO`NVsB z69pg1lo$t~s-2j$LUr6}T@n=tN+7D(3iB@m{vu5maZVdnk%@*23zd7Sy0UR+nyr=8 zQbIYT&j1EzEhJroMj2Ln3i@KGB2>%l z-79vg!PaiPGu{~mNE;feiaz#oH!H`U=!ZdbF`*i^n1K`&y1M$_o)n8}S3b3;r2$25 zDgz4OF%=C~u%%*PHCH6eW}@np9UCyEC-QPSQtmCX@962xw+0VPillpu#2+;o`a8nH&W>RNB18!i|{pArOG!m&kC7XhNEmi%X zgJfA`i*+@g<~V#K#_<4?jq(ot!aM2V{n*v1{<+VK_LgXf($;>u(07PU29tifPC3UZ zs%j16eD`_D7QRgWrdg9@!jC>I_}N=NOuIB5{u1ECE-9R!3P^YAw&*F798ejJ#2Eev zhHI(z9O+C|r+mgWs1|ScOX?@$vpQm5CsFZk65rlC0WPwn{dm76Zj8U z_0wWBvC+_A&frz4d1Itel;$!eYo}_s(pYP~*+&JB=M0CKhkN1csma}_dwx4a4z`iX z^b~y>06dSxL>^|dlMR(*L=?|Z%^$@MD>IWw2O}wB?Hk=1p-6f~s2PRT?eu7qD!;FR z`@Xmg77CUBUI~|TW{?yROt^FTK>61vfx>fdz{8O&heJQ3zaoPL5+C`^UO>f|zO(Y8 zgFt{-l)HQj)t%b)qtv((7RGMI^CKcU_XE-;2U|D`>*6mNl~yqE17TdcR<9xMrZzV~U%#H37;maAkV=*NXwkX$uQi^E2c!{wk)sDB|z z%9&dp(qws>`X{Y@<|w+LRnfjCq8sXJEq$Z9{mmou^){z}tUFsq@iH=sq7PvK03N%~ z8@F&HNuiSKC9m==hk*lW>Fq5TQSPmR)SQxj*SR!#*`hAA#fR2g^A7H}`xTpcucU|v z)*fL=gTdKei+s!C*>UYm>4w2VE#*wxs`V&iGi0(ank$_YrePncXJA_6P9HY6jh%>h zuDqDEi9XuvjL6sZjdf|==#7W*wy9T1$G8o3EKjfC@zedeQZ0e$drp4GV6gJ5QCNxkxIH*T^@vt$lF2ntwR`u?bxA;vn#0FwY6Nv0;(k$)fbK3)EZWBTg$@K zg@&DcAqHfuph1oh{C3>y6vtlJwuWGkYNAYpfZ?yxQ?{F$kaWj zjw@{?5LTW)p+X!4;4^xW5`TD8ocO>pB?>QJI7*XmHPf8EQgujt4HPI(_bFthr|;Of zFa7a=ALx`GkmXh~mjV^aSpF17XCmJIvQk-Ry$%z0IbhZ|Au3{z&65XlNgh065mr$X zY(SyW-0)dbykbcQER9|Fo*22R@?nU+xoe)lNz*oP)r|8owHxNOLPUKV=x zDd&K}#+NZd-Z4w*t|jzybbGnYSx4OVDw&rnJ0CWnINcP-+_Sje`dt}RCZ$}PMX~4M zG82x=oZQg^Y2w66`}Jcfkh!LpZ~;ev8|u+-0oYLXF1l8*Qh(1n4EyzBFRVttRChBE z_B6wnx4Evo3qMpcp-7uT;oPUZ%KBPKZ(u5f?86;MkQ=Dot_hy+Cmq~{DdOJdYvaw& zu9*32d>tZc^T68nG8=wHZJ)+scmnpq9hX8PaEbxl9ph+EcEVT%1y2U3O3fIDE*=2+ z3XaJ=g)CohKs4-f$r2A=UjRW22oP8^0l7o-zmC6b7`;Uh6d?mHD@ zauFPyN7keGuvVkH6(-5ld`62)1^=0bcj6(QmxAxt{6ZKORR)9PNS&jgTJ#b>>Fsnuzf1i{J% zS2(p^v$n;TvJ@1IN(K_D@-xMhj)%8!Qr$c~KqfgcMqo8S5ETV1F5_ZwYg+XPz6ziQ zG`p(sPmczxYezREwznZfej}0_@(~mrUm>(`Kr}}!U3aj`l?@W1v^COmDqDX%pwID3 zL)VXIUbsHZ`m|SR!cxL4#$*Msm3IN^r+CuYflaKqcufgxiN}G-$0k*saV(7Yb~fR{ zgAS%vY?Hn~&MfyPtU`lJG!X&ioD1G5lVJTcxEZ5lg&33N%CyqO#3SSe?J z_aOVDWg%eqzbj6mN?ArV1b4Ok^aBM{6v8 zLe;romP`3DPUUEpeANXctG=-hwlzz}noP)r3Q{=K3Fn2Cg4GjZ-ZUSr><83yaWDOk zduQD9e%;jx8y_?De4jSw+_vnRxPLiRBk>s7XOZXRVNks3yxi1RD$wR?si#LE;xu-W zCv^p=mO9ipb((m4{=Wgl5LZI#39Nx22O*Za0|RjiM;@6{ZqikN4oYI|^#q6ViRbhaBhD-V za_Nym)`YUPdfFFQsfmS8pnF$;yyQjCmq8S>Gdt&tpjh=RJ9t}7oSqem@^o~FD7eqU zBp39X7fq7{O@`;xjTxl}xf>S<0Yf10#h;xV!9nP4ELx6>7jZcYs=KEq94*~}%?Z^z zWdhL?KDc<1PL~WmC#Zai{56lQhee)Bn97~kCgS-wNX++qkcIS!Mpibjc{dGSuo32` zznDWZaQ+VrU(t9YWm5!S+Wl3(icC=v>6)_*R^W?Cg;*pt&G`k zIaRQD9`41?@E2zrnx$hONV&W#raUdCNk?eI@Q_0 z6{6>|K*U0Hx=#UzSqH&1Vmh~CeXTpR8`^%_p$zzO6iW2FuCzA`N^5IFa9lF`;Y505 z>Yu*R6d4#)75hONsMenTw+98NNlp`UY&;pmVJc_ZkzDgJNgHWC-l7o`X%+n*D6m;p={}n< zR(>Bhwhk@S9|xZW$+3{EoWl*tioBTijoawzqx4zeszW)M&JY{q1{Rr7!1g0;FDTpZ z&o|qGZR@|ROK2_cx}Wwr6z(rDKD-9#EvhKkn@={SKQ&tVSDqI5sUBb1vn|MzWHZ)f z1M7HzO5}Yva1Odq7Bg~R4kdv5^ciNqudl-3ugm(M|Dl{5WO&Zs`|e<;xI})j(VS#G zT$q-aLhPtP8ux(eUvA45Y~{_wU*{(Q@f+)LS5ZpVVgBY~e*!lzyUAkU(%R_I@Y8Dj zXZgi6cyMhRE+RmDkSRB zlooko`eHH#U<*K`qZ z=A6D|dIBKzUC~H?<7~4+(bumIsMvd8U4S>dZq_Aj&{V+Pd8a(^4zi)TXSPv9ja_-- zuD4^vgYkE>H3Hl#La`@kAxC#guoXlVEjgT(U_><|euS!{G#otH%I}OcgX}a7gtdhU z!wnfS7{ED`Ze@YDLTIxz7yWdC;u3x+0k;SG^jTrwKa%HmIC*!BRC6m0_gc4QKv7}% zjKV|0`yz+B!LSyvI=-oF9y+4M1n9h;wbeu6? zrKno|vj|HQ1s~4iYd4^(ou9)oMcip!6=HjOAgb66gj_TJB25qmJu6m`i-uV!rF*Kn zwRjwf2Bm-$|AFA>l7vI5JnxcMdY;M@gf+QIbtr$Tg zZYJz*oms_6Z!+cxL`NuhnpMuz89wgLazElV4};pI3zc?LhXaMBR6=&1`N`u;Lg`Kv z4Ty5{V__{BKHs)eF-~QTa5MrD6c_Qc^oX!tC=GCNkXCtN)A;0#)EIetOClPI3*sk3 zBP*sqh-sAz1ZFA!bWa(TRRQRg0bIIOo;Y~MH-qF97eUg2E}h?oiD+M_$?j{mNlygPVa z5^DE_it=$QenBYD!XZck@`p{60TOVIM2#7sSWj|?2mwZa2CNUA9K;?T1iV{}op^^( zo2t68N$NyR+=|y$D80~6iUOqQFVU6}h! z2}@0y^TPduWOR;2Z@9BG7xMPNI^q;ffQke9Xtf8wcEEpdQy})JNa}6TLGA$iEd7Wy zU@gEM%JNum`}b?>!c}O2>ZpqPSeebNw~A$mbP30fJMX7W9dRRm-}f$I!i@Zm*K`xU zPdo&6J}g3vxg3xgWt;>o0TOt9G`H%IN)F^;kQ=9FKZ$fkC!W7kA8*@19nyMT%$ElO z(&ddIQ*1k9Z=x7S+Lk6?@_RdJIiwEKAxRbbWQ22TcIi3coRv&JH?eeq7cD2B0m=|KB|xmoJXUPD8Veo zHQ!R`OO1>QBav-WYpX;38Td}=%3D>cKHssg)p(H)>C3~~uHrg_giXD~zy9vO1YL;v z;qD;RuuPKJU#k0wSR{2Cnycz0m)e-ii?CMf8CXFo`e_&1-C4}tAjwilL{7XoVd0L} z75bqy#(&~D%giAVQOUyRdm8=}O09@~{<2b4trxBnb~$Vuoq;N1kHJ~3(McXWZrl9v z6Kp`O8+K4xQ@n1TZnl0JV&)5TG#>)WRn6gVT$z?#%+*6^^Vo~LrBP4BiW);RGIG~o zR0bR}?FWsMZ6$+eD71&$h{jiV*ZXKEL*uISO{lG-pmvWI@g{lN&HwiD7T{x>1Z>7h{ju^RE= z1RTAt8g4P^*w&Y;U^?#9PP4Gnm28D>>B6s8tPjtl{Zo}G7b%3ajUBuStL3aE zu6mjAwl{gEd#v4pT{m!0z%5Lw`TDrcbmor^@9?T^HXJ?Y-v->3DC zMqG^l{fF{}oCaRExDG}c9=MS4(9VnlI`QdveM+BiQgS49OQl0*7;2+AlRFEQHNo^n zRx;hqkFjc2tqmYZ+EeEzA{kt4{-PIhdLZ9XAVyLkQlpaK%=2n(Ur}Y$6-^|8+3?Ai zEIcV{HdVrzvIJ2FZn*Wm(rK_d%Lh!mVcx@q_D|Gl3-Sy0Yho4bl@;Vb2-Qe zQ=i5n8IpQ0Tn4DP*hSNygRoxz3=J0+t=ygzrKHd>h$xNmgCPr~8Q|qr17_CDe<+U% ztGfI=*~3hB9{ZkswallWuP!kIaa(G#y?{3<45g2rn5f&!v?r82=6eTiWLe1tfC7P0TU?*Yu5p4P8ftck47 zwbSYY3;pizp_ahQcTc6BY6DJhse;$Ei`#N5vjuvSCMq<~BQvb@48v25aaELV+PyOR{@DLgC%&_(Z~iWW@~M4IBya z*p9vxw7M`=@+EMSAqIKFNMuD2GIr+h$d4MK9fY$f!C#IY8)L)T8ZuUOCt`qjY8~E0jqI{7e>|i?QOu<2r)0Jf%;Tz(wR;8CfQQcFOAdjYYl#Ly= zk|Y<5C9ZTIOPi1$^+RPRltw+$bVvq16U9&mD^0*(gHH#voh_T_cD6pU6pvop^A6&7 z^X+tTU&1Yck+-qcV`si?bTrL{K=-r!q0k2*?;B5GLn;S$PiU5L1~wa8zNZILXLoI; zoWdbYJ6g3Fjsr_ z$a}B?d@vn^J+A`e;P60Jma}Qk$yDGw_F12*C{vVl2*2=JFITjJ9=c`Ilrft4oh%I; zq=$O^G^MX)6;{fv@raWqdi%iTUa$g7>AC7GIDr41p=>*RN_yNr(8v=q$N2jxd)Lu5Y_GdUZz zLsL^88J*I4Uhq<1g3{%V9hNk^V{f4(f`S$%U-3u&F}b7;(H)FUdSrxfSF^FX;hdIm z`fo9GffNzq$AYyGI}&-DinAaWCKJ_Mm<_)?vxmD`ozPXLdi5N#(8_j~GIxt%Kv}LP z!6qbd3C&t^iKZbbmnaTOW~+$Z7xIbYvu^rcECF_|?3+e}vbR6Oj>xHrfeyLi$A7rz z4zPqXQ^PYohMmM8g)BW8O)1;%6^xT&5YJe+$)hrJv+Ea2@)9Y*m(+`Rvt#~i7Y<-m zM7On{n|;?MuN^E}?fEk{Neisp)dE{x-H@hDPp^3n7GffFxq(7ZeIn~=Y=%2TlVL_x zl8x!sQ5H7tK1NgkHf)?ig_MeyCZoPa5t4BM>R`@AxE2Z7!x`*9OynRc2w<~jueDv~_V7BOgK zL=2=$4Z1ywR$?P%tl7p8eIxpOGy;1>l5kTE7R~9`Km%@SenwRhBQzKSg_WCvekcA$ z5QAh{ZXnKup5{1qhg_g15Q)A9F z9G=)m)njoi&bvEi%=3Nj;Yk_~GxtxccIM2s@2QMGI7B1zEA1zc_v3y?c0#vo)KYdE zR$)@9|8wU1t5N_=ehG=And0IxT`->e7x zY_g^~i%ufsC%e1qR*F~WH_~%Ef;`Y0<{5QVO!7*rZ=Yn413AcccPP|6Iaj8d?!N_y z-HD_638>BO*}#Un2T#2Dn)JrRk2YA)%Q^=NF247I60S`W?dCo$QjVAP02ySA1_J1Uczh(a!Drjy5iH*IOYe(c z`k~AD(y^iu!YGRMq)=Uk7m8|hy_@YWJK$S{k6VL&&q~N_r7Q> zxf5*A_qL=g4(_q<9vAO{p*`~@OxpX+G9_1HxE*h!e;TdVEg=RmUxL@N@$2?9yJk4v z(&B;^BM6SMo-w(hS+48sO?qL|524esx#Y{~hMI3Nan@_+g2#fhSSNhv;2DN=77_C{ zH1*?&%Z*jDIE&)ebQ|^;i+u)}+?_5u_bH&rD}#T>dBe1rEd7>Qna1SrJskMc`4}v? z1RnIT#-uG7oRz3<7w?zV48>-A+PmRqi?CU)rOL{mjx}7%=Z1O0abwGPR+I!9T6*$% ztq`pDKf)=_Y#&&?lL?d_W1D>$sNNx;Soi@>`Dj7VZ5+&)aOq>Ol9Lo{(m0#w{~NmY zNQ%z-awMpa|DgQSYVDx^KP*12hM7*a0|&Vztk6*+Is;S5GoCJdlft&5bvC-EQhHs^*d1ToK);z><592H1R*I)_ z+0EE@9Ex*4`{;i8#y0@_cdirwTscbpfh`p3ln>3(PH0&>jhyim8YTEm7EoR#**nM% zws~v^vt1K37<8`ykdm8}Xp~#4==Ynxx^`6XJ=gs{<2lSTF0*^gIaA}qbP)B3+06Q2 zrdZACoS7UI_fNRI!)|Fbk1`#JQI+=!D*LV&OMjm?dCvgj%u~13RAt0hXq)6YaT0Y; zt;RqkZVlYes#%91Nb}D%iE8H~%6`A5Q}dN)JCF7-k0!{u-qvdUS_^qpcOb&1$ox!^ z2a9+>X;BkqlhE^}(YpWs*qEOEj+L+uyyEwHrumcM8I%#^Sl^{4l#VpD8O|Ri1RIPE zc(PzLOYd>Z9pYtcwF9@_BJaxoJWCy?_bk|Tm6bB;uDNYJCjM#>HE{-g#1MTtr#4C1 zdG;`-oXfF6?Az+v)UnUF%EC;{Bd<#UIiunJ0 z_CLAg$xr&8cu)$6PgN8~b1wH|VQNaHP|MGJl|G#y3$aItn9*hwvDjF36%8!_Qg=)N zyMRSvCog>nFUKtfXrPXhB{P3#5vueMPgk9kS}Gypx-3pT^_ikzP`x^#KX zp`XdYRu}vc8KH*1`MnXKE1J2D!)weWOoi=VnATh=Cvq>N&hTVc4KF+%9;Q4rp0+V3 zvWl78hG9g`IW}3>K_0wC^_gAZRD;Pv6dK)BezIVC0$p8*oHnG2$WsW^b@U9!Vmh>0 zz;es957R`D!*!svLMrmy*zLqUDs2olFRuif=OYh08L8gab8JVd23&?yHZ|78oDA}O z3R-dzOV51wAy9u)Pjvwg2BF*9dx1wq@m|q=!zXFQBigI{8;i+LCu&(lHc za`|KqxOiIl)?FG7)gQ=)K4!gootdU&6v4S&69+CUO%BjhIxf~WEWS*-Cm(JAg!R17+2SFUBDwKd1EU2CIT~n0Q-@?7wwo19|22KYWudFFSmyaua18 zA%Yxef(kmivM^ci9_*dPQ+=OkG&qK27m0voOUY!2S#GBw@YMo#SF@H~kTNDT&n5B_ zV+L-$SuxV-rzC>ahF`9lB!8}?F}4~liGcF&K93^_$iVf$IXs%L$e!>O2=L(^>(X5c zOL@xbre;40kD8eIW}w+&TJylyD)v}TMQxHAraLnB!VpV_VL*xj(7`7we+IT)h8in^ zeUvkvnj&;l!tE9hEc6@j_vpDLat+I@*~3YF+v(5zrX443shrH8357q})64+bYyPq7 zeTw!{>IX+Sx~4IfwCK9)hHK==D|%rkA=^Zp_a+)4CO^KJKAI@d5$H#@4<=Aq+FePw zEWtMY#(0z+tRgGnTKsQe#kT}WkXUj!<#z^<$D-CG96upVjqfn3l4O0|j7%9l<}Cfz zK4tzUrd*r>=}D{MVVwMuYsLBDl?uE)8*y}-iu4Zwj>D80lLuq==(5^2KH`{Q9NeRO}m(=kW^$Iv{25fXE|6Z{CUSWGvhaZim{u}p>^ zR}E1|V$i|gm|w>#;@coEMnOE;fDlzGPU@(kR)0*6>F(knT32nmovk3nhl6_dl#x%U zx^6%@)PMNd67!Z;F4%)$k5!hWdC6Y`;v3^c$)b3`b^FA?R92R&b5YLJ9;C60;j~3@ zgDSnvg(ziJ51otqTmA{?j_J-316!NH=~2P$n(+8o+wRnkMpYf_zPIxA`k%Uf`DU0jPF%~>fAhQlGl@cXg zjv0!@V0v8<$z%l!L+qR8T@k(RsjId=Z4N;z`j%uLa)Rq{H!dLlHD)&oF5QI}2rr5! zE2}})rynV+<8#bkuRtyJNSA2UEFvRq@o&$6)C%ul5s^~$_?q)K@|hGzD89LHCe>Mg_W9= z6Z=jw7~QE81~m|N#VH!_8%C&2ycBR#bh5M!d*d{G*@GcZiF&H;wM=$YZp?|2Axllq zocqlo)SV$*ko1sVvKUAvS=Dahdo-2yS3klbM(H(|wPR~}Wr7;=UTcINpmgfqVsbF{ zs$xrQ@_59soB9=WZvX48fO&hk%F?ntRE0gP$b}0>U~k`tg_M_$uC%^19=Nx<0uymA z=+wrsyz_s^j)XjhtybdBk!vI{46xj2bku#}}?BA&T zCx7w9ZTayqNce#m)V$Xki_REJY&qND_o=}{0MAxh?C}$0v*q<}pffqanKrH}l5_qQ zfg^3Na|3RpO?5AN^+5HL{kn8@2*-&B2rK4q&*C#AAB-Ux(^6!5z-K&yArunWkZkaN z6paXeteZ!r2Pa3kE$ZMmcO=A~MPg=GezxL&rXoid2eYUNvdL zW{{ZeZ12=v%dD3G-~uA)0C)->@oJ}WP9N-}8R&7*&jMm{(ZE~aJ9fl;g=?@_3U|{7 zI*NV@!mB=3wtOw!Z(XBkh-n&IG+rS)C)#(SxW#4zCyRKz;j zAaXVtluPX_&}3@cOLF`^r2*pl_|pfmw@<&wgJjJF;60h6KEHS){SyLofb^7bR6lfm zkG396zkQ3L;K0Nxa|`Lx+Ax;Pn2y@93cC36zzmsiFT0x5E}#J%mX>jT(q0@1MxVa9 zXRU*2rm7Fh=(9P+*1TnE;u)W$z^1BbxYe6X5hpK&)n+#{NjC-DkQrr{zmU=pQCE#i zEPIwmY}RmA7nzY9s&D6F_7H2Z4TyA6RXo297o@$ASBAWui-d&XEBUS4=lD{Dd;I&o ziY`aGVL{YpZ+#BoCBevnJPuB;|LdhgB6`vDJ<>K_bu_Gxk|H2oAqdLFEg-`2+ z1+S=xivAm_=>C_AVarA=m99JM@5$M(_bC`$W?TN( zb7~-^nqzGmhGC%$8PIge$%{1LL{9$y;26|8LMYyxTQtSv!F|#t0@X}}D%~ZW1)xDe zgK}9F z362~vo!L2@>N~5h^$79)?cI;TRhUC5i@UON+oNsE#Io%RPOqT-17{?6yB1JJS9BD| zrT@eRR8FS!$RNun)@6rW$q^9|ExXcYCvz;(4Z%WLfBL6)>-c{6=3ouk%rOqDIpWz<;o@LY+i2O& z+jQi1E$N=P2v^y!xW3|gD;jel*-gY&Sa6GM$ha4&p2}=zF)h8ywLnu$CTsTI(F7zV zTk5K(EIid5ytSvZ>^a!ij21b=0L)<_Qwft}u+omvShG38u1yWW)RuhH4-RW*4|hIb ztiu=_)KyHKt_;fdHfZgk5XUq{S;6n@f?;Y|UOxau3RCFR5IIr2{;lLdS}hMzFSTF* zUvrZ4EC;XQ4$$z1v^MJFzd<>&If;qIEjDBu`025MAUe=ML5b}O3VOo|7u>k;8C!O4YYf;U}`O)9=I`@GJ?TgGhXi?@X;Ps2*e&0V< zSkBTeyhG*pOoI;QF_|=w8fi(7c3x3t0W1;p6E9@3;Wd4GF*FzyZrr3ph>p!RH){l<#E5({9ZSp30#Vjs=oW&Z&YYp?$B07LH z2%+ziNRAW2I={GNY$o%)1O5L8^v%lIAFb}Eammwd=EohxEvQkb~Y03tXp zS2yeR3>ld&rNjpuRYOJRM@KYzJ-O9+4xBHSxp}@r9(yle=8wP{2Q>ge}DFHOv@im65PuXh2+D!1lkMM;HEi=|d}GT!Q`(-0F~sDkQ)n_W3p7r_~=n`(Q)J)#R{A3=JyaRB~Z9t zlMs9{25>LMBtCtn4h>a>=ZZL=E4JcMhp-BRuJ7n?~JoG_H88O62TKGB#``y|1aKqD-0V>s`yyfAt_rGWgX{nN++kwkG4?|RoVzb zAuL3vPF0hmg_cDPR%^+FtE6KCM6+1b{Q4uW2J&aiDx3BMtHJn($#2W3tp2W-6|Dk9 z+;&zgyJzJ|S%hZ#{o+AcuoJaOmUkxn$(KLS6I+hv*N_H%XkHLct~!c0<>1~QzpNA) z&Fl&QF;OXb1kYR!@4$ifTLIc+c+kVK#V>rG_ljsu%vO7y;yEKM6q0a* zujx{pc#G|xl4ia3!Euo`dW`s)NPo*KRW`23a9RoFLdXz<#H&J%4c*TE=6%vWmb6U7 z3zS&K?bC#$LNxw8B^;W5!=Z-NjVt@*f_nJBK16uON~qjI_SRJ!h=wqW6_|_Ux19^F z{owX~$4>p=)OC5eArz$<3csa5JcbY&!mgGs)j~L!jld4~A4E#8wn&jg0Tu5Vp8Rmp z<98>b3omLD=9VWd43<9BgP5>Mrf#?YDjwVSPVJ3X-4d{A zq~N9u&tpxSo{J?Xe|UU<6voP}Y=lHvio5U{r)C539nx&!09vsUlEXJ`YhmOH{R^5j z+7z2ij=UEpIjLDOAyO7;$|Zx3z(+}tSN=>7&KwT+fbD_pkZQc>ysVF^D|r-n`^Mv# zv375O_XPQA`)y%2Yoa@XG(Z&{^;)1p26Jh)W#H}59$RLUc+>3Z1l?olVrkDOAx_(O z)>2{-9=Ho+{O~|N;&~ZAHN%cNkx(LR?Uf0oNePRd)g#u7yzuZ?BUr4j+mCOCcAEk_ z!xsCj*Hvq(a~F{XU=58|p%h&R&b@PZD3^1`R$vuNp$La%^S5||e!&5F!Y9Mg7jH}w z(Od5;m=mcX%3iL%FjWlrOA#Y=Wn7y#qmAb@e8ENDP9nFvZ_R^BCrQ9fq@21gzwR4& z8+Cv`reALGFUJd|Yad#H^OZ6pa2wp%!6jBLLLjn5WL82HKIa!bD6Vw$X;<9s??xJO zo(g_*;@h6{L{cihrqBjvBy8K;pa~|6y0z+X|Gu?`Lbb~eF9ZW*mNz0D5xn`+2XcOG z4p%`|QY0U9l!4syZXk$Ibi@@&X;IkW*_SABk77B#)+I}^LVc)!I!{2~>i?Q>*rKFD zj9|e!yx}Z^8QNQZeZiymD+A)JIWrwxn~>eyYqx8y{mP_RAkE$1yy85fwNjrGG*X*o zqz5esrNrJ;mYj`)=OTHei83Cd5-G>^fUqz_37v=ibMVQi*SK-SeU6paMGEE=k!9Yq zB(TjH@X#}C^xpWYmpM@*lVs>9*&WE`JF-F8@aJ7i0oP6b^ART+gHqcX<`hca2mzFD zR{DMW8VH^z6mRBmKJWu23bG*_hDAbq_kLU{lP^yvh{PAK*btm}Ri-NbGhkI`vz23Z zPz40fC(YymC0@N+4ketQH&Gl7!{#Dc9T+udPomW*?^^KdSE_kt{AkSaeH(!BB zw0zcaJA!M_0`a-Hr&+xceyYT-{f`p5dMbAdhIaUtU=m&8E!yBFbPcsqnosZ;J7uH- zam?>z-c*yoNy$edd7;(zR00wy!l^|1kV6TW+c`N*+HN;xM0-FI1adMYYfJkZ{dk5V zF2rQ$!jYiWbx`IU{`;g>d!szuq}ruf)q1-7nVK1Ttk=m&Y=K0OAeQo+5cL%XwGOc& z;HsPOKH))}7&T&V!5i*n_P+L}v``qdx@JM>+HF7*0vgMsBUdj=py@`MrYcTeeB76m zm#MiCD4g8gjMFg+x7KQ|(*X&M)_AVab26l1SpTMUdg2mtraybXsZFk_a^b+ADZa1YOC6Qa5uachfg;H$x>&(PHkh2fp0%vwwxAYay$s=y~?8omAA@dZ*jmG^wZ z1!6m|_in=m2qFWZpBk}pSuA!eOeU88>;ReEazFa9SeU0IT-b(tu&9P^*tU6!sFZHo z*Gu8hF&omXoRskX@2-%52d5nBkGiud;R=qA=#d@5B7!a2kuAU&f}<-f$g(`vY}l{M%{Oxx%G>;e6&F|JBBf(50PITc+HL1! zxsKnk9mQChrqBW}#b}tF&=4=i1CMb_b;^B)$o_k%fIaH0&ov>K(V-9KcW>yuwM^7S zOu(=Qe$;hN`>+*$*JBCp#1Aem?>S<19Syyrd~f6!6h*Gk8HaXs49@c_v{hRNe8DS( zS6~Nj!6?FIA$l)Zo{m(~zS1GeR-Of5t)25rwL>q+pR_LaaUbijxtzptFX@Z9j>K|e z-E|N6!*pchvi3xRy}gjeJv18r`mXn7c6h;&4aHPdSHdGd#bj4(!ZAO_A7tlnF+BAo zbLORu!SuDXXNXcv#q?EAoewcXlq=nO}e#gssB6ZKJ zvdk@Fqz z!zqg2=OLG18@~L>A|+BAj{fo@H&Ph=-P?YvagYjv)t6Nr=wLY7tz6ioV;isKKKjBU zIGxZP*U69yo!}qr$$|==gD;@LD^+6mwsnl+Mp2exTA{d*dc;R=_vJ(?g+yG<#%Uur zc3l0$QX?gHUzvyy!?E$6KVauUg*dD^!~L-AhO@vKkK?pnjD~u>*q3vIG)TSZl}Ljo zNWP8H8meI3KM)2P>OwVaX2SUbnBQyO*pzPgl2C?wrCi&-Q#47XY}tiVCP}6yz9`rx z!y+h&XJH(uZ~4P1TZ{i*F#!Z_?aMll5;a}U=rST8HEqn(GB6-E3H+Ejm}T7UmcWQD z(7I9vw`mFq4Ch;?R#tm4LnxMJbyz>~8kSafV?W~>l@f3nJtgaOq|Etw>iu8~;h4_p znK$t->za@5Vpe?GtE|Id1WwwUuJ&LSPTAL&7zw6L@{V)&l?qBDdJYCP99FESR(E$` z9Q&?q#6x9W*`{5_c4bf5r4iS*`RL}5@Hoz}s018=DdV#iRW9HOj^iRUOxiOo*eHf> z(*rN!D3or{dnZjWFkAFqL7T@)WLb3QHs|!=mc&pRsF{fQhHxvZvV~i;avQ0Lg-Nt= zSgf|o&WjzDxK(JH#A)ovUiZE~qLpM>t;Ti2HAHK!?RH=XMq8}cc5(+r6Pa+Q_KvtJ zYx}R0q#76ZLET1wH|0lYqaig-$7frh2|n-jWox1{KK=D(asBx{I4iqTK(w&ei6e`` zhIPYs6VV*jKJhnQ!aU+V*+2jAKGHwqKke{6pFRh{Qi{0MKLbooO&4-MAn~fX68*sr ztFO~azu`Nmhu-Lo;|r?2-ujK;POJOfh@9pJ^F;j&9y8<_R}v^Fp2l$lLNgZkWKlIi z8x>VnQw2jI6-QQ3d_|G|i9OB=!L~&3pe;!zLqgSMaw7m2ALda310WzA&sw7~A`l++ zTCp)91%H4c(6;34pTJAj%jZz=_P6AYHiD{TDY(#f9sT4khzpi>7-k$xrR;Z*9x2Yg-YG(cXG`J z<_gOisF602<03rX@ek>yHBaur48f>}eeCfL`lywC@8m>>L#p?sGHk{>-@$~>?*2tc zp3uRV?Ro(w@v3^ z1WLKLPVgNul3lMxBYb0INSjNDG{Vr2ErHv<|LWpDzP-)Q-{BCj5C6^Y<14To|IYTJ z$_C&>rdsQ(eG~6vreDz*D?f_%TC`Ab7|oJ7gl2O$!XOKVR&fl%G7E)GLB&Bl1X5Z6 z2yM(h_%HWKV+k2#!UH5yKokw6P!wfDI{_AA7F9t20R&}Y&H!6vU zo&Vt(BRjgE&*LH^DY~4epryduOF|T)Sn1ImaN|oE6$x;*F(pNIU>fj6Ms`PIH2?Q> zba!D7|K)UdWCk3EfXkXIfn0%?4YnZWoiR!rbJH1u0U#9&q*}2vBRc^WXtM($009JO zuo4wXR@sP*cJ7Q0AW4c|c`d+pN5Q`KdWCX7rNu=_ws8@scXvyMb1J80cSz(>V3wQN z3SRU=nmr?!<>SmWthJII`=o07s>EH$mtOdX&hPq_Zu-2={rZ+J``EXlPmg3{D|M}yTtYp5;-*qD zCnZd}^C1HhCSbHd69FS6TeMRX0%}3PG6-^k!qPZvzGy#w0=2kN`qEMN}rEQTUJp%*P=L?)l}1!Lxc#lhbYeM_@gMYd zQai8l4fJ(6b87Z=tdd+Y#Zxo(L|}XF1eF*L&7|<$-0=9#`;fhHFxMMJhN^uosml@T$2dP z&?QGd^irjBCUOq8C7sZzYx5ym-KWc;xBT|jK$U6L=wK2!#cHys z#&HezX_2RNLl4Jms;6c{460TDl%bZ(D%4SuR-gz4J$_Dc;ARD89=Wfz7FsIQG~3xYh*27U7g`!GR9Pr-8Rgn1CmJ=@2E-L?Iu z#%Y<3%D&Bz8o0dKmcueAyNuhc!yqWQ;rtR>X1O^5Phpwa!C!Egr-5ti5Ro76rg*$k zGCtb1QNQp2J?gh>zv2KspXf49(>fDhv1kGfD!eKWtT~!GH;RqL7?XBci>&bry?^_; zufYk2f9bibjW-h(gKhB7w_bR8-OJ^xy-baO{&O9{Xq)czQatv1wB=S{KgM~Ey8KvZ!amh*ZT(I;xV z0l5K2{-Xs<@-$Ab@+VKiHhQn%Crph9qMS~IP|@HZ<-kfTehTZ8uE$#lsfxetgjX!u zuB*DHQXJTttEi??c$tFrN}Ef#tFPo-$v|9z=mN|!kd#S=c#?1Lvnrx*miD3)y2 zP3XcW5H=IVs+76m7)~?3? zik)b6NSM6Z#8OZjzNn7oRbUy4sGR0jAby31B(T|{ActW9{}?NTwx^3{;N?h~kL^P% zz#F}rwqY#r8Ht;uVK1mQR)ZZP#A;QkY?>z*eq&lXD5D)nu>=)G-NA3-Di8nv#$VtN z5AFZOLeQ?`?s+es_2w<_T)~V+V_)zZwAqp{2%J+4+O!92zgn*7us3OiTD<79A}6KS zTa~c*psR3-LtF6PEYjG9dhN!4|L5tx|Km%n#Niyx5jU*6ZFZ5!$j+cq{+chFGc_W literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt00qqnd b/bsp2/Designflow/sim/post/work/@_opt/vopt00qqnd new file mode 100644 index 0000000000000000000000000000000000000000..c6773af38447915555c8f803edeb132d6a6d0725 GIT binary patch literal 9700 zcmdVgeN@a_-v;nP^oC9il9ygYQ^G-ol16l-Qi%x@8EG;_8r9TMM-7!S$IBF&lr&_} zC>$k3wI4~3ijrPbRHBqAy&Osj_cd#|*Ll|S-?P@8hd=geEo=GXv-ZBO@BZz2+U21V zd;jXn*wmge`|)#e+{cp(b}SIOb~!7ASnj)=WuC*QnwrqnjHt~_irVQm74^yX!h^Ra zW}g32a4lZzNRp!}o%fn!fz&=0jg{|aSsBP`8`J-mJ zzEN_&^&-|Kis3OfR_TO!*aY2}#`2d-cBzC#sg?LfM;y=XJknvF3ZXjSnMx3c=Qy!Nt)25EHfkkBdPmS5utdQXy`O~79b#UoGcOp?>ByBO2&J8tdO z)tj5A7krG|*LQ989kI?+Gc~!^Ic(bDDKTO*^+n>Lb03ta=2@;c3)tTFC3v?W_v&G$ zg|;`(EG&sO=dLf&T6~;ve+?5C+SH4+#?DbLe|dPy#d1pXu?^k!mD}c5Pji3M5jvET zaPyV}XWsmB(R95}a{ET*(Q<6{#f&UJvHrW2%IOAMC%MutH0@iTz9r1y_~6}f$Fr7f z38pR_Ojetf<yI3&5-$plQPQ8qxlt(J6b?=`D-r*0Ty1epv%^YfPl5rfbOM{9lwr?JB8w#VJZ?}5qs-aG_6mZ^8V0ky ztB@C$Qcq)r7ZHA51EE_!B2x2WA~bzX{AMSRl!-JF?psds6U&Jew})6s;)oT6ORU(5 z#7f|aeYs7nczVQ&W=gDxIhF#J8&(lkBUahB)rFtOu>7#%v4XJ9W2s~LVnvA8igm;b zO6!z)+qcgiRZ~%2zI6-JeMR7?AcYw>_Z^O!duQX#sb)RPem6ch_L}CXbvk=&zpzSg z)H9Sijk!s*0obUp$-%YfGrfZXxQ9;KpPh}J=mgQ%Y@AgwrQ{hz?KJ_+fQus zhixWohhV!3+c?;KVLJ|6*w4CeZN(cH>+C}Pbv7)RE2m!ni00W>LSoY2khPPe$t!+Xk+mDh%YQU$(Qqxa4R#c- z*VpcwSbHnow^vs)>HI=YkkUL+y31%*FmE;^{9q|JIDUylt1OMeZ5YXx3;6>mpp*a5=*D2(GtqMZ&cgu5-iDjTIHn&gtvh`UC#Z>S5FeZ_zcB&{w{W+-X%ex zf*do=j>A*0x<+HY{e|#P1Q5EnkVxagh>&%J`2A@|Qe0cGZ;9A98`$b$!##uY0=8n< z?qlBuhHKwsGtI|oMX;OKIHNxI=ojgq9i^IgUR>aqeNqq^XRl)gujVnrDM{Sm>+uq; z=za>0 z2Ch7~OyODx7Y(i{KdFlg7Zt8rxL(1P4cAP#G~kl^iTBGNu6c0P!1Wcbqj1sT`Wr6t zGwzpcK5wM$**;szciBXj#CN-12Odd?N%P^X)kqdKdwH;=ZLb)sHf-jOG0~I^{`r=2 zV?z|%s4GN3IU{Cz|6#$4yETi(nr}(?dPan`L^`ke=pf_-5 zl}OMVxbLzniyTyx>7g3BGQ&v50yWdfHWTPMND8u6(%0!L3)xeqUr(zxU;dzRUx6(hY13G{+Y&8--2Rm(Bw9Yb6z+*EwuC)dK#BEWdVAd9#YLLaSgREXzk-3TFGSbZW6v<3 zrI^q0kFaMYu(iUL44WNn-{npvo6o_R&l8ze%6V@6NlW{$>{xtdTK=z7L(O!4&o*H- ztafC~nJ|X?^x74P%YkT0%gU{6{=>(D^-mu$+gmZij$nqlg%f_?6++LLL!??NM2P#Y z-{sTz-dqjWJ-FV(bsMe`aM7`6b;#Ij%x3)Cuzqg_uU(?CxOqWhS>(*XGFz2P@5)`( zPBwKi{aZe~E?|T>P37(zl^_`>eM{LAAH^Qr6)MO&b%ALZV98UzU_@i7&LsSeT7=%S zl1R_h5}`r?@w2bSS$vMO*bY}JT$A8(hpQ7VbckToaNZUF{T&UL1zZo|DuAmGE-_r{ zaE*lP^v~v!b-j&CsK42i9ovk9j>c)GZ>DoJ2bx8k>&dLL{vH1>qq$4j}rP!U-Tg#+44lT@a7S}9B1$;_Np3t^%;A` z$6kGxK9u$RY<#b@%kNZ*TM>W7vuM}Yn8&AloL>YaHw|9&XsP#UX3Sc*m0P%Ux+E;I zm698n%r;N66PTzMG7UWkdFnCeX)Na@gx{n`==)8H)Y^gw3rC|5x8Mwx;0zYQ^%btG zaLt8lEY6@0&fu_qugJc`jNp>O6%W@gxDw#vz$JokfWn5B=U!GoRBl2T!O(KA2auiYt$ z*`jc+DeFnu8pguOa@?*T`KbPyD-Uvl+97Jz8pf_sK8-L4sV>^1I3BBQl-k6Bq z=tFPhqBoS$8#X_3Z~U)()ql%ZBl7ha`Kpr3m-Nnb=G?2B@1@Os>v~3_QJWOjj;7#~85rke6M5NWZMA)f9{E}9Yl%TJ8AH9O! zn1g&NBVV5A4Ri(D8og18-l#=yH2%5wd-fnOHC-!L$mdMwlMMR0>o3 zkGW4yFsZ}j2~!MAYhii?Q!`8}mSLZs!{h~Va%drf? z->O3BU%iMlFPR7}?QySofP2Ld?iH8N4>~ZZ!Q>1Ret*u!@6U(tUhzLnQ(>~l9zB5R zGfbHs|%BHe6AgadlSFLMG( zndL^p$F`Du27cH2fr@?7#Xf0ZpIos|_}dfaA@n#po%R90bIrlRcjf>2?;CdE{~W-= z*(L>9Yp&ib(k~!x*ZocU^u<}}O3J#L>(*;cJ-{207kx-1`BLznl3QeIxcjKz6K2Y! z=KW!*kt=d3wngS!V-o{&&K~l)J!0L-o|UaWlK(z@a(wxwog13|j_>OzP^fnw6`|oX zP#t&Tdgs92ofX~tS6X>mKU|i0zrxb`&|e|E*v6no9$zyiN9Iilv9%1oT5f)2Qj_V_ zK;G=%MYKuRG}GkhV@Qg!D6~Y>m0g{zY}^^TSu^qGD^B3NW1>sbfAbDk%%L%_)rFc= zX=PJ`nv8!*KcwlQY0HV^JQLZTZS&3#enV?=VuqR+`DIgMRE&RdcGvW1dc%q2MT=~g zZ}7~&d|3Z*`eDV5lS`eu{hu_6!~;Bel{>T*u5;7RMfx-rC2$lqF0Vg*t5~fdN+lTOu8Xl-vc$aQ4OM2F2?Ax6(IZhNF z@JD#n{Th3N(F^R7`URCiSJ`bD)A@oa`!+L!bDCO-Tk|UI8ScKtY1)aE8nuD#J3d{C zJC>ow+N#dve+lQU&&{T_o12k1Q+>jkt(N~XaD0iQSA?DIqTb5#xfyLQr^yQbkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt091j4k b/bsp2/Designflow/sim/post/work/@_opt/vopt091j4k new file mode 100644 index 0000000000000000000000000000000000000000..e279a75905bcd3bd35a7b9d568c7f2f1f60bba1d GIT binary patch literal 1871 zcmV-V2e9}7aLNwLy3GeYLdMCu%?DyxxA_E*W!+ya>oz@6OWrK~=9+4)0QcAaaIwP0 zE&vtnpTYsOD4&S;nf8?SJI+KV#%g8){$|K^iKIR|%GHNh12~B(fCRwa+IGEMpjhNZt+hOY%P`LUc6771ryrUV>L z1&`o#3d^iVB~tM7MIKuUuCPQI3mBC#Q^GL?eH~KRBUlV>gH$w>M+F&p6OJ`J4GF?d zBAp-wj|g{%bgB*?rYU3XHU*S#$ombqWX9h&`1uN*58=_Z4G;s`q_PDND~zKNkvWEo z1ueNRk!ThL)MvnUz92t?BjvnM5{L>ZyAZ;&xGn|H>JG1P^aWl zSqOy?+EFqO1$goVH4zz64Fd&`G4>k%s|CEiNZ|5h^xdnCH`o+4g77dER1VPh1yy|C z*A}dNQrHE0gdtTIOH&{w1yy6&Cm6|m58ef<;W!n6ju}Adl7>TB1q(Vg zvKt8^2{HxFAz>2~>l_}ieiH?}HbQwFca`i#1)g7P>mKE|$!rD4%>vFJcj4;=1@m9Y z`~}Db41rD?hUW?F#XZs9AXe_{e*szS7 z&n55pc7;Rw#&1I2q2?x0Yqq8!F=~Uc1z8val>q<%031O_10*dqML-1`1qffxJ$~2) zy@p<39&R+`$&3)rCr04N7a-Jq(a!}#{JqvBi)tad1yG~4I~#T(Wd%o5_&+hdo4T!b zTWBczgdJQdi@+-y1?8jJEGodvoZbbO=>D54u)dJ_1yh){mn;W*;<5#FFT?mTQ7uLi z{x$`&ZmIb$&6`fH1-s=lNiSuR$20{aO}M}?)e6qP1-bne)MlJ9HplZ}1z;Y9^fCZR zn}P-YC>o4E#Ra5N$sx_9lxnh-p;(>txN1&aGDsxP0TXDbCFx29k)HVglu z1*RVC<;H_CH$Cma1%n^7>M|dyVY3BZHyd^#Wd%o5_&+JVo4T!bTWB=(A1wPbZQ8!!{QfCEXbe%|?L|jb;n$#~swN|Ar-m7W9IMer3WaK*x@DJEv*fi9C>;n%f2YxHO#&71F>n z1y()f#|{C1j^YKZDRh(yiZrAJA3|~}T6hs!0xqZGJvu84pgf)da|;D;wsv$4JVsq{ z1%?#sS`O&18tet`zz_|Q)dfOWr;nVH!(v)ietNujy>(Q)3PRw%FC1GaoWoKFiA*Y>rl#e(i z^AJZ30w>k{QH&bst=_qam|f;AytLa$y{0S9+=V;F^`S0;^3hnh_9(ivzQl!4T&JKt zn7IU|dem0hYoGOe_86ErMsxwG$)P3!8b>hqBXJsh-7s)fRevN~IgvDX7GEE=ucjal zAeu@cNFB*DQ7UEAq2p#FHobw32=6_e zhqFEcNK-fKU!qh{H58R^bNze_8)BfKOOf?DK=D&qWPr9C* zzJqft`Tw(*6&N=d&aQ?f1vV?+pR_b213r$cp>-1yLgrNbu`wVOn#G+ita{A#wH_7> z0aVgi8-Z^c)63Cp_C++pM%}N0DO?@J)J)<^dV!iey2^a{&Y*mtmz8Cn8-&YX6&So& zas@GY3Q6i>$G_=#@WIFyL-Xc!qGo(LcWD$t2SZjAbWt%$1*f3M$sNFI+lh#wL57=e zKL#ojQvdv5&#+i%dLE9fm|^4P$#XjURSLtVp19C*ZH|EUBk9&#yW`o)%&c2dQNSRW zPX9Ua(ztoZ*9gqO$?n*cY?RzM1uXU{sDNEm?<%m*FXJh#1{tDw|35R%ldHD-rA@u4 J=iph#OA7%6~6EJ`FT8(PE1HcQc`e;TS8*|CLusjQSl-R7Dh!OsWpXV z8N04jsUy(^i%89aMJlzD7f7vIide83hadIt*zxc`65kKiJ`C{3nmGXxuerf+lp$`zB`7#d| z-{up9MK~~@-zn0H@ux9T1gR(M)9d9Pclx&=yZJFL?TBg@&yQNh zOXCeF81K`Jct}s;sh{^D{umTb?RLa->!kjni}zVZJSNEbxp<#t#8bOn$NN)8Jgyhw z$+~e~ZzEyWW1r{?VF3AVuMo$1Q}o6BVbSM8Y?5JVg0xsZrx?Q6ZM=HyFy6)pggZ-%&wpm*IVi*`KDhkcCsOdvt_Nx0#DVEe`A z<)b`tKHsyv5&4+UC6(3A`*%h@3)U{qhkcj%l=hg9^2GVnEpJ3V=Ch)*+If0E+3_51 zS-bc-Re;QVUd+fx*L6pKRh~GXA8UN_F`rG9)y_L6a`y8&>$!Sc>#BKh`*7cQUE%9L zZ~5HgJX#s^kbXJf9-%z(JYKcD5&1X|7hnD3_*bl5T*t3KX8fyrjITU#{MR%-`I7Oa zKVjZb%lxldyEuN4dc=QgkMWf!j=yDjBl0o+e&zj0dEb@sV*Yit<2dbpuX^ss^SB4| z`JTKt+){r|AgO;_{Bq%_o_mPq9?t0$F!@~nt=JXwTnCKD^X7BpITO6h{s)iw3H*yX z#P@p2$nS#2^;pLd-0N-rh48<6Z~dR5)89_XcT~3d7UJ{33l#5N%;Q_gLk5t?eW^Ss zGY^zO){lMd2=d74s|T#VKMQ1E#M_J1ZBFDuSQRYh&)e~c_FRYiftde8R$uTAQ^vk= z{gdOg%j%!9ZtMrJOUAYRuOIiK9gk>FzOMoq*XsLmFR2~JX_wU}4_SYI!P>|3t&7}` zYv-MdXiq-A-!ZP$_v5;L$Z^_b^+Tx-`-a5n*V*>7e%y{7k7!T6BS6Nr`hMI^wc|ML zj)`2%yFTL9A8J{buMrWy3uL`>y3V!__2d84jz_d7uj30KbA17V4-&!W`ikRw4f-D5 z2W*{+`Ku!5BHAbUxPOy;M=68vnzfJf+Y-6Y_X|57(Vl#->-b*J7taOH@z?P$;>PEg zGI%fW`OW-z-X2bzV|QL3g8sPgoty?@zOF9eZS6m{j)kx*atR0Vec!A(O!q%@4+B%Pi7nO&8NuEi_3ay<9ri( z#&Pv`acIXl^h?H}J>&4)_If+xoPa(VXIA4p20i1r^>J}dLeDt#OU9u+<4ghv#W@8% z;`pp9{Q$CVt{$ut_IJp65E+N~N393S?zC#coj+kKe+R-X%h1v{>Tn?HGYU$$~CT-I^74sP7a{c*P++_;tdmJbaZjHAxDW7t#Q8ih#P3SNFEM}Ae0!Y7 zlqap9f!(0o+dnv+hCWItS)J+%IU4IPtmR!cu<4xBK*z*2S%t+ROUm z?}NM_jbI<~{=A$$lxN}z$UN7e!!MA0hO<_$QL*_a@h|zL|A0sK6L3b?)4k_hhaK0M_UU~RV4r+f z?WZvA-g9^^p3{Bi_KCCOda?h)P5So>DZ5LU2j_EA`MCb<^A}Tg+^3v}K>weEyeIF+ z`Jl=(qg~>dVoA~I9fqlK+I4D;T42KN>gA;s;_}k>+r;LoX^#NOOMkl$`$&DDe8f+X zH*pJktWUSmzT6aEbGhj&591Hx;r|bi=Z5lZA`$Wo^W*mj`rTAN*452>1bWt&dEHU0 zt+qSlt*kCB6I+#z%-;Y#&6j?|;_`bx?si($vhbE0GJgZy3m?DJlW#i3xA+D5 z_&uF`b1A;|7v$r2Z}Qb0U#(T;e9IM6b^B!$;|tjMegaW;@pH)U(&V|4=2_eu55F6e z=Z!Q^dv84au1lT|l&4}$)$Lo(V@~Je(9OdkzYEa+mihyX+kb;_F~QGs;dmW7UWa_H zkw0hqUw0UPdyo8l&nN$hUGg`Y+w1G{cIfhU$oF~jKd<}%GpH`Kr@m6IwYaaUriM?1 zVVC;dtOMV(8D~Y~(C_o&EPly2ukJEV`%A|8^)BQ5u(l@sth!vsl_l){$kZ#Ye%xpF zIoe0ohxKwef_+Z;eT}zK>j+PMaasCfWuqp2ZOzoQuh*o%)oZH~w_HA5V%#(5Oo{zq zhyIzt`X@?O{{j->dVNQcd2!umf$ZN$vA)E1XHD|z)HbExHr6-KFz#uyd4}_?)H@rL zk$#ew{|k@-4>~pws|!h zZSmV!sbXCc@fuCxS*|NjWS=)!cbmu3N<@3qf1S7)%?M8fBGH%IYs95!L*k)4&rp6c ovhf?WRjE_CH6wLI_zl(rpH=Y-ep1nO__?BJu}EHi-=8<+_s7(r64AO=i? zfn^quiBP}<Q%O_>x+n@bfC@m`fd~p%^iULl0$mtYfj)`?E}#N2R0Reo3b=s^#8DL(qbR`Q z1``woSlr+OQ~(MdFkl3wOJyKtVgQ9H2(Wmen7|7ZvIB|$5frc_V<-qiRp1VcVT2WY zKz%wujAVreiUNM1q&BJoPoM&j&0v7lk%Sa@6H?%V;sz|?;7dq>A0Y+)gcJl2QV>W; zK@cGY!Gsi?M^S(!E-s)bz!IAmQ50Ya$xDP3TqdA^=?+i<2tYD0hzotKPHrzJ2Vlq^SN=OQFYn=^s7cGR!$etKl;HD$lQM>YDX2=siiKOW6vQzrRDKM`xudYn-I zHFfuzM$gcQ(AfV?Hu@KGg^XS=@cNqIU@_s=vA@k3DOOvzWd5#Lm8^Nu=bPpNiQrfl zQN}-;z$y*zE#P0~>DPMDUE$?p#qXi^2I~9wURRdRpS&RIN6touhbBs|7v65#d5q~P z!vpy%J&T@9aV_3eF7~N7*GxbnC5^lGhu18dDcS{*h3tX%x(m-<$+lOuPuKdR*BHRc zAU@;%jQAN+|F*TLX)UTf@%havgFv??-Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt0q8713 b/bsp2/Designflow/sim/post/work/@_opt/vopt0q8713 new file mode 100644 index 0000000000000000000000000000000000000000..215bfef5508c304811d4175d29f26a7695efdab5 GIT binary patch literal 1312 zcmZQ9IK{{QX}3)~E07S7Kk@#lL)~-+AYk0Y0wh2z1|=}bycH1qWCb zqyS_V(|(XLAYeHRWFSn(w4M>90ODXa1~#A$=HoyCh^M%Ko&s6Sd>TavWEIOLpb#W1 zfIKEnAaMdH!Ud!u0IUF?#e@~yK(>MrWHgEh0}F@?aXL_rksC;?M%Kc>aub=$$OBY> zBErCO3q=7hPyvbvL;=DIEZ)40Vget~1QZbl77!QYG%#S~2U5$CwJ@;ULFO_F02QE! zKolU{01ACXe1J@4xr<_gAW#TJ1fl?8f)G%F77#-s8OUH@xrbtcFi>bIvIs;0!UQY| z?jx&b6akvB1X+ZE1*8CB0;U3HkOClr07g+D&GZn;XLfD$MqJ$tIpoc~fiJ7@mR z^_x8NA1tysbF{d&`#|zhf3JV5elg30b=O7;z|K?>Z7pRna zeDG+xL$yfV?p=JBiuJ{9j?|py*(tdnF2h&K{g6X*p<|r3*KKuv?^Al`;ZlNW&rISI zX1!vn5MS79DzCRw#h`NohAdmU4^PL-?z}zqjZ-U&_5@55sNjD0U)8-t+Jxf{|BtvQ z>0&F^?33~MpA{P%T*`eh!=Z0mY@E@}dpF)0zFRe~>+*+I?xsa~Z)RQRdNIZ5C6~na zmGvFd%hkTAC_H5hkvx_8@<+xs-QNlY@4j!JRuQ>&!4rkok8;01s5L8jyI`Nm@2Tpi z%j9ibgoM^kQV0_h&HlN#s`6s6-=sBD-FHtBTH^87JE&Caj*ED{&T0b_F-0Fn8J*3U w(u-n6Zu4IkJ$_=<73DpD`&K{xIb}imYj*Y>%qlsHEJ8)KtzUNN&D?bx08n1;pa1{> literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt0s7iyc b/bsp2/Designflow/sim/post/work/@_opt/vopt0s7iyc new file mode 100644 index 0000000000000000000000000000000000000000..d8c0537ee9c3997d0aac90e37c6a867fd2a24f7f GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD8`_ZP|LaEl`GN5~|5sbTuLz9)Gb4UoVEi|X z_*(+wA2s5)1javR#199?f76J+J23uRM*IVT@!vM$KN1*!*oc2PF#bD6{7hi{pBwRy z2F8Eah(8h-f5eFYeqj9LM*OkB_*v|%`JDd(`vvab*BIx6P5#^x-v?ZReIU;(Clfr$ z_uqPc(fuym-M&|F;`8`skK0B(p2xdV@B6s#Oyd4fbPfdi?Fsao4)hz4_EKGHSwHGj zeR~4^rUU)7pOjB+nztGKr}H-TSbpu|r}t=T*>A~uG~fau1aBk8VTj*Upda@IA$Ugv z{Z^u09Iwq%pOs=)iG8(L9k;)f^JSNePsVe6@|?}{^`-btyc;DB!^e$pJ+Di7d|u~$ zInV3N=Xss}UyO2Fm`}Vn$#Vxf;_$I?_D85+we>OdeEj!DeCYZ3xDg+EKK=(IKJ)HbcLL*oX2kzU;<>&obU*NOBmO@F<9}hqpM{HQT^|UF%|K4tXzJCulKYzWe z_xybSo@{=8E9-;ywce9SdA#rD=P@53&K1>Su` z;m<4ZAM&#-PreWH=ZD6;z89k(%TLNVw$^(v>;0DX-pYC(WxZ#z-XB@-g{=2I)_WZ5 z{fzbA#d@D&y(h8We^~D|toIexdkE|Og7x0OdLLlj^IP}#*1f!S-_G-LwS9thKW^Q7 zTld-4J+*cJY~3qc_r=yduywy{-P>CCvDQ7Sb$@Ezi(2=c);*?mKWW`Nn)iuD|62Ed zoafy9hTjX_Q>^mk@qEe8XK}t{KIcoWKQG7n!+hdd_Yu}TgLQvk-3wUfed`==ozJav zw{@Pj&dJvK*E-i)=T++*YMn2wbE9=0w9a|f`OP|)S?4Y59A%x4taFcbp0Um;*7?Ia zS6Jr->m1;QHGXlNpM!DkHcS}jS0J6-XEDuvmfp|t^J7ooIT@4ZQuklTcKfg^X|W#| zfW_zS02{e|SjnXu^L@@XEiL-;Hu?iUYJ)}G1wOwqa-aXizHU_fSWdrjgSXwsXD7XX z%)8sAE4+94@;3StZ#67=eSU?vEPgDfU&-LT%ExE-c>kDpuS-{W-|x%Y=uf<>VaeoPKv2yz6{?_L%pN zd7pOa3hz_Cyp8_Edlf8seSU@axcIT0e(x}NulMoU6W%}Oeb%KbywCXZHu@9qw_wTZ z^DDe3#gFCmd#Ay>-p6N8dHM!J|L%UL(9dzrx!rek`Zo-3IRl;cZFru1xWsCwTNH-fM-|=T~@F zh#$-8caOpQI^k_i@vcent`a=@6YmD$_4yUvcJX65{q8k*yM(th#k(QJyH4=vPrTO) zug|aWt`|R+)30ps-YC3XDc(&f-kSuE{=|EO@cR4;??&-sIsM*c@ZKc6e7~4n2m4aI zn+1>l#JgE|eSU>^tN5{;e(yGTZxP;s6mKcTTNFI{6Yq_}>+>tTqvFSM`rT*nZWP|h z6z}d7?_GjNf8xDKczu3_w=8}vr=Ruxqg#b{Pl|VMiue73M}OjRmc1#rufh(Vuv46JDQR z;hhmbmecRO25-0U9!l{ZN%1}=c=RXU?+CBYukaogKbF()B3RzjeL(nizlm(Oul!wF z>?>z|Ts%)7b?M4H{e)= zq&Ys?&~dzPqjPe6?O-|^b?kP2jd4A?aKL2yv7Gf;1k3kCs21Znteib2_2B1os^JgN z5BPgwFxmVe^Brbr115<70W~LuQKr8F8Bvi_|u}F*x63K4*M#z;gVgpEG^F zSssFawV{7R@MZmo+rJt99RDm2!5{U0*>1E0<9>iNpSwr$jq&yKaV$^P3)5Rw{A&&Talw~;VvN5L>n!!NJOuwb1HUBrvM-DA zrv#tnA^5K}@FxWSU~1g*y#)2MJOqE8fxk=eWuF!6KPvbv55fPH%&%PUxX#^y-xxRM z=lG;NUN_H&Ki5s>gKyadu&c0cGM{)`-MZG8*LCwXMtvsX&GE{1PtN1|c>|Uw>tl`A z4F>+5f}b9*`Z)!bhv2`~z<-C}Tk8bNL-21f@ZTx;n^NQHgv^6155ey;@b40Q*+<6X zX;#)DmWSZK-oU?G@SlWD_U{S7XL$(zjRyWbg8y_1zd_oEzuCZlm*C4jJnr8q_;WsDc?kY32L8JRU(O9N{;1%yJOuxB z20k~UoF8Q0ALGvmKFdS!-)P`-e@gtlsrDb0`IO~Jd}}@1XyD&3`00IsevXFaA^199 zbDrh=>I{Cp2me8T{5cq=fp;tS)!dKcG0SYgJpCfCFMqVj_dBxjvuFvbJ!gGpF8AU7 zocV(Mv%X#O$0bg1CBGs682ou&^tK_tS^T|i$QLE;ZA1Q3Ze3_vs-MeIe*Iidi?pNU z)3|!j{u<-epCA3-ljXTV$|b)c{~2U(f25!9N#nn`20qAKeOS*VzR$1V>*s{h_%Eq} z@6W6A^~*Un)~}y8O5>j|_&Mlf|4RKMxkt$R^LNwI_o4vjxfMRYF=`k8J+K%bd~Dp$ z`|um%r$B$4@1o!L=?><@FMi(sz~{HJocSMst%m=AFOTu3d|ZM4#DCDIJD8uuN3~r4 zk_Y+mxe!U#GtW5YYyax!uF~yuVPN}w5O~$}|DorJ_3tG=+K2ws|3^OU&YjfX%ryGp zk9~eC%bEXS*lPG6@#Qi8M}1s@{=|RCr#qOR#MkyA58Gi8Y;t@uj``X?oidKo?Q>CJ z`+N*|)%1Vd^Thf;fi&BP{?xzUr#qNW{mYm}`+U;px9*qx1F+TbANJ)j{-=>9KK+T$ z`XSxH{3O1%4|%G!595;Uv(9LrO9I_0ymFKkw5W%%^_pX8Szi z^IKWY{4c^*!=LfxG5(i)T!H??|FTbaFh7Y;9?qZSVLL2>O^zSNF<-~edZT?V1rYQO z*P4I60=#Pa4|<+h|5uS_`_P~Izvj~&%%^_k`MS?`sq*o-|^{I=2QQ7 zVXNUB@#Qhj<46;S{>0Jx60cf)>7T5x-h;L-_3P_a86TSFJ|nR&S$-i>Q7(5I+%t>t z?{Kgb_q zU!LQG>;DQ^ly9M*>Y^WHQ%64Or{ySGeldP6g6>Azgl^e4%+vjs#Di|W-=OaHlx~(M zb+4rV0=h5ppO)i)mS2ir)XjEH>X!Y;Jl(VKqi(KW)V)5Xo8?K}FQfkg zx-awPTj-~{s_CYmmg9exx8fIdpGBK!?+Y5pmmQM+a{Y{S^jGksZWKG+8&kSjp45Fg z{h^!w)yB!oefbvpQJ4Cq#|izCx?h15bz@sRNB0Ut_g}-0x>vxmy|<=xvplK$3i>ag zdzCNWLcfJ{(=Vy}N~EY8^mBAyZ0P zx;b8x<7B0w`w93_cPA{{yPVR^@}%yo>A!&Pt9Gv!&3KDN;k`sx;v0wNcT0qd<*>+(oMgl?zKo!_c^o)-K~c1@57I}yI`q%e@ZvY zle({^{{rpJena^d`YoiJeo5WeAw}KXH=GUKoH)4d{D2nv_*}!^{XH&P{db+d=JYn? z52KXp2mO%0^Lwsn4){0Z4*{6>DW7xcrln~=KhHMgPt@>h5xkZ~ z3*)U2zwR1-t>U+*h993tSC1Ng{&{N1pRD1xUhrDcUJJF0A1@7gw%0;_8wGD)4Zm*j zo2}uuRs0&TaY^#BpOfQh9a3jwJTW)QEH2Y75!?R%XorP=MC_Q@A+fz;i(+9gP8XLs zK2g8&v>$ink+t;5NS%fs>SWiEGE0*~=sV{Bc5}%fFUshe) zC0;G#h{th59Z!jl@jHhL-~zLMkR5#b*lxx@9#SkHv3e+IT5{z2hCBzRhH?HAQEE;{-XdRRa0 zm!~AYFgWbmV`9ADjgt&)J$l|QdSo7r`=eex%l$l8hMsozOAiFm_Cb)2+k8O(>=ZpR zU!Sp_n<{!%|6lYJMGwanp9h`qv|n~e|MZB}cGG!MpF1rth@Xx(oj12h{wyqcb_?Ig zL`j~ro*~v_1QR4J++b^u_aV_Q>s35IsXpRxJ~;(T-p7P@vS$Q$E9l2vets@uqIUel znZbIV_hI3eb+D}CTIU~aPi;5NAA}x0f0JUh{q*_JcGP~*e6-CJ(t2pZC zvC^HRZhU57>v>*Aq&~7gM-Z>g8< z-J2=(>hqJtw|MwH6!LWW{X{U&wmNzEy%X{b)X7t*lZW3kA1 z&t0xHuE)=?KKxz?dE|X}O`iVYUieq$N$n4{+8=89{SV^H`|g_fSm)}(=l48_KaltH zQLT2;bu@$Zw4U+B?{$!8PdJZTAHlP_9v*&=gFMrLJcGl#{JyE=`$hXft@eXjeqV$5 zvw`^7N4tG#?|8wjQ^T3Upw64zcPNiqUZY_b`M|8QSL7b!_Bm6<4j|s=ZI}Hwu0h1<7@b ze#tcY#hvQ_Kj&Ph_-YkjEx%X6ddTM=%C&e3*eJQ@9k!lv%!7ipCC_rAdjy9t24#1dd302S3w?r zPA8;3lOw~s{J0+8RZo4CXEczffCba>z@7=uR3Oi|ai5|6uU7kCt=4BIkf%5}?8ZS) z&sFX`y7sCJ{;6l&U!?P|HuBdP`D=~*YpdsXt~T-w-p*?bf935jxcPKwo1agML*p1v z`EqG+$UnzB3Ij~{7k0XPq>XzM@>be+%=N<#*{`O{xAiPsUZ_#tXOwIE>HQ|gom*d&KW;xbu-_qX2ghlm z+_#%io^CITC(&N+yoT#mcdr3C!wZc^-LI$~ZBHGC>2j^F?hi1G-9AL)H1ncsK{xN^=lY!;<9LEC2{MGI4ZeGB$(L+40i=2mby;RG5?l08xdq+(F7#}1F*>9wu zBjRwL_wOecmw$nKXQmZjE&qKZ^2qlc@jheB?I%iuldj(rX;+V4ym(=~3IjbwXZwrJ zW?)(GU4y+H{Y@rC-@F^(Vi z^&dOab{KcHhVIA{Lu zExLB<#f9t~99*DQ^~Z%L^VMJ1Md;{de4<_4JXvd=<=>^}JS%_aJ~_|2ekd0DosMF> zFZ28H{xQF9rRP=cM@*+X-29q8AIbTJ^E}^&^WKX5SO%Qs=TDf1QM*;rn15XUak2YT z=^05MPURm>ru98p@_iNW^Z0!Nu4gT0dt1w|HPEk9{FF~|^*xoouj@s9x&9{KH*sC$ z_a~^UOK|$cPyO}%mZtSR7Tb^S5BT|3#&=8n?GnFT>^8AG#lmFRUXv0xAaTm0x+lcH z--w$$!?-6`qG zle(vL~tm~6|dB*z|flg-7cCCW@=?7I_}SA^4dE!B+0+6R@ybHfN^GZ zr8MATbls}zQzP`3MK{Rfx(38fmv0BX9LfBUYG@Pkdqc^nliO33X4GAX?+w&&xw_V? zX?p(OG5dP1t-UKXctX=uYMIMr9G)64rD^>n9XQjis+VK!Mhn^6Iuy23bpPsMww1oW zfEJm`n0qgf=q^4^_&-KU3vBA8y5r}nR=heq^+E-u>DY!&`=?tLR8RB%vpr%UJ^9vc z2j2Vz6_V+OpOp5E&SBiKHIlNjf}}?8SE*(iZ=USVIN!L>Ept<(@$vq98ppGiYzm_; z>QB?0ofXVhr{)MeG|d?w*gs0k-mH<1bE2qzk*09)Z9R-5K z^m+y-s)z`48VJ++A(2~_5V6G@5;P~7q)%dysK5%6n^HmO{11dKOC)p(pU}A}gf8+W zboL*FE-)l?h6SM$ODtt9Ppl%WmssUrRtNq*h82XBgtZ&%9F`Uq_LxLVU8Dxmg=KZB zf~{NUjIODyuGqYZ?Y%N|^ls&uxAq;5op;ycmLBcHvOQ*J#$VGJz20D#?GUHzW<5*g zof$us(FYq9HYM10!FC^WGwcaiw*kHrLc}iOK4;j8ar6fM{PvSBqj=T|y!=PA76;cN+b~C|n~{Fc zq}tn=fn76nQqL{o?N*sD$#fmV2@}j=MI9*Phb1kM>6T|u_zk1DvVkxW<&Rvp|H27^ zL?cHAN2Q$zUE>L}nN8%v1R`dxCqeQalAe@?4%vBHp+*F(78!W9G8UbxN-M>kehIy<|0Z}XoMY;9a&oDV92hIDdQPpgq?hmq-k8hOO>a;(QfS;RuAb;z0++3_^*4d*0WC(e1Ct1A z`w8=}SRy~~NyOuea26YI7RzuJi*XiT!-l?=dBN5O8$82%7M~Y-*!qR?1IBE(P)c*o zco6>TJuPd0nl;rXLQS(I+nfD#Zgca2$akz$5zG0%2O7$f7N4dBF4)0MF}x@WRaRnW z*zp8fRo57tw?7c!i4el{7ZZ76BoT9tkf1|$B;CCk`<8-zvw^K1wnErmz*Yj=1MFMh zaP6C7rUf{y4DUbNRS(ynaOJ>d z0oNk97;sJfPF;MssBqQ7^%|~hxMsnn4VTh)ykEg^&4;T7uFr5Cg^LN-UvQD{alaJv z*@Llb>l~H9Ws_V}-tTx5dL%hMBY@|kohE7W^Wn%_U$fS1@Zyg(*OB!fdP}*vA(m^} z5iX*fma_eSwh|=Xp3UGauqHx7Q^Hg>B62$&BCf)GmSa9AJwd*D(Hp1H8@RK|Watgt zce&xiJ;xODdC3Yt$4_eJlL*h z^La5`eQ;fe%LuMcmp9g zM+S=?q|5JJbwZ{a&_&^&$l}VlQ$&;mAGW{GO+jLeC4;k8nFuRc==uV5eLeOJ^I4Ah zob(WTRtj4SY-zCB!S+?|REqf=hWR{+O;^qF>`h(Tdu7|=E7Nj+)Qg}Q?8!FgG^}-G z&7C-w|K!>gnd|;IO7p7CTw(Dek=v7p?6wxnup^jZo>4^DbA>Q3&n0qQH6q4+H|WwS zd~dFWD-W)ZaQy+-NVu5TvpQt#4Q4a`+pvCbhPf=!Ufi@Wr95UnCB<_4cSMM?PM&9*gjfr-&YLng>a&Q@Lzgf=tRnI= zwM49(PlD{LaTcHBEVjXQ7Ou%~dBgP%E_8@!^l;u4|NR{emla&aaOK0*1D6yoEx1O( zb?SR_DZ1XqH6oZcb=wxR-A5C3GQF5QoxUas?|K@ie7PQLg7R6uSkxk`%bQH;E{NjF zN{@*sHBM~*_Z@=7Q^y#by+K5H{U~A13Pc|Yku6_j3vV7t%W(#uV6UpNSA*CqA@=I4 z^r52X=iqy#ZNzq!#Fa@`e2aFBkAHMB!1+Z;T4VnOpXU02Cf4lroB0Jxr^_N!S}6BJ z)3}xyb|Q1F0=9{7zd$Sg9E0P$ga{iA3G){VB41%e#06u}hnsK)OK}E^;Q9>LRk-HC zH6CX$0B3MmzgHCBVWx1&;YxyQ2VBW;@!*oc#ZkD7;VObF9Il&inZiYZ%O9>ph06u5 zCb(kZvV*G%E;(FDa0Mz{LvUS&%M`A!GME4T9cCS1ZCtorURowjDtZ2gHy$emyg@))tWptrEy0BW&gTSsW0uI+pyDj{BV$>} z7!?`&0U6thj2%G69FVbRii|Olu}{cY95UvOjN$#}9o}zJ6z?};xW4{Tmsga=6H6(raXS|PIL2t}O zzEqJfU-SmLg1Z8}@f5vLi{5DZPxr<@rnN9pVB*3gf@up((J&dpln>LimoWLlbP}eO zFx9}+08=|m88D6d_Dr@gO@t``rV}vv!*m>`kuXIo_DNylz?2Hp8kkX)4@|$pf_rr zJ?xUVbiUz5OMa289^uJ3Yn;HUDgJ|Rtnx&5=flqwi?bPA(=jte6o*M{|7Tu;#LhMb z=eM&&IC3&!+ISOrNjvWE8MwcX!aX+(_uO2}D!k9|*C9)|?^1Bz9o}~}MV7vJrhV}| zfTs_hyGjpN%$t=ZiKy!<+QAQ>xL2lBOr&yWP&m`KuR zdy=T}EhLwP-&(t=*ryrTCvEJLJN9V+J$?{9j!tKE<2TnkSop5|KmYrN9r%CtW8rL* z{H%3XZxtEk6VL0x<~>HztV|Wv89M9TboKTNM&`sFl*oqiKT_@&S?mox!2Km$?6%_O z&QA|a(*y^XTPY{dyYqbLGL@KR6qcynob2V+LERF~U3{D4RN@YWBlDFCCx7O}v;N z4@+!kF#L1FvKfs|c@gHObF!)N(Plq5JL>o}7V%;PMH1WPHNLr*4jUcLJUq^0N||$K z@Z&~_v`;WX?Ji@b`@GCEF##`&l6m8_FS(t%U80#EYjD5NKJ98*P59(z9XEFiI&B*& zG(*)Jw_NNkc)aoNRT~4xtIcMXyQ{yoX!kf_mHdG_mUlM6TQX+rL#1^GDj9VSgPEe= z%U+)CS~{*is_YbX>x_J9NJF8Tm4Dd=TI#b7v%t>uDT$J(ke{Qf9@N+yk6CD!+ADgx z`zp8f@^qnS>OL=a*qz1};@PxDf2Ma}NrryPQ|;Q&wr!s-CLX)2$=R&M77j%T-0o*H z+AL`#(ZYyu=4j@=3Y}2u=of8g`%~A`ig}k?Urie!ijJ^juenv$B3XWC&CAK}1MNsu z%2P5WwC%sbFDB++(c~0OWD6gKv@O2z$Rzf|I4wK%*S=3Zj>WfruyIZ}o7d>0(V(ht F@GqX+lluSw literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt107cy3 b/bsp2/Designflow/sim/post/work/@_opt/vopt107cy3 new file mode 100644 index 0000000000000000000000000000000000000000..efa8a9897e7ad3d0814dc2d24443523f111687f7 GIT binary patch literal 1176 zcmZY7X)qLE90u?`)MhR1vJttKM3-yYVsnh4ksPyAO~W|XF550E<3q2)tJ!=Y1rC-A9i}D|A%MZ_xF5#u<;{0$G@E2oKCP)WoTQO zWlx^*GDdaSNP;Thg`xdTJVynx9 zqz9CS5EUpLp?yFZ2tSTpd5rufO7vK8qO5x z20~^)c?g*Ud#>4U? z?9#?H&Vn#K;0P05@C5$J&vfZHZ?}R6P-0k5f{gQOg3)$-JXkjYx`fz@huE` zmm(mQbxn>gRrb7=hpcz~EUIm#w>#e-R!$|;4L$iP>{E6ZZfE%@*WPQ% z=3_?JOFnMsX&1dJtlbC+qFOJrBD}aXp2Fyaa*)n`&|Tc}hO6+;o$wtX_syF2rb^D_ z(_P2RNU{QIU`OE8cFrNEs-T^kR;Ee5c6GzcL}EX`erAr9*20MARQK4rJ$5d-`TN3- zRVj_TSk1fhN&hZIL^b-%SmkpnrBQ-bhLdBMOHBobW2uuy6CD#{m{tyUJnx1V?5IQs z+`xHmy6~y*jLk%_(5dh_mQ8SVHFfS~YBI}yHA^Wh8`(trC40}4l7Lw&7Cy7XH@ari v%T-Pg>sD-Z``3+oen=gR9h!-?mql(xc$jAtxDTANyV~L17MV$YSpMh_{Dd(! literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt12gb4k b/bsp2/Designflow/sim/post/work/@_opt/vopt12gb4k new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt17ywk3 b/bsp2/Designflow/sim/post/work/@_opt/vopt17ywk3 new file mode 100644 index 0000000000000000000000000000000000000000..1953d903941c8ce6de74a88f36fdb56c18c18829 GIT binary patch literal 677 zcmZQ9IK@|S!e;k5=cDmeKw6Z4X1ZXAP0E@_il5KTzrVy=;&Q239E0tnAf0*+ttN(N z%Byr4U)&De8-3Iw>S|u=3kH$S-X^Abn#QvXH&3#uVkviGHdgyx!19AH^3Ck4wm~Ve zYsr#tp+SK)~p&_9&*jQUm1hK!;F+azl`slvy7Y0s2zNXLYz7~EhTzkPP zxZx^zAS!r4D)@XYd|LSTyOxH8&Jtix2#>BanLj&iC*C_JvFnZONruUiNd{Zkq+GHNuQpAT<`qg-daNQD z;V1o6YFB^;OVfOr;-3vuZ$?ReU;FgFRN(*lzy6fSPVl?-T56}{%L!sUPtW-(?=Y3S z5goFRRqjcg^CWfNDvsub`^B$sW6ft}|M*MTVtH%ZHVGD~4L|(tmY9fK3-XB1y288N zKt++ao$rc3RpM>`mb;CGS=Wp=+3c!%`OtCBt=q-b$3VQyR~I8DruJNV5ip_Ldd=p4 zUz)ysj(TzPMR(JgJ6#_Rscq8g?{B?v_Y8yI_U*;Xt+iKlG}>%%zi!bR|P!+s) z52MA;q@|h{jWU;7tf&g#dG41|C|{*t9rv-=m?@QZ$0n^^^G!)|*7ra8=KB|amYx(A z{k#9W%HgTgvL7Gobk_UKa53w2 KE@fs^QU?Ipv@ys4 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt1jbeh4 b/bsp2/Designflow/sim/post/work/@_opt/vopt1jbeh4 new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt1qyc9y b/bsp2/Designflow/sim/post/work/@_opt/vopt1qyc9y new file mode 100644 index 0000000000000000000000000000000000000000..54a6ad94c77db2ff6c5e125669d1faabb4c570a7 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD4i~Hf@M*RH}&vBANoLaVXlsPAk|AZ0$p}_e4M*L?3<3DM{KN=YSDI@-Sf$@K0 z#Q$Aj`~f5Wr-AVg8}X+D<3DZ0pNj#b=az-~|1(DXWr6Y2MtnzL{AZ2$*9FFZ&WL|& zVEpHe`0au5j~MZ#!1zBk;_nNL|AG{%-^0 zzhcB64~#!(#Q!uf{;Nj(bAj<+Gvd#}hBoB+|GE)>eqcP$|JBy-D+1&H%!pqX82=3; z{+7V_M~(O`f$@(S@xy`f-!$Uy4vhbn5&uA7{I`wxj|9daHsT)+jQ@@iKNA@L=SKXa zf$`rp;*SKzA2H&;9~l3*5q~T&eil1xKIgx{eu4Y|7@p*i+$894X&*NRG_kG-VCUJi#ItK#%_5}J(2l@?2d#Nt9tRHo% zzCD3{(}8~4Ps*n@&D)Ir(|MbEEWdW~(|a_vdY`8CXut(R2;N4F!w|ozKtJvaLhz0T z`mIDmalAH5eO8KHCHB=~<@}rfrJOIjWPCE74BmS*{@&9ea_XNiOj}bo@82_9RUkZ$W-iW^^Fn$#l zY8___?Wf+Cz+1>KbU%6`bYB>#??j+qLm+Q!pkG&@-&Ekfbu`d#CeUvb<0GVhrvm%; zGR%kE7w!{(-Df@`>F*|RxL?$L=2qEfGM@WP827_{;%(R`LMDE4x4jI%fggXLk7@Yd z$^h;g(cGCEvCs7HDI1w&ex5G?c)s)R9p~r!_lWcJ{d>Xr`TjlM{Cxl3ZhpRh4>v!5 zy{q^9eE*(oets+KgZ8!FlSz5J@8;()xbJ2@_uc&bLo42sF`w;O@B6TqBZ}|CE{6r) zeMI5UEASukvn)@(5A)}T#=O24qaVvp$~m^ydob($mi6AsdLL!IXR_WOS?`6c_dV8o z9P9mz_1?vLpJKfyvEF}J?=`IV71nzQ>-~cD-oSbvVBPau_xIMlymjBs^K!L)f^|P` z-FsX2+15R^b^mPLD_i%));+LwziZvwTKBQmJ*#zpYTb)k_np=~rgcAQ-8-81iAMig z_kWz{-28^$3*A$!^5yY-$`(*7?^u*IMUQ>l|vGFRgQ<|;JwPnXZLvjn0K#BS9ss=%iHKrysKf! z>+>tTQ{u;R`dQ!eTI1uh`@Db5d%&eDybt;EHu@9q8d&oB{0i@W@nbptb{X~U@bTGc z?;rCXbmZFS9l-ucIgW5Q@*^7{=|C~EO~u?h4;Alv7CPIFnF)`@!1pJKjwYbr7OJ8`0_UT6YsZR z$?NkgyeGwv<@9@}!MonaXHR+mm^bI{lPkQ>`SLdU6Ytfq$iqHF&#(w=>1NA;r5+@aRvx z*9))DukfxHKbF(4Z1CPFyj>~YO)1`+1dsm2dxP-${0i?z@nbpt-evIKB)ojTm|O?@ zQoNf5kN(8FS$KVZg?Fp?v7CPIHh6Cl-hmWvDaBh9Jo*#wjl%2mE4-uP$8!4JXYg(m z-pLg2?iBA`f=7Siy-9d|eucLzek`Y-_5Gt;g?CSicW;XK{enk-;&J28dBf*dc&EgV z<@CGXsP87>-IwA$km7wv@aRvxw+OG#ukh{{KbF()JqGVvg?Bo|doacOh~UwmcyAM4 zpI_mf5kHpG@4W_Zx9}cH@g7O>J|=kdC*JP}ug|aW9u_~A)9)f!-qU?R_;tUDY`3rc zU0UobXMJ2ePak#Z$~^sq;L@MC4;XmACwNb$@SaNH9TPnI6YoI-Z?oVXPvJe2!aE^& z^e3M6J)GMF?_>(^R0{7|!J~f?FUR=A`}8e>_go4uhlb|gRp~=H+aE!kuiEIJ#6yG6 z!Rryc2JavDhyR{S1#g+)(LagTkiy$4c*|3G{(CDGycWTuKk<4c&bk+saz4&Z|4U(c z&SyU2mz@XOiF;Az^PI2umZ#*Nauzk?J$bFq32j3($ESYIN6$U}b3PUQ-J+lV)L-y% z9pdLcXTg4BKFag!Tz^&WgI6FQ-%p6Y5AbWzS?_%=`>YOw7yYi192Z zui^o=>T~xh1Ak2LWu1-j_49EoPu2_5TUGpP4g7JzmwjT4zY*&!^|L$#|2hM|B>1u~ zi}9xfpXDL=uQc!{1pi=a-15By^|L$#f1QE9OYmi%73)7L_$&{>|CP+IT<^Hf-GSd2 zH|FQ~q&!|X&xb$PP3D7d*#)qxux>J+cw61N)|l6I^EF0&CgIKT%63oAy)*{yl>KbPB&g+K1&K_-`=q?-l%KQ~0fd z&+-ubn+*K2;OA2P+a>rc55d3Lz<-zE%RW5r-zoTWK4N(Y{w)Uny9Hm)4Ke*$WZxg-&j>!tL-5~d;B$XU{Jp96AC>u(pEpY5pD*}1=wts%{Uf)8{?-yf1K~4-}mVb=EE<3-u}Snx3Zl1AAqff|9~%#@uz%Tf&RpQ(5E|?pTtMC zT>p{>`SH0BN!ByZIOc2r>gTS~?Q>yZ`+N|1)%5?N=ZW?2B|qAS{?z|RKJCt()ZffB z`r(g#ek;qF|6$l__#g4*G5$w=T!H??f5@jhn4iSg_8|}3VG(R{d@_#t+CH5!j??XP zQDFOg40zS_f86uL`agj*+lT(tzu%`jm{0x7m`3}2(&xACm;3{;)$kwo2W-NF1MzP1l}s1;XPj@gsiBBHRpX6aXEP_prAI33X$Ip7BeJ%wM z^bXgWf4%~|YWfd)o>>1^k!JhQpZdS%(;dvGe&zYP&u?Wp^S=RG4gXPJ9^-ReB0l|z z|4pCnV15!`+lM@ChjjZej``X?`nk;X^Yya8_W2g@s_Fl>=ZWsA>bn&v(uu`gMEAyQE;cN^R@ zi}3GouoUB_S=RR! zmM3+ur2hiCFY)DD=%>1>>878Stc1`M*{m4Asv+$#Cu3yx>KBb%GN!>4_ z{{p%%^W|IUr@E@?rk|GMf0no67j>USn`rL~8pxL&lKyi2jCAx@@S|=NJKY;ox>=so zeL4N1oBq|t$;*BD7Wz?_`lZJS{gS$0ffRLPTRcbi3Pbl_!;iXGz_Pu!rgXDBsrw50 zFQ9vsFW*AHg>=&|sryQ#s2lWibYE=f{u}rub&sZWvplK0o&F2xZu8|^=(mt=`XzO* zMvA&QUX$ZwrJ?%?_)&K!EZe)B(#`Uu?yKp)fbOe&`4;*uq?>+8-D{Ad?ibP~#`k50 z?(e~my4S-}_f$$Z%agi0kX}glHNJcc{T9+qzohQ9NKyAWv+8-Pa*S-P|{v4c(kLxbFOb7W(*H!{7ZqE?WI}oxbMu zHslYZlyH9l7B|5bT*1Bz?Y;?Al{uyx)zJ3~W7m-Y$A%9*z5>UOmhGJXeOEcJ@mT1kv_EkdE7YK>zF% zJu+XPv7VbMdRG5m^b|!8#}%Iko$s_?c1Zv9h}Cw}c~YM{EiZ_ljyIh*w@LmiEO~Yd z-^fHsp0l1I)?)+{BrV)vYmfIK(J$*&JU^*E;&47W1xwz?gmgTc2ouh7iW?<`iUPh!ovR;=9#htD{INsQfYRR8mr}(`TrsaKd8L~3Td4~SUbYE|& zm+jq~DfQ~}lf<`p_&pTzbou>6FweF+dHB5(@(k3;Q>c@N-!mc4o;rE@>g3_~O2{)E z$kSUWy8TNAR>#jG#B0B(Wxo-K)n)q1f>cZ#uJcvJ# z_w!M$cG7h;gY~qY@x||TkY`Ugk6RzXv$`H0evgAZ(}6sL!@K;xspR`b`$4VtgIa!H zgZQ(7_}E9geQED_!L3unnZlsXo7{IOk6PtXTNb_(fqZ@ayBuF}%#Q=bRjasa`F#x5 zrx}*(Y_0wWzFYT4#^&y?6<@95tL67E$kQIkQy3ZA=GJv=UV^Pw+PieijAE zb&Gzi|FJT&MVI6<;mCSHXJ7=O4iCt$2$rGO!pUdx_hLN;mkPeJv8p;;o;1V5sp`kD;F2%7Y1B=ArcYZ-#^swOYDza`&hh{ zd9=s%50>va>#Oos+IP(L!w%W6rpveWEL>iwQQl{iYy0W_CdQpxUz9&?KRB@8A#VrA zX`n^B%_FN-J9Uhcex>sEKK0Xf49jYr+Ds2*)k9f#?1t*`D6FpS+kMC0T7!lBwY zN4buZs^#hWT0E+=SH|~5sol>HspqazkGbXZbYi2E>=#Ri?uWF#^L4DQp<{0Oy!OCG zC`5HKX*J}xS%`r~3@PCkSZAIA+po)lm5+i|dR^I0l? zbvhpnOPu~OfA5ol<@g-O0>=E+?d@(}z_QUpJg$qJhjqPF%Y5!H)be{rO#c`kBnjDX zq@N?=aGv+?Cl{B0fqQ4B6<;m?eIxS7_Z{&*W6bR*N`sTG-xFz9k6yfZVZ90iJw<2x zi_T_XS?^tgz8#7^MK^zCV3}X+m-#9)=I)h~>k;qAbv@d+%-6Rx-tYQ(^*u*;i4M;{3!1QP>nt}ZY%sQ9@jDcIjNuD)pHmV z4eJSd*Pftv^Ev2Udw|}j(E#o_7#MNw6|WomzDwR?Kt@Ri76wj;v`N4gxdmk9j|DqGa%=HuY8HG9Xck0{|$3Y1B#{f8I z{_ZWhcIw52>>M0ipjP$Ag(vgXU)M$G=w*DOUEDlbYo6ubrRO{=f9F0q&$@mn7W$oz zV!SW&`|f#f z`%~!|Ngqz-A5Et9Jz4U774P%-eFCm$EoXaM%da)iuT%V#PjU4j` z#J}H&n>@p~J!crVPvX-1f_VI5Bf#fw-gxaTx#wvpQ*!6nwvkb{zPI%jo$Vj%UCZa~ z%J#O~X(xKe{Q5t>_DZJP+x+_2+cxgj@1dTev)kJIb229HIVXGkzHww|+{Nu0=tDc_ X%Q)uZCwK1=(eF}de+)y<9@ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt2cr0es b/bsp2/Designflow/sim/post/work/@_opt/vopt2cr0es new file mode 100644 index 0000000000000000000000000000000000000000..c8880eeb0f5868bfd5a6cb325dfda0cb5d333117 GIT binary patch literal 2636 zcmb`{`#aP99|v&Z)+)&qog9G5!qN#SdQ%- zIh0cl-$=2gl9g^MC4~FkU(ok^UEd!**XOtA^|+p&&+|1n*NGnw7{l!F>>%Q?@zzpD`KJJf2eLTI0^jIOzTRDL;n6%=w%79exODVSwsG**JIOh@!?ogwtJts8 zjL@rnH1sx|MD70ZOufTFo%WL#1qqoa6DvKEYz$}Z+oYI1&%#7r{U-ze<5M8uT)PF7 z!(BjBVJ|3)`wTYKrvqscfSUvWm)Q?+9)kck`wze|Q+OwWbpU4n zxXI70)?DrOO#Kbrj!Nz$Z%Na$SMAW#)zmd84faAN4pv zUg+zowM469lZqd~ z9Z%;`nxyZcYK8oTGKH1uF>aI2l9u@XM4cT95+ZoF@m zhlpt9eUoj+d6jqLen^bqI8+|x__uqgXaoL&PCm?F!we+MI0G}Tz>G+kF#t2xzzpv1 z4Bbm=0Z9|zl&j42hSZmPtVJ4L>EW^S8rdg5I`m2^JE)beI>)aMlWcOk?rJV1|1`>B z*Qxu->4r{A`4PRYgoQXPp2_X4S))}Lxc=t6(v$l0k2V`OTA$T2wMcf34P1L-o#i0< zrZ7tS++{zXngALN&G5p@c&-57xiXN#S__l!dhDN-ty8)*-IfUPl zM?%O|xZS9GCf%B%-rVkdv$A{moZ`q#E#=0N?JHiif?Q_|_j2@>?85SM!~yx*+?=58 z{i`jfIX{dQ7(2B>FHbpk8+H)G8e>Dm-y=_?#?37r>>sZg^9&4T7mXP-aXtGg>+#g# zQg7S9RKNDv#AwD_g`fGlBQlXodbdiris1-M_+0|BaJ_&W=TBqTUB}Dq*b4$suK+{5 z4R|?@g86|bpz{4opq9}J65M+MZ@L5E^5GLX%<<~Z zw?W%1REMJCc3^`wo4qBn)69}s1L3kB)w2C=7r(|?c7;(|j$Y?*^2y3YLZP}lFsM9s zvM(*rj9Q>vWxKlC*uIa{#Ymb<$rq}AjHDI^m2kzA5Ew@i0Xa}5AitBLvFTBGIoE3- zU?Kr9k~5*x5jrQJ^9po&LFWo|mO0CbK*=iG0n z26WzqP6l*dhRzx241&&9=nVetybYZa7Z8{O5d`Gu0|9w%GmXun;^kygL4dC}boM}J z7j#ZS=S}EzhR$*5`~aP)e{#-v1e&QiVeiIo`7pg~(9vhg3JGq=vbR{yv8rzH_a@et4@nTVVK3TR8H+Hm-@1o?hvo=O9{aseVJ+KvldF4Vt{^%2s zIWsi2QZ`;L;tU8VX25SA1dTLkBtheSXq1D-GtdZq`3`^j=D&>uJCjC=drgYJ=J>O& zL$yhsgsd;V@!5z2QLN{qcVe&2ZCp1eTqm()u)LtyhtV0O4(5f}hA&IC2Spb#T31~2 zgAq27)C}_yuDdn@^VyVuyuM37CU1aU+QTlFVV4!KOKs>>flhnq9D~lT|E0^8s2DTj zZQB~OB-@r8C6nF|KTnv1h`ZBHq#_?L9^6t>GuHATn0<+2(DXvGuk!3Pby!;h?q?Y8 zM-J`>3-^--_frY?vkC4e4DKiW|GggojnUDVauo7LZck&@RKY3vjz#0{SXAQ%WY0p; z_tEOpV{>FraiEJo`moBUvuUnG@JF5#{;A~4Ns z2uRDr0y6dmjg9^VyQIS|_rNZ{z%Hv{mkO}U^RUYd*d_75{x9({dc=XMbe6Np zjv1lbDWXEZB!?0ke|rDJPTvaSX6cI3H-g^83yEVnf5}vurgc|j9@^1e`VKXMr2jQ* zPjF_A30{bF(LV2K#}~Bz0+bFGxZ8^YDr1{L%%T^ltoZ=cba@~_)(r6cOaLxT6W|W1 z0^BdSk=rP~(-zEZ@00mL#W_W%F@ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt2fzb3t b/bsp2/Designflow/sim/post/work/@_opt/vopt2fzb3t new file mode 100644 index 0000000000000000000000000000000000000000..078af47e2906d3ab54eb94b2e006c50937fe4e2d GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDUZI$HfzLMU=YlS_1dRZfiU7Gn(rfJy<*mLomTm%b!+cbT# z&(AXb(rlFfGA!rm>qI~Oq02p2oS*4WzYC>4w~POWWF6pr3U$CZdwrt)_`Z?(v~+-9 z{(QmVeuni-$KPzk6D1k{VI%&{f$<+P;@@V(r}cl-h%W@jKV-yDN<8-+e7-o(vHeC} z{<63qK5oR{FYz2FImD@DJ4cyw;`mP(@gE9|-*3c!HZcB^M*O3J@t-o{zZV$)Cr13= z1;!sR;(r<#|F98%IxzmzM*O)LFnVrTsQ*7>#9tN|KW)T!1jc{Xh<{yR{O64Lw+6<4 z-iY5G82^Y7UkZ%>QzQPq!1ym1@gEF~|Dq9pATWN$i2rI}{FjXQBZ2W>Hsb#_F#an> z{PDo}gGT&M1LMDH#6K4p|1~52ENo~)p8u~K@#hD|^ZZ|J{k|eF{?Cl~b%F8UFye0s zjDOUK-x3)Am=Ql582?Qp{_eo|ZyE6q1jc{ci2q1n{9z;h;lTLs81XZK@qcc_KN=YS zT_gTTVEhpy{`-OPj~nsF0^?_~v*vUD3+xxTe_vyq4>tL8OMD-23HE_JubfQqB;SAQ zeT?pR;qLa`f)k&|H+$SR;_*D*m3rUDeP*uBT8{=?AcWv;#5fG`n+o*fz90neXrSLp zG!(~cv(#s$*i~X*Ef&MejniMs`LavKC*wIjdCunf`cnKR-i;E+cILTK&+AeipVxU` z&ht9+d0xkG%X~4)ZDBs~-XzZ*=!nC|#@QdCe%02;(DU)%8}Xs%y;7rG-1s$4f4d@$(ZSes^H}KO6B6 z2gaW;;vWx;{}&_v>A?7ZHR5x)pycyitNs4Xh`%^6{uv|wwSn>fZp8No#{bla-yInL zA4dGA0^?5_@!tuI|Ctg0BZ=p{x6u8-&yD#142=JU5q}mgrgeQ-i2qq5{?fqs|1#pQ z4~+k%5g)q0<$J$s^ZyNj{J%2d-x?VI-$r~-VEq3W@q>Z!&l&Nh!1(8l_U{~kh5SPIqbEZ5g@O7`1o|}u^0o&0bp`rO1@2o%1N~+K{YEi9Li%?quzxSZ ze8_#_KJnLm<|C5+ZUTqj4|_SH_&)4%Sm519 z6#l#d{~w7W!vHYZ*V{5$!v)*r6@2#x&QPz7V>-~}SUdVdiW4*_* z-p^R?U99&h)_W4`{fG5l!+Kv~y@#;gFIevltoH%dJ->B-Z{5pV_w76{SKB98_v6;R zw{@Rw-BVll&(^)Nbzf}V16%jI*1fHDA8XySTKA{cy{L8HY29O5_mkGWqj{fb^sjaQ z$9c}pZ}`2?J;f?t9?zHjd=}?R=5xN}`tx$EKg=hdbsu5fGg$Wr*1dpr-nY*2*7@8z zcU$La>zr(zf30(^bzZg3q1O4*IyYM9LF=4no!_i;nRVW>&QaF+$U65}=NapqVx2#% zbA@$Yu+9N)SmPJR`8gQpZo`Ceeg)FWeHPQ)XX*VMKR@;ao|7?oE_MHfY_|`)k{0`c z0a$$A4zQ8ihm~BqG2iEG)6$|ZZ=*l(qc&K?UEuQ@Blr1F?CVCwkLC0mH+b89e0I|N z$Gp2;y25*xFK?qi@m9l<*XLJw%i_m!`jrgct9*QRkN1yx_que2_x--SjsC>D8kW31 zzrs5uek`Y-^*ygOK0dq8`^UToT)M*hkS}keKk=@CC9lt~@a`8smeX&SQQr<9pPlyp zG4DZ_uJAtM%iHKrytT08_4yUv8S!H|{q8V$JAHiikoS*ykGOP&_c34IMt|a63rk*~ zU*SD0ek`Zoq``ZgkI&9}|Csklm#*+W;mh0TPrO&clGo=~c#n!7%jtKg!Mo1KXODUR znD=RyuJAtP%iHKryjQ`J*XLJwkBcA6>GuwU_j(_nJ>mUh-e+C9!uyObZ=*l)ehZeo zKEJ|yQv6s>zjqqE>wSFol=qK$bM8L5!uy;rZ=*l)UJXlLpI_m{w8QzQjpg*a%i#TO zAD?aT{xR=zm#*+G6FmA8?=`~f^DDf~;>U9O-EHu05Z;y)@5&VKd4flO;=NXQeSU>^ zh4`_Ye)kx>uM^(Z6z`f8?<&EgKk;r5UY}p#Z5Kb5)9+q`w@Y|CQ@k5eyz2yy{=|E| z@cR4;?|SiLIsM88?~TISmEzr$;=M`m=uf;i2(Qnt@NN`8mecQD2JcP6%lC`Pb+9kR zyIJt)PrRFj*XLJww~8Oj>Gy7f_ZHzDNb#0ZyhXvIKk?ouygt9eJ1TxGr{8@B??&OB zO!4kc@!lnP^e5h%gxBX+c+29)a{5`{Ke|$iaYw&gp@1Yd$kreM^f=7Si{f_YZ{0i@3@nbptE`sGf-3NqU_nXLe`^w*? z#lCXZ$HnvXQJ1dF(@zL4{fYa4f%kiY_hbt1sTAHZ!J|L%9yIVa3*PY*-ZLq@6M{#7 z;#uFrxlQm+rtnUs@SYVs`X}*nj8D8z-y(R=rSNiSX#QQ5K9sZl5ybhbjs8hIH2566 z9>Hty{&9c!@3~a)mI)sHlXwj&ysd(_JcZ}Kw^G4t5j^@6uUF!%dr>LpgRm)+~YszQ_^J74JipHMSLHr<1@iIzg!uaazZRYK-siHvd7s9}s+&hv2U^@COC|Knh>qTd_O@|0)Cj?Sg+Wg+DF&SssFaje);Y@R$4X zQfVI3Jcds(=#{^&2*%)6xAII`!y)eC1#lP0T9~XStC&u_2vCdLI%R}(5Gw@4-FZ;3> ze@gIK9)kZ$1AjvB52nT~-%C(G%R}(j8Th*dU-ntC{-c7=@(}!A$^6Roj_ceV_>FO6 zevVJd<8||V_;cN4KKPbh0J{q7Ci986)vardd0jVOW7KC7-W;!N_vAdTpEqE6vOd;$ z-C*F~DfsE}s-II}c?kY%4g7ZqzO_!UJOuv+1OJ_ZzbQ4IPRKmS@(}zk1OG0;mwjYB zo@QkoVtEMu>ka(71^-FdWdEKJe3pmc-)P|9Blu6J@EfFkSRR7^1_S?I!GAV|-zxYl z55d35z%L7aF4ezXg3t00{F@E@cL~1i!{h#)f7td+;Ch$De~?8hE#IU(NkE9<$5_%+oLO`tnDce7_?bKZ};2+H=-t=5inI z&zUdCKkM5ie_Y}OSMnS3kHMekMQpf?XNLj{rS=VJz1U`q+IeF@}EHl_ec8qo;3c8Yv6;-)ra*=;`{sxzJ5+9jsKDw z`2M^)U%#AVWBvMhqcr~cf}evv_OH}Gl6!=_KYuqZeJ=`do?GGb8>4pd-vf*B!NpYC8j{Nm^B4}5+r%bEWH*lPF>`0^Nk%EuMxPy7dcx`X*id{oQz zFL{t3p9_&>J@brXzV@$v?ke3r7Y4S^2Z2{j{~vmuSpQz~qkZU4{eR@s?%YZJ%}k>o z{@CZYvYh!JhOLJG5nmqTf7Hhn=uiBIe7b}ANqlV|@~|Bi!6wHiN>6HT)T09^-$>#}(*L{4e`-2lJEozANA!iKG!AU)1Ua? z^yv=fC-Jp?$isF>w-4i(ukE9s%S=CCFAHp+Zvn5G{%?DpSpQ+9sh|GT{~e!hWj^(P z7q%MC5nmqTJdQMR=uaH2FY&6?m;TB6>OE-dQop`#mGPlz?lThmlI0g773Ffb!9BAG z{|*OBF>ab=eIL?!LGq)&U^&j8EwK3eEBO^?bDy#-zsIGUma-gr;`8T4^vAvi2IIQ& z_vJZ0xc;wzMfn!`sV@3KHg)8aep-&A=so{WAJ5 zp!+gkzJ-3OtD0{5X*vF9c`JTV_gS=w_P(HjeAyxCFW1jVM}GxB>PE5Cy)mVmxz~E%aMRH~o^juSANvK|e?L#fI*`fnQShXi7KBle*jKzku#GU%rKY3+bj`Quk`4 zsGH+8IZjp@x}Sg_b$7zDy~`=xEKlmbn*IyuzRH(xq2EHf>6g^K1}W-(A#GxOUuNk3 z9{i|#JuG!krF64Ask;N|g>+xz%eT;PA>H&#>RyW!b)Q3<(A{e2{yzMuy9<`O_osBT zJgNIy`Y+Jl>^GEeq2EHf>6g@f9a7ZIeZ$$%&548S&JSpzkIyyy-QVM))qmINYff)N z{xC|pe$YRu_mxODL+@4qbNyI~G{5JH=74`g{t$q9pYl1EZd#i5^Yd&&{zMJG7Qt&- zv@qTZ@$0VP*D8K{YWVSaboHp==bxvB{K*=A>jkeB?X^(5`0>(^XL~K=w^8u+)$r>U zzu6joTg9&d8mR${EOjVi@#s^<#`I`U*^Ycd8~iOAo<5jzCC&hz2i(5 zdi(lmA*+uT&C-{JWj)xQwRCM4UGn^v`w++aYyXr|>4KzT+_)NmWjk#Uo#PWjJ=9U0 zD7p6P863tx)sinylrp3B^w$p2CG$mD`^U2TM8{pC1N=_MQ1AFo@@HTpTKw>NsMRh5 z!Y}hsS;wi48@@-<=PNm09ufZ0kvm+!jP*>o`e$J4;U5(KLxQLE)_zev)}!a`qDSV@xIgOEv)s>fW$0;Vzw|&5Z65^bxXlOj&rZ=J z^Yt0)xv8RO_5VdrQS@+J@p;hsPWxqt^iPjiZ8x1K^|{mXg81op(|L27ltD_MleCr!VR|ecpnn|vR=jWlj%)jCThn& zoEfa=c^?*jSqIBHu66#=_SAOM{6Xm9^EWA0+fSblZAa|~%~y*sHy^Y{zFyBry^5oL z9xL5B>c(dVww~u@MCv2!b-7U7>H34?jqRwG{K<8S-%DXy-Y1tKE0dgO=$}mY^_F_s z-o2SpuRcFXe2a(QLm^L>-%kYdY^#%p-#a1CK%G2=I(hg#6Y}h-lc%pv9)7QcJkx%;GbkVoEk*W~FR?uCD4p49$OtNo#t-~S-Kyzj1wk9Dpte16Y^_yc)A zAJu9nT}LxmPwN?9{9Xrn_Js4e^$|R)>*3+|ILI>{$TK*+%kP^?zF)K-)M`Jd<@Ys+ zKO2aTeYD$`_Kp|aIyIar4C=heeTVX>RUWlv;X4t?*Vn(x@fFAXI8a=*imR62$6$S$ zVY$xM>VM$7b$?`R?*3Zw)hfPPe*c0z?SVXnk)dsFUB^bAR&muTuG;$qf1}`MQIK4> z=$A~RU);G4@N>>}imz7j)$)54tcQI5pyQc}4?y3Ro~55A2!nOa=0c8}}L7|7x}W)oOia0(pvq!)_e( z^jzi6qie6q;GcTN{Y5(eY9oJ*k-yf+zqWdQ=V~M0;O)G|@K@gcf}2l=w)y$AI5dv& zlrNVChx~KAqcFg9e_^M)M;aNZBc*VGKadCcOz_k~`Tt3R(`ZfR{ z;*DntI~jL5Iz;Dp&J%~QkZ~Ljy};YS;c+()_YM~v-e_;h>B+!yT$M)q+#O0X_bTc2QFZD53^49ozAd%>B>epSLk+*g{>ZhD#ao$2 zdtCou`JS`BDsQEI$6P<`ko{`9d|S`L<%JsMeMY&qpWbg`+`08d`Q!G31N$BFc5s{~ z%6+>T<>~gacoOa9&TF`Cb@v*OGrZ7v)cuO;(e~7Fm@e1)>iz)3*zH3!KCUkus*Q7$ z>o}=ep02OOqdI$Kd{30x{rr%6?ke?|TRu-GHaf|Ev2^HuNb5Ua$Lbn7=9bTE4{U@& zbm)GmG&t(+Lwg{E?cIxu6c?Y)-)7|FqN1ulE*9qGLn!fa-0;E}&)c*ODa?dW&GR{Px6b~Y7hkBa9pm_M zU;nW)ZHIB!9_WTMfOF>W z-lA)#UR=n|!NCP;RexM~GGG05U4)Ka#wXgv&6Bm}S^iym&a?7&?vwMZ>xW{Y-{~mE z`!c^D?;rE)R(f95e#CUT!_BYh^O2liIM4HaIPa~oCEr)^K9Aog;Cj|_wzswXS_Az$#ZUPZSKm|V`?_A#m+NoxeG}J3 zet&|xx&)_B{M29HZ)sZJW3m1C{(zrvWqh~9-!Adn#cmV3Q!Gq|?KLTJ0}`h^s(V8G z`;EBCGmP7FhH?8OF1;^^$1gSleD3Cr*WQwQo`y0dcaCiv8FlM>TW`_X{;}S*eBQ2X zZ@Zm#qIb-%|Kn?~WV*f0uaCWL<8J*P>M1(At<66tWAdJJvd8ZmM~22-+^&H>v~#|U WV=jJj_Z|`bE`|2TaKz7&ss9C8b1wD( literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt2h93h5 b/bsp2/Designflow/sim/post/work/@_opt/vopt2h93h5 new file mode 100644 index 0000000000000000000000000000000000000000..9da7f0f399bff707368a10317d18dd2f0ef993ff GIT binary patch literal 40216 zcmc(of1H(9edq5ThKCt05|Usf29qI!h9o327&2j$+NlzQib^9|YKhD+gUmDoOkjv; zqh1?ov7(|)TWn)HZE2HgRBF>Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt2j7sjq b/bsp2/Designflow/sim/post/work/@_opt/vopt2j7sjq new file mode 100644 index 0000000000000000000000000000000000000000..61bb1d73ced3e9e10f292dc6ec4726c110cf4498 GIT binary patch literal 1172 zcmV;F1Z(@#?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$YH*1Fm7qdEY611YltQ||X~hJxc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;mQQx)|@=4zwJjFlbGS!;9P^OnBs!v#xT1cNhb`PR~uvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Web9ZcH9df5HQweXQf9@}nRv^GQh69aUNCxE2JIF>P9CBbvg|NjQWd0_4vEM2Hha$WU&`(BX&B;e$uu zgGblwBd3^N#Kx3rd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PM zdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TW zbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQ zD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS z$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-* zD$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{ zRA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7 zi@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b%v@y9*!h^?@v}jIqbJiq z2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%D zRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz% mWk*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAG`oN?hPKK8>$e%EI!X#ak-?N0cw5Nr0HBi^L@O6%ZGG3UM(a-f;Bo zMS4V82oabnUU-v$D7o0VV$aRvfpB)|ya3vj2+lx& zj0_l2oPhutSukQa0|7ELFyc4^0Wxx6R^ki<$jF0{z!?aTQ2--}GY}x72u2ELAV5Y5 zj5N+bfD9ds49-A+j4~KmoPhut6)-fMfdH9RVB~NH0%TOd$m0wI$f$u)z!?aTQ3s=l zGY}x70Y(XDAV5YF3>{}6KnDIPQI&B90%WwosNf6)$moDsg)Ru2V;OU5Fld##t>&9K*kb`5zat>%xc0=OqM4Jp0t1! zOs1sC8YVN+WCN2qX<7r51!=N{$&xhL!L*t**~4T-njB!VCQXho*^nkDnAUtZX$UyO zWJ{V{V6r1kYhkh{P3vHCAWg2sB;Z0!@PaRK-@d_(aC2gU-=E?nRC+k2rTDJ1*7kgm zqoaO+QJ+ks(pS#*xnE>0TpL+$aI5WNkM^0Wx-T?Cd6^=gnp~Qgk67j;o6fR{dJ}(M zW2sb>6+B)!ZMl5HmzQQbl(u$L#f@b1(^Kx6SNNBY)UrZ9-Fx!hLnw5pw=gMCFx8mlRt%rVM=PG&#TwBq1hUyjR$WX0@2mfo=$ zhcxABIq^<|*UYCwn;-Qnetg>Hoc=6| z)VNE!Z#ZbLYF)zho(m4bRy&_gq-e&9m7M<&NtJP~$;o@*BeEV$`$1uI8t%vt`=mFD;wLLMuPETw;tTjsb(D8ch%uz3%WEXwBoAR+KDf}fn zIwXZ(_3?Guk8RYK?mD!Ht8xWR;n^$7?HA{C3LYk<3f)X<4_s={Z>h=MVVk{YaeAOR zN`^5yP&4aDAFC}sea}0&$gwY+bUSH3S#K9T=tG87R;bnp2m8Gt>+_0Az+YvX6zcTX12a-$eCY@^Tv z@8b3v9DQhfm$o_XxcfCL8j}{zZ@|oN@#MNhiIrUP@QrDj8FZ5B=hW@I9F#Db{iL1M zpH^y}>!Q_dJRSB=@CtD!D3LkWsmF25we@*u=3r+IX8Xb;PGv1w%s0*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAbkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt3a8hey b/bsp2/Designflow/sim/post/work/@_opt/vopt3a8hey new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAyPyv>-fI+IKH=Itv_!3dH&Axysztiw0_ztIXBT~ zXs!bMc+4$5nr>?7E9>T+J-ONcc*)b`8l~5+bzw8_YPi)$UNPwwxekUe?kG$skJXwF znbez}TGq5SOg|@laNoG1u{veu&*zWA?Se8Vk{)!icfV3A>bXO@=qNw6fV#aYxGgWr|7s{9A+J>kc$B27^4#+0AN=Yq4pI>wP?QHTh-E z8kaBOGCfx=?qM&MNyB1%gHXkE0@Aq=g%%l{LlGLr=&FP{Qg@X=ac?nnH(?Y}?XDwg zivptd#v*F+QABm|L)4!ByFP<&kdEV4qbarJ6`J)`t0kM3C~bSOHf(4_mwT#UuE_7R ztg%9y7GBV$_)e>~)1vAd;=6@M#-_8KFIR=W7Nd>kEwv86*4;2G=eInOC7lIYAh?#ufxPr7bWwI<<{}03nxgbcP!kE!*>nLMC>7MUroi$ z)C1YZ2lFtU>JX%2l8IP)2YGeYFL^4`I;3ZBQWsr(Mt*yI`-p>Jhkn~)-}gf?)A^?= zs~p4D=s)ACE&VPMJNpiH(^i8=FAL>qe6%8!tngFZhF$21buaNbxFYjg_592-Q=bT} zwcpOjE-%}QOKII%oqzF~3`=RTi#1pgTviO4VLWFG}ET-IaD$Mf=Q!iI?1A zzGa~(`N8b1%qMnjL|5xH{`Gwd`;X(6n5N$sM4GvSXw}k4XySmf_4`riGSE2}bZ!Bi zouG5#r_P0-a}Ve|0y;N?P66ob0i8LZQ~n=yQbA{_rf66d@yM4wVPlf8&Y|Q;K33vX zDk12ixK2%pzGatMCfHFP*fuh_W!LD6&gs|otT0U@ zKDnrr94M#H%Z znUUB%<;;lFE7R{p%I}me4N7pMoV5MHm|gaYp7W8yy0!TY|8TGp**XVjHtfAd2zcP9 zB>1@<{QQ)FIA=W(Ew&a3Z?-`{UxA+=z|ZsGrxN&yfzD>o*#bI;{z^Z;g3b*NL60i6 zR2^>#dMry>`dcg1`=k4=Th8IEc#!BW^Tcbwx9b7%W9k8Z>souChfOk_LORNNbgY6O zFjGlRtEMvPrZU91ffHV&ImDKeOvV`7aKsrR5G}_Q3HN?R*}NgKcc5v^0K!&;dl8jUyZu29C)jzdLuzU(!g$EsYc5l%Dmo0hWYSn z`k;3W%WIhie-kf*Tt{Lt8)&(NK(r;TX+wcX86n!9(ukjI9Gq|8^oh zxgX!9Po1&_*wB+J30V5&1}Ov9&Xph#2dcxeNs@QZ)PjPLvwTPvkO1g;VL~-5+ z{yBjRS$xo$>6Gz_=<+{~e@)rL{$pzzrujYyk&Y!Ins*EmPF+CR*DPQkt%7~D9QKha z>?2#)NB2PEXV7>BG>ZSTeY9$Jd-T9lHzoq^u_*-k!s+Iy_;kwKrk0 z9|zIR(|O1!DwJp4{K^)d#9+aW zB3@<+37$#AM=U$nI7wKvcDbydoO)w;x-?!pjIw!Q6@xo#JH7dk5o=XWBfqeD3wh4F zMy7qzdqUutj}LY@!Jd5#dsYa0_6Y1*m|@>whLyt%TQv)2!~Xwe&jJe*b{XLhd^dn^ zDexJA#=n)XtB)| zGncT!tKaeE@)naB`+s9{N-hxY%8T*Az4q)3-hGTA`4w@t6(ZWOArj`AqHNYI6k5Cu zdie@^`2qOgj;09z{CV#VT8296dM=f_zA3RjYwOIsbGl)t=Pu?l z9iv4GUX)>^5$$`9b+H%ks02OipYS1t@SVSikY8VMWzH;aC8}d4ans7vYy;guOjETG zks`^6R_cL-ls70_^bZtjX^8Ucm7te9pqJ3eiFLrI4ty{hm@xYYDc}qIWxa%Z7r5Oi zLfTD%@`3RrLyW4_kT!PLpU$J&jFYHcmuMRv$_mY2;8~^vePY|b&`+#nu?n8r^D7QG zlXY%9VX7Dm6ZA#r}<5?8EC`6wvmuG-6_RAwqNi@6x65$O%hd01| zcms3*TMe)YfUN`A-T~XsH-H7Ok$}zWSFy>;K52R1WfibhM@qV1#lt?8HPY)*%sn)s zAnH{&Yel+B*25bUJjqu6-SGotYEcn$gWNL0++P7VP4;FRxC~;NJl73_?g#y!wv>ndn}JBcYZVBFYy{rae2aad((vV9Uep+ z>v%+K+>eB9w^8=6B)oq%!~5q?*xgrPck4h#kPF`*m~wy#W(fh`xj1C^_wVkXOv2~1 z0M!U@r`ujEm-SyF%?z(xTdt+L%tAJDt^r=^Xx`^k?MIiI(O{(q4D#D_CCI~zF=lSe z2(hoS6fe?Uz?NIQ7h^=9K%BBjMAJTmgmP{un<0REH3i)}1l@Ck?!i1{eFv^2;PL^k zhF^KFesT?WZwl~SLy{g-bGJVqJJ2g}i#X(Byu&MT)BE&XvTfA_m-LKZJ{e73b(zOh zP%$Hd_btbBE}66UF3`q!JC`C-BFsX2Pb3t;8*32WSea%hKR_JCrTL<}^vBRWVBq%w zPag2t01v#k@JEnS4eYXszjH_bm= zW}Qma482;>6tI2lX<}q8!b`ibW*?7uAU$)!sA*}D%CB*R&MYQjGk+7m1W$P?L zp^E~bd)3fAE$H47$Oztee0N}idB;2qOz`)w>)yLAn}F>)_KqJ_lop+4g#|daX&vK@S}S|-`L{t&aFDVz{=RN{l5)!7*rLotTTRmAa~k7z%F z;eQAz@Ey4e-ileUlf7Xl4?yp@z_b9E+<*x(Vder8+^t{pR{YPL+#x4b$SD$XDutXV zkdr7MOS6>X85U9@r(Vb@5OR`$oHjyEpCPA8$O*pTcL)Ff%*h>c@_?MoAtw{aNe^;b zqDKi4GhvJ`*$z1wK~9a3lOyEx2y%J{Ib}mmevs3r|Kps3;Vwd#5$twUTejtD$tLCrB4a&IgBixSqPh}f#T zMP!;5OncE0mu^0V#|*R|8i@77d>C(YKo=(v$GzoRqQBsbpob9G^mV$sNnJ#rT7 zn^y$Z7&q*`uOm3~aM8e)OugqRABy+)I=6Vt>FympHJx%UvTCb1U+=xsV#={sJ4C#{ zAH}9BoQt|WiqNtJ(=pexw^uCx9KBllLbVcIYH8TGhZOhHC>9vkR*B(K_hJk$>r`kZ z9b3J?(Oqfhi_c*RBUib$1>%8sBTTU#=dKvTm5LQw$=_EmaJi$jvu8zE0$ZGGyK%e! z-QrY@)RI&Q59KD8k-g15T;5l=E1UXR`aGdUjm;QrGM`W#t(nExDZbbeq%%qalwIW~WA(;$i2~eYtaY zJr>!L)Pi+7{wN`?HN8GJW-jqEuIaSat|hg+@a}p^v%se9#(6J3tqvKyxwkBU*;RqP^6wKSlF>|aQF918OJZn zGW_Il&P*KJ{dNU5xZW6L7-=BJD%rbl_Q^Cl1;pFit{W3P)4e?KX0a#{A7hViu59Y( zZoIkqwc^JRdlZ)|K+5|D??qqAsJ$Y~cp#5+o`esYTz{gSI4U7$FZIq};Bh>8VAR?r P{aj7Y&iUOWb;^GLW(gIX literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt3fq06q b/bsp2/Designflow/sim/post/work/@_opt/vopt3fq06q new file mode 100644 index 0000000000000000000000000000000000000000..8de85194f845f2655558ad889c39a8b6068e4a74 GIT binary patch literal 6582 zcmcIpzmFS56rM}&E&)*^B$_Q!AcW4o!)NCzK*3WlD+^lvhwtB0<3)kRk;LzW3%muj8}HIx$!H^Nino-+OOn-p&*|Yc2oief z<<7EM9r|uJAWVY{cB@0RzqoA73HYI?{fgORmiJKjRCksis((l9A@7qO?T~mnO~1wQ_&Xd|+?g+~UEw_=yzR6ZGp}jJC&a(i0{-m@&w1h5gCoSF@hDEl zby>zmllp#(^M$Yq@w_KIS95)y?^fXNkRPe>cQl^ybvDbdf$-fFzGvY$%`5qT64(OL z{N9n@!%mu!j@R+o@5IY^UJOv@nZ~J}BJqvS^ZTNEB)l0m3i0+5x550GXXoAZBWmxs z9iFeC(PUjWiS7E9wgJwgzc2cCkLxpk$8$Na5rj!UhA?3OVZv0~5Y~JbSwA0$?sF$) zedlp=JlM9ZpZ!m9UlIL=>bGLfZ^LbP6c^IY@j{;06VlH9AiXaAj$ga~xGH)`nvE+=*l*3B zL4fn@!%u|o<|JRkvvnpcR$o6Ao;#B~L5J710nX#sXTtZ#Bp(t>dDQ2+u6IRU?}`V) zv%E5quXH}1&xPlV@F2~G{5TK)XxSQIPeY`g^M$l~x(>-s`4yF4 z@k`OUSWpMk!T#%bsIV`A?@3Z_oQbgx#(fO&MP9x~s z=TX=02hEeB=1K7z;s32TzpX=Elgu;k--?b|wd?yq>npE&CZ0#)7RU zMA9&!>QP5NY$@Bi8_ci4^!WTLhJafuo32m+$f-ecaJkft%+5q+!gP5njAf9sz;<+F^^X8-V zkmL3i!qGGV9VQ|Z@sCT+lde&2dT zKW;C`kDrA{^Du24kI(b3V&9Uuimu~7W!&UEzal(u2)-jYYrgLZPnvb@dw;lR&o8mQ zFNS=6&VnvK*GA7fH{|;d+%NKdhyl`jRo1ut(TsZpeJ{-ICf@sDK+og+_v!EFBc9Q{ zpx#@|xHnSXbI1~zE9y8 z!g-5(_Fgz^ClmJp_FSCz%YBeZ1IiE5%=}(v^W8Ba-(R|zAky`s#Lj1{yiRQcr1>eu z3IAU5BF3QW)#&%Na_L>dp4a96URqnDcDZDq8(t~p^K;vc7%!FV{a+UjklmhZ-_zrE z%H#Sid;T5iwPSw%1d;HR+Pr>n4zqsvtrGFz`NH=Td!;qzDS?RlUAqqaQlIsZ-Yx3i zELr_F4qnuDlQqsi6bQK&zoQ)C@6@%ea@~1;d&?PY zt^4@0;S8$V)Z;sYT4UR(Yy{3=;AETCvQw>;_nm=2+1aR6Yy107E%0)yO2w&OvQ`Xi zRVS?h%MD3U`cqzI!(MMS;VtktvbcfJ3;Ydw1FkG-tbTK(}^dtcXg|2EIs-Ieo~ zEjyiWQ^&2s&nXM(kxoPOX9x{~c6ME-Tcl1N&D4~4|HS=+xtZw_M@yZyU zds+74@d3FDS$B%&-5qqwf1k#uv6EhGz1MG4?9p!Hu0K7%HtURrwS-8mt2T@tGR^d& zqTB9`$5t!2x{o-f^u}u9+>PfFVvH^qPO19Jf19vA-zm%LZT8aQjLyZib8ao@o1!&4 zW0;4|Y=;avomw4Au5S*ZGUkzg%^pj#Cx?(c`6yCsWlide>&f@JVx2?lo6n8dPZl`<> zb?%Q+vG=90>J=5I2Wc-2tCdD{_Qg6}$?&Zk%=nO?Yw7=YZGrr$Z3%Ldt1m`&`;2tD zwsJ$mr0g%@`?{{LyeHOqW~wUJG=oD$jgJ(Ys?8Voo%^gbA#;hdssEPdZ-G0653WVA z7HNC&O+yl>8TWmN)`H`NH#i0RPZVb^s-g|%K@w9~- z1L^a66IG|B`LR^!nf!IC#?%MaY;n;WEAem#n$josx9M#)%@uNg7_h!^lZKeOciiq@ zK4s>;oZbnhh2q^t6^pKGwwdefjMHZqX>gc|S8RC+WWMB~`z>0|0W(g4t%9(ulF4dm z&*4WW)llrvJR-=fC5$DHiPWrs#G1SzzSHAK(in<_`jn8Y_!45y`#{Vk(Zrm_Bj%iV zVlMO`=Bzu!oUcpFDHCE&%&-)&T(R=7>adD`taki7isg$HgS89mJeC@k4_27iNvtED zTU4#Y-?C-;kjm1El1&>~ZWaMUb}3A`y)Wv(%zNu@PcZ$kbhpvD5!W?`tkKzN{f%98 ztA?rg&WM*l^}t4lO%Ar5u-$-71GZq;B4Bg-1#LXobYY8t?IvuduuX)`AGS=`Tz_Mm zA8b=$I|SP`*ha$U1KV-fLVnkMYc5#JTw@dLr?YnMOgXih$CO7`A&E?VOPt0;eAp!#dVW@i$sb?IC zJxmc_*QX?@tCWOZ`Ao935|A}dWbHn(W`L|AUqTwPwjNn)K-O*{FaOc3MZh)BI?!J1 ztf$>IrYa-Vr*pDq!ufgJU5c|rsjG*w1NqaLp$Ch2fiVjuTE!_eUadSw(ibSC-N|Bk z%^k&$*0ZPBifu%&I+8FNSwt#0Mq(Ljh_AGZB*i46L$;wqF2FSrE*rRV;Od179l%;R zP#tm*uDNhsgsT&-^KcD^%Mq@6xEf`y5V&}7O@eD5TzBCzhRXmhHJNKTT#j(Pf@?oq zmT(oqRRPyKnd>54+Hl#!^%$;JxWeJu1J}8M=*H4gM@MJ3tzOgpmgtx0XNK2*%#Pz< z%-c+jD!xhFYG;xDzG33>7xZc~^8#Mq8)J!z;Z53lnklD~GeLO%7>A{}cAxSQc*tdA>nDu;s$`61D=^ z9%0{l25R4AGtJw1)`5EBVY&S^_WdMvO!& zqMOFE&*Dg4j1SpBFCowEwDXOiN$ed()^rZNBCi18weJ^O0>VfM9TzYT~hszPJdbk>8u9Ix%bZgkV#h-RZ{c z+LiXq85+ZQ&#qsUtll3%Yh1C3BglUubbj`j)zX9+b{I3vHIxXtt`f$T8APh3Ok#20 z^}T!=-W5=# zpL0SvlER}xTBQTa>wP;v`t(tXy~mdbZX6+usXpjK0kY+RY~jr#W*N@lGwf9b_NpIy zCBR<&ls=U8{B(S;v<%v&7;O=A)gy2Fh{z|Wyd7WqC)W2~bZ@NjZeUJZvx%3pc#y+l8Eo3yYfHYV@6ug~|6 zr1*4ED9?obw0ISB-Z(j4`z4XY@J0enLvJg`dw8bsgrgD5>lK|Jy?+qJw)7{0f^@%z_G!X|W~ExJ$zUHBDUn2s(qLKlvcb>U)kAst;f8C|G`E_6m0_Mi*H(1k9)L>C&M z3w6)ALIlOugi-g3NWUE?u@BY~-$ma^lIal=I>Qd{9L>lW z9~t8!V}B!Kc$XFiAY-SHG53LZbNexu1D)u|*c4=J7&7L7jMXAz{m583GG>O1^~=s; zFEW;fjM0&?(a6{qWb7a^W`~SDmt~BBjD1DMB9JjRWDM^&@9=&TFMGdOYX0Cr`SP*7d1ZJ2$X4p&2u&aM)J z`(rS}x-rADFvIY6qlUK|k5Q_-z<9;t9unZ%N!d*mK@qom>QzpI%RwQZHcf5~Y zMQ_YNzLbzJ5A+7Qg0mdGQHI{ALT@zur+ecc(@L0VFmYfK!n7HtFqm{<%7$rT9ZViD zor1{%rb?JCoq)*;rsFUThAB+8Pcjo5rUaO*V5)=Z2~0&W zwfvg<r!sGH-_c)d;>qa zqlIFhO(ufDV+q63jYtdHaDPw1{aqgS+(6uOvoNdhKEqpuEaARO!+m#P-_>MU`r(=Q z!}AE99(eA_JzhR@YMLmxx+iZtFGypLL@u9BTUI)V^YD$o@X?{$to$|F{L;5OsK%pL zh+va4VSM)_(#%8>yTlgvid@_)`f#tfgnrP034gyJafAuKKj+~0=L2`I_#dVTFxg^{ za$)L+=_X7|VRD7Z2&SIj$<$kG?>Q>i**ei?l6Fw}-W_SJcT(vm=Z#kN)(=wX-S#Y= z?X=|c@&(nBoyT|3l06S|h9347+A$BXsy+qrJ5$7zSbY&u+B%Iejw~k94F)8(N0<2C z)F4UITuJDNCX&U(?^-|8u}_n+PwLnw7wl6Xdi)T29Gy;m#_wG3V&S{;|NQq2+wp() zW8rL*?6g(aZs+M`6W1Gl#$9^iv{Xf<$(n1NwI=N656+A@B$9l~{zQ9_XJWA9i0@NY zl4`@BA?L#_9%xzTnOPi*57>Y9kazyzH77@}X!7>{uc(tpOV)35ss9+$&A;e#ks6gS zCS|t#c=G*1T(I(&GwCiy%|`DuPie;Q^x_62$BQlv_3;W_&_=OJb_E-2EKjF*IT?*k zZPj$wJi-m2%i*V5^ce;JK-bklUNf6EQ$M~JMKt@X&d9HkeP z8Z~^~xFW|6zo+#gaSwlx@;%DJWoGKRaPPXjIPP%u%g(1W3RJTX=sd`^O}tiA88r5J z`>kF44(r+y)c}?H&6m1!p05A0V!h7@w9 zQmWdnKUMg5QC)K9;^8%+MW^XoCTENNYjc$sc@?cSO?cjJ8xk5{M#crS{43~EboNzMcAf@H@Wj7m!ObTI2R;l}vr&2NQMT@AWb+40$79J4 J>)l7z{x?axa&!Oy literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt3iefxe b/bsp2/Designflow/sim/post/work/@_opt/vopt3iefxe new file mode 100644 index 0000000000000000000000000000000000000000..eaedd039b4a9b2c1e28023e6e01c9ed5ca28883a GIT binary patch literal 1172 zcmV;F1Z(>NaLNt|Jn?~FCGf=6Sfwhc@MHu_MF9I%UrYh5 z#9l?cm3fG{ACHB zUMA}twg#GDE(Yko3fBL>|Aqes2l@1$Ng@lvCH7t%>js{%X$F(K3}d+BgjGrg2c+;% z4k8V?AwwBKkOoc#X<{9a+D=i00000 zW<%vP(m^A@7ia@oG|@p5QyiJb2mk;8pm_#}00000S&<$WY<|u=7`45K+47tQf6j2| z3tAcEp_Lpf%?9by5iu9c8X>^M05%8{BZ8G72><{9V+k^Z000005K@36i#NWd8@XCb zsm72KB@O)12><{9`45}z00000h{z9$d#|`E9MG!KuW$JVu9snT9E%;2u<#3Vy9RW& zz-R_h9ySrO5mO%qY4jRNr%WF#%NDQ^1qMh^2Mc{|AdS`xYPF;W3Q8ugU@sw8_pNuY zeg>}VWVJzyB1*M)Rt{qZv@yUG1Cb+Z5o#3FLK6lo$in~t006@*SJ?&t002saE=Fl> zn+qi5xSfon%@cpyQnUa7003%L&qD?P006};+1?)F;`}9k&ouQrR|ey9w;_4PCdg)9 zZkOQ(AQ+8}&;=(`To`|rbA*R%@Ex4W;I>t`&f;l?8C>2oNhp545BZG;*htI8VrG=YaMLJK|WX?W^xEF3S7#mu_;TKcB?MoW{GS>_jKx7VA}5 zTcDAa0b@)PCjMyW16fO;k(LBy9y2EXXyO7|d!Uh)1ZN*3CjMym6bM_Ok(Ll<91|w~ zX!jNgOQ4aK5He+Y?t=M@R_}_M`1O#@CjgUa{PmNv@E>kx3Ga@U69G{xyX42v&e(n> mM7eQ5`|@FK)-qH3b*bdv{8wQM+TV$xQveI#juks9_b9sgQTgxy literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt3iya43 b/bsp2/Designflow/sim/post/work/@_opt/vopt3iya43 new file mode 100644 index 0000000000000000000000000000000000000000..490280531e5314c4ee99e95b3a9b339e60e36f9f GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDa~d#*S?)1Q79N_}n@{}0JJ!21;HfN}QvMEmi5BlBtL z0KfeCg2Vj`>zR(f*@!1fGXBFx{F?*gKVrnc&4^Fy|ELjP2#kNoh@X^r?mPH=ah_xQ zjk^40aX)TLKHw7U19@IKnczvj z|JM5$-S5KP?YjjhK96tqxNXGadAuw2zK{FPB<>GI=Rly}oKd7IIHI&V{t<<~BLdXJ`7@6)s%4Y)uE!P|&&7~(e-=*N9Q2;R{^ zzm;exj@M?X&q}eY#J*arp1Xf3=gTe`pN!}Dr3&QcsEKMWVrFI=XEKM&+EJ| z=Xss^Jg;N8Wxg2YwlJS~Z<6N@bj0Cf}JssQ2FCxp5#Jve|5GD=cVPT~ z81bJ9j6Z3_eEEfq{=E$I zA@_y*#9#NBk4XBvVnNI2IwrCLIr70PuY0-#gCF_wNzs=ll19^Yi_CzWMq7z1{qL{~m6B{(4vM z`T71m+5G%g)(7oty(g3Mc;C&>VQ}BgeD1sX`G;1#Cu2U_v)=b%FGm#Lhg}W}y!(j4 zpI6{N`h|4~=pOkZKt@mKo`z`CemGwT#de3CNKeFBnS?_zS_c+%3 z8SA}^^*+UVPh!3Qu-%D>XKES%?x9;z)dwJ`=o#*9h`vmKL+`9L+ z?z63XYU}>lx>vUDi>-TL>wed|x3%tLt$SAM{?xh`weCBudra$o(z;AyH7qHIz);ZofpIhf{ z>pX3pldbcwb*{C}tJXQxI$v7nM(aFio%5{on{_U;&Rf-B7S9l-tOR{0i@J@nbpt-eK@w@8h#4ynoF5tV>sTpYi2w^e5hL!IIbK zS9ni~AIs_YPJ?&7kI$a+{xNUP-6vOgpY!Ey^e5h{VaeU9O-D~i632$eLcSDMIo#4@*c&`^; zpI_l!FMceiU)kWjQFyyjyqi+IHwhm7iT4KK_4yUvjpE00`n}8Gy-9faelfWY_N90? z3m*N6ceC*N{0i?@@nbpt-fi&SBD@1B-cpLUD0uWI-W!G2=T~?~#gFCmyU*a=D7=#? z-rXtQy9AH^#CwzQ`uqxSS^QW|KkNHPw+io`6z|>?@B0Oh{>0!!n-fUdmzR8kl@jucyAG2pI_nKFMcei-+K(+w+iociuYiO_YuLPKk?osygt9e zJ0pH9r{8-G-frPNl;S;-;(bi;=uf=g5ni8P;XN#VET`W^u)L@Hfbi>n6WMNG`Mb2( zSI+vlc%DA$(v^Ao3Bjd5aUU@7eoye8OyND1!aF8-^e5hf2Hs}DJD$ROCWUuG@aRuG z>w7r23Es&R-l-Jcvw}zeBwmj3iTCMS1n;>NUJeb-zpK)Ra<)H$IA68VKZ%D1pM%#U zcn#h^?hpSxmkQo8!J~f?uOWrERq&Rl@cj2yDtIk|M}Ok=N}P2sD&>5fo&J}?@|@3n z#4kG!wiEZF%;z~@?=4TsJ>@KF#(VNwpA*`KXpT?)oR6M+{O5cs`nyFx{i(m;<2uC8 zea?dY#(b3L*SY?x+y}2fKE9t2e;?r2qO;!nT=qBbbNfBdeK784JAUJyHp}wleeUf@ zb9}U+<9Odj=j8a>!E`q2*zNop<9c-AfXVh_IqS0smhXvBEyi40!{#hP^KkEIm-Dn5K{Qzk`cbx_=pG($D)|r@>&k^HUPF}?W zY}M!PRR;c;;LAE2x{jeo63UUl!v} z2|mk1@Ly@*PYC|O)VSq)3F>Eg2>v<)f0y9PJ}cILRPb3Ig8wU-U%B3Kox20SF>cJy z@kx2SZk`W+uA9sU-?9r}S7F^`KJm7?b*(Y4>*i~W`b@%`>o)(Mt};NM{2zfy-`nOB)SssFavw{CE!Iyn_+`m)s=X}KS5d2#V{C5k!oEu{NQNd?<2>$B~ zd~QTJKghm6#-9;Da(`i)_S(lz`tMc)B6DZ91Y7u@O8fC zJj?mj8T@(={)7Jbb1+N;?^f=sxgW=4mf3)L`bAz}{%Di$cVy#d(Gpa9&ic$;?!)~# z^9A{5eY@n3OPt_Jenb8-`18EzZ9{&u_xB~r&|DaEIFh7ZpYPtR; z5Ax%4A(E_To^j0A{?*T2rQ7Gi!1nnd@T%$mL(dcI-%Eb95B;hCk9^vlJE^~!Y4pP% z`}|gxGylV|)$l*!%VYeH`nUr9iT{vKcQ8MRukAw~w!HoOriS>U1X|@mjseivucQBv&mobg@`J~Tp-7onEV5{Lj?8{^PPa{oy`V*h^ zL%M_cNqlV|@>FXd#wFWlozXs*1h&sK@T%$mtmldKe-3Htr$6<7-lsd5PyN)*_IbqT zx3Zl1UxclOKjX_|{4e>q0{w~qWuNX~eiENNoIlCKc31?P96yX>zK);uM*Ca}Am|;g zHUE4Cc-8bD^gOZtuOiL%p+EJ1&8ItF4WZf$j4x;8oNAZO;?yKa4c>)1UgkL{s%VV6!ktPoPiKF!;UbXttKUrVB2W?&I*VnBwJ~YjJMq*#G{6eInT<$iwXBOe# z;b1AoO|z`;Lpm==e)JbC$N94b7Jq*wzv67}QXzZAcyo9&v^E&Gvqx@X}>-CVz@dwohb%agiaM*jtL zU*^lV&`)($(@j4u$NwyE#V_hUi#E~T7c`JBJ0$((`Wflyui!`BD0aFxrgXDBsrz#J zLpS}ajgy!A@-6hEF7-=~6Z$1}zXB=h#t zDqp^ZehcZQUsCs#NKrTF=jguJ(ET^?OX?m?>1KIScRT$T(B0{dt9{o?>c?W>21g# zMk&`1`X}|i66t2>-3nl?A4`$u_gv8&@NdW;0x<7WKIhU+OVfUSo^8mVsNvTlcrA+- z##@^VE<(S;KF=;I*Q?7HStiUK;XjuZ8?J3f{gNe%<0X zTf=Xw_%&eTlH_GSC&$w|q|U~8Vs4aKT&7(jw*CLn4h#Q?*fFs~Vtd6F#lm2mE-rI? zqJHIRKkmvSqy1yKOupP(8XTb=?iq5nuRk-g2x-Q#o__pREc0_xU)sJUJ}u+Eth%;K zyjsQ)kK=|qo)R77cMccGKTw5#G5l-s_Y1!~Pr>}l{J1TT_3s!Y|9Hu_M^B-5oasVu zUq3Bm_0ghP`m(UB2ivojuI-{rp5JmG;#hy}pHeDakTi@NR|BwYrwyWWd}64FI*Jn| z*Iqq?!}zCK^5uzAX0)FE+9A4Rz9?(|SazT2xJz_^-{~0Y9p6d*3~WS;A3hJY+GRla zWgaT)IMs2(_elDDCCAGn!aq84hwGQIo(Wg~3~W98gTjAE@U-6AFREu;bo3|muzuPv zPf2`XaM-oS#CX3OCmGm!^t@g4$UGYNN4zvwB79*!$M4?5pzzwD6y=@F~#rt_pecUoQ$KOJv6Z*G(PSy=My7QT^* zl00WUL#)RLCP-Si!PXw{L!w{St9X7=eZ=8>atfBbj|uN&&j{{T(2u+P{9MFD?f8c? zgY`V`!@@7?U|Gkt&Oh3o+HRUZ2t9oMCdF#|>GPrOsQsY%Y7yqlvw6an#Ra zr8`I6_{_l8^Sq2mePq2Z7m7Pwe{j699o3RQxlZwWDNM`z=O>A8@$h>nb@K3gC*&EZlc!K855H$Zo;`K)^wr73@0E~eI*_Ng zP;~p346KfyMTpmaQOkZMu3CPNgz4E(p5llb2Ys25y2b&&H$t8hfjr}9SRZ~*ggo+| zyIgBrkDp!et>mbjba2~flf@gI-Jp3L9d8Pw-28Va~eN)Nzi}r(B?FY5|z6SAU z1M#tscKg!a@q$~YhBJjhoj1AfP#(3)qqZ!3Cj$BU`gb|L;+P)?imO&})$;ootWPs6 z*V$VA4}7=okBrUTUn{;^#aGMkUy!Fgkf$&*w9T#S*vQi=u3E)ad!OKM6#Og-lIs@z zl4%l7zr38@di4?&)j!jtazbo%z~+uV5#)8@|P z{!#m1t@giKeoult&A33|bCbqRr*T~N`#RWq#sR-SL7w(N9$o)eXNqI>j01kJf;{}3 zPDp(wM}~L#aXq}Np86=yXdq7k3#Q|NJrka(K%Q~qK12Iot@giKt~!}?Bg2_-)_Z8&&%?u+9U~mC7*{SX&MyqO_ClD;N4Ze?&jg%;ex{(?JYSy8CZ_1(rBN%uZYh#JzAfU z30IHI$OL)Pe%k{53W0uoHT?8mCA~hXF5RC2#+}QzrPiN>pTB>o;g{GSx%RPmEAwcN z>mMxNbJkbot+el$>xUh(Urm>9>sh$GP@}xhDA)GW`%R2Hx4tNU+v*@_FrnjZlaV z-7l2}N8NpB4}`G2dvTHC;?w!tjC@>FRQ1Qj!kl~vB|eTDemp6@s?g{_1o- z8kRWyWB%SJ1IzI_js=YQtJ~Y%yntn+hj?5UIS=c4sh0WNU#R8xj+p*2K1dR>-$*}4 z#Nj;e-%lWA@b|3=95=3TJ?Gcko`Tc869B~N2Y|x^Kr)>{zMF3< zdKAaaLRPon|cb1*RC+ACf+^nI7S$AFBmdc-(=ew_8z*`M>`3-z^Q96#>s zKX#_=Fz(s|-EfBd{jS~74fTyfSr4?m@!ZQk!WcK=ujd{t`>tQH%sJijo|hE9uEVwb zV|)Z~T@x~;=I_+GCys*<@{a*<&ivh5 zbnVoO3)wk1xInGyj|)%ctG}*`(9z5IM7y|overDyze~?~R{qX?a-MblP%QL29mRNG z=J(_MV}9LA&#T&xm`-=N`89n$lJg7adA<+ly%qVf3^>crpD+!hcB`Z@|G50)V)v)g zGm<`>%0HS+>wB`~`zqe&@%sc^&sxs*ww7OOpkJr>DWBr%dn$cj*Nggc{Y}1a;=0K1 zPf%Bv;Pi=~`s@2GP3wCswjbXg@bj&V@0R%6C4RfuZDMzdg~_nJCM9k_;*>{qPl$iN z5jS~;aeK}%ZlA=Z_XY9z#YTY7-MsPITXN6SP^RS0v27!xZhdd-Ejrsj*1ML^+m-EY zx6@Aaj`{U}eC?G?x3~HAvA1p9t=~gEMQ69Q`R8Oz-g8d&_(Eb>X_*pXbzb+Ur761SM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt3nv4ci b/bsp2/Designflow/sim/post/work/@_opt/vopt3nv4ci new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt426jdi b/bsp2/Designflow/sim/post/work/@_opt/vopt426jdi new file mode 100644 index 0000000000000000000000000000000000000000..f8d366e720472474088d5cdd4d6823069573ff32 GIT binary patch literal 112927 zcmb@Nc{r4D)c5BY%Zx$Fk|kxSkjggp>`M&8n6Zx~OZI&U(SnqaN()8Vl6_0EB#NX> zQ7E#N79><6MDIO%pXYk+>w4bjdj5F-n(H#Z`@X-QbKK{5e&?Kl;HO-sLTN|eMyHt| z9|0!qJ;txLb2jbqQGH9D@a8j5=H`I? zy}M3-&tM@Pm0!R{x_?k%l|2@*$|^)GWD}-JC}N!zLUyo<*w_cQOC3Co!)LI&VY@{2 zIauX8vK`ZzBhB!lkFEq`|KfvkSmzhJf{#CN7GbaLEwjhI&&sO#)*Ek1h-mCg#ELql z4d3OPb>=9Ug$b-#oP}^@bYl+Z!{y-?Z1S{3XHaYTPp)*0>N2cN)2C9bdw|l0Q?{Rd zu^Lrse>%8elH2I~PgZO|pw*1luRjAFnbd%F65HZXk)}iD+_O*s0{pN642}z`m4-RlK@9U(Ubv#j&5*eC6DO zjv1N3n@4fJIoSVT5I`{qG8lvuowB<*F&NBm!Q?Z@2jb&K0+cH5)L<;*PevDAHq)=prPzB%h8)u8~xX6lGkypMS+u^}wqakrP{Y zom3AN`oMH@4-WY|{c&9e<9lyk%#BkP(n|Mjx7i%|-H9DJ8uX#)t4yot*Pr6Mv0?2s zsuo6tu3{MBoP41sDXt{Oy0L>wzt8(_c!7s%#PFfvF|;u>i+KSCv8_RSM9-eZ%Pwy`n2r4V|B)S6Jw}`E9AGjv5)a&nl2r~ihQ2p{YyHQoBPzZN=9m)pCTlVi3i5_0k}IC z7~k9NVk#;-HmUM3W;g%6m3HimR>1p|cVF-AnH_bT#s+uBMUf3pTkgFtn3X29Ji(bN zfL!yTd)F4mJubhV(%4xeKWe%lJA2T}3@h51c!ZTt(OT{RHH(OyHnxZ>9AM6o7x>PL z#d6Cfa0TrsqAAE~_~&AC*p3}4C=IAmOkJe=V!zy&({*{3CrUIT{Jx3p4ov#c{mIEr zDfxtg12!zbRjSzNRgm(bKWly1M&~Ubb5cv6t1LZZs=!9{^b73MxyGs*=Y8fX_H>C* z#zM2!Q?)z!ztpg-*FVpyE6yvc^98p3#2(f3dHcvzwNYa<-BlZFvbZtEB=+HheLNy% z?b!cd&_pq4p%}DL3_2(VT@-^Jia{U6V1QyUL@^kl81|zWj8P0GCV21gWw6N%`C*V_@Nm5Q49enhCmcU5Q-rf#SnsG z2t_f3p%}tZ3=t@XLnwww6hjn>AsWSS7{w5SVu(dC96>Q0MKK&hF&sxR#Gx4CQ49$v zh7%};L=?kG6vHVLLlTPNG>Rb^#gKwxNJTN6K{2GE7|x;?&Y>92qZrar3>Q!g7f}qC zPz;w*3>hefOccWv6vI^%Ll%l58^v%9#c&7GbN)$sCilG|CP=jK)g<_~hG2BKm)S(#epcv{=3=JrT zMifI6is3Gbp&7++55>@eVrWG%+($7yKrytT7}`+`4^a#qD27KUhE5d2V-&*^6vI;# z!!s1aa}+}tis1!{;U$Wp8^zFrVt9pOc#UG{MKScD82V8RZ%_mAC@{lG{vU^h{3-=LG460ncL$!az%8MiXknOp#kqQ6mAr9!g{OKqbGn@2xKCY9qK^F7+vh+yqooQQ-y|y4Jl9T0CGl^6n$|XS1mXWj}eLhy7~B#_w-0 zANTyo2P}tPAJ}w|3o5R}O&v2VuZGs_x^2!r;=aD9Po%29zy>|5Y#re>O8$_F*c zoa-WxF)(*U*yVcg6oIrA`pp#_E>#Dc?Q!T!( zTJ)c4iFMUt|5R^ZS1tZe^^SGb68}{1TvxsQpK8f<)jR&FmReW6^Pg(zb=8vpRPS0> zE%i^e%(`mnf2wz{tKRib^`3RrGXGTXU01#PpK954)qDP_mRnc7cU^TaJB!il18fY@ zp*jQ;wyoi~8lCIRpD%LY%-P5At3cycn81N}0rLB2gQ8P};u-ZTPuF9R6wRj3%iK_h*P&z)BGiAnXsvr&146@{B30m?fs&zR z%RUJ2Q}H6g7QG#^^lh5Z*C81|1fg*Y?PWnN=&0KBTpRBGbW!@#paWH{sZWVecE$Rl zQ?V|z)+PI?z=PBC>Et#&sN!O@&aIFUu=!7GUs#lJi;}1^kI>|BaJ|LIb%{GBwyw0vR6a0-;-2m|CW-&~+ zH&px@tv7^o&tb1R2w58TNhg?JTc{K8JTL{`+dg;=KTw)pFI@H8rdQ(oZ?A`txw?>VX{L7w43Um_@G3?F$VrwoRgyFRMG%Pj}v$T{NtllcvMg-ckp}Soab-1<9WrYYAPQ2S+cTNNLY1~avfgyIu zf{4+5pwZc`PXsDw`#W_@P3V-sauC7#`(5GNHd-J-H;dPX622I#+EN{$XSF2~fgC^_ zv@q9&tlo}j6;P^Z8ZJ}TgI))G&ui zYg_rL*S*RX)wnF?=O%>H*ADf2HsP6Xsvh9zWV$TwKhJj4XrnK?U9KBJoNfR{@xt4g zmUT>_WyuiY%d8u?gih#MY!Nhqvb-lkitj4qN6-IO#{ahA7c~ZDx$j~o5WQ35$Yz0a z9~g$jE^o0A7@w0Uk`G-ZfyMqf15=2Jw!E?_N(=VaFmE>lv5=)L*Tl(CBj{0P4$`M$ zV>@?QfME95?-Ur7-SYG0kR@dQsac{zWa)(xwQw5z32WRyfyJlK3s;J4E_V(vz(UP^ z1RLYW)w0iZezXF?*bfgB+_!cAh6C0RD_5<;2D+qsMT~vntMB&m;^(Q7R46hgBr7iD zrEYBdWdp|kEAwpd_o&r0L-o<#C__K{h8SCzPN{2Q+BPLvb$vH3<;lxTLiL&1zU2h` z6Ya@A9B*D;`f1&32ig&5+}Yqf{?1j##V$=AMQ!2v`!AgO6L-JH_ra4G7 zzj+=dY5`L!Z_O$2aj5)$#}`XbG2{A4g%gm=&vc3gW;(S*3OF7Pt2+GqHGvq)FpBPX z>J+|al1W80YQ_qt-t-(*u$w;cj6k=BH^(<C{P z;Pa+%bh2Fwee=)`_>PFZX9If)6=lYqEJFQv>Ubns3?)ijh_iGAbLqo(OrXvAm1x;T zNgsxWP+gYaXVa6^ci((+mIS|_&;4}*w-=8ld|kER`Oa2HGq}8*RCMAJ8FqSax#bM` z+?~w9c^2R;`NN0;#?Bs72BwxEd4e^R3alHGw^p*y;DoP2CI!rH#q8CKz2J1mjbRkO zr8VydS>BbB6Tv}N;N7`$NMSy(_A4mFfak> zu-E;Xw5#=u2b7j38y+DQ*8Q*&&nJQ5CMn(n@GXoew|_?uy9!0fJ+W*zDGg}>Y1>@o?sPMF8s)r3`}P9Idd2w#^~P~v;ecL z>Jt?3KP*wK9b^ev?GN!(5U+SVlq^Prb}5r)H<$sJjq#A zw|K3w~a&$?2PWHlfTfiREonxZ04ACD@ zyx`crxJ7nwF%izHb99G&D|HD3;9-AP)hLQvAv|a$P*!K(Ex6+e+Arofia4`+>uw;S zBPOm^*;eghmadgI#Dup+V!(?$vR=3!H9PQf;&+O>5A@;ooKZRV`lQC!+U5G;$<_>C z$RnLiGJ$I~A5t9)-(@rGbbG5ObB&dk{XpjB1Af4)rkv{!pzwQdB2xgU35?bHgYO3O z-(P%4AW-?UClGA+W`0ez(t^sU^G%*`C^O}BO#~UD{FYCd!+rC~59it~zz^SflLGus z@uNHWECKKKnL7wrv|HEaSZUzqLV4r{ZdO@l(;M=C)R{4C=q#A)Ct1lphvz!SX$5|h zFD@&T2AKCwl+a~)%Iw8eGa?l#!*fXVy5f6@J$Gy&+)3jN(~r;&G1_-8aN2S6 zAUjC;xi<64xWkS(NpS+m^Ze0#p%4slTMqoj0J%OYlEK^PiSzNg9zqC#$Wv^QDvBW^ zA~P7=DK6Ftf=ry#mVN;|*O@Eb9Pe(V1 zMIT)Ir^{zumuhu!!s+GcWxe}z>TZu~M;! z+)9FNZ%*n)0Ee{f^_2};@a83Sc|yqhHNmM+GQ8Y+X2={Gm!dCaG+DsbE7^Gz$dmeY z!X@7luJFD034-9Nk4yW*Xu!uT9pVN*B(jxGrf!wKKg2LJ%ht_XDZj$@Uy05Lw}P$F zm(DAQ2&kPszttL|2gWiq^p3q;UeTq(fb8d0`i{}2KR0&Tf~-SoK2xG}^X2xFUf|&P z`WHKd`S(9@4|WGf<$7xZDEpqSxTF*e%08P5FhImiU>N3U*>+@U-<3y4LjW^$^uBrl z>wrhrIouGw<{H6qaC@0i5FS52t;2DA!`Fqz1Ur}~$hT@(|F|RLY2yt9n->%elxqeK zV39iwpZcfEXII#L(t=$P-Ox(4<1f>-1a+%tj65wGq`=(W^+tm zs}r{$+*Ts``GZ9K=cOTUh!CkA&^SbcS2QZ88|Zv& zHc#6kk)mPdFnVryFp&Ja z=P_Ur>(I$C&vlO|Q@_Thm=NepVQJpC@xjuz>*BZ+>8?yd))|*K^Tl}Svy(qK-pFSB zJc^`uXCo7$G$T&eUXec(36V5B8wTF!4sB%|6juM}b=~Zra(!NZ`*TTs6x=sGf87Lv zyXOy27vkfQ1(=IJz50v7S?xO0Vjvp&CHcwzfEka&rNsv59=co;gv zX9G>(!W)^CAa$)j$ZE_NZoBukY~a;+G?Ud2-rFCn^at%XTaS$I3jq7InLd9w8zizY zkQxnZtCwc|LFMt~Gd@HTtRAXziGg#^zSZ|!(1Jsp+rD_h1)Vo+ht83KQ>94990WAH zS|fxlK;;xhp91bMDzJ9I5>9Dj9|wVbO_Xq=0}Zq@lWN^y8h`X+oLQKL+Mts6)S_bA@G>|b4WYl#oftUMmVxx#cjex(~|mgMR>pH zj>{Yy0~SX^HrYY+<}Z;tD#vnG7m41m`55a142X#}Y-9`pw6gn^IA?zG_JNHpe(!Y` zHh(@c)|Yl`CI!D33thUVS|;#rn7?W&_nsZH8q;eR;KoKIP(}83bNGSVs?BGAV7D?i zpTq~iYURyQf8cnww`F=mG*rIG8T5w>nIosB&XM4S*43d1xc~c0d_=nzXt8DMdqOVm z!-<^*WME^$a+?E1{-dxF%>tO1DZv!*A;uWr@wEizEV<)B;IAMhbvB3wSGxP^h zDA5}%{rDR0+QN8>K?@V%leM!m&I?lNUV5`b>%Fag-!)e|y4Eufyf_TJ>jn;l(O!+Y#{Dh$WhUU9{zF11^mlS&e!BPScVTs+g>| z(~AATvd;aWKR9?9#E|U+pzg+w801|^5Y}wo7Y#R7G?M+{UAmLRz*`cm+6 zUuizkf_nv31W&k>a;tv#Wik{{O@++i^`$qoux<;WsyG-@pvyy1Pw5460)5Sb4T60j zE+V5%gV$~PB5vULDays{ugtd8^%H3Oat!sdqM(dQYuHvRpuV9+D1=!3HCYKoPN3VE zSM|Ai@LMxDsjzJ_^*lXA(E0L2k}XWOjLk6V&-O0|=y*Ykat@sx>XMog3-7ptvV-6S z0=&X>U}-%;;IO0TAO@WMjUF-F;SeEjyL_Y+NfaLm@n&NHKc|Xi7_m{DOB#D!nKJ~i zrkH&8-p?d|;6K9jC$EP(oMJYvT2QnWFTgASQhLoC)~JUtP#PbN2$W z8dEc&;!$Gx1=oN4z?dI+C@b3d1BE&!!$J!HWemR}@~&V^x$fYj0aF%s+#l?e1O2Ay zBq&R}KX?oRuMyt11ZY9p*Q-fp(9zHkpY(_fU+o^Zn8Vochi-{+3$SBaEJXvdea%bjVT#2zcK`>?n} zLCrb#+hcVqe8{$XL1+G0VLr-c1KH%(0mGK7T!kO**#dc{_#@MO(@(eT+Pz?gZWfLz(3S_28?UVk%gTp4p4iQr$c`|TqaJo6uo`y7i*nPR8s?ch&T z%~?aIWtXDZqdZ46R$rPQhl1gozfAyYyW58Y`RkBdVglm7KY4KG;ETBlb~h3{;hxD}THD*w>Gs7eJ1{u5~zZ=EFQD^2!-6Iwd`H?k}0<5~Hw`Q_f!bcyK zN-7AxIx0IRL<67eGyN1e>q7b=>bbgHlE<)L&g1IH-9fjfa?9RrtBvb*qa3?_&&Fe8 zlNIN>zmUL_Eb{pT)KKPFm~J6;Bkr3-Gr+|hY_TPffjcqWH6A2(j`+_Yb)!Trv9}aB zTFar_&L815drOieDJu$>BIQw+Sy3YXk#7X7=o_8jbutpPHlAHf!ja2wDtR>ui){#O5`7;Z{z6vyW#{bNb0^O?(Z?bb{TjSOkn48tr zUDCds_q|(Gd)XRX=%?!RpqPm{Uaz#*RWIQ4{>5y(hAi8p?;=f`Nty!Ga_%Q@qx zpb{5SjFd)O^p4S)v)z!VW9~0+*FP`nEiBm(8bkv9PCbJp(2scQkgTHxovO^uX0YeG z`j*1IWKbRab0Ho|xMDv(E46^Z?ANOlu$eyb%)%Neje6XRro!#gvcl?m8hDthokU8b z)cZS<3ocWc*Gr=$y|F>DCim)W+%per7>ph4vY#3-)lB=I2l@X~O9wNiN)x59q5SH?~Q7za4Ru#*TD(~xO1GSgBEkRMuqJRn) zSYF#Iouh%8;y9K9b{iD_z8#D?Cvk}3O@-=%tFdj3N{ZHME!MF5I$v8eXoatV?oWr; zzs#Ks^ZI^UozADiFM-`>_0Rs~Ro_Y$4}J8xikrVF7ep}labuTRB>raj zRuV^Xt}k<5nGu91YZRIO4L!U^VrV1Pw^7qC1ybVovYS9kR^Uk!-P@WBOk-T7pJFlj ze3Z~}5()e=pWaD@Cr*c7RXx>$%&QAm%z&lx){HDG8LmR#GOg?)BnXEq@_s_p~N?G#{%oH%?(r4gGd!@#`nt4C#)+qWrj&$xy) zP}3-%NXAwHq!Cj(q@B6=R);HzJ*^ViQO``36q~+Ec~LvjV*^ur2WIy7O#f^re!%UO z$Wb8NkxED;CgLS%`U)JOJ38!XAx1#kp>J(=*jmzh$^KYt@vd~`GZ1ZuFEoLlKK@N| zh~7R;4sQGdO;F_VTX;GAM13X^)2< z?1G}_D+}1bpFp7iU5%EdVrL2bwcJ1jSJ4&RJvSP1aMVqqz>&lNCy!sddV6RLOrhCt z8y2R7@9~d%Zn1_93&{r@zurWr;ad5D;lSyo*$^p?x$YteK^ih4`vdoSk^LRP^C`FDgWd%3!7j~fkN^d*G$8d|45lPl7FaIFt zWx#zAq?*7Ff!&%(VdCrz*X|8@w(9rd#k_^y(i7y!TUGw@1e8A$zrDLk3#yQba5K1Y zr8k3w9C_P_4zcm@V17|AqRj$`oA)6{-f|}K?UGfNu(=JpkqQoC2ErtJ8noT8jHN*A z{82LRO1|tAmEqdLKSIP))&$b#$_Nf?ATBC}X>u#f$WHRmVUdezR>%8$kidc;6}Zk` zpK~n3*_}u$wt=$^aY-7~(+{)AuDAx?B~!xdmUrXzV|ZSIdJV_NO$DOLUyOjDe_zg$ z%<0@86gV7K%Q|n70b5>Jwj&i44!2k)FIGJUrvJ|m1Iwv{!)&i(hDk8+Wrmy%iL^SR$91MQHxbv&Ft7-3_XYypQZ@p(}|Q%9LINXrr~^-J1QL7*T& z`<*Zi`p*b{qrlpj1B=ikt5^f$!@RfxREgwx%uyG(#^CG&x?cMBhb>|4?wR~}A_c8WU2m;|oXLCPl}%hn;X zB2x>hn+|1}!G@EY1CMZ!p=t4*sX2_KaeVd$9b&*fSCy&JVDbiatNqG3O$)kze^?t>uNLpaSy0bxC!9B#%fRO2siM{_96VNUEW)|%KvX3> z)QYfE-YrwA7{|?XT=Xh9s~xU4frsWfI}5hxtT#@v`}uOx#xr;AE_#_mf}H1ZOIZ+F z(s|`@2+}x}Y&0{2@5@Vh7k`jJQjjAbX`F6+z`Ge~oC=D45Tk&JZ1}PP$r8jax`w(! z5_>Z$dyE0aDAx!Fz~^bLpLIY zxAtX2>j7VB6L?(Jo=dAf8_oECS}--EaPvop?Ne(%N&?DI_^)dq<7w}oC8Y&Jjdc}f zpf$x&(WOa-#_4Y>@o?*G*RQuV7BIc%?hFOq3|=a*>a~PD5tVLKh!<|ZBOgbD2nlgE z3K;3X)}rkZ-lX8oFq*if>$KdfDEU2tZ;x5Sbl0sBirrdWL9svnE&$MJcIg%GTGay2ksc&}g#D~E||j8F~92!gXB z>ut0SdAPQTWEZk)?2fn&S?;@pO^}*)bkT#F2sH+#je$j}_#LOa^Tg`TwJ?Ti*;;X11xLL`#qXgT@^sMb3cuBnt z;vBVN@Az(28-bn%?Y8Yv13yDmr(17#iKiaUfy^rccTHeC$wKM=b0q=;)BZr+RD7dz zvU%BrJqe~N-;LzLtD$G@%{@qeQ|5ZI8Jx&0I7vK31{wXQO!07Exq`#@ps%J$3 z!-!iBJB=)XmCDOag*|fW90hx6Kpmu9qCkL$j~QFA_`7TR49s_5f9h|RVJ~3Sa^tiH z{m-Tz#3jR{mJ`c#_#tqxHP~rOb96_6=OpC;&MjQBE<^9*TZLuTN@jI@H zFU^5aQKE2B@;aXHgNzu*Po7?R<2fVvK%|)4nSHb{iVEuft@tCsIS(CBt#$8eJ^ z)Lip=$D~?+@Q90s7hFp$wI_bd(yShtxde&BQg7`ZB+Mxge&B{hOE?Jw??P+0PvJ%L zW5qe*cK-C-7jFmoJT!It=o<$3MW z8!8hf-jLv%dGSaxjJ+GLRv6a;bL@3yGf0T)KFNBV3|Kh>xp)v&`s{d6!UE)2gK3B_ zS@(HA3Pk)o#4|?)XE_`p#fb((6V>;S@1_FF145}5GwXhyoPH;FMQ|#Gwff*UYmoaK z?XK9PJm-#kh4`6!lNK9zckg6j^hWLVnN{+R^0QHaMOR#u4SWW7dWt*Z%0xwMo^;qA(`%0l{=rL8yI)v z&Dok8a8vqcg9%XYxciS@lpbT;GrfmpAujZQdSS7>7YP;&xq}M9?fzq2JTf3-C?$K_ z3^K#KRZe7)K`LqfRy@dTwVggHWdTX`Ka7#E)RH>YWoQZY{8 zDKO*^QIzoajf2e(hWGsz=aQte-sje1j()a=B-@oJ(sG*4d+ZV&Y;*A}hP{?~JX_vS zAy+qCNQZEf7N~%;LB~}1C+{M-7r*xY>Nbwq-`kG=o;HH_ ze*@Q?ioUExd7Lndd=zFpdlO!D+&yLjBW+^4KDkX7Gccb!O)47g=I#F$)W<^tMNaea zB1mJ|V6$*W3pi&B?wf&=hVor+XEMz8A9s!i_knkrcMn)VzsA-x6o?!9&6*f*2^s=j zNK|d$^z8GxO9O$EKP@Rh*;_q}zZRy3JIcU3rJ&O96j|b>5q`JQ8v5UDzNe|#P2@Dy zqQkr1=VZ0yVRHYw(@3^Zv2R%a)T_%o{ZH6H#i4Rvt)w!~JFa(ehwcb_5xxg6KtLP* z&Q5+mj$PHd9K6y-urp6+lk==-C`aD&SA1^V{O-k|g^5K5<4X5Na`Rwr1Tipmq<6;% zX_GSPP!kyxDCAe1Do=R`4T(aSr}j@o?i*Rrt>$3vjS_ z*MSVi`SZ5xA%k%i^NHb9AVwElQ&XYAygm^rnQU+SEp<$doBsqm15@v?k3-F^KsAfZ zpFY;$Q1$AUqr2nV$EgSCP^EezS(&vY>3SkE1RnRg2HWvEf6a+)i=0MRrB?xEev!o`p9qQb$?GCJ9M3pnY|739J~4SD1j& z*ND#{H{9hJn8Xf`=8L_W^zeIRbrLjRyc3fT*yQ2LQH&N`)YOO_}@5 zz+}JoX>a(lTwQ?^QN;@O>R(k*n3WPa{%V>I`m6fAuAzqa?eQU0SQRbY;IeH+u=U~= zTM%73n$DEB^RLHJz85TQ8~;m;{kwf>|FKK3?O~d(U7=v(#jBTb4}Ija3HoilyL5u_ zKFr^YI5LBL7jI_S0dulS?g2Tb(_%b4cF#HEG&4&f^_DYI7dn;g#7Y{7Au|kcm-+e) zMLH=DCzMJi!Ho&ErZQMcKP*?_(-GYd+nykGp}Z04csN-e|7drj1?0K; z2q9sN^VKkI0w!$miC@qy@KT+SdJezfj{{8yO{p=^-_@fvEy3? z2S;07el8+Cq%Ext4=Uixt_!)EACXc}SRBC&LQmhn0wH7w6E#&ahaSTv+C`)kBq|u0 zPXQ5r#UPcfmLT~015yeym))?uLPmN>v$|bw5c(;{iJH7Ca?O!JHX~9ddU>nxy3efE zeWviwXY_TSx&HGR(nCUgX0`6Ko3`-KUM`!7;WT}uhlFfJhQv%4-^IeLOF&E}7Pzq} z)bBm2j7#=<6-Dq)4SYOC$9uKlKXdfECh?rfxUB`$D2F;Ex$-E~vqX}s zD8pY~=CIFP{F}J81sJwTBFPnh*ct6XWU8tyz-pQbGV?BGb5b-2Xe~#ks!Hh6)ozwU zKeA3S4A4@RYba&DFxLj+->twQ8f{U{nPq<(;Urj9Y1#WgSfu=rgkf_0_>~Ds*wEx0Sr0 z!RlZ^jvH7$D{9raOdmONjKTSC$5KIq%uS&?Rrp!hV<|j`RITOTiFo`S z+W3I)4;|#c`|}(;sS!08~L*VQt zav5vkCqDi$=SZG0|2%Qp4n9_D&m6q{;pPtidT*#S%JE%mEnr65~j}c;kUpb>eWpoxLLjQ+}nKc0mCr19ac>__3?fu?vNAxpvj{T z>98uM_IDkS3qaa^8c4gZms%mwhomaXWd8A5q}`{_rGQ6zH3bFCo?sUfcz2qPbXe02 z29X)xOYa<8kX}ui#?>UG!`iSfz60s7Y79O883bdTAG&46kyM4L7U{53rs}Ffa%kHq z>)RMsB_{34&q*1L>K0_iH|^bp28EEMxzhxs!}^Z<38&Ai;U}*%dg#C{9edvE6#oTJ z;t5-1z;sN3XePUJ+10`e!XD|{azTV)lYQwSq<8ATSH32Ee+v^My;+qr=fn)Ml0$%L z%o5{i%Y4Q=cX9u4< zt|fF`eYZYwQyh{q*GLHUSr_HsJKQXh((F@AGAbc_T#Dv<_tz

    eh=oTBR4~knp0F1-Y+2Yt2Fz^OeInQ^$ND{Xuz=a@ z<7*TM93W)21|Y4GuPw;_9asAMW>P#2z6v~PL0Tij?R|+wGcV-VTO)$HZ)YnfFC=B3 zdVJ9uW@Ask@OU-Zc_9R`SFB`fg(HT=(Y_VXq{~Tdp8Y-jhe`*O?yo zoIBvz`Pa$>G7dfBY#onTpEz&k{dyz7F}JtGAR8GfykVkM>JO>u+jr`n4FHpeQ^-)^ z(_=H^46;jNeA%g9h+o2=b)H<+E@Z_se?W@P9C#{0LoqqO|g2-EV3 zN;4K@sL-Q@q>x)Wb7QQ)8a%i(KKsnMeH1hLNe3?G3>`03oa-5W4O?K2ljkNR+@=S^o|Mw~znDp3t$PfEbhqWmiYyLihai2Pf_L5#ck3IYjc}`8 zrN;>GSC*>Jy~2A*b6w`hbDiU5&bI?;?k`L}8nRZa`&GSx`*xV_S_prG2NQCmT8G?{ zZx_1@e87WKqu+PIZEBB9U;pbs`KFZySWebDgEVHQ`mrG$_x3QJ`xbZ8?Y8Q^E8f?R zYalZ!Ne*}X;epkv-bh;jB#A6cATug0;xm#PNFdTyys;5J%cNCLebR!qK+7Rd2%GOV z!32{bP%c~99LnvaPh6@(&J#}#6;J@f`Z17%wS*_kE*v^=a1f_@bIqMlt|j`BBL{zTZWB9)NA3-riI*MB8N^ulEiV|m z#xHq;L9y0}wPL;P(u|IMXhO4+l=X>LpLJ2ezOpj&Dkj&5b2E;qH$mm)Fk|FA(qa=t zeW&IYC`fz0Cc>SZT5$AKgU%xE+8jW>>tj% zP&Q-x@ldfAVC%^`o^VI$gf$sCsn&a7V$2~RPDy|gXaOF58pug?fEp!{GH3~XnLF&&ewE~Vc7hEAdg{ck#^raCD#f(loV_f4!YfzGW4_t zB`Zn&098eA%>)fPSS2YW`uR|gNR9Z~LejPQw?tf;_sm%Wa#BqyY2??|9ev!h0STW8(+1X2-W$YcGxB0zbZX*=J@^X zV2|~R9VjKr(gNxg&ns=c?G1?`;sR>{3BgK?`7CXtgRZv)3_X3;MFnapddkm;IM*a! z8INp+Ad8@0Bo-X&KXbPzA{JSVvEp?v{dT*=QSD)Nr5}_I^dqr=Is3*7Q?>vYFqk^x z4-aQ6e|+d9fq}VZ?>(pwP2VAo^X7*F65A0 zMqO+)@mdccrAC9Q+B*sj4@#tw#Bft@U;2VGDq(7 zZ&pw^z4xg?ZowE4hs1(u%w4XarK#{dBc$wsxp+(`q?+~p!ELp+a1rDMiH_+zWNci# z06M%6aKU30ztE;w*+I$UndG3dDyw-?u{S)vy<)cZ{YA|*W3)$NFgJIM8Ha=d zhN$qrQ->>LhPKjtf7Sc7fOzs9WPXC6prtQjczZo28zhD5A42nu>%1 zvUPfgEFC~rW6lq$ z?~Drh&9cMN>aQQ{?BH?p2PbZMf{-&33VOtM`-AaMKlQt&BD6aJ; zrj^klHK{2nY%)1K*L(^I1s9i$iQQ>q;~QQhDKkb*4G9JL1ro|gD8T5)$gTZh_4H@h z!|{!6WOmGbs}S&yz4It^kFQ2~%~o9O*ZO*b+~Mhp$5{N=eUDynh<^Jv)F)vF`#4)) zht;cR7e2Zj37oO{_t!iKV|9$_CvA&>?^NDg^LhS)C?@>}5C#=WCUDFBYfc?zXA8rY z2jurGaU8GPw6de|mLDt$e>jW$px~w9vaLw~2p7I|^@of-SKpLhBthX}v8#6>;zGSz z$RRB_Y&*E$6P}sbw_SKl2HQrqLUZ7C&EK|XvjsHjeG;aC+Sy~PKh!NjFNgjx2;PKW z(;w}nLC%pAlWrhgvNLno+W3UwF~=BT0=g~ zK|SKrjXOH-pXoreF+CWzW{t7LuG)gl7%vM_f7r++z~KdB-^2HE0eioB;3Pg6zE}Fs zt|cwX#4ujk_<|;N{X+T15Qr&gQwcwCUe$blePnCY0vi&rw1N#OXaxJAD0 zj~y8Q`SCEq$2??0&^HqPoUhxq#=TsT&-fMjdyV7GXP#OX_|905@MX6F+uWGA3HWc$ zpWE>J*-vBvrge6RSJ>sj_#FkWN72A*qiN|6cg3kYB#_w!n_C4gOTJzb+C8?E5|@l){Y*qJel#ogEJcob_F6%!Y@%V)++?jpJGio+Jz)ZwAp$A;SdOp% zq6j0r)8*b+k>J3^3%SwYq84B154t|{R}J+@pvLrl=rgzji3}MsGzf{vd`v|p$~;RM zQifzoWXe26W-?@+Dl zBcb!+K2f<218^L>qjaD3zSE-yY8IPYOaGRJOalgyk z;zF%o)>s(vt7p~>8FvT4ZKw7Q?N);fu9ohZ@h;?eLVJ;IAuWM-C<`lct%D` zxe=mR_;7cGAKSopzo)y`hQr2UjBNvbEqz?%@D?@P55SsftcJxr{CDCNP_5 z`nmPq-#n4Gq|6>1IW+foJY4n32a6~jm^1UU6J?t6Pj)z{3ufk=_dH6Be^C5;jd<%9 zs8-z0+`7b}E3FR~GW?0+XQi(v4ojIsM(vSl8ZeFcCiTtO9%|2L4efMPd)AYl%b5v2 zoBQpa{0>n6!rx;@v@$S^^vI>M`o{D>d4x8nVUn(Hu1(R+CU@qsl1x}re7ndIdfr_e z(*iv=!TNwUme0Zf7K$~6-Bgw?tAr?9KylWM;GLXOT4ieVLrvZ_hk3`H(B@S6!_T`9 zOc0dEZl<-k&!q(_fk@LG+Q1Vs{Zx7XeTDoi%NMBfVMZg3G zmQU%Q{ZZ(TUm<1xb+O8qC$e;GC5301bl?k->%6FJ@7$Tii@HFhRb6%q)OFW;6=Vps zagS3xXmLzQ_5^*1Tj{YBCnkLVIED0uD>5uUXrQ?v81v@6J;+!mmh2=+DFu<9YxOse z^f)bd9ymbI?&q}~>{Efaq&%!1ojI@5t@7Iu-j>R%x|_cbGoaSNmdc*TbC?og+aN8 zV~0B0Z+;m3ZT@U=_ygGP$i7nsD^JYAncc!Y^O4=DPY&ChxwA~4Z{=Bo!-}WA4ZKk- zy`x-a3oAOzk8I#@0|hOS!wq!CFCdb7jEOz(TD%I3haT&!g)_ZM=O0STKxpQ(%VrQ< zc|CeKKn*f$vD4}>@_glh?Jo_eWy&$sgw|k(VyZU8Ckta2v4>u+PvpYgLhk@=eK|(5r(2WYdn0awCML!d;O)7p8R@j391i^$9&9H z*3ts5v`)1^OFq+%GGGR_6o2apkWL$9ZQkCCANXnNWdGujoOUtg@&y~1c>hfE z&$un5*gZlzjKt_u=4453VAmaow!obRR6ZUZD$qT7PpurtCVttSAV*Xh4E13%sOzCQ zI8lqVwSRAPt3#l;i=qLd()^7IvqRe2f#U)8NLw4#EOgZ#yk7p62=XODGnN%;Yj^$; zDR}=r(Kxk7rNQ+K{`FnI7!;Lbpr8YPU1D#DcK@FCP3_eMm;J(-kBXh&o|Y&wg#AbR zPI>YXT-tT`^${W_r!B5`?5Sawt~o^699^e@M=#l>U!$|O&1QAk&bHNqJkqnj+Bav* z)}8mq0rED=b9TgXqEbkYtU1fy$CR=*vyPB5;b!&l`ztb2>@0Soo1F%~_46p4?ZS00 zJ~~gR>XW;}T4?}_sj8fho-QBLGYYkU)c1DRc5*Wr+-T4b?eprvtQ@`d$r9X{M$Emg zKf1np?cp=4>iSgGR=BcxG+G(73~A=FJ(*6StI6y?^|uy=hWvJUaxKUjTBBxdY~c0o z#Ik*fEkscYT(yDBmr>$+>25&j!fI>-o2PU@ZcPPTO1bVgfW^gIQXJMYQ0gst(hS&r z41}MtASzykb%i<%mTNd~f7O7B!nKcxiua7+=Q%{hD_jiTZx4O3UJh* zxZ+ph%^=;Kj5(kWS57tU6E{`+F|cfG4yP`-yrltV${2e;Mtiv6>g~H@Ak805%6_u5 z%~|1!U3&+J?xJ7cxf8ZcK}y<~`p_TUw|WDPK=DRc)2oc!_w;!`>>DEYS^U)!m$O7G z95F*hmoTO4p;3kqYh6)c>5lPYCy<`+{>EqrMj%ds!t0(=wXfQVRXLtfY?tW=!qSo zFo8T{7cytheGx<-QuakxO+y%(6vaNAc_^m?A-rj>qL#t#73o&Gz#E54^X=hYV+ci! z^ z|MX~rX0ml1V8`lt;g057!4uMg@!jKLJ^8wfrz1QWWs~w5_o^g|>BEj%DktFw$7P9R zQ#i}8IZ8skJ;U+^BzXy2yf5v0I{J<6RS64N)V+Ljr)BxXO*-^LwWD&z4((jJn)m1?H-4l`9PMT1A`1JVAIxUF&B8v38ifMlQhL?!&d7Abz|a!pXDqB#T_jjz!FeSO>ROFDZn;;+is8M#)KPs)B= zn@ldQHsQPjWOTR$$T>d9dD!uA0h2mWXGIGJ8A z4o=%>5`w5??@^$3#OV}naz6txtR#4ZwN%D3vvz=RianPyJip-Y#oo=ZhY6jbf7;GE zI8`EjD(&xbAv5 zmBV)?3RA6bGH`cX)Z7fz<;nS_)YM>nl$S;w*m_kiWU*?%XpVZ4CIo-EskarN1v&NK z+w37EL896A84>FH5Iq6;^}LL3V%4ZQKS#=JKll034c>0soZhT{9T-(nDiD<%kGL)> zt_v#8Kg9j(RXN`G)EI*E_N9J5CKtb(R}bpL_MKNZ#f3LHYyJtC!<}8BW*SH(Zi%<1 z*~9Lk$6Y(r>y8nmRfX?3*4UKh0^R{4e3hhkWTLKUk`@E6gD0)4Uw`~_s75bHdOQw4)U>&+t6&2bJ^_E(41OYvHDOBxXD@gV^9 zI%`FK(4k(ZM^6i{J&dc>cW+J*q35PK>UAENeB&_}F1@NNLrUrm$=u+`g7cdmwRLB7 zkiMX^LNqmG`}2=9Lx|^S{Tk4p7}=}zSsys=X32?vV2>ykd1nrHoljBGfSmjSKexO+ zIMZL^-8tl9uT6@xRg&^y@ZXZtSZzbSH+UYM0@YDwoZ-WF;{=-Pgzt^i2JrAtWl8iaWZ{|EXk8~kNv8+G{3;L~et@+H>{AY5 zyKH12eCz7EDy*ej$OUey!B#+Jy$@`)ai<>MMbwkV-4mJ+Ue`Fu_FM}Zxh(_`^<>rG z;Vz<{aGTr6qtgrXL5IKcHK+6*lfpN9!4q&hTHw)BUUM*j(ad#FG(nJU(bjsR)kSj#iYPv<3pNfD39s-I~BBj zg5vnfSY=pAV-x$LW|u<>X3C|!C%e@uCb+7L z&a(A5wF&Bxg8BNoXYo8=t~Udtbe=9OE-GKJA6nKSDi0XKVyU`@bKx?B&iOo~-C2}5 z>w-*2c$D+>U~x%BBk=d~ZB6AN>{6+W2EK6N01tHnuCzf0Psl31&7*<@DGiU^RfA@m zuh|^!?7eC_Dt6^F82iNSQ-(H&*MjHdUImiEv`{W^J0I}sc{^icstULXOs{@{R*kz0 z3P_M55Lxb|3e0Tbg~E(#5a}d}1S#@qUHtQM8sH>+^@AoLPN-b^x)uoM_=ju5Ay)3> zL!3m&Y5c^m2?_}toxe|y?#r_xh1uY$>NIyM;E-_he5fusb!o2NQ@r~`#p;qFbeTP| z@epd=pO7M`4QA6EukJ?}4^y>-AwkNy{?4E?f0R00aM*J%>YedrXF@W5_~Bk$$9yFi zKObiynkvF|iY(pWPD8W0nOTwA1;OI`ec+}-<);iRmUZt=W%x0Y!qka3yH-DfL`)g&H8pbkzWR}-TBz7h|o3o3+Fkix_ocBrqc9ZcN*@rhm+)Hz~4 zKl*Sv*=O~gA#gm(n0KRRZ>r};#Q8@@ym&q3+;-!Z>qTsU)$>#Y4 znW4zkF=mYjT}aqht?a!Sr=NOn4JjPUr5t=4Fe67e-fBZR0K30IkqP^8q;LcuE3T(A zRE7?916ZG6tqT10$EU8)*x`bIwqgn2L-4&7-xT5Jj9XpEr-^)f-Fe$z51fr^zC!p? zm9;Xo`s^3Dv=N(4s%EmvfTH#zok?U*KvV%m0>{!I)H|?Kl-0-pVYAdr6;7PFlXvx` z8f^MC*!zGexZ%g%&`)4#L@-zp*(BFxEWRz(7gSCmy|QwH9g9|EfB zSsw)JGXHo^yUe{qitMmR^G@|n<9c;?WwQ$G7$$@cLu$*y5IrB0fwj`U+*I=(C;7{*9NOw$}Dk~MCi!Q$kRkdL!Oni zD+5Y{^rXnLcH8y^80_xVOlPlVqW{&*aIa>e|FdS#_G5g4yNHe1;1 z+zV`t2)4ENfA1f?+pPkEk_GZb@PysB?6e>1+?!&^&EO*Ru^G#%fvLnrJ$1OM>u#8V zI`>Tx!v`Gz8`hO08?h=>iTtJ%(ht7F?W{43u&WhAC95w)AkNm-mTe`ajng85;X^W6 zJ2GpUmuC^Sw1wofr>r)Ro$VU=G)D!}Vy;%%!F=SBoW=su6*6wPnL&F2_b=uyHDGL% z=2nN5BR<^xNLScsa_f*LxUb5&9dJYZu~ehu_E4z9s*sRJgw%Dj923C3;CBCcwelV3 z9)E1@Wqaco_FRHV2me_eX!NlV5N-Yt;`IH!A^6x{AE-=xEULtX2>rI7vX57Mx27ub z_@)n^CiOirBA*x4q(seOl7T=&fM-3_O#LCIz%Z*iLJh&k^z`4YKL&8)ne5I&*D>j)DI1yy1NZu z`McJ#JATFjx&z(wDPeRX3bpJ|v!vy}`?B1-ukayj>3XKM3-W7k?wt4m`^zPfLW-5G z@KT^*7!T|ay#-|XxA3Jn{Kn%0C)NP1GXb7&_rh- z;EebYhh#tekpa3bn|U+Hfog<~k^y|yW9}3GUj&SZm#bS8pnSE)Owy_sJ z`&`5uOaF>FNyL2?6%{0yei}I8XKVnXQbxWJ0pb>le!&(X6|hi3305@Z<0O&+ncRQ( zWx01>k!qt?C7A6}PZbg@MTlwze87w;l9a5=$S zt`3|R4_?qYgpPj8t0tPje)#6xI*S%8*B|LZN58kBXSUJNuiifNqX{Gh-i(-@P`kVG znv`g}Z}}%SlD=#&_v(B?N56wR+M=045o40+x^U3>s7TaZhIDDU``X~FAgffN?BaGU zTty!gVkIIm!t!hah3J41yD=V10G2|V-Bxx}*myd$OAX8I^^H3#4)FA5Z3`uYS3S-l zrN^`KN|gS!2bUcor+mOYvMZ0RDSr!F-iFV`uN3*v@xRBlX%7kzX499ZOXJ!UxuF54cOQWq(>T$Gmz|n1)VGN?4a@?9mTcZ~@+$Pc1h@&p2 z#~!*)vKA*I>S^8j^IQ|S7tkN#lP3S}kpU^uYq}k&o7j(u9G`~Ubs%-yVo>x$X1EjI zJ0!z)$`yXGy(wD6y`v3IpKkD0q~4uZU{6C!2b~@VM(FcCRV6`lpc}JsL`w%R;a&?` zI>!Ep?NEc~CkZ}_hYs+kuLdn0PX}#sN$FY6Z1QaUbg0o0a=Dw?qIvVfhj|#W-162( z@TJd~sL>R~XN6A9|+lprwOxa@vX1E04agwn?Z} z9SWP9+J4XR3&>|P7nFgW0sAgwrDSiJl8I@w`S|_)&itW2nyXLqJyt?L-&YPhE zbS7qWc7Wl1_fQsOz=TKZo*6vSIjlVP0EsVlBtEGFfu2t&mR19HTEh#G_@YHUXj&N& z1PbPc?ICP;dNnVC2nG8SkoY1kCfqBQ?41$U9zg(qU~6Y)vpKJ|DYj7ucKG6xMOW5* zym^rLg3sjdk(e~$#-#(P+F-&>WU6qvU8<~r2m;(X=JFUBUYuvdd2`U263ZZfr^$J~ zfrq9r^=SqM58ndwP!7CjDym62c%74&E&4*R09*a*<4OEtZGVqz1MU~q zwTFbsvFEZ(=%Y{NNP8fr4#*Bdtpzy#yd_BqH^>ZY-0uP{%8*gLFaGMB?gex;+4bWKLG!h?9qt1KRw@t__anv|qG~EW zghtCioWVe)Dvaf2HaJhJfq~NcB_CL_OBuneYJid~g}5e2IC^u?pVk7|TX%W1f$yHD zO*cOgZYAEH(1ervIM)Yi6~AIBNKa!zu$K~#jZC*JHAY$&lu`)$qJreqn1%xlAtm2s zFoOM+p1lo=HsqJ(T4ikI3Kwnf>Ool-`)1|*>v>>n!gg^79l}qy%7rn0#c@Bkr6)x2 zcHgHBQ-tTIOmVTUFK{j_hHu_yfAnV=gJYE+U!%6)>FX&;!(s!ZFwbg6{Rtcz%}God z7_){yo|UNWw=md{3iGz$$yIa)LnKn1uZOtd{fotf5s zSq-)Q=IGy=fd(1d{w!4_blC7sLT$gVR`J=W?Y9y1JsAld+Bci)kkBEB{Re9MMb^Cf zVE%##?20W~CNLtH_W2)+oitAuDIrQ+k{dV5!_9;4XQQ^?hE;!|==m+l4hJN3u-tg4iz$S4gc81RZPJh)D6gH^>4%vUh@wW5l-x}TrBc5FNY4sga?qlN#7>|i z1QhP9#brOcH)a!uEqoPdh5wwL9-%sk92eMC2#YDbAo9-u?uwn2jNfpQ<35NuYGSF1 zM=3#o;0Ysznd<{68XIG-P#L)UTs8;A3c6AP zTz5Ew&%a>`6@x4B)WHAj%AaaJdl=098bS%(f3iDC7kN9nS84^05y zBFzD1cr48j5VL-f16@saf$B#B-;cSU24tbXts$YJw$cVFa*Q*mt8JmeYaIcX3(ww< z+?aO*uVWq-Hqck*ZOZsX1&-Z1al{$^GLWA-H7f(RMolQq;EKMcJNB{~jJ{TsQ3u*D zY&Y@=$oF>RVZ0`UJdrV*dZq;%--mwK!}oT|x7Nt__WMNgbrX30`<1U*?4=8K^`y6B zlIQH}c;@%k8^!re$oH1*ey*rU*@7*vs4lS44{|5}IV9N7Kc@}!9hyBAii}<=`!DH3 zhj9u4qyBM!Rf?K97*Bgf6X3bthIv-FDNM6{PND|FFmLC5T6z8RnO zF2Bb8*;lPj*qvrdHA9S;eJdA?lV4*-j0F(nB%mAZe>@iiwUlO<4U zGLfYSk=-IoqDB_5UXu=!AaKE`TNz%R+s7K0d`AOaO-4M%P&HLDEwH~Ke-;tgr+eaU z;O^6uR_uLSn3f%qLGQ|N_S^7&H;`3+m~I1)@4Yk=^;ZGqi(~s9fzTt$EfYZ*q=Qt@ zQ3YO?1fv!nHJEt7xT6k&-RB66LK^U3xNcPw0#s~XeGJxu;iu)>$R}#LxzLV|2v3jK z8kj(NRa+`=;pg*dv7~bFUY2>#+COPG{Il_=4m>zl{y|jSJtdz)61k$tmXTL*ote%1 zg#2k_shvKj8Y}*>Xg1XcYC=W><`Diw{S&0JCbSg2CVY99Oudux9M zS01EXd^mXatc9pG`bjcDeaj;yyr;=c=#a6EJ=X@6&F(gUPiTEkpPx}J4m@`LH{h-`lkWb3)vA`RO~*9 zud=&59)c9A6GI4MlesD+C4#MutVZjNacsCd46avC+iUr*Aa zkNk5@YiU*J%k+14{-Oq&=5FXCziY;D>(mxfs4h}aY67xl;9xzk1&bq!!bqX|-t)!) zQmBq3+9SzzO#fNul>XX@%CfzWd}V-ny3Of<#gJ3zMKxz2NW}Qu>R{^kHUtJirJmTc z2lcMsMhaEIvJ{gS8YelbzNw;*{5Hc-n&ayYT(&%Rn?I)zKfS5^I`S)yKlXb#Aywet zJK8iwh&?chd+D59wal;Sb~CRlGj|4#1-}hb2IA?m(WFaK-J~!Bx-%!UEkbW}l9eE%`|8z*pjFq(Ez=;fpF!&m>PV$(WrPUGv- zSue#s#dWsEClGd8D7>$2D?;n|tWo-luH8+SQeKDe=GuQ}!Qpen9c8#~Y;#-OYS@bu zX2wPw-(NWIe#u)Iqy$U*=+FD;krKdLt#`fR!=@#}bFnQI zxW1S{F$W^n^R=vq^R`HLNmv!c-haE^e@YGL&fAapKqBw?`(KhY;QY7LQB8P+XRh>k zpatJnU#e*Xapr4vtR@jwrK!<*psevbU1T-Sj=62Wf8cHB)20lUo?HD^mAv+x z6y~dDdA~(PCC|@;>ar@}C(h$K564QX&Se~z0r6{65vnkQG2(jrTMe$&^e+3rH5=KF z)K@j2CgsgpO@x}|=GRDSLCO`6AZ;|~pu_Z24-u{iwjq4|qbFtGC*?U)lW|g*0*`%a zXokZ+rSMX}(1se>8hmCzmH8{DV6>k5yWg$)sW@S5*NgR+ps>In^5){C5XMRTVYaA8>{mQ*YpPb79Pz-~EI{vk&IL3_;O*bsaaW#7QZO2F-!>@=5B}N` zZly=omWMia7m@RZ#+TJf88}o}->3>v{BJUiqSc^K*W1bmoMJ!3Iy-8BE-ycVi5pGV zMI)Qg9068}G?Z^TZ4O>3BCrXP9}JS~0gA(|ubWuH+huF>sq{C;it5-XVaD!%uIw@ zz`w63^RZdMWt#1`BK%5iI>0re2xdpvk7w@~+fiG7Q`)h59rb7l_P3l%Q-)kNHBQ{v z8(~tIMkmIs;%nN6tcp`Us(@9{_vmHtHTu*n;H9%3F;WL^O;lPE*+|&Z~hDh;SaKhF4Y1K(0Gz8y$Y68brrYrug87utKzboSme(f{5v-M#lLWA8m1&KLRgW8+gE@Q@X*?u;y+e8^3hiS>zS>4mypW8)UmY0*Up;=+ zmfHT1TywmE^_XnGkM~21LqHjB(t`3Cfp;YOkTH)USlrt+%c-_A8gHyHKx+f@hg=bd zcsNBLsZCvh4_bkl=K|-HA#?Ln%=%^b3#6oF^VDWgC=2?y3*@0bW=7n}xW_OUp(@t( z6`euz2G>>LV;-^TT#FjAwyxZ-4oh)qseuhezvKw!<70a5B>&{%a_WYs34wX{ zn>~Y&nDs@xN8VyZMF^&wSH;GND|;36Uyd;=!%3y#@0nT^dt%n0tWa|ytLLwaskow5 zz+4;4v)MPk;SH8OA7Z6YN8%#+rHjNGx;I?_he>cOPvX~gVi*Z|!MZRh;lye@KTHNRt$Y=`-zi2C0)ok=A-GG3@802H-1Mw_;}=J%^!G>^?2)PK;rJIk zNlL%daZ~D}e+dugsMei46+wM6`ELH}%l?7O5s5`=Jetw#V4%Zjj?DWnPaARNY?5}` zWN-3Zd2Z+?DaqgGiA7r0G)ejm;G}03esD+z(pI%qR6!+DLuDOlSyv19clkhjBR_p! zmj)EJg(59$Y^>7@{x~gY3m-%-AB|h9O^=$05YB9*j6CJjGi8fec-}aMlEP#YQTe3aasQ<_vnj6pu*u(Opy4Nlezm{sNBCLQe@b~W+C}C zt-YEdr9G;d=zlfS-K*KF|7vEqSF_Z;njtr;BVVtFXON0E6R8JZACAsuJ^Vqn?&zrx z4<{4uWBgnzpTr=q{K>17N#aLFnh%+BN5R$Ob9YLsZyGJX3&0+K%GiXb;Ce%ILjw2o zPKg1*JxD$Kr<(!XDd3wZ{9@XFVSXh;Y`2KDc^ld^F9<0^>|i*R<%uK#QfkK89>_6M z5H4EEgyU5}F3>!E4%qMCc=VS=1_JBG22??~o^xwkKn?14V?O%8WI%#f>zD@YJ_z1L zrlGArBcN9c9+1_eQ^<3b#Y~BCB9JlMC({IGi6(24oTBI4(xlYT%bM+8`Xwmwz^0X7 z7j_eTx)N>H1!B68vM-^%t~LH;+(3FziZ--MRXj~?Xd6qr^i~g~kPUKjn9Q%q<1exM zL`Avqw=tO~T=a3G_qCh}2I3Z1ybTrMegMY*wYB4E#@@@-M^Y*-@a#aYlOPtg7^_{w zuS#>tkiw)Axv?uUX?x3qr4k`*yYYUZyCB`_nizUU2I6D75W@C6f4l!jxEjQ8tWWvC zazXjX{S^)1(9f3Bgq4%tMGVWR#dr%)tIYXU59VD_5<>b7c$-VYEa{$X0 zG?a>;KlHDWrU@6s)r}=6KJ{*6yrc+Rx7_H9dXJadcl^T&K49p}Aj8PmxR0Q=u#lQC zgG7uaDY7RjvPuJHYmWrxn~+(CQv1Fo1id;wKg5ODCs_j^fLvCt@TyR`k?(~F-<3YwR z#}z@#$~2?IP5-cq?KNx9?A&j(`!Ip+_-TZS#-2#KY$mz~!wG2qob7Gb_;Gz>06E*u z))xFt0so=6vi!%$Z`{2AIotUL_m$m3$6t4eA$=d{RqcCwryI3}C799imyzrx?P+E$ zkhsV|j*bLm_V@+V7QPsI@tr1Icd@(awUz7q+nMwRKKqjy-VzO{Dwk3#H%Aw(3^Es#|J9X!_I3IYEJ!qn`%qL3);SP)ToE-%*>N*euFTEBso4 z>4?Nf9AydnDZ&z2DsZ6VZ;6~7d+E(LkMtisP`+DrZN-iPBl&%*5jApo?Mj&K88=AJ zshZQm$F=-5UCThE=p8*|zZ)!a~rV1IB<&n;>)IdxB4m$pdm@j!= z6x9HIMhX{AxNwVuqyL;1Fm9h7(FW-wo`PS`Ag6@H)H!sP_;|TCY3|xYpcX02gtkEa z3Y*QZjL$D3KLq_H!lgW4tsW*;FKt*75$7zxFX#!~L9RR^Wp<{SS(0{lXXCMDb@VfM z+t=b)wHvs)vA8RQ>59u<0aJ=FmUZUYo5^200=M2Vd6>;}&QW2~8tP6cLs0Q!n(M}S z`J~9&aetiY1a9~Kmhv`L0cWgwITjOq$w2Q(fDB+OR$r?Ehm6FW?O`>j==*1=4rb3n ze{bGFt~~ba9h%VhGl1Odix${hgp?syo~x!&r;sa;h3^VF^zXazZ#qwGo*v6jipgNuV%V?H531@W`=t;E8DACH*zkP*t9Ao747t<9!U7Ii@jMol?sPf z^}$z8o&{s&Cucx^6)X4Lk`ezyiN!he5AJ!(oj?M|3$aIMP$R#kckNtRODFt|o|*J= zG{1cShdCe_PE>}=WMlS^<9)qJ2@w0pZe-Ql$Mf#u#U2$f;wf_3k9lBqG+X?U4Dh@? zjZp5jA+rC!gm7D$8ouJ}YYgU;dw8nvlBC%m$2La&F$^Srzr=5nRJ za#az_WPflTPj_IQHtYz_p3f_qpkk>Jx1_8He1($>1^{JzeaTs?R(A3_WNw`ErN~=(oI^NOvtHeJ{)xcjgkdiD+;R-}X*fK4Kk5j8%&w zB01-DQ939zK{F_~2i~yv$0QkUzRiqs zy7^88l3Zdf=U_LB-kW<1fj0|$%&PG3;)n?Aq8coKzAyrB-f3wtA@Bx@?71`{K~nhs zb~4&1br~b@#@?UV)!l{&U8}*W2&P--c+uC?RsLgdqqLID4?&bY?nN(|5O@PxW(O*{ zs74=%9W?|k@>{1PuIr!kXuhEh8mQib)HSAA^5jMKe_W*5zgN0Fs*uVer5qL9liiHe;H)h=&$lIV&)0H9^ zF|4~|?ji67J8{SECSD6-{I=0@Sywjv&k4L{cFiL*2jA6T`RQQMwoBZOj8=fq7E@@W`_u>L}o$&Vg zclz-LG&M~oV6?hZ+9v7ptmN;7gh0;&n69ZibqKuq@t}KV$?qO10XM4OU-PfSZHN_! z(I94E?=S@|Ce5bv-At+s^a@@2hM0ll>DLtyGf+q&(a8sDSdm1d1HNFa+L+eHD3{l5jO{54_1WTv3oUy#MN(3wc={%%h@X}hk`m)RUmXLbgc-&DyLs$qwzOe`zw;o zV5Ds|<=!tf*w6e!)(67RHGH6Yt^v$kWFneyn>&-`uDKR)DLKk(BiW0qrH?xilwvTY znsCLDaQK``@W<9Wr0T~$`^1c~H1Od%Np({fm>Y0#ZGg_2p=!twp$QH(wO5*nRx+;G zVBZcYd_o9+e?cM6cSAXg(C_zx==NC=Htv7bz`#&DQzt3-oBvFyx?T4hTR`Wj=jX`b z>e~6eNz*e2PKtM7b$oz zt|OBh#-BKXdb3dPXwnLk#DKZfQ^IyiQ3kAt-zgD1YvkVi62Y^?IK?P+*mvjE4SOmL zh*SK6;MwzeG9AAV6s`E3^)vdOI^@I9D(W4nMb4Ul;P2Z3IS*yc705}!t4;*R#n*HW zDHhwe>OkD9VM|f(goS1SA8mL=&%)o?obm)?{vIK9W` zj~qJKRD+7YMZKg6HI5E+gG*0mXv0bIZ!d)RV5s$*`cHZw*s@2`*0VOI-}?TWctem3 zCX`$Y5s_qiSceUsY;wh0hv*Gh7~m$K;Oq$fBQXrmQ1PF*at4T0dl4x_DlEXYWSt7& zySnUOQj)}$GqqwE1JW(wuWRJ9I-1{BJ_3THgY-*X9tSaLA*E;l2v2d`jc?7DGSSs! z2AQdGjTN_(e*G3UwT3v%Agc{D=LIx9d2b6Ci>t3~U`aepjte=STgd-oV#3(m;Qmc- zF9Y(8dOT)8c{e~kh(Zk-EpFHNfWWHIgP+1^uxRHN8URvw$sVAnmj?*KwJPQAd? zi=QYAS(bEwQyR{10q#+@+$IHDbKUT(QGA1eBiybz*IegoGIYH5HMRy{UX7QkGRrr^ zQ|MWj@wjAV5nhrv_(X-I#6KJUdo zSGMA72{g1}|C$uAEl=>~lC6^aD!wvfhI4e#pgpekQX`Wuv`Xk|vW=Hdy35$uDly3? zIgkwSmn#PgW@KX5cP zrInKwyk`VAvL?u+i4?n16GD72$Z=?;PiuJ)!%{1N7tD7c&LFevV18hH+_bG*!%{w^aJl zJv2H~=dZI31k+w!i3mU>s!FkpTF^I%K52`TAC>pU4H1bd^vZS-B2nGTW%u`ie%VCw z;m;b7>-hnZs8|wBe~7Safv0Z{l|8(_QeV8&Oa$MXN-f9$?oGd;QfBtDixeq0H*^bf zn+rI0Jm-elbRjpfbFeWzzwq;JrXeJ1_j5YT}LjfmZZgsw8`RWtXpa&Du z2MREt*!_Wu6wDP{!9EciCLw*G>LDD!JOu%!kD=z!(|&6k2Zob+9#$6E_9yi=_}aF! z8FkNaPi8nO2w`61R0a_SFhkLLqw&YA+Yk1wBH4|5#TM)sr>Y{PKyWjua6ElIGL01f zm5t~tHMynPlTl73)^LTYRlx@4J(qj*7Hom);65brJSI|fi21k*9Mt0CZUD=p52W5& z$$(bEtz|RtFgI`ubyY)Lh&Po!a3O~^Kk*!rc&@J_1;WC!j*+kPTCgrSGlwLeUEYg~ zNa87Ys}KQp;q1{R^iRH#nbnYTW6Y>fVG*{>xGtB7cqA39|Ki`P*TFQinjx@`Ow+v` zj66p zZpgcfgHZeJ+?UQeMerWBS;K&Dd6);Odwx2r#oL_T@go{r>))zG4nNfNzmxthyA+`~ zU>+Q13E!onLYi3zSbbT}+>vAb6N){ANv(X0Ty2jPrTrK-(td%iCOi2mD#ZJvdCsRS zA!8K?5zS`dz${d^6#31|fanQ>5>*)8*CWgL6S>-oEFMt@qr+S?6gM?Mq`^cCx!O_% zyH_Ds+Xidrr`m9Hh0Qv}hzQmj?a?NXd!43RUggi=oF6Gen@|6V2;rks8mK6q>wpNC zHM!`9q>|&^bzR`fbaLvnJuYH#$PP^f?;+>Mfa`NXMp7{2#P{dkH0d|%1Ea2}7RHR; z=fu%F2!l7_I*5bIrWR+sJg_De`cLrEx82q9_v0#ZR&xn5b=0%N=M5ldc5v|RNM1zy zo2GQ;J9^iA4r5jr|Jfp``~(q+E35PEoz`yd`N3E{aEyRQ~2gjh#d}aN?tV%{FrHt`6<`h-Mgu4EJ}UfexYPg4cZDOJ#Y4 zOP>aU>nhMdhmrk@DPq46pvOQ?VGr838rZ3{h`_*g32pGs9ea57(!vScuRTDIdrSYE zUJoag{v#I_T{u@>AIlaHVXHPp4oMjb*GPYV_{L55-c?g~OSl&O`A*AzBi^P3K7-N&1>6#x z7-b?ElQP`CYP*}2EysYaCObI!C`ouZR927D9<9zvWiPIBVOX-vLT%Y)pzH~&Av()> z&A|>j%RQlGZ&8PV*SE--hR`?~?*Md``z>u6CNrZ2-oD0IG>)eHpDPU-N8@|ovXlu- zqv?#}7Yjmq-jSXjy5t+Q%_Ht3>0OYA|+P0#qGm|$ z8f3+`l;U;TNz&>s#*xj1c)_V&8@Pp8J@LTA77Cankj=%ln9ITb$P}G9YkyHWG;q(* z*C3KY7Jfd+4BV2OrjEO-0Y1D9krbNG2YyO^k4OrZn%SCgC%yPGmzfq=YELoQ!`96c z^S+3rpxvVPMiVf%BUOG=abHmtAmyf&sW+Tin&4|GlZ;0sg}|$^AHGIDy!dy}5CW5a z|8DIJC11GE;Q&dau{d(*$tvF)o~XF7`YQUC-I60byBBZKycNLhP1l9JH_yt9|K!j} z&N_mdr!(Uukcd%|$Wxi_C1Tq`HZlq$@z>IP%1zwzGU|z}=AW-XR$?M?jDew0z36VURjjr?#aZSn} zjg4bt=_}d=ynsQcKUM}E+*FfJe z1Zr7Yj=jF}}auI2tYIJzujZ-N~2s`~>paW#wipZkZ)1^Xu2tmCpo z^ivKV#pqctyif*N#cbQmH{>Je_sJyQDh(I(g}J8tUwL5-!wRLyS&nOZGS3OAwH2t& zqt95eQ~R-IME#%=*!Qml_(jkF8a|{R%y?~L29|6Ovf8bXS5m@}4Wu57XgL##)Po5p zswz?2Kj&IndW#mEs9F!VhlRsUBZ3HVuR8Jxbx|)qecYK#YkElDmh|u(rSweL?F|q- z(HDx;g9$AqfgkS2MQ2;xMP`Wi)@)iEI;Va;f9nAEa2%QB5GI?spY&o~uI4C{vVU^e z5%v!ZdbRu^2sdp0zz*!rZ{zj8d(GhP;C3~i(h?r8=SG+KD}v^ghkh8yH0!k_^#BP^ zZ#J==OhJyFmK(FtfpjHwWr31!HXgreTH{f^^3{-6HG`{Dg)x1VR}0f3z1Ia}QxPZ}L2J!L=amujLo78uV?dhUuAF z6Z_!)U*SH1dQi)*Y$t$SkKq)|ys8ZBn_T$@Fvz1#?J-~on}ri6jUep3^QPiCV zrufJL3Z2>IB}MZri6%&9(D{+RE6OV^b?qt7U+^j4W-~7Bt`#CNsZH;~Y~NHI2Sj3W zXi5#E;$Hkag-A>eF&^v2gVydBhrfp47=3Sy6LfH%SMtu`eV?Ti63yJ(lgdqP;Mw8+ z(l&C#TGPNDT3*J{oGC%*3&E-eUQJhOMI5Xa~-PTYS%4atw29E`>yOu94Xi%w1 znCF+I0WcgSEow3v0#9#Xpb==ZU-O*TGKOAX$q^GU`a*v=&k%{f&E;g6!804Z_~JTq zn0wH7#|dbM$J0`;3ldh4B=k0{>uBSwx=rZ}vfm%1(rCHn3yxDBr)*&HC&Ojw&f(%#r>qx`s{| zWjXQ3r<_8+M#VfRX!K$^i&G%eELRVVnp4k+U}|COS0dC=J(=T>fdTNW&M6l08v+MC zF$L9=dF}VSMfGI*hL6i85Uv>1W*A|L*x{>CJ^9R)lHy!9BtfIAfUcqbyYGaa7ISFz zZc^5-WBi`}P z0^g9&n8y{7oyqrt0St737ewe0OGqj!wyw0$7ZLr>_z$%yq}8e!PJoQwSKtPO%&`%R)(SPUE2n5WkI{o zJ<$-p7e054fk%Un?|VN+&dlndtqH{3Xx?QWZ3yfjTJJQaHJjFql;m?acG=J5QWoQG$~QL=-_vs~G2zpD)4Gg6kDEuS0h zm|Id?-am`_$oZA~-aRU|TpAtA{Mcj6kIKAhuHVAwa+TA6` z&n*$M&mcIF#g*|0rrdwg6fvucH_@hf1$tAKd1TL@a`$%|Z$#AoLp+t`ZIurYBS|*z zlYO~9(AG33<_)j^_5X-?sRvDDZv%0xXgxJZ;2xS>4J0Df(Ms5}N39GotNt_(je!%I zLHfFAa`op;GAae_eihcgTiW)*ztsX9?+)tr@N9E#ATaD}s2VZNcIB@Dg zK9Gc7RCG4k;d&`yiCkwnKuJ`ofLF+6l-t7s$ITfWsVh7%UWxj7uhfJwi}-tXde*1{ zq^tvV`pbohrp!1Gg#bPrGn%m(tlH-myKu^7LSr3d>6gEc{pyUMoTwRBlF{ zH2`&EB1=e=Dv1HpyBng{%n&u?-r1*!ETK_<YX{2t_op*RT#U-zzqjSue<=9d7{B~n`}(Ed;fO3@!)^0o z|KY?TDeS^U#?6KEh%908%n(BHU%C~Ug;4y9;n@|4EaCJ1*rs7)2;W*nDE=tp$zO7a zse-QbhLJRFg}Hje=G z>lGoAczU6a{zMbvV#k!ZHV84omu_?3M;t@`C;1z0)ZHUtT@mLI6zbuqH@lb8USEne zFG*UpJaNN$h~9aIXuVrHXs=3R5-WcX%purr$HC5FM3%69zPJAYf4! zDDOB!rZ!ZoniG1?p*kbCjg*@3;JUC3CiG$kLFy;Ts*T(ykNu%6hHxr%X>)#}{Kbcv zuX?cLm^ezt)&{ClsDCMgqsbj<1BiBCNnnjZkb0J2ffzXE`qjrx${1L7xtJnIy%59s zJ_M=XwZJk?QA<65{~T!!3)^`JQtvb5-B1-a`1SqH-IKy_AAKkjW*XMJ|P4|QenS1)$`MUw;9bCXb=^f8#h&pVR4Ha; z>QlthO=~!05Ily_Jc9~Vie*Euokf*m^GsDOq+jN;XtU&O44I6ys8XzyFMA*X_2n2p z-$MFjo3iJlhkl#G=bUBKmvdR1`mU}N_)S-zV&nf@lQMI>9}F?pE+PH0%thj?WOT|; zb{*80Tilan)ZZ~<730=w1$!Q=oSv=T{Yv1-Lu+`<$1IBJRJqVw=(_=5ysZ-s=Jx2R zRt(_cZ}SurjAE(ena<;He;B|M2mXtAT{>t3A8v=0_rLCY?rSz~;2Jc4q)Z8mUcIsp zDGjFBm3D?-zC~H|b^S4Ge!t`6!}PCJ^}t$@VeKJ2J?+VMzg`&>uRKa~1v&cQaz}YX zxRT!E9s_0#?>fdzjUoMr@T>_;6_g&U{f3kV^-Ivx|KT!uh;1Nnebp-xDt?~t9X%&7 zpQOK|H2BcUES*s;3p*k-mTL*=?|273+oZWEMA#vv!Ar%F{cRoRs$cxZT`EQ3=#=Om z9AbCzrF?CNi9_YqJwXUu&-cVmod#C-Wb8C4%|91h8VTyoa|Z*Zx+z*1h>)nD92e0a zB0T4a4Tn9TB0KHbz{TRg_`CLz2M=3_IV)os)IYg&fj=R|u?0xqD2rFkJ37yoXTp4! zAZ)D%^Xjh-3Sc@TUW3*8sP~rS7-s@+5|I9&?VBtk5PL2-?2LMEwr@XMBK<+{ zhL`O~fAFn6+ZIx}TFzsjMk-hKstwXkQ10oT$9c|z5Eo0axZ0e#E$73p)NhYDPgsDh z;71q96ZZZcG`40Scw>(w4PeaIs436?Q6w(6{8!&4E1)*i`7ql|``FA0Rd)@o^3Px= z^>^3v+q;3)4>mR&u$=nCRL6(=A!^-7kpF3H_!Ey8&F%U@tT@8ZJ63K3xeKuap960_ zNEa|I7%4NbtyjU;;+j!)S86(@FXWY6A7%YnRmEQ|!lW|O&dZX#;Op6ajEI2n!vXAL zrw<}VGy3KYm$jU%M3PW-H>QZY1`c${H2NM?hM2ySHmJH=J9hjJs_yow?0pvl-^Ych zf|razr6n0vcc0=za%pr;`(jBE1k1w3E_piM_v6EWt*_OL#c%U_G-|XcXaQUQ~qF}T+>Sn7b6_*Q8sGc;;?~! zX9Jo^yjLpyY=Hgl)uWvPxKjI$cEU!v-^bMsynSQ+8gbn=CI7%r;g%V;sJh$oh{jt0<6Zf+--i!T^RkPf>TXGF zB&h}63l+Kw#ZLAyt!9HW!c<-PhRIG@8u@j6v z(zK3TsMJzlp`0G!w_X3e{mgQQLYrU#|5-fMm3(u%^6qMj8CV$0Hq*cxr*B6o&u_3~ z_siDno(&pmcy{gXY?+AY&F3g8eb&)akDYDkZPTL+HIUjPaqx9J@k9D29O;B|8lgz? z#v$sP_!FvMXo>D8&uplB*??+Xkmewjc7oF+|L6<00;x-*;)E$sn$O*r3uIfaBAcZo|J75IG<{VYVu z{VngpB(z~d=+uQ{+TW5RdbQj^$cO0*E!457>;ONzQp3g1&-SBw{@$F`k3#_mGpB!; zEyx^UI-H=6ZJVnf&;^YJ&BY%g@}`srx&QLw^dT(;)jbS4il`I&Q|N*>?CtSU8Q}7P zpUKKgs1y6@q~Kq48j>3;Tc5z~dhI7Zw~*dg;bqi`olNY%5s5lQg=8*0Bt3s)nVPm6 zjZiYvqfSvPIPWHRm;!U`S@1*H@<1dT zIP(%MlAb3oQ&SHINmO!fhT6fhap1o}uU{wJQ{7P~w(i)3w2^AJ+v!mLF|){DdqD;y7Q3|ZLAm2u=__>wlRL|C;q>vN=R{{u7w(yoHYTZ zw|ydu_il)~?`(Fw<+&&BF8?$g)J71Y?33qo^P1THXkP9Eh)Dc-Q>mx{3?yBw`8|k` z4!K^4NW5pg=d21s+2%@J#UrFc3F}R1gmjQv)kP@V722Psx~LPox<})p6WBD9PQLgg zTA>y~*&(*aoVkyuId!FSRSu#~Y)`zLB8E(4(UBjs{w$2TxL-Kl{6Ahgk!^ zuoaxFP8OI=IkA=bF4Y>U_gi#e)V2Lap;T_LpZrV>2aAUp^QK2|Y`hN)mpm-@hVygECEtE;1Eh zZ~(ES*QG3p(xP}3zd>;<`6=;xYZG)60${^p*?2_#@7p=&0GtK8vJ2s!*@HtxnRxby zJ^4f&^BG1pf;L3NeF&uiBLn>wN;N#n<%aD{(UmlJXu;7m&;rfM7goyWdynp4Z|N6z~j%=v(N=@Vp%{ceCL(gEjn>sh zZ?NooIKR(I4_ZzCiPb^I-=uFf>8OwIILE{O=orms_(o>f<}?8Bs-je7B#w zLQH_x^!dSoU5FgYK?e2l@vkn3PonI^;ZEfz6Ug<_ujyQK_*3_gvNySHHEw(T)+T>S zsG>f;+a4zE@w(FiY#h(*U{4B}WmI9e<&l%J{*dzMlm{&s6uIy8@oU`j!++g8eHZod z*>|~(@dxzoKhBI}r(stkh%(y~-|ofJTs`)ZsD~LG?m`gZt7Q*2Xu$rNUm>N%{VMe7 z1-I$QdUq(i#I8pRJU8-y^7%1B+QUyAR;Z5;(w-(0i*z25d*2-${z4rSri(?^**(&Q zL2pH+>f!r3=-X6wC(v=oXazjBfnaN~(D9_R3i8Uu?8YDD#t-XZcDYCUb>Tn#BX{zRUn)}8kLRx8 zUYyOj8s3t5+Y7jFCFLXX1d*b&i->6C*6|5lZwRPd-IPSFqT{T1nkTRxaEm4LClap@ z*UWbX5rF`Emcxb+o-!d61LutuH{V|{hLmBqO*CUvbn9aF9z>oHURRE2a_6}7SGG{A z=-&dO>3m}RX2rNV@`v#bdBR`q{1ipTCo98j@2e~!MbWoyzBJP^$gorniq7m1aD3jtN3FhKxexOk#4=hMn*B1Zd zo~bd>=BK;qcMxeFccKL~?&@_)!)1@>$AWFC zfo>02KR_7!vbAD(z;=vpdp_)ey*{@3r#wd&>L>ptuWdhhLD{{FviJ9d)x$iWUpQF z$ZVPTwljquNlcK_zuMII)G7Y070B6qkDa`#!uN~+x$`h} zzxY!COnZt}eA$?EmN?dd^Q;+@A=G&XG#|KvugO2oMU;6I?_e*j4f!sfo-{C@x%WJ! zGxOqQ5WXW_(ORynlBRvo5ZlVJ+(tRW_d?wtT`Jm?z1XaJIR1uH{u=dytP+v~nLNk% z5BmC&oj-q4hDjfzMFY6@iQ4KIk^}LXtk`7)$#yc2-LD}6=RR#D2SWX+&(Vv@6#8Ti zI+#K7xbm)PMBpqldY}jSXewv8HbJwYlty`z#wH$3o;`Yey3f{K6%jbg82d^#PVck4 z-+%^ZesdS}H6CN5J<(tWzt_6`Xu!8qwVCq#WUUF)WoG&>R?y6Rh&W4lZK6zvvj*m> z^MKuAzu>m;09RGvKTj}tEaVw^5nr)>JA(MV_;6Iok`1i46DlXyQ6bK)x7c}cW87xDku^&=&6#K{0%;5y{xG=&Gp4Xt*gwJC=D^H9lRP*2n*9O*neU_2K&Xx@}bsCLv3X;W^SmhfUFpOB%XuO@&vJ z5ZttQAiIb@W5SNI%-!A|D%D@?Mq+AJAYTHwKqN=%_Iu{h@Em%zB!|5ffLsJp-wm>UOW?Hjn@p5iX#T8 z7mGxPBK>EbwM)|nE?7_gQ?@wD)%Z`_1S@sqYem!o^{hWm9JP`_zfR@yHZXA|-p4TL zvyrD4B;NcMhWP0kpZ>ef?gKY%WD)((x4XGKtB6Iz=Hg~^4gB_1oq$bcxR@7Db_E&T z=$!r1hLCqU^l%KYWNiC=*li4_4|skxfi7#N!1Z^CF=VN*$q$%BcfSxOA{LDw(FaW+ zWMC-A@_GB6#vOjT)e~W-A5aeoABg=hYze2go%`kk-Cl>w1=zthf04?Z(jU3!H`jQ# zG=H_nW3<8LAa5TH*qT$zP}(*40Q%j!;^}yIkSSpMR~QGV&5|z>~-Ps);q#)eP1K=ZK_%6`iCy~!*K+I=SRHY;k;Lp zHw<4AJKH+u1M{67f4yOBgtbQ=g=?LwFNkJX{O_7Vxda6AHa9@w+TG^27S4!4-(1kx z5^+zRE&v$*Ae;5Ft#03|=3H78aTI|7zYyD?NNED7tc=ZggRmT5@7^v}9&O1OG$^;+T z(!hb+kvqa(zh%fie?Cg=(b2i~m~GA+qrV}+rwgI`q`mMuk$jYmY5Unwz$MZd>n0hA z*bfRW>Fe#qhSJT<#Xl>(0)NZ9NL#XbtAsE4IT%fAxoH6vI>K{OQ_tQM) zOk<{y`qDwp44&VU(vp9H*bjQbiB7Qji^t{B%g31v{glOb-}UT?=i`@8EfYOt0X>20 zMu`fk(YYzKiv`*j*f(mb$}tIy=^CAz+e@H>~7fP>x>m1!&AaOW<*Stn4R?-`XihQCOiKqd->-}&$BAse8+pgle#Nc+X4 zj5aqRA*OBB0_$Wr@fUHJ566klCHUx3*8d@xx4PvR{XulOG3Y_{5>m&)wBxSn&1fmZ zl5f(e0SpfyDef^OT-tsoBL<>vRukl7jG>*ikBEdzx4w3{Q6moXT?VFRa8IDZ;%kvP z>@s5cfT||PBp57OW5V}XQPyu<^SE83QU5Eer@VnBw6BgSPBwHNZZ2s=9OmtYm2(+u z@z%EKX3*|3YCm1s$??V?ahSWT9|@VsaE>GE-p8$5&>0itRzeS)rN>(oDF+iv%^VI5 z7i%LqADY2ghJ4%IJmNX3X(sggR@krTZ6#f({VGXVSJhsmEYqEgn3^shOD#RpNY;Z} z`g4i9u=YyBAKh)rpkLlLWdQ5vQ$LFRG=%cNd;5$)Ap2nhiy-1KH?aSm# zf~lh08sac_Gzd*4x8*P+e2CA>#X3waH%B$QxldM3kZB@&LMd* zh*_5*(heRjyAt}upWq{J9WjID*@)cPg)x?Xy%ibw=}esUC30B?s7G4I+oqjT)69?u|s4 z0R&X9iP#1rVK6pXZzGudq05swYYc29V)=+#pI?Lj)Cn$Geh;)MDp&y6oO;LNF&F@M0t5lshqoynH0Fg}4j>ySfC{c8`RL_fM% z9j2_`JLFqp-qpy@eu;n2=|ST4#a3G^s&V$$omeCeg}+>E0N?5|Zn#b%rv8u_lNgv# zSzd-O#t_2HOh!!osyA?Sh^e31KUBgD_6oZDMRS>h|K)%usN$nd?-SN5saL#H@kzY= zF+@6CG@5xoh*?6&GAG^ekiR{38)E8TZU}k*`S33dZzT~cXefB0&|mgU>|SRsu3$^A zoiKiX%0#yZzeTDyCjR@)oKL%@jmp%@)Zgz*jtK`P=y)B!b-c?CJNn7jALW`V(iz#F zi{~jTTVU9f&}Eo?D|;$_Q4b_O`_2|3E}d3;sL08TD)%!k<dQ!JZ# zOm;obEz;d9vF@DZj?unLuCyJvBVh+gB3)VI6r=Sb0Gi12+3wLDoAp}3^PmF#L5k5N zv~fYs@?^q9ATnAv-dRLDgDC8B%AQ6P&6!4h!xrR^@~sqbjz(S1BuhD9;@DX#G-s;Z z6TuZ7aEh`_=3em%x#bxa0cQIpJ*a3-^(+P5EdML?dI%SEo%7lUoip$s8i*P|xY6{|L&b0f8(Ce1WUA;SV zrmB@X^!Zh%_f}sPbVVvsW*>Me)rqa`u_!h&6E=-6w6eIOe-Gh;ggt-WB{7N<7ORwS zo6j6TJ4C{?>{uF3u2|R zEvDDn{)Y>yJjVVZ;5<{{rci_)u&1)JoC0f}TZCLR7LponT4DfU_q=o;osFOYw*04jZf^ zM}88iLT1NiNDu_sO!EJ&3eyWh zVotR(*A|E-Q%-O#6}CpJDQMOzmWa9+Yr==Ff55GJE5AWuy71M%ua-*0FH9{yFoUai zYGx*buG}jzMruBHG;it7e8`%OO`XJP%E{g&yi$M7C%uA~W1W^F?p1O6C&Y!q^cZ#C z(Z-oeYgd$Usye*Tkqe;Nya z6(}NtfFb`!-c)qf0zK+t7bO? zZQJV)RuK)inV(g&3DBK+uSbyKJ^M?9vNB)80%49j#2uSi?$~Vpe>Ou>yvSyw|EJAX z{=eAl$Ny|*w_~$2|F6w%{9kP5^8cgF-2X2&oB5y3>~?In{6CwS?bvK?$7aO{%=7Rg z+YgG-4A0;mYM#+2?0SNXCXLs$ldmN%s4MeKE^33_X!G>y0SCt(SLJ-C>}sRNs706Zj)dZ&}z| zAyWK_V!-&z>>=90tlBsH&=3rS__NW?@Ap5-w8Cu%{_jK9=MIM$d;bi`dA{O&` zmQHbm%Nt?ozFr>;EPrVUwerfP&gIpT zhXg9@K)!pB|N4yLnV0(Wi0v-U%iBzERjzBH?ktB2j{puT0F5&hR=KY`;>v(;nIWwu!+>d+eq-+jyBNK?AFo|toTe4Z%HsIek$JrB^+Su=S61AcE*Aeeu8L!6` z;vVtc7@bgC@xoLEazk_>$E0Be$7X0v0+!X5KUmAQNon( zeRH_t+HYe5tPRl}S*a6B>`IhJcB58qeV>`^8}{|e6$@z1TE8ku>MC6DBU?h2_O^%f z%{%4ax-;!S+i-91^*5?TSRiWY8XmtN^l6{IdLtjF6&$}yd*~;N+CT2C-PUlI+wwPw z>)uJ@bzvO$^`sTTUrwf!zwhwZ$D%ff8i^lobE(?Em_*`%^}d0r+}1Uz$A7&O*1RzZ zkNAhWAjkWm>t=0E24w^Ko@uFHmRXPJ$(LN#19d42nsVR^YBFG>kV*4u@*5yNYccyb zM-73u(;3P2IB+PQYj;Eh8^hIGCSd%V>p{H%s`&nCNJa!3bM5y^5W&Wu!|!rY#rIv) z#}C!sj=Og%zM>kNoiBzmDeu`0BweFe-+7wyq6IG_x?J+}vlchxCyLk#aAXOLm2gVp z+umSvyad_lEYXW>6Bh4|z`;+k*bR4yU3&}{m1;h+h*zr7GHED}e+L{) zlJMDrUJo+`;W}SE*cy6#-4~;8*QrRtBXDr>`{xFby3p1CJ;e};#kU6$IQY(!=^z9S z7Pr2wj_6JH#>bd9qcJoW41t4HPk-6RgP6r!FadTnhGu?I?$binzU7@UH0o`Cah}zS zJl6Oi1P&H|k`{O>LRdF3CmfBTl~8A!2R>}${4c-^N<`*vZ_HPoSiU)91tN9xslV5b zR;@6+#nqjfBoKldA8s;h<4>KAU?)zy)}6ZXRU1y9aLd?~b2}K|yYHY&qSPXjANK6M z!hkOHKUkIf=oTnUv6+~~Wche!Q{lPl)>AuhY*@tsS`kgWRvWgD;S`>LH@ z8iKCOjD-DMWRHNPEZ>B>l~$5JwS>w|E00>NO_DmHf_ z@geRat(ZfHr0^O+%0`G#GUldb7#$p_J*Q52>S&oL&u@i+u~ukc%sOcEnC4eQS1B~? zx{LM{b`Ti2`;Optjj((m*ioG%{Bg&RT+iPo+79X0zc^t7*GsQH{T-LseEVZj;j@0t z{k{I!P5)1#x}X?GZJ_=1{aeZptT);-@8&Rbj#VIA>H)KJFa%%pMD3wEN z0@dzm(OlV@X;S}DwndV~d4cOyi`0N*7IL`@a(C~Pddvqt74Ss0_|zr-`iCkTYu96N zslsN71ecqSbS$j!!Y&(T#4d5%m#BBB05`**@F&3eKWQy9b^r2*CzAuQ2U9BUXwv(c zD%XiXc`?djDwtcRO^v+QJ;JiQklb*hSjAbavS%;pS{Y)3+gN;R07;D&zjQAf!ba`J zMZ^Txl`-yvnBZzdRP2xDK5kWH>i4?+JC?tP8Ee}BaJ^RSFhHIhmxLB zl*JTI@ze@f9q|t#`XDB_+6)=5%EQ$LV(&%lAfs$wTiMJFZ>mmof0SK)+Wx2gf$Ckx zHY>QASC89XzVans>@_Y=GW;;%L*axo+az96!j6@=MdDYJ{-+HRubrf}yvQm+p*j*C zd0au_K^S+R7i#5pGZofTd+~%(mdRlH`yXn-H7l79Aa%`uBiEOLv79!kOocmW{-&oA zscWXpuRl4LidwlGhK5E^Gu>|)iso-P$P8+zO~5P7W{Fz4zCNP;Ua>2%Z?S4xwe%6odc?#k8CLG1#c0V${}T(l!W%=Op56r-h~ zke`d51pPNMsL%Au0Dp*{85vsHoXsRUWFaJWHysHKRVC@gjpQJ<`}kfG?0w{UvXo06 zy06&ekYHg-MfLBZ0$dpzX(dBL15Y!dQ4z*oUREN()a?Bmw%?TCrPg_G5;0(RtdxHS`h>wzZ&Z?sgf|_g3Sp z!S4w(&QlJK;aF7fO;1TUd}HCb!CcWL%0`|q*$6Yw(QbJa!K)?(VSN8MNRVr^@7ulq zq=E0Zbt(zi_gpd#XOn^7Zr#RYFz7tmzHBTDZtt1ilHjK41C9roa`0X+;Xe}KH$(3P z(#wNh=CCFS@+1GgS-PtLnFnbG$Z#nC-u~l4if~Z#Rsab$g(IV1{Z>NygAzYU;2$_4 z!hKd5{)1YPQ0(vn-Lec3Re%zYJQDmMcLsE>s6mO?_-``!<+5z-@m2@1m1le;XxW!_ zH}Q=Itb{o=lHhnt%LNAsg|5&Y{=aaofs)!p*+j9NPL(jMq;s}?t*3Hib#NTl$xuH` zSZlAzmwAh4a4tVayg`_F!dyV-ETP6uZ|bsc7i^{p5*%)4X;sKv=btEQ0mmQgA+tLR z^`2V&=a=(w2D>A$95%yql2Dzzp7iPpzawQMB_!mw)IZwo;_|Aqmx8T7HP=bth*X2> z9HimT{Co6Q>bs8qrztWpFZ%u(8PZ3d^ao|gBFU33brQrMc+z(^K@O~~F)b2koqoK} zSz8{gt!OPtAa^?AK!LmhSlwnzCxhIn^9*D1if}vU(<}*O%Y5FADJVfsatZqDXKDGv zQN#?BY*;cAimldve7JjA6$~Yc5i`u>xsO$B<7yxwafY7^{B$28X?fH^;+V4(2~N`O zKi6!p0mrt^u9CoZ*FnEaBT_Rfg6`W4!!`OFNczG(O%rBz{qv=*U$mYMd8!4wo^boK zJfzCTA4?_c8zM&HbC`XKJy{Ye4F+iL>iphOGAI#yF2JaJJBD89UXBz9%!#5iILLZj zDr`U+=8C$FNT5G#-ma)414T8A^kj%jdB9$NNfv7K^s-6N79V2f)Gh~l8ABE%7>Sg8 zeRNbFGP?J0lHgEY0Z~Cn0lGC#Fpyzm%3JN#A4N39YKYEY142|DY*d1)O|`-#IPy%o z%vM|(nm)OEhhksWJN-K*RN<4D*ft3&Cz(k!WojU{{9KX@_4_RD>poS7<=ViDBv60b z?CGGU0kr}uUL?qlVd78hWjpla5oIF}B{PVv^tw1Kf2MH?!^D_tv0rqDvnno;aLhVq zqY3`(iymJz@H)!NQ$zzJk-7AXbjq9QY4m$!A6zft(1cCBFO{@T|K4x>IIRVGM@N6| z&NE18osIoiq$QY@8Hs(?VXl+}-_~QT{xwHR48Lk0%~kb ze2WVCNEOz0Wa%45gy|D9pi*(A2l+_6S84PvqNJdl#0Y@~D(^MY{mwrUXQ{0_qwZr(TgwQpXnky`|JGew1y z@G$kCz`q!L%2D@CVr!;Lpv51$qmM3|(Z3UsOg++td}KGjDDByrZaGnZE$EiCJ;M6E z_qf5vd;Uu2xp=Gd7@W9VEeUfhw1rp8in7t~Q3ZPhhb5)A=#Ac;c!_+Z@_IiBb{oA~ z5@3-AWxh;SQHkJdXj)W)@fZXpp z4={P?*!L27%+uR{KYw#lfPDvtKa%0T*wZ(c3XzYrNqId1T>l63}I5 zy@;mKfXJ|CPe|}qZ>Vrh*}DD74nbk~iH{y~iR01b%pbmmVIGFFeZPkMOjfZOoMBWE z4MAGJ=DG4Ne3WyrERiJvHEj9loR8@C(GR;EHUBxL2}j-+{h(D5WqmG>eB}GpSj9a- zZLia7t+f&>&uDgEz?j+6&q{(6Ri$3s6_o_aMy^!Jjq9=W?RxDj`%wzU`Oh*UAK6Fk zMM6H3f6@pe!8JC5)zf4dn4DKfKGN`$jIFzoEX?Ck4!jVNru`}_h}uUC_;i3n>+H6RW1x>$VY0$3!fpu%vqmf zqlgJRKKT&xk)kywij2alkX%(POM>}&`>J;{YEab>_J<6U2_H@|jH^S#v3dm(j9#HC zpdCRza{L_fnEkunx^YyIalV_B6FH%2SryE}OWR=oPB09svJdE3KlymS*K2Q_edn`g z!gpKIyk}$h&OfycM5^}j3cM8EpJVTs=x=N<_D>@pd5rPGByEvQ=9!RtTEG~Z>d)qZ z+ZQLMcT$U&<|W}GW}j@aE(v@Fr`7Lj^Bt#bq;P)zc311|JJ>f7z%nRoC)r zqsb+(aQ6?z=E!RPth1_Mk$+5p1b$A;!bER1$X|AmCxd*Z&iO<+*hTuASb83Wb zcA3-8U3iPH$6Sa8X1s^@UZnfFvgaT@ZrqcxgrEs4SNtkzpRF4OFV<e2C&!!Wfxj0P;{+FK5~fOv0(hO zG`PNC?IVGi$!mg=sSLc}&mfQiciHP&EkPFelk{Uq@PTd7e6a`lNXA#6QB?U{YEZ^V z9vFMFsF078eHK-Ud}NQUY7QArO^@49J1c@M)d=#D&%I@v6J96*)#u``D3D zw@7Q*ClvEtWSHspQ3b7qBU2=JeD<>RecZ*)Szv}W{dyfxes?3xg4&QGR2clM;*YM2(7H)^kOh*=&t zS!U`fjXjLBipB;^@hXz=t1YK^yx46YWg~-w&p+Lj&aHm(==NSIc-pw$L4tkPjF+}K zrJ=Dh3H{ZVcs*_FlMGb)CAE{`Imf~FIzspMC3z{-lcZU6#59EFgN zWZkXCLW1)G$|t^EP=MW|rpQO0KP0D{eL)dM2U5yO5IG}~n0-+R2HF1JaF9?a3Ai+DRHFB4 zK+sb%@{tGCZABOUvb2{Tqikf|=a0b>x<1jtdrdvVu;6qN>+PYlHd5DTaOsx?6A2<| zXBCzA;V&0#$P(MGMSki0O;=E++fLtm|E{@Mzb2Ha*B_?+_kcBDa!U);SM5l9*EGir zgZj!n{P;AxVz9lR_97oSk24mG@_ezw1GC`1d0LNQ^sSNeILd5JSNNebc*mMLR53>y zDkd{fX2X=laV?C9eB`>G5gA^7S~Qz|Eeq?6jAO`0Hc7GzA|J`voy$yuTe2aSyl$h+ zCc^~z$Wv3_bS^q8KnC3bU6k463DYK^%!aNNkIvwRgbR!9A0=pwUq+dY$KQaNgPzDo zriclLVudxAN!RbILW;Y49rBSy7XGTpN4nQ;hLGW(Bl85q7RqdvWsr~D6%#GaNvi?N zr_UWlnax{$w~N2XyB_akHmBNj-5=fH<*fZr75PYai{%g|&~O}{d+m?2Ad;F1G-eOP z3(0t5L~R}sNAFuPp+Fb$;^Q}ZlgP!61#?Y!fu`JO1)nBeeJp^Sq}S~#&ab$(WXjR2 zKthCk@dYQyF~Z*RQnA8nG=pPLd#sW=^{@Rt9qD3vQ9YzErT@^`tD&za20k!K&g=)3aqcc&osCl>}#& z^Koo$@}QvB$xnh}2KU~WQUy@E>|9F*%h1|$MplY&+2Usy368$t`d%ZZ1Qvf}<4N$< z=_*efB5eKR-TW^UlYgf=LM5jP-g%8OBuKY?UNoGc26+x4b7a6WT71ufIyeYDK)FDd z7i0C*I}H$8r0phw3`;*J=hMq!7xq#P=aOY@o)h=UDF^*wn=mZz`Ncufi$a3S^JLuf zq0)N7R=vPOyZ88^wRQ*M#K_7A&ThIjUNLU^ORG0zXyP=1*WVYTEfER{I{iQk{4>tF zafXLT>`)oa@2%%M5x&B@Xw-3 zDPV2aNmW zxBJxL)d@2cl&bw))ss@!fD^3WY0-`6cXT;PBQkB}5@kzwmpdQb|K+jNE9pZI!?2@# zF?=Ksk>D%~TO8kgb2Gx(L!Wi8s^ahC_^%Kz6yW7D4C!zKe{RrkGKNoN|JH@8{Ce^GSXL325Fk`DYB)VYaD%n zs;;~4jrs|bg!^8$)>80BO98!!qdy4z)g#iNH7^!Nf(K_cNU|j;gDiN%h;D-si(vy{ zStzJAia_yDdV|$FltI?o%cI-iU5pH$bC*2W_tm2ea?n$~Ky*L>`tGtFAcN%iYX(IL zMYzlFfV|1mn?72-^-92>toMZkoooVTj_*+h*?boP(hch+pB*+*h30PI{V0Q69XwWw zGRSUudvv3fPMK)Z7OR7N)d-4*8ido16$V>@&e&^$)#E2IuTiVQbpnFYP z#87^?6lIXzgO)i=z%lioamOLtpuyNX!i!<1<{!y;gRzF6#00(gLc2$FW0vs_^vnZa zbDdc=!E%?F3vI0Vn9Q#zltQZPS>|M*I!jGSaR`FqPEI_f43DBQiQ2OX*gksYSV>5r zXX1c(mm`!tHK7%J_N;1{(>*PDND7`^?yg7Xzq;D4tS$|gS^wZj(EH-SWKq8ium*+TO0K{o^)VTP}YzONV+KzDfI zCmCK`WL%qnt_Z`6wIk>V&!v~y98!YC;2d8PRDbS_Z$h&v!D8a;p;(m0w2VcpD(V_M zaY9FUpH-#nUNxY7%x6MI-G%q^+iL3Y_$V_v!d_9fxO?szXh1CqrNAScKAB5j-hUoi zrR-__(ob4X{g3w^Yq<7>VYF7FCQSbicV`+-b>F>xYiqYBMaGb^q)4XCHqS#cl_qJB zA~cYYp+Q8V!IUYplA(miEQBOQl$4SrNt8Kcde-j$-1oct)%EUr*>P;w#n);5*7-Tt zcl}^dkyT#tC3*L2P9>W2HaD`M4dr#3fe;(DW6Qy7s-(2I6QR_wOyM&l*9;(Tac+>f zkgVM6yl4oEZ{u9~Yi90*F=xm_55!emEmAQ=lCGI0?aguWjXp>`@=lb z*0Y~>D9f(utrnT!RfHY3<_&ZxbMV_WQLY5GDM9!zO=qPfTPFtmBO4UH9AWe)8?$RA)2TrL&fs);E2Dk^m_lZ8G z0ZOXUxAAGh%C8vxQ4>^W`|w};*mumST5G{cZKx&A|-lHfOwc`=keFI~$GZz++z6qt2@jojXV?=)loiF6a|NiOtYro~f0}@w1WX z2h`726xetZ&L%twW(Pa-xp&o8clTdcy-Xs zxgUjPn3h>|E>i?k=MBd*y%K(Wx6=s#|_2B-#vQRqA-`bQ`x1&8%J8N zh~QdMr#wxFI@e~qYH9{KT=j_w;#J1>GeTDkp}HVIkl(pXF`7BL&#IRy9I%Y82Lv~+NKRiAPTS2D>GCe?YI;ER70`MK1UUf7d=0NrsV)f`-&H8|G&>620Yxn zak)B-TAdYPKo|CEeeaQfJh1J+Ud^7>SR+Xjd$nk+t{QQY*S(Q{^fhj^@FLW7{Iu$- z(}BhnEAcmTeT>B5Tk`$$o;)z1_i&(Zt;z1oqlZ?;$-(uWwj5E`$tR2dL@vMl#? zEb~m2@to zfF%0?Cux;8UP-#DWB?zW>aG*rySv}NLjK{TIscabl1t(cbMSF4YtwauR}Jh3iZ2=M z-xW%@Y2S8S0nP-LxEeile8N1^GgDhitzxXag3q4cg!LbHej>IrKyFp9kf0)V`4B0Ct=jkVp>MZp0wkUMj9otChi4vk`AO8&crSwM zJ)Y9tCv<>1^&Y$Y35|EPA)~r5r6O9*0Q6DNIx+cte^;+U{u2plk4ethe zb+Zq65n^@Y`4VpGK(`OGRpTG>s&*651)tcT#tbO5?3r51*MnGXQWG8c(xOFnaqDBN z7IFmZ0L3@jf6yW|vz>XQ9y3HA-@wn=g4rR0wU%^^rG(dC4+A{x^TVKVaUa zE?-if{TZ>HM|J+jJpcSPLfvQvntH}->DH4o=Zl#~+Eer~oll$CH=(6LP=s_YW}8!S z^Pu5CsS6HR@k_PQzaYIP{A*Ex$WGAP>G#$E&JJ(;aq_qfVh|4*9W`EC=s$Q*rr zx_UO6`rFehya*1=ZI99y;G=&)T2XzGhx)tVXDsws(YYtaMOj;mJj`a0HbXeB+TkVo8zsRw_Z&bPMakeOY$2n=&vIR8tMPZ6_bhn4I8;oL6hnQ-h#2qBGd#6xyg{I;q2&@>1--hNP@huYcEo^3pc!)J|WkXe#8>$nLw@sRg1YXVbk1Z+R~rQRvVClOtcSQ?u}6{Uc|t3rG44mNP(d*RB0o z>-3<{*C-h~wGNGgwJY_(_v0tD5Le99pAbzPepKCMs@EoZa#a)%MGL*(<%DYOv+&G(O0AF657pdDY?THo6uA*0+aknvl?d zZLSxvH48mzcC;o$6I|~H{icJ~-sMRrG;syNw_+PF0^C}7@Q$DZ--HBy;R=FRErfwu zT@c#*0J+N*>BGhAuIj<&fCy|x+*iL1aXFi!FSR~G6Hwgsp8U& zm0n^7ARzhLjp(*!zN!ASAxN%adBU$Jc8!k>|HHG+E0VUghi=y9h_Xo44I}K5u8may z4@0q$yF5ni%p*NKO^SaI5Tz0u>~~WU;=MkbAoa)_m~lIz1YRTx_J7m5ajPy*Dg()z zl+OTuc{h<&0xGy8dQ=jrhlStwrDLiPk>ZH8jW_d(Ul@-Xq&S6?(P1fDBw}=49h{bC zpJEL@IM&NQt^rG{E+gky-sGRmN7RH>cCJ{1BP18SK1XVSov__oFM{75J|C}hI(WM) zMiB%-G=bvYo`Uj_VDhS6?kI1xu=Xjo;QA*c4p+Z z*UNCihHrv83bF~^9-o__UZ6ojS5U^Y2r`y_z6KmqDmFCzNBzrFG@BHwvJbWFBv<~ z7j1hpV0vzcI%JSGZ$(5MC9PZ>hCHg7dXbLr6Wz^u?3pGs7uO)7c3wFBP6l~YapW37 zFG9w}(q&`KU+Om`8_JDM`mMPOi&$n7%4(AjI%+u%bCRp2#8vfBaIPzoEooug7|4mzVIA?PP zgQD#le49;0)g`HyhB&HyfO_Oy_p|Oph@&)PJ|K>|axDmPl%`Y8CBXsp@ygu#tE}IW zdio*=d2Ei~6+oa`;@!UG_dS>gSraen-X`iSB0sarMG@TF^iXofhH*Am4V0kG+p&rc zLIY}kX~N3j-L(+QfNBeo{<%sO=vwN0iExUFlHNlovf(q6Q9@vQJTR zJEj;`k?LT{bJ?B&G@m`mUStj6DOrb-6I;8e@l#wAN`&D99nSji=Wq1Z0^!PpF)zaV z4L+BWx^$p2zTh4vOrd?*+U~j#&pJe5Kzm-(L`<6=ux>O#IZi7@ZKqG_!$$Yd1Ufj0 z(C1HD{s}iWVjkq_V*B_L0p)a7L+vVWLVVvB)diOn;*9kHavvH`T0?)S)z#mW4ky{` zY}IH@MX!;Zp3JYOhPZfsvk5hTC((QM6Y2GL&0QIW5N#ymye1(^QN6mfpZcz8Ogxfc zDBSu~0g`t0By47X^^tjyAgsW?)O%?~{M(p7MPT>2gx}yU)#3!Z-AdrI`|}=*)hy>` z3J)v8Zb7~v2Jjtkp-XVf6I zC?0h<;GC7gfZpRv`J-Pnz*(dp4_VRn)dERQ6GY@L%VVszF)6uYnHI>MckA;axGi6F z?mevo=Y?-~VxnbAsfazG3&Ml3X$;`n@FA>}Lk|YkdvD+&_l(R=x$A>EdrKWotgJ|E zcNIK&s^&iPAXf@!2wzydIW@Q^Hp-hIOi7OD%v;SDcqWldY5aVOrpI3YXR8~fksHj| zcGZ>(W*#MRAJa^uCUEYP%#SmGV->H8iCa!MK0j}22o=GNXN451!u~E~tKpAH9vtF* z6y$I*RH&cfFbRe^Z+C|4LT+W=gnsuQi7DNQ^ud8NPQ0yzHEA_3K?J4 z3*ZwbDnM%k5$on*8zk4wZw~5xex?qGA0K>+b#teA-en{f%Snn(*Z5 zKCGLsCV%Pwc&!CbSFZW!MYun@cA0XX4y>$8WI=MRVjsBmo-Wh{>YT%TYU-=C#SyHV z%QTQ&=RQ@ZFTS_)Y+Suef-$4 zVaLT0vOT`yiI#GhOH%bdWu|Ugn@wfW@ux41R99kgj(VpdcV~B|0hE}p_&`i4;O5gy zGz9a>90Q>dQ>!W_Amyv?*MF<=`DwGnfEcwgnlPg)qNxD4zIU%46@9>b{twq6Am{cg3= zq3&6GfLM(x_$h?((IMRAORPkc8YmF<;Dc$^vT4gRoa#W}+o6N_pDt@UZL0x%tt_>u zd@VfIZ*x(>wg&u7yi<3Z6^4on&Jt zZvubOXWg!?B3u4k_aPU>$z7!xJ}j5YJ41;(_9vU|d-Ac*sUJwk%HQ3k)}KgyvZB!d z%5^$vMCHnWm*Pr>pmW%FozNGfD<_$J^l_7Wd~x*8Z4ztmn{{Qz5T?u;eqtiuPkM1? zy~^LMW`X&K2Hw~!+D0v?&=q08efdhPZEZ=yZOfFv{k+8h9YPE*?CuR!hV#jpoeU5% zRjzgwR)OTVv3u#jmDLkA$AO8wHa|Y1Mn8|h)Px#nvtP&BR!Xsp=EX#w-T#s(1GcM9 zB^0c|D`xvWEGG|BYKT ze-m%@slCLcQcUE_&Ln)6DmFZEfS+6z{bL_(xO&rQYY!!QUaE<$KPq32@|HAzsFa=B z^ygGM%X0%b6nw*O?PbkWD=C zSphP%#exMbto`rnH7bpnlqPf@7ejj_JB4@PiW){_;zh_+w9X7v4(kG)1 zJ-s(%7;trPf6TKh8qiyLauJ6SJAZqge5eVPypQ+MAuN>V?jGj$`YAy>FG9QMQT z9hh1#W=jX}x+ALev%0YUk_dqT2^@P#$#HscsZ1}64qF~M+MGAlhqB=BNOr!5X-~>; zy+EJ&zwPx^GVABhJUoA?gZ{{yu>J_I!Y4`5Xtr(K)EvR z`88A6NQq64;;H<%&gXRr7(i3f_m9My){*h0Izvce&z%*DNGK_1($OvD!gr4!k<(0j zDe!uQZye!r#2+;UXo%UtXnnS8ka?tgjjBRf%X9+nOSKp)La5n?88ph3TkFzsB+M+Q z^d?Sb`rb~}7*Iyr{f5c_@x+=J1ThuR7u`xnuIYK-s=iSbM9n2cP`-9eSL10C8hzxQdQ_1E4wyj)Z<8))QeB}bpdM{p4#~1ONu2P z;z*dmpSwBs#yTKjw8;wDEDlqpr|E)`6z5F_n4a26HQ1sDQbli0qxW5N>_x6Lj)a9O zB%t>V$llyc;MyPO%2er9=>?;)vrf5E@6uhp2}aKa?+i`r#6f61`T3TPYczoux~-fW zDO(~IxY=SX!UmQyND;OUvsCWbOh0!V39~i6;z@kDS37dN#Sn~pmQ4zgbUV42aMW;9 z%hRJSKYp^_K7HhlS3H5k^j4GtJY&&R2+RlyVIC>2#=$7R~ zUkja82{y4l!Y+?4@F4daLm5~t^j={!q4d(GT~`GxWWvAF;iR|Pl{+q~Ak%dxk`AJR z-z%@K#Zeq`J9e@9!ylhN)lmns#=hg@ywZdd*?{2K`^do)~%@pY|S z1&Xjoj7ygG`o@;H5Yr@qbP5!tP1Kz}Dx_fqNfV}ZBeI(N)uG0!H zkl|0TA_uW`;}qP83+*Sy4MdRPH=NyHh75l^!8Q!v4Op*X+?uQl2_IXL;V0%wcAibq zgO816<~Yprqa@Auw>~uPSz$(plCk<#d_~tv4FBFt*=;115O8;o@5g(s+q?-08c&=0 zM3aU-KH?&4sFxPf8r2fh8zw30W>4za4rzXQC%%JZrtokb)p2WFR*<~`D0uI>MC71< zxAf;S1aI88_>J9zW=D8X0?;4Qpp(W z>-!rk99MY?S1KYf&)9&^&I+EYy&6g|Hsyp)sIRg6)ceoMFr_Iwf{?S0gF#GG0nO1o zMI^qoJtaPIsxVsOZi&SAY3K3t+ti?h!H%^p*`LPuC`la{L$pf_cn@A&-d!39{p&2~ zxFy8>gSL$(#73>8V6d82!CQ@+IHLBMgm@8VGtO*3@<#{u#Wd~0`g~HpO=M9QV%m5% zGC(P{V1=Nv9<*(DmcgiD;H2B@IeplE{bWBK${o4g_&;hK*>aQlhkq^RQVjPw#_Kjs z5jk%{jQkq@?;+vmmv@wt<#U~0)1EVoPM?^i@|Ip;Y!DDdvmdM(SAj3|tvE zfG?}9ZxEk<)z#x`GKAG>ORI#+g-PF;a8xfMpZAjUqp0j_=2vYa6A8K8Tb&f3wF)Dx z(7C5%CUWmfhfg$)ff2tyYbh_a^XA#AnzbKI-5_a? zT%Vvus7}RNM;gEgPryOqk-^S5uS15w!;p0oF5&7{VIJ5};`$eTHKjzw(BsNZ{FMpK!H0z|DB0OBb1E0WFfzM0>w=2Q=4T2Bp@R38B zwWvoKU->m2z<@2nM}DZ8szCFlCv0?lHCSlZ14F!waLf!lg^Wk#J5%qf!7-b6SlfQ? zr`PD?WdxfyZxL=RJCWK#BWb`J9VP4{=wBYld|_yUj>Y*msIN2T4((-HV3B+Cs~2Ij zH}Tqj86C*&zjF-hbHZ@tv$c2`flX==0nO`=3QueFfKB7^C|*WTv$`vRmk~4`=3^i1 zNUgiSi(wU~Zo)j$LS4^(AN?N^Y}=mw#LEc7Yg?-Q`Z!d{X5`_T>Xo#zA3hnkLMb)b zeu-@PPx~GAUm|5I{j{Mb=1ENNN-+SX2I(EdDa8_b-`|GNut)ry@X0z4C8jw#Z)D`~ zYqyibqc6n@0ZYjQ-zL>Z3NU(XX>-BX6@T|de01+`zot>y<(e^9p$P3^2eH2l_}XA} z5bt+{NnFPsva)P_w0*TQNIczu_d9yIrsQnCsK8Sm&J#EwKDv!c+M)_P`J2$IXRSR( z&%LJx`Jrz31U`Ftr?Z7O-tQ>-;LU(fm6W=qZVf0JR6zvtHQ=_W3f}J+)E~k=xT{BJ zg797o^iwVHen+rp)NYMH9Y}Tez&JrBM)`!@W?gW9yLAr(S`68&nqTU{+hM*1#5kW7 z*f$8{{f_o3bZb>z$I@E+-X!P#-PHB^ySIDx+JOykFWPJJz1|tl3M{B`b6A zveIPb_}OCDP&k@SN3b2^HFJ8tm(-N_`32Rib;F-9yx)=dtSW>UeXBe(C&mz-+05J* zu5bA=!gNn)qb9VJ9dIdazK^wR3gLBqo~r_c*A*l?9A>G+53sOteec;l%+opRm%ynA zJ^VLvu}>TniJ88p1pG@s(diV({+@Dvs0>TI{t5;7*E6uaq3UEuOFu4RC*1lX<;(gVL< ze@P6eOtj-?F6cvVk0bWM+@14hv$M*6zf@zY^wWhbt~;AGXZ)^Dt9cW+QY^;m(s^F) z?1>FNs<)`tKxBp2Gi|L^b{+5+%$eQB3#r9}+bc!z5AZ|0Pk4Xa1ceYQXD%lc=VD zM52V5B#P;t{zIa?B$*`Y_J2u~v%tTTM6DY8-z2K}ACV|wCW&IYr~ia;`bQ*6m`S3T?&&`ys?LN-qB8zVqOJ%1J4sa1mj6wncK#z0B@&)+ zt-`c0dc51bH0ds(<40qd0thu!zdt(l;SHWN%j4vy%Zd+j%|@i~ax20zHx2Y6X$nj0 z#?qC*P2^A`dJ$s-Z)0a=5V;eK=vQRp>Xd71RN&5xd^yhHL@sTdJ*^5eB7YD=KW{{{USq?gZ&;A44V_J^+yJW1a35$A9w<1JITbs^a&F`EJRvyeRJ>48t{Abw|~mS4xV zPUu7Hvfy)gZEf~uf>%*RJ~c*B6xlMt)}ZvzO7b^J$!PV|HU93J1co~UpCZfl5+A&jf+2@_SH zr0YIg%}^x&36sbq+;+}Fr!-Iqce?4PDwqek+}<$H|C@vFV%G3sMaUBl>_Sr)|12?B zObLWH+`@RScEfx?{S$ObYsSVgA{YKLDCw>OYX}clp;M9y!M;}&2+rFv@;gm`Zj$Pz z2F_BS(J7s<-8gg8RUM?7-lj8vv?i`4beRS;d4H)yW4iRuWl` z3C_Yd_NEts*E=vwmq!PD?caEzQ!*QQF^W#fo}Ga)KeyF=*{6JZz;1RKof1{mGI^Y$ z4`zA!^>_`yckaHfRJ(imR^~xI@JY9)9Q-lPE;@=%$$muZw{q6uL+i*1 z`y_K3CBMkel&!G1+oAg+sc41T2kP)c3DMmn2C$+bXB+W5?NB~-2%XYJF*dPXbyLdB z13Ppf;%l<0)5EkQ2gd!wvIu%jrNs*H)5=sV*S_oTn|SWK^b>IveAlnL-!3TvapmS$ z=#&(nDj!9sv~qp;Hk`0g*?q;{Ng37`bD&c?#POYc{fG(_lQ}C8_+E6PUN%+5drfos zn5AnS>wEA)4X%Waq7^;*_|kVR2XsnD-jQ)Ky7EfR#eNMq;u)Phe3sD#mtRSkRz0?63wl%U>micX36*abmL*HF5&c*pwud(0zU=1g|Up8RV4>*D7=Z-UFpo8R^moN8_? zmnW~}h-INEkXx<~0EI)?t(VP;_vP_UJCd+acpKGhwRC6+Iwhgz)B>WO;PdhvT|;P= zs<|&__;RU}X+EF3sQ=RHJ-YgmJ=yD!Y&OBF@!=i?FxFG@$T)H;jd|#7^+S0s!#Cf2 zH8zVvl`gyCONVRmk}+q(lwet-nhvT|;kWoPRB0nG%YFtN8`E1Y>5NV(eGRHKThx2m zwH#GQkK2xa{N6Y1O)SwV#RdNH!MU>s8^0vCszYFtDmta#4+F%crckAazptUgk0sqv zjzLX0oVFhmqRgG)25hL(v{Ogbya;Tu>n`ZBqf@H)MU}Q12_OFPLKo^686VLpjT2-y zqElM@k|=_6XU{AHXR`I-OP(z{rRX=k`aGE#X9Oz#s`N-!eda;p%;Jq71aCsUu-33@ z`jeJ*0}*6lVH|LXTWud~e2*er6t2srx4JR(yc?;APwp&L@8NT)OkD%ulcJ^(zppyR zaR*f@75XY&%yQGNMyB~ZWS?LlXBu33p4(ddlvobIdi`o+ROuE=F;T8pe{VGrT&V09 zz9cJI?D-atnf-%{sM3?FjJR_yc&n*1d@E)`aWhx0VrJjDnp%aontH!;2IH-!)#L>% z3T2y5_pdKUl}3(n(BX_$(4eiS8bms5b4Hck3E2{kDs^z)co*kCc5INpxP+Pgvu~(U zn(g*Q0W#idD)_w#bFIbXUq3OkFSu~x1Kw&1zTwe}x0)`vBw$hK*}{EE=Oe0gG8S(& zaai|-sdDMTB&#wzs`Sao=HIANR(8u8T#le*y2Exn*Db$*d88|w?z$K)^8B83)!{^y zawi+9-*=J?oK7KgFEPew3Y_&aCO}!@zG%s|W%lH)&a))$Dy=r^ZO*QBxv2(FrIvb+ zxTV6r+z>N+wZJZYv3`xEmrV0n(-QrwZ78;ww8qxUx8@#UT=}6nX7*qB-8=<9{Z(mS zivW1A(j~qgFj7GyoIU2zaim-GIYjTN@ufv zBIGM9`h0K6mQ}sEh8>0LQ~5 zUiA9g_le}MMwM3VzKl0Xcluc@;45q@Ql2)WO0RGJG=eHkX}OA!uOs_$)Q`8A*&mEY zm5$9!_$!XB4+kZy@7m~*>i!R7!JBi^zQY^+yESZw|TQ1F>cvCD#CZr@5gG) z>>q~@YnngP4qdoFems&iM~f_d9-Ng%84(D5!1iUZG2E+-B*53>MlD?Ps)3Y`DwSga zqS2}#fnA1%Am?yuhnS_Zts~QXw%B`E)b=OsDTtAkeD&%+!CHfJKmqi(%=w5WYcneY z%R~*w=TBV8vIf&zP^F*mxLv^D_HC^5ImA|XytGiIHzFzPBk+E**B~_$GkaYlPAAOl z2k#CX#7Wtn(@UEKRN-!CTVl%4r z@)N$*7(VBZu?uiwX1~fBRr(#JG>!L@SB0IZ#mrt()MF)P_F*;tDmd+1#vQ-)oG#Q% zdShna`+N3K{1;RyzeXMoVLV}3N6OU){?8-ws8U(oiN2Zh6yd)r?XBBWvwzhi(xm6s zhu(x5ZZ|Wn15cHX?{Oh>_iJvaC2~qjmgZ6V=R_Z~ZRvAV^EpeJD{1wkmM!>p@7tu_ zikW@P3!zz@_D$VKEHBlB7ZqjKki`FSNINixDh+yZ+>4NYz4nc8nhpesNn@JOQCOsC zfGQQ+>2w;$Px*G7Qv8mYeW3!X)bcQg)Cx0wC>%9Z$J`;va&O1dhHFcIGyAcZ2gH7Z z$cmjk2B=c8B0D{uJ(4MB7wpMJmWp$<^AFWGKD3}%4uux6eK&CqkNZFxDr-ola{YYO zvx36_%H&39#FFZisi`7Vsh{tJSg&&aNv8SKe(sWH$DL%}5tF{H>(m3nZ;b`a>>qv; z0k335>s7#H&wv4D_I@9i>M*n4qp=JlKS{fkHB;-46RZ>kLd9P6#w-;-(S)Km8GOke7Whs~zV3enf;>OXoIC z%kQUEXWDpXU}i60e*E^<1%{H_ffjOkfBQb#$x8WWs}EB8d!>ZgzV%&^yV61GwRXKh zEgLxH$%-nq4qqY?s}3sl+djt3zQbd$7)wm*5vKW6lCCdk7Sm+Npyrwd^ z_ZebluVh$t;GHt6^nmd%1il@bwy%X%;XwC;epKmk?czC9X?ITsLTkmLw^hgGFtb;_ zjw&r2?2hip*8pWrp+4lTEK|f?nAvOc+(tcH(;O=H?9&3CptYFU?^ydm{qZ(bsk+z| zgw}=oR3CZkf_lX>Osj@g?0uDlDy=B1LukG0dj5wMyD_uhHiRmD74~@AB-rm-|0459 zw`&|wpHC}TQPJ_J*qflfueMNsk7o3T9romXhEI0U91kSCd$^NgXu7V5jieu^6+kDM zZfOXl@|$1i`GT4KmgK3gL_Uj@ktJ?JNcM}K72}A@31FJf6m^%1<3)<*W3}nsO1}97 zQse+Z0XX^e+t`~VCYeXNH{$Uh^|D(30#5#?sM75pWa;q!#p$}WT}rS$(v=5Q>Ui5r z8&w*qzY7NrweRqmpVq+4e%b_8I`1j7{r!?EOut+evJTT_AlG=utLVqohxa+ zr4DV0Cl)Xv8tC-!OGTA3s!Zrmox`1BSg8q&NeU)JLebTWu=k0ZG`9_A z_7SqE(py}njOut@h`4t+1T*{0J-+8Kv%go;gjIeiNuVuvULQ*SP%$y6@h{?KC9r?A z{PkC*$_o+Wv~9WfK2-1VCPbJXFEgBuO>lcyPc}7uRz!PgF5k|%NHOhg;AZ19kL=m7 zj?}B?u$js?km5JaZ2)?Hwv)sv18u`GRHJ&GS>+(y{xhLq{ECD} z0bzx^IVY-AC^s;)Ea>lwp*`xhHqs@{hXe_QFEF#8>p+#NoH+b)qdKk_3TVLYkNz^Z z`x9pN0p_;fam7$km2o?+7&1SQg+*cbtYp6X2&%O4jv*b^9)DFy6;*>qIid!tbnK&0 z1FBR`Jvi>J3~m)c!%N z2v-bAtG8fL2o=(O%M*esO?!eXh74B}cbzcOgS6d8Y*3}KR4Mr!_R0KYL($`To z)h!$SkdS#~U^Qm;C5>--3ao$(PO;r~rwYhiM-^e`cDe*kY#eslbV(Xjdd1-p z9n>7n&bO#4!Y9rB)42isqpw zirUv+vJNwQQH3*{3}EH$bn#@-00oAXK2Du1Te-F0998;@^))Ww04c#NTP^tYJSrJg z`tI!2a#ZQ_girYBx;Q`dwE7Ze_OutM(mT0#-yF@<1Da9OHq7ibi`w)svp3qw;em7R zr;6Bu2?6&EEtp5TOPMo)HDjwDO{&@)Gy9>2va;1l$9l4)$wTGO9?%+prnE0iQpzoR z=GaOqBOAm6NtSw{>!{yMg2Ju(QKb&Mb`rS^URknm7=nYG#BycG@6&idUNFZcMbM*NAcWf-tebo?`njv+s(2a}u}Z4C}rS!EHIwX)+seKIQg(r57crQZwg2ID`?q%gt&AJ^rI| zdsL|}_uUAq&fBJcRaz87h^82Pwn`Hf!EHIwy6gC($We#12Ts6q#ToSYUt0Gq634&R=u*dUTaIWLn|OKCvN)!D@{N$^ zN#1^#Gv4`nsBBmfA-B#1RXSb8db`Wc*M@nd_dP~3#LSMr5+ToHW}mUN`8nQWiE>g7 zxvB(9S3GBL!TD)YLC=ReEmwT7E4*b+}k}20cEd*vI7-X7+XQTkhkflBt#3Mt*8S{I6kb=X3MqCdm&_ zrLW?(ya?0pZu*-}qR02Ra2{3qt@r3ERH+AON}|WtTP|@FJwAMMM3o9K4E?P6_2F9v z2YUQtuLRf%cLl7^`J36_i6eX5-nrEpLRXZNWTixJsnH= zKN59YgGr(u{g*_Yy!Y=UQ8E($n?%L_BN8RfBvDNF^dAzXd6G$@ivLTZI%WTzBr0~( z|0Yp!|A<71Gf5QFJ^hD7$sS{psFMGZs5IYyCyDCK{@)}j;UAGGaVCjkx~Kn;s1N}r zi7NdsiK>wOcao^)`TtF#lKv5i5@(VqrhEDii7Mn|lBlx(lBf*7eGFGwsx*V2|l`(AoLLUp`RzmuMDoYuj6g(hkIM2=L>L8k*pE+ ztq?tRa3?_(WO+gl~XTRHoWO{1=XZZnS zMfvLn4U-Brp`6l&(Bs@SiKg9tT0m(SI^;!oqn$l*s}uJWnTTTF>NpXlqF}EJCho(_ za8Hq+^`w869=Mkplpyaiyt&_eoj#O$OrOQg&o@+uXbo=nW0jen$+JSpWFaWm-2IJ0 zjyJ(1s-IrMXL)nUaveF!z|e%o6J2dPzMf*>sxryeswW~oI!|(K4f;)8?R+>zO3(mW z1A;dZ6`OjJ7Uc{fK>NVVx|qN|)6z%HK?n7&j8_rf@V~3ZP^5mB?6+&(&G`RgX>(B` zT$bmg-pKpLgSYNncDzD=O>Z?F#ko-z+3=^BYFbNQDZ*QKvdx!qZj^=pdvYi%&W)0v zV5+IRu-NrY4?_{*dHlKzt@5v#I5#S66OM;T;(G4=W+A^5QxSMZ9kn zOHaC$!FZT}*9mmo`UF(;RbZI4 zXeEN=F5mlirBs3SmPI&br%Eq&xB9EWtxc~GB%i#m{LJ1(9X7o!!|ZfYAS>U<|?aKbE6=h6C7MNH$u$^us72vRPh*Qch**@k$ zF7%F#HVJ)hx%=690BOCEpDm6kJiX{hh*kolLn8PMCZ8gaP9d#7G~i*xfDOAHPk2kK zz(DYFr1dM?*o0eFs6z1Y${D;kR&as$d#D-=CzpQ0Inb^%sjffNAvq>_F9QOyYA&<; zBdr(ZSH%&9)Nf!vp$VePeXNky^V-?*BCTKU&nfCfu#f|)u(=NS_Z~u8?^JTkJL|YE z^oBYmGhn21^{E@fdJsDEsT5~fD>;Z5j0X7^xf$H1GjI)})|mh~9Xs!pgk6yKmM8)D?MDHDQZtcj$dU9|_P);~{v zc)brdkX@JUAeQV%PHKF%K4Pifys`OaPUwf*2u-^Zd{b}ec-we zF3MRXB_S@!fA%xzE!IfV3U-YD!sYwr&+HKa>7M9rL%>&oPDKt@AW@eBjYA2@Y=+Uz>yts?k`OKF3O2t-W|K( zoPAy8P1pl(LhL(A$nVaGn_Q+}$?pti%xTS^c6?Eop%`4!ptA+)rLW&yLb`O?a07L9 z&{11EtdXZL?{y^hc!=mPelOT051q%Uf+>?H3v#iFxiQ!U}m)JH!BxLYql`R045 zm3fft67Q>xMmWEPy5?eytUh)<0hbDdut$$pDZ#Ok4_G7H_D|JWw=3fW|791Pk$-TIrgX*=U&FWD6eidFVJauuH z(u_6oJ=+kDaK9UIo{0IN32Y%%SR+f+qB69K@U;@|pUGZ?!_^Hl+wF9~U1EzP9R>{J zwgrCG1qpA-hj=}bOHLaXh=TX4aR!c|TiE`X+oBJzs=e@`$n`Dk_|GKy>AAl(^6`p$ z644eibKaGKQr-lKxXl66X=~@{t&!xoO%qKtpJb0ggRPWJcS`lx>ZP*N6Q@acd=zg{ zPo;m^DCTYeK7=7j;*6j3Sj?Ou5JGl`Zxme?rsK}K&_^U_B|jllwp&xMM&5VaXf=4; zlzEU<4vR%{2YhQ+^8Y-q2t~iNu|~!R_(;axQi5Oc2@i1I{hje8zxT=zpZUv#0T=W7 zersG(flO8k6^FAUVpbiU#2R^KD>9uXB{!>8&(+{e1cxNnNF4>TEY`?~c1L~&tj=`u zyDF#w?N|0RFqPsY+30IaDp5e^?W3hhzYJA;V?G;%s!ndZNaGQP|sC95Xfdl5# zqh2hLSR*+$DKj8%2Or(*z8-K)pFw6tTffuA1Z(7U5%}S+v6dzj+e3X&@%N37k3#qz z9vbd5;YeWFk2P{sV!0C6kq_EsqU2GUS2a!bx8KR%R0=JxWt8py3Yj+_Q%HFW{$12P z**6nRb{fEf$308p#N3C1f>A^8P}eGv$~Zmw_kHMRZ%Fk^;9b<%;)|z~>2-vM-euXi z^EQ3E*se;u9OjYodG351KJ9UQq-?=m5gxNgHzP^E^8R{^oD#6BUPa}+QvDg#@md*F zSA6bcfF1k9jPhX>SiyChg${ev<0^u8;?7%dodW!Wl=xs>a7Ycj)yIM{$G1=!48ona z>hBs08Nj(}ZLdxhKGFi)}rcs9&Ykxm*u~55)Fjj=$kxSRd}ZJ@7aUi;Cy*A64>s zHgos>zG%AIsnBz^3S;ytZF}l9u6O!XV+d~|j^vFWoIhDyuS1uiQ(pQ=8;zUO>!q6h;CjgnT}KhZV~NTB`S5tA1;O&-MY-^BPOBB`y&y*k0=>;YHwc zO!51}jVkqXc!44Cw8;4}4PEeCy4Hv)H4(b`9#y)u5RCkPRnugO38y|RaOa^)Z#Mra zyqbC7L0UQU0mS3Y?^oJCWwI18HttRE6A7B$!R6DI!Y4`=fy=9CYx#Q;e{)cP{m>|z zKIxV*7+(E^quGA$x1!i*-k=bK|CEH?DtC4?%?xAT(r zA_!epa@{7Z19lz9QKg^uu)EK7>q5uK`j_}1?Bmi>Vbg<=iR;*!RlGkKJy@y_6OS$M zK^WLDYSkbvvq;Nf9%=RIvW{X)?!l3iIWBKP2fOToUE%80v72Y; zE-{;!?4*cSId*bS2__86ZdB>sygOM5wF1l|4(d%pZf2^Js%;1@weQS;VDHB7gn^5&a%d|s{n<67>h!d_K``(JyhwX&wy@4_U4R* zy&7Ed(Dg=@K8oAxk1F->Rw-e?Pd!!9h+i7u{eBu%Dqx{ALgU9}*Qo=VxT|C&Y-yfH z3vkowb6j>Elj1O1qyw&gp;#1J_7WqvzeJVxUv^{wn2aZHAm~9qr=&2d^!1|l0IHNz z_+T5(v#hhxtM-zZO-p4SsmQw$zda+%2Kp~-XGN6~!}c7i^3jU^c7sg(wf-}0Eo+B{ zH3#JvG2$TG-tXtGK0QVv-j}VRK4fiHsw}`|*U|;$#JxG5_jcMCf^>AVmDHrnuaC@# zqpc@!spik+%+C1ErQ>algg(jJ`xRjSMA_s*RO?@rj`z?w95^NDQ)YX_6@i22lpVUC z^_!d;T~Vbk#K!1wVJ%rT^t>{>_+C(nD*dxJI}TO)y=)#IUFn~iz9yxqLfOV&sM5<} zfxiuc)L^6i&}q!^MPeony-^4IGYY#IU~Cm7C2ybsXWV&7=zeszu1uq#N^^{3(GD8A z*bWP7LC%fNE>vlvcIzUl^hVDue00^9<^{|(>Ozm@6;$cx!7t(BeR^O?xXz6^zRzg% z@`w6B;MggL#)Ce(<-K@n2vC_vy6W`q9^>AX%Pn2zDBgsgJpYBA``>R)7{kizM8yd)Vn4#ueSCX!9<4jw*px0y*H7?yO{$&!1ARH###wsTD!jOMU{>{ zI^>GRu<^3@Ne3l(bW|2qnsRA1<6@#R9GwwFgZE+E9#)@sDlk*>8+%D|%aLE2G*u|M z#7;vK;D3YfS2U{B#q=HK_>a7Hoa#~sm)JNo0Y9x3FKVMoV_z?)pfODQEKFn7gx4%4 zsM1-K(zicaz><9al^3B(S>8e9u?{4+g>R&TOBV6UzLUDp*6)0h0iy%o-L6caO35;h zF~@(sN{P2pAIM{iqNq}>ZC|2JPx-U|Rq1HEQJXo-9o~NXeYd>{ZNx(d_L_CB^EL7# z6DK$6(5|zpDQ7>XO#YD1W7|7^M%w8Z>BrqqF4U~yvt!Z&isnL`(Ho%5~KHB{+%#Twi@{ipc0^IH`d-@V@!Ra#$X zpol8ny;g)shr~ncNCqitu(sY1Rf>x$5BRF9Lw&G<8NT>pTvO_kg$4w7vwg>z&VsGn z_p&viJ0~j%oz##=zS$2{>CJ9mFG67=F6_+Lftzw_=%nh?GsJhHO63fFjxgZQay>io zSv@dJUyCZmWt-}24(LOA?@lQk%e{GUi>F8GvR^Drm45zcCO5#ba*bijE>x*p#;G0Q zM#?vi4SSL^4vPPvowzNfa@&@2@J~Vp+pM*>f5>yvpR6}wRKk31%Nj6%EU`ra;@t-~ zzJAR>mAV}NB0VaZc8-p;eD6w;qb`V=x+8+w z#{2zvzz#hyB|j;`9RED6tJoY>Iy89^hsU+5zmF^%-M+Yzd89uyzloX)JSaA`FUs~N zh~D#kcz1aHx>}=EH@nZ4yq*0R#Db%drcK?sNw~WfN z>!L=vZn^~NmImqWE)nT&Bt%LCk?xj8k&+N3qy?1j6e;QM?k+*#+wh(D{dL}P&KS?X z=RaePdo1R)*IIM#y_N+IVChr;Jv_uB71|T!Z?ceo`wMyIhoNO}?usZ{q0x*fES58I zCEtOLo*o!d)ZZg6D4$>wD@HDT|1P8(Oqr5BD9z~wOJ9C+Ly`(MAHkhY*MK+FU^|F{ z*$ChQyBAI`B?}+8!LLaGc5`VKDgI&rELGIL1rH6{S(IC`1i_}SrW3$Y54NvcfTg|t zKW2cBfBCb>g9>a1VMqlm{c_P8)Sf8F=LQYMaMU;=+pV9d6bgqR8@KLD1J$+tuTmKNj<)dC+sHM5)S3D^!I zc?nqBlKyQi8RHz`WdAOt60C|$yQLXq1?|~i-C#@#17E($u>^HXIio0O4$GjsD2D&a zl10_j=gq+&P!D5|qeIr$8;M6lF?`*Bj4lJ|#jKnnBAG>XVLb{h%%6dz49tzkrtcg0${0q|Hn0E zbgPGfcSRH}BLLP8DFZy6)W`^*9r5M*(FwT!EcOjKyQhp|sJLQOm`(>6J zdbZULSo+x8X-0qv?D>it0o5PfOnvWfdOj%5Q27to^OaMi-4-eU87kI*L*d$nFVpM> zuym|K6Ab_HXelP;6oSU6@K^y$7y2+p086P@UoG)N)r5A=?R6p$YvdQeQWaACT4P19 z=j*f_RIt*uQw8}FV$i7&<7cqvtF4=kD$rfD{j4!%QR`IO(J?R@9?!*zBdh-Lh(}x3PJh0+0``3Qtn4FV+)~~^ zShA2$b2>6}rdwUuT@e)&K3EX{ZTP5y%Ueqr)JT;j0a6kz1;%o#xQGh~QF0a2Z#7XlKo*%+N?)0^IC<4*YORIxB zo%oLoP7DD{Z*f||794D0v>Ij5<4*^~0G9r;`BVs4IvxHSq^|e7m*XX%#}6l&1uU(o zuj+8El!8cfCM3a&5|*51jdf`V>`iz9-b|V1kTZm#(P*07g;a(CJ{;$Vfd+|i3Fz^| zFGup~P$ND2YlKiP3n!b<$NomQ?X02}8uxEu z;-xGS9>^$#ug=O?5Lz13HwF{PMy93engL6NDWbrOk_Bocx){*oxAx%zmSS3t+5nce zhG-aq9{;4`nK9_`L$t^MON#)NzJC*gw0ampkH2AV!J)7%0rdoRc=15O*Q&D*1SFxL zQV{{LL9D!ib~YEV)W~fcyeJ8gc`m&Pdi?A{XTVZh#45*06K4H;mga=w1`%l;N|$mz zICFyqsq&&!5(hZ4<1(SBX1e2}PiVh3|GSNv*<^8vf$^2bM^qfS={Q0d?Yc(n{U+$~ zkLMKj5tmN~RY@0Qp*hZUa^}Jb{QJQ|wtoLtiLze0Q=$g`t3(|${yUW@^SS@6L~;Ei zC5k2c&2L7x(75#{f{}BBu#`!*Ixyfqq9L_qXJ7P=o7b4990BA;-EM`!`rHsllJ9pg zI2NhrWz&0JD2e$v4Aguj$lGs?_#o!>UsB+`5qZR5^(j#6ZDF8pl^rZ2!IlF9?$!F~ zfm(0mF_s0?dbLlM@j!rnS?Ti{47mTKJ*o#TJr+^)EsKai+Bd|bV9Dh3VrcSQ6uME0 z2cm~SmuVD^uo$G||Bcoa7VEiNH5UiedQ1yYx6)LNd$~}80r&iQV5;7}Fj;8_Q0w{s z!W{#>3mPCo1q1H?a(ovDS0KB+zbUP1L=WHZqUF5R!>no9MCFfl*#iUa$1@#bG|&g? z(4V7>!$FwnYJ*p#m1d}L%wDA!5l9A>KdOQ8`}Edn<=vu|}yttkbtFyLp_x2YxZt%>I z5TqgkhX|}6_`DF4?MT4g6tCC42h2dNk7@1Xhh&V3ruv@N$+VCTf;B&L(GJvlCXu-#ekhbIsWUi83KDTE z2mf#xwA=zykc!;oj&*>jsQi0hrQS*C#QpBve4@n3BwCekDuUMz)Ox0w@m33A7R|nA zC@3>Q-<8l^rlRuaWKn~RL?$r&0ygR6z9Sn6=EtK=7+8LPF)afLhOC$)LgL3aVlbfA zs{hppy8D=L>|C@+Vd&Svwg{M0d)s+zJtzVl(0hRn>uYD6 z1y43eMNW@kz^lSWK$c7sgPc~RTtO<5eW~vTQqf8%zcRS9KP1FnLLmv}^F08mD7;LC z;3`ZCn))`;cQ>EUrP*#v8v2$Hr4PFMW;9s;y+e4Hhbd zocDsyKaiXk5k*L2k{f+m#Hej}163nRY81m#D^OQV3pq+7TL29!f~YYN1Eivhr@@GW zS~nV-L$c5X#mPP!`VGPIT`JO}#6tFdqs8Ly@&R7cZUjblVqne%jbL!|!8~N-?}DzP zGm)bIZE79UX&$7al-<5f&?gt;jtbQCLc7QLAQhcXSCr|U@j=I0%CGsMz>Yy}Tt5Lw zi<2GPYECofn{e?gp6Urdo>&=oA#8FJu;6h*&-4^hv=ASQ!RaF~dbJ?c9a5rqtHTRXr9{Hl0r@(C!Il!EtNVZ6U;)4uS5rM>#! zz+v*<^SWvn6ioW$BdcI(uibdBE2R`f&YuGcCLZRZh7Nvdh+h!`=cx-cK~7$ZW)-A+ z$5JKzc>OmG1|8%E!=PZ&zn&d^`Pq>4XH5~xbx(E^I?`2WqX#c)k50cAMrPm5$npnd z9X8BWv_!R!gfbsxAhx$3r4Z2>b)p?nK*3bS?aJozrib>ftPeEGom$PC4Bjo3+l@OJ zgPFR8WOG3e9NDKu>UZPsSUMi1$(6?c)ppPb50(8p@|SrJz2eydBVCT@97eEP#TEs|cXv z+K!g~<}*yucy||4ZXKs6qP8=dKF+yAH&_&F)`YElgkue!0t#zpJ`VaI^PlgjOQ@NT z%|Br{em!>QkwShsm#Kbow~VZdC0p7v$h2Xi>X`E_D~uhT}gYN=5~p$QGW(+|bCnIQV4Kmk=1_ z!AijO6-*y6{cG?EzFQ3+wDMI9tON`ja;7&72c}5;0~_!vo7qmK9@-km?Bv& zTz0?|$xR>zXWLb*I>defRsxoj08^wZ!xTo|BLbEC>w!=9BFIdV?Mf8#53nTy6U?fp zoG9kSpnw)#uo7_p2d;$B4lqTItuVm(d`{_rx-SVGH!F$*Q=|i16AhRm%?G|B;Mh6i zdHFOQtOOkK@&(&8I$_U=jd)5}gYQB*>PLKBK}Y|zITe-44R%Z^iv^ZT&zQ7RqEKS^ zw4#5be`Il%ii+|3`vHa*8g0IU3i9t0e?>IaUz;h(U?boOs_19LxT9%?%2-(lRd}zI ztq9BP&s}~Z4P|no=Ez1BjP?^$=AMAnA+o!3K?#LUiGu;AB6lIh#(&%>ao|nhZk^@< zOp)XdAh9UFLk<6|#0w>FZs!A2ip` z2|`Ye65vy}kj>RK1see!0VY8>Ji@vn1E$FB2}mrAd#`u? zFpEOBF;TyPDe@~az8{z(F?KN*uCVttr-`Z`z(zp)YG8^i>Yr!0E=fZ8g!GbNBOpz) z7&6!hNJt5Bg5*eLOuXVI4N*2a4gueR!0XlUQu#%X`dvtyZhqjuP+?vnRCHx=gW;dE zJ32PvV(|WoLOGv-`;Gqe(3!-*19c{+(Fmh(Nu@+7PSe;l})Plb|meE1--sl+&b=po9Vm=qi^ z-=2857%T(W+3z{m0Hz4m-6XOf?1iV5V7@)pGk+kgxeCT7s{>QynR7FkZ*S`TXX3pX z*a%2W`xR&e($ylN^r8^4?t0nXBr?O>HX<=dxBl?d73OOHdGq=$m~Zb}(F4T6@UY_d zyucK(j`#}dhNpdT17NIN9;r`qC&ld+jBcOk_gvhcN9 z`paW2`Th!+B4GiEukGETBnl&xu)#GVbefonzY*A|gDBQf7^CC$PMvGWD0Y9F(V`x3 zuW>}nKz74re2AJhxrMf%vmKUu@`{aTbWio}V(rW$o!i@UA24>H@pgT03Pv-ZH2^jO za;u!Ay(YUiMf!%0+csHOdrzD7m$;!ed=)$3E1qWPASVJ-MB;9?T`>(m&zllHNMhVk z2ACo)n%2*NDKh>8!5c`ti>PF2tY9PHrYK-{O@J3&SFI4VnYs;1s;PO|V-v6uFg2&q z8dQ`8ak(NvB2bRgV>Iw;F0PZ)^bnXL^a_B_J+f-*cwJ%;eHkG&Fh#Jruvmd9QZ{5p z3Z_SdzV^6!1~vl57ywgbeuYH3WJU^#xr|D>o6koRF96PUa(H^3PRKUL!?XqDNb!B!o1eB25tbVQ|BZVcNnW zPaqw>Lerm9+8)ybrbsc_0AdhaAyR#)EL8j~vzE=cP`dK&Vl9TVzB(fVocryJKt3cm z4SSmTfR79E*ON@m8+b?#{s4{y7S)e+8B?xH9}Y~B{_3Q9&?jHW`MuiYg{pJ^0=XNWj2QhcU9f0;b5495^A- zD&&pdp$bDwdAg0j6d4hd*a4~i5kb3H5`wL2KL_iEc`TIW(Sa!vLedOn`pbvDSOh4c+*w8MoW^$apl;j`Xa#IRzovDR=|=vit{JKM2R+|1p@T4*9F zK$~f;B#d37#rDYzY~{%uFhwFyhDmN{I{WTIYJp`-{33{2^POTS9XCX5wFW*!40e{A zW-MOFs`u~=e89%(n_F*zDdJN(!w(@{hLyZW6o7oJQ^5!PMZQ010hl7zZ0loSBcI92 ztqiUZ#D9CZ?7$-@E{*^zGVV=6BXsrk>QV&~Xz%kuIh-mB$o2E4arpS^d zH5&~>y5rrtr7@J_n9}RWfh&@oC!LwIFdo+7LoUdGR@53S0bIZY^s>wBNGy0! z^^_ZW`2r541WPr4B5YuayfCGw2a^{x+{FCQ_`uilpj&qXsk!N9tNfe^qHO?iq%~X<%<)6Ab3lV*5LTp zeO!Pk0*@>q0j5XrQs}PPiGi^haKIFK#VJ4(W-kHVOvE;UdjMT-?;>m@p^2p127t1+ zu^tmA1tp|IDLVe}J930W>l77^KQunD)b zC>NGF+8xP9C~hZzpP&c%6s`TLLOt=~`;9?+l*?jDi0tLk_!2G8!!El8m?AEb1V0fe z9ab=uX=I^Dgi3ifw(zH!cLPT95b<@V0;%}ol^(GW^vuBo{Sc_QAiBrvzp*8Z3GYI> zkz3?XgmN3F&dGMc4f&VbfKRrkO6?7K7cW#^rV9$5{U96()*U{m?8ugsADRiJbV8j6 zrbx4aIG7L8jw>xRBM3Dg`+|Ze^^E4FATULa+Z4gsR?>m2=}{~UwXLfFQzY$_M@K$g z1X>@#0H18}AU~dGi6}HOE(VIWu%);3&%(u^@sF6^uCUrgIsDf(z!b?91%+A77iT)d zT1hB3p4bMMBA}!(0j5ZN4wE%FcCt*l$a}FH zoXFyT8NPLc-&3=WS~q#t8T>3uhDOoJ7gh4`?^)OKl=Pdck33EhkWT>UmP0#q+^OBwVa3Tsd}(* zL2WnhMLZdKtnWf9E8(?`pTj84BGSbUOp)H7AhD3n8qRkU@j|`zjekMOe%X|J4NQ@G zllmUu7ln6bn`a6@CiD@YWFN9_kCPb`gy?DU!KcoNeT>P&B?Qspa)AsikMoLY{FyL> z8zr^K4=K%J|g_dIn1Cv>uGN|gufs9^`&C>S>} zSciZ5kPqhFZ_!52%FIc}WT1JqXmZ4&Nt%z`z!Xtyv*Tq8)fx1>+qOho^naBo;;cI* zYT>_1R3PfVQ;E8&{ohKI?>|?f9)OVkM~Q0dx>KSS|Eol?n*2MJsD_yTtweeMb0rE3 zg!Dg3)UNBD61DVSCCY^V->F3Xoc!NPl>a|hqVDeE{6~q>3A$6FmjA0nL1q6=B`U1! ze=AXe|6GZB2txWFC5pK0PKjFiuM#yq_3u=o4EX=I5*7N-l_(q#(*Gz?%j_s@P8{&!T(%|!UZAyj}ny=bEibD{a1Hkh8D#zh}D^X|vT#34C;s2vV(XrksQCt62qI`+| zol4X=(f?MW&i=U)MFc|n|0q!-tHI2Ma7%5uq7(}^Ih;FXzdDL4anB!)sjiD~zaZ;> z?3*LZ{COTeQ$cUB%^pY1N=kC(N^e?zK(RfbMM@&QYiQz8F|}r#`LF#L!IQ|dfEV8i z|9p%g`TKR%E3l&-w}YLYYlMe$E=KU{=f;PM0aSCy48$-5-t5UP&n}~&ipN{et)w2_ zWOX0C(6_P_YOP;VfmM%YxYXX@%AePb<=E=Bjz6Amz-cy^x6ppSLGh!Z7@6|VUP3M= zQAN2$B@Y~2FVl6Sdn7CU3C>LEOe#MD$4~4wRIg}kE%IrH6+$AS^glL27aoHx@}AvO zrjB#791@quUY*%_lShp=t0gXcv91}KO(A#@<4r9m7(B#NU#sonMKldU1Ij&&(~$V$ zq;zFPiL)lU+{QVeDG4=)Po5VuVJMS0mQ&EqP5eio7Q*O4sxx9ajx%V;bd>DH~ z?Zrn{+Seqy>75X3Qcf4Uij{=J1Dg_FxSY2C-qX{%=;=uBC%NS0Vr@b+u_bn_MAymx z?!4eH4ygLS zes9|9p||ihyk&MD$3~N=w<96^*wB!TOv9r38sjr&mRyz*E}Gn9OHNgEr@2ylj|S>W zj;r5v7~FC=3$KNe-Fms+m{Bh*XbA~KlhQq)NU;=08)ZQhb}x`L!ARsY9iHq&n47dB z;E&1)v~pj=Pe4q)=Cb2OKnV&eI2Bb~OOupoOvToTEVIlJ!D{^}EXU7DSZDG@*=@qd zr)+bd#`<-1+>wgNUs{Ck&!uRC>(lDk3XCMw$4K6iDwaC*)!IfQ3#pn^Ih}vb(#0_p z;&R;h^K6&7RpVGs)9tyulc}a6bTR13+KAuImaK4ERNurasOKW`=kOKQPb!j&O@pAo z@=Zr6lA|%)%`*d0J}a%)UmY|btM}~IvE(@<(&du4AWN4n5*Z$7TTR|jx4u!}rL9_L z5!|+q2x{I4E#8>=mVe&BZPR(E6YVxj^)mmW!G!oT6?=XHA5u=C*XHw3peZi$jm+#_mz4++|fP5Z_Tv#oH1hakAb=~&k*)Odc9bDR8w1xo8K_{ z-p0VoTBWd~awcQntX7SKlqlVoUijACxtwlt5!+*zeN=%jGF%(Ijh1NW2%+unkKnm(7$EauZj1nQY2;Xz`phd1I9bq5^Q9b$fi-Ctb$;!SmjP+g6>| z;nyw4X1lZ4`=N9yMW&|Fcroh!`rDK~l;X4>2^iM~8eB`H>Q?SQ#V^ge583=2dv}xn z=%HqQ#^w9gqr=k>DqL0myLjuug`iyiN1sTSgg61!eqM*JTy*vNF8%w!=f`r5VPCSd zm9V+T`9nA)P!v>3qThZQjLBSOr^j5%dtu;SsNouZsBJpbvlmcXCr?P1L$i+O$+1Z4 zY@@J;<4o`hcgU4Q{8DpFM5NAK>*e7^!0sOU(Xd_2X=0r1G~M&R>fV$d9>0G(*QB$Y zzt`7cjpK<%Z8Le{&H8Np-Hq@Ng&hrFUS(v2a+PrDZ#*2ii=j!hOTG0>?=4ob^)eP3 zU#x6TQUo%s`8Ks(4yg;n9bydN6#XxQOnlJo>{bg|Ou|-of6OZ#q1~gG^vv9_P z(cE(6e&eu5j6lX^B4W}_GZBee0+~RH{tw5KJ5iDC1aMrV@?>VWdB1btj0O4-GKj5x zBVB*=YG~f(w5XBY97Bl{XQM$h`E|~tgZ3P_mpcc4pl8oIizS)pV@_kmA2lJ6Uc8eF zrN_`9cpqr}su=gHRCe+3mH(gd5W%_S3sdE27yfY37({l?5IvG-b?#Xcy#n)Z9+gnMAK&j0u*vu-TV_mhQ#>#7_$SVHxr9GIBfp=W%g(`bty<&{{7n?UCg*&5 zGCi6-u@1F9CDlMvPdN#JuM=0_)@!_?<;$F=?%HFDT{MByU*_6$??k~zGhj)K%Gb{6sKN`x zBA{BQBTjLNuHLsjT>Ad}SoyKB7vu8vhV_(j?cVD9Luu`E#3Ic{DCd<0T)$J1)Xvzj zjowo*+E)=h^n9}G_qWD)mK6c&gRRTIN~6@oy5&4YV0^g4cS5QXrlVj;{>g`HZ=Niu zZe9E=H;DXu)-1cZjW*7Tr)>E4%Y36fMBMJ1Y}v%L@Yaf_cIr+o=GXg3bw>viG9Gf& z!`7pQ@N;$3G=eWWISxE*K4Y<$wX_VQsZ_@5_Vzf9vnM^IX{{8r5HtwRGpW`JSi;`u zOzw@jw01CgA7r{*l#a*o`)8Q!Bf1C|^oi>dp zUh<`Et;l8Gev8X}@W|rvdd71x(?5v~!mAdnUu(tN%KpS%i`6D~e1BAh_2oj9O1Xra z1}rI^{H9(mp7jgPkU^WJ_R92E1u}1lhX@%`WO}&pS7AkU$J_Y|Y9H>ESJ6cjxAvTK zh{!mNXZYFE8!z?OF%oLMPtk8U2-oxE{Io>0eWF;5YoC_ef20s+pI&y&`)qb;Wi^vM zmdafm;>Y+q80y8vaUZMkd*7LP2>BoI5bZ zdj6I@$xbTzv>MgVzpJ05Z zoQiyJ%t7IeMT=yj79LG-wf|@~J(2d4M^t2JVb0HQbfh8OeB7OvN1bwXOeZNXH3>xs zoIA_cQxi$}xqGPJ^d!(R{gGbR`zb=;M!~hEm`EbH;mQ7`CxP+ssWQLbPtLwB+@(WR zjw0QaI<`3dR7DywPV~ppCq?mQiu!T2(n8)nj}>9P@7Y3dbTxHWW4OAHkxAx1y;Td% zEkWXBAg*2PN|O!AGgU|#^=!Sl6ce(@vMw%%e5nPmY+0kjV?SqLb4)l_UPRTef|b6%DApT3RGms#t$I86anN;1Nz&;| zhWmO>W|Y(A!Nq~vt)BzK4+4!cv*&O#?`%br4gE9iMeE+7zExqY+sb-w%h(c%v(t^d zikzOjKkW>UIN*8vmpo0>&~Hwy4a>QlA^7^)IK|TAy=qfS($Bmowd_IY)kX;cS-wp8 zfe7776C{K0R{N@UtG<(G4$*ErR7PYP3b0);vqoUpWsp zTilVU!p?GS@q5-|y>mRC^T(iHeunS-fx-U9p5Ju&L;WGXhHzwJI(v(@K}}ob9_o#` z+kE||t2#dB^wyE5Q8Ab5%#+=KzATk_u7_LNw9dI7KUlvh3JRdgmfX zLel=Es_?mDV@DWYs4Y5z&O9 z;{D{Tm>;vM#2<&-BXcJ0o2f7*m@Qio71F!mW0F?>+zXHWpK-3B-+dZZ%4W(DWdNY0CTDrMASn4#EDDCNzT_=q{oslglVS_8Q&c>J{K}P5+|dGa=20W^ z^=B5xaaR6Um$rjl-|RD2Z(J$2=F(0g-&1FcL=CTCkud%&@?lA3s{XP)$4%k%c8uU^ zf+9-N^X=<2(uYIg(UcFQs>mCwu+?4Dit3W}N**CG`!C$Grc!4)964dMY8Cwnp+rmM zP0?l+JgX17Xkv{!?STbA3SJop;1702NV zvEA0hzj(ywubyG8T%u*AiDvyX*^dPyS?cjX()#NaDvFA7HT!Oxi>klheRg4%F%I&Y zp3>_AszbYb@IJ)9=1(J17+b${_i9*~KV4vGtgvA%Jb)WxR?h?WojXyfPbC~V{L1Mg1-Zq55%A*CXMlDdXu zsQK;_?i~*w_AW+$DLtCNW35eVDrpc)-mJ`&Z*uVb^ZwUZ=wH7M>?-aPr!M1+(RWH~ z?|%9#25Y55>Lo#A$uIiL&auLy2pPZE?0zcnt&HF$$Yll>ookInQ_MFc*(tJXFIV++ z5;^0!s#6qohk9$aV#FE;0t_zmv?(_pWE_YQW}2{(JPUIsF^u6n7k9sUDW>f#&Sym5 zRF5AN(`8AY78#aUeBa)IzDct`7F&-YvnI(YwI*tF&_`4tVWPX#cxZ&r%2D-_` zR1C>g|DwXINL%_C)3N*yTwswi7`%+1bXCIcIM`zXj^k zuTk*sGI0l7eV=q^(>HXqdIR&lIAc<;YT6|X0#3)sKp2{22ZCExHO z9=fv3_7?FwHnXiqtiYcs5Om!0I18q-c#)cV($n@<>IByNL_oeRe7&j5Vc2QI5Xp!` zLETY}g05!Xy9RTX%|(Qk%lMaJteDM!@*d9vq%~#>H|LnL&a&BEUV>PY`i^(S63-aa zXH<>Rn_c8eDh*|+EloQaEq+bvFI4vt%DrzYvo%GfcPhHPNNjAia5Zs*o zbqxs{c6o{1J4kZk>!Y+WrOf7>?6E@dU5euEBrOot+R-)pJ_`=i?lDM>e|bKkl|G&* zEGelQ@{OXzJ`5(^KB&YG)6uEqS8-o>;xL!*dP@3g?K5NZsQ-x5eA%L6WG}&!bs_E- zIsHG1%3e5*GgdBXIBPdmM%(8-9dFUNUR)S@nYAJFHshI`(5fNE<2mc2`cFD*q8#p9 z(R6U)J}H;^O6kZ)&j-XY9+eJVS{EpWynlA?_l3(tN+1G>QlyWq)j72!2Zam z7_~sBB+rWX#f;BibMeSIsdJPAjEJ0isn+KBG}gE**Fx$;U792Lw&m3)Z4^yR;;J zIX`kGq6m&!QN;LcVd{@2vYOhB`Sh!PYIl~Exs>5bhzZ<9hh-Y&OyG=CVocHXY@rmz z)$P^09_KRcHRLQ091g9>pomT1<%c7L8T#Nx>l5z*ZhppBIgBOjJrZZsIOAdRZ9@gi z9f;OxIXO0E+ONAhK6H?W!)m3c&m-LsyQXy6^Vpt)j25m515bQy{1{IkDp8pYUW z1SGYUYArI#=!}6!d@<#j4k=S_C>E#A7sY%I-gBSH%Lk_F|NhC~R-f$P^8xLp#Nqaz zJg1hnH@_YAOqG^cs(l9VDOTJkp88fJ6RBIXT{f|%cw9<@V;e>7sleEExcsr4TsG-D zq=(w3y}_m7TTVCbE{O53xIGM3P@+%@S^Q$RGzVUi*QP9+UJgHdKZZbh=s;NUP+OKNoi6ranoT zEvlOU@ttFh_I-m8)i2t{kA30#lsKe%FU)L}K3O{`+lRX_KR8lmqidU3E8eirTvXVu zh&nq*m857NLEgG0y0|EG#<R-RN82WJXy#eUkqb^=B-v5>$e;}?O`3Z zLPPe;iv}8%kDqyI=B?$IpkKHMZI|`Ll@v=Lzr%gLN{@?sd1_YJ4$t$!R-Zv!ykb9! zATw|bxr|wxE>i|AU%B7OLwwyYK~&)I)$x&oxC^fL$frHz%MIOU?BwOEuZezR#xz}{ z`6Z8?sh$iu4H@Oigzz|~HdUJM6+Fe3315m+L`=9aSMA%9#hE%Z8jYC+@4w1+bnxix z=Qbw2b7-(T9!zsT;pQ)nU2du;$Rje>&JfmS5jr%eHtzRW46Dct5#_X*$Q*ty?)$DQ zr0xd!*y#khljxcAZ}N(yM)bqom}c3jd!paNiW5!g1e?w9j&s?*(NFC+=4!rE`G3*L0r-<#v3WFsz2C` zJrl3Zj(6^4eWKZ*6cUw7Ao05}s7(gxcTgI{GS`_Fu_toRMYK@d;cVhG;1I}|=HlI+ zEm2sA1e?%!(Qo|BXikWUJC|6H`9Yq(Pv?g7`nhxN^$Lv|w_w{iA|1ad7{gnEtG91dA^6lAOJ#VadsW+5e zQ>JW#^18K9$DI~AQln|@bkG&m-blk~@IUr!ll3MVWag#P(sxk7t(kJ@=%~-lHR6z5 zXDe(J$RiX{mt0>kFswq>ibvAJ(IR|von)F`!hG!K!%BqHDmgdtDwRW3v9sZ{dzXM5o*fGrYlwfq4bVganhY{+RP`#e1sFo&BQguVL+T zG*l#Q%Z=a{7%V@8$OFGcani2rXlA1%MBY$w#o153pFTS*bMzjyqp7=!jtsLZk7A=lk26|u z%Q`1;GBFKmso#9V$z`(XTU%H_HCm5zYJH0!`|Ax_4#b{$_z9NRWA08F+M?$QReuh z0bw3~;qn7z`08E+)(z;8urR=@&1&zB#8DNlZjdC?dD>UZKYG2V!?NUaoa%ihjntBl z$;A&Qv$0`sDHAvcle&mjf1NZ^@$wA3h?Nu3JDfjj3BQ!^m|6dBckv*pw|V-gIl%nb zq^*IA6~Xzz#t17x#1${cr>!?6dCFBw514XJo9%-w-6os2<^vz`iMM8p#t9f_UNUxm ztD$*PR5E_4*atD){*BW(i_UJRolE@k=)5T_$0`3T17S;SvZrX2=@w78J2<)bT7Pot z%b|M581<^?CON;mthrt5TSlqwT^$m^9RhL#@n~U@De6)@|6F4!(4jf%+!y9(YE=YY zhKdKeMZB)~{@v{*p6jKg2-Bbls@io556h!!KCdL>K;-k}*#6vb^B-wH{_r;%%cqzCbEV~aushc9civJdT}Gl}S6!7#PD4q0=ePW?$BNO5 zKlwlVR=xL`cYAfP%AlP&Jg9Ru<&6D9Tm8`al?iD*f*13JcT5?XaZBXQPP?+2OfFeX zjd7LS#!Y@Y-(w#O#7cV{TF9w=V zoCwFqy)aE6U@pJ9(j(c$V_p7HSP}lgt84bhka=sBVog_t_0P2Yoweeaj^Ss2TRI+I zo0PU0&l+PLjLTx3@na{5Beqk)86NP$?S0UCvoQ?IaFEsVQxal{@aRs0bp`JHP5Ev+ zUTyv+!0$LM^G#PWGgCwc%SJXXd|?YI%?=9e$JKRujWKe1&^tlA1#Wggo1 z;m3_2?Z@q9pQyu5Mb5SjYBb%<%zyp{my-|_E6a}jlOrkKHF&J;kYK?@kvgd zI8U6>TbA1>X<`!pDWGe*^7!~w9GUsdtX68|9z{F%9>uZ$9%XOr9;HK^4x{~jgp_Z`X)>HnACvT^rYR=z%obKEceO?vn_b_B|};U~`UKatC;eZrgd z{8`|3wddnxm~*+t6ZP#C*S}>t&Ve2(4hmLVDZCByqN_h15I!EF>onx;8jC7PkblB9 z(xw>ln!R*KrK;wD|CVB*nWyB-Z20a3!IQ9i6pgEUl(%vBDBBVDC>0cUD9r}4_b4j- z_b65-_bBI2?oslG?ohDh{y%?9tFH4siX!qoitX!rloQu`l!B!@6ddqd6q1%6Qq(iv zq@-dljeK{{TrD)!Njy~j$zGeEJ%lk4p$*CkK`? zO?VzI%byj_34f^f57gNn_#m}RfO{gHxI*zD>0Et@$R++!AG1u#X<4F(_&~4zGqeTT zFpphbK*qhq{N^K*kR1L>t(wtXexVW$sz7Ctk6R5wVgV|>o3SQ%+)pcW?D%7TIQ@p- z|4}FsFr8RUs+MWo-2b3R>Y2G?{_@6@-lEx(^tlR-t(1fEK2x}8f#*VDL8>YT>btO_ zWRD@E(*)JSMXi^S9K&xqW)qUKgJ#7g6aufgY*-3hxjxOa{ir^r8uaC)I`)O6-#nJF zeY`0@^Qz5*=dDewP4**-_jY^qx~{_tslN@_|qhusHx)@n*i3m^Hi<-~QwC!(hq3IRcIjMP>p+aGSSbTiGu<~cJ zvOrSj9Crn|uL$=wWQiAofpMv@!-EImeIBZvd30tmP7yJN(X4h|Fnf(HB529F9#q*> zE0sf#%O6aw{VlQPOMjk==%>t|+Be10u z!d6^UF12~E{^GzFMLi81Tx}K$P>zUL*qRS|@zM32qi!P^*9p4TfQs1n{y530ek%H4 zVpI7xL1}W8T3%)R?78ypF&t=+dP+@=(akke&v|lE|171&bXZr`eBha+q?QqqJozV) zF<CeF}3CWpp7CVS^SCV$o)rX=2vdrY{NdrbG2_m~E2_n0zH_n3wRcbKEz zRQH(Hn)jI4NB5XqPWPCsYxkJEfp?fv|G$6du!ma2e7rz7(MYCkr)=6vz0l5I5#g8O z6c(5iwMJjj>?0v|0#>HK{=^E=o`?QO;+RSy{${GoZe@EUt2XL$T(87lr8tA_OmnMMechdBdkf=H!K}ab}xg*|2eT?>PJ@@9|QBiPu`S8=VTm*lHq_$2T z)MP2RcyfttiBHFK3FNoDmMO9i8A9S#iA3cFm7j#- zZI-YuZ?PS1lcbRnBhJ(oSA+4s`DCS=K=BNtMBfv+MYbM53(^MXq!W`8(@%5lZxIW9j55{jgky zhEx}3OEEn07_kWVLX(PbMIolcW0ZatGuhR|`MGG(8?)R>IS#FO6?xsCQ7sbrBBkt^ zSBp!MYQ#iuft1FFX88T1$h|ABrxk@M7VbpeOE+6 zvs7IdwEKwc!|VIHYIBEwcR{yqcR>9o%(yd?MaVGNq6zt1jT>fsT1Y&V9Wq`3?p&c_ zJn<(Nx$DHur4_^ojH@{K*5;``b^RL@OzJlE#BOo6?xik8Mm%MDr0znzfE3yN(!FO$IU3Mr3^T!bd7JK}gdWHfM2wQJA!3czArkw- z+>X-Gy3RY;-agJf6`xdl$x4@eS2J4)t3|O>w2pD5EELc95T*BH@fVYcCrk;;5-PXs zKT2NL+53!N88*+Hv;X}doy#*lzF_ZyYEQ}3YcTct+I1C8O3iKu5grBOyI0SvG!8#` zBZc^(R(AGw(#E=3ybJ|O%QIpLPGW<_sOzUw_6vCgTFe=w{S6`&Okp~VO>cCBO2jKe zZ;D9SfBtb+>k07LiM6qj!I0&dEfE_v5&NF2z#~c&d?~t&i0G5DPY6?G=kngwTaZA} zUmhJLH4Xbx#Ngp|F%i}wK=!d3*D~_Ef?xNkMXQ?r*Dtn)$_1YE`m`mBeM_#6N@c+e z0rlw!LWA4~`l$*NEqF=o*_|{C@y{c)t!3QXpDjH_DSV2RUD&GEB)plsO8GQN!!_M{ zTAsL2z-}#Uk#jU|Kr)dG$Kpg?a=3Vl*`R??^4J&kcPW+yh38rb9yf_y+Dg|MjVJ>A zj0`4q{a;149+QZV0qY;^Bwebw{jlv2f2w$~-wGurPZ`%8QCB#Xyc?eQbHe)TZ|(80 zEX#VS;bGcWk>-ikUk?q*B`KqX8-;_g(N}2aMzg2ih5BsQzdy7YfKelt2DUu^3;Hh&8^}o!4jjwgbQmF4cv#lCuMatR z(WGUBq8W!#FmsvmC6qY)jhGp$VXY6a0^mCHeexgr(zy0t=gIa@3z*{GjK?aIoKmbE zc`GC(de$GgJ@;%y%Et3xOCF-?4VU_2Hk`rJQOe5U@lL@WBb+U?@Cr35OrKBVN3Q97 zmsMv1mtFhpR>`hje#<$^Rg$DiTg}#X)8oM_-iKRagXyTX($WOqcq_<_8hI(yc_Q-EB$p3z6dLWgh8T$;$QF46M3L!s@P`2)x zUNjcVLcQipeY~K7RNk~7(Yg{Qff>mjS;~UG9c^l#fo|?xxf`Duo+2b;*81>_MQG!x z&)3U7NRK|n*Nj}VsJU-fi|{>N@fyijEX zXk(Q4v;96AKfdMBrEiX-q-aR^OqC(ZbWpq4SI_6N_3|Admq}>K>*aXan2b|f1^kM9 z=Ol4c2V73GC8=w9BaU&3kuA*%PajnkO9&v=l{Sl06f>|lOpu?4T-2n7Sf?xx8K>P) zdoQNeM@=d$PhvkQ(k(AyZsHI_Vu)@kXC&S5QuB^`$uw;3+0#a1$!p8|cv$p7p+?Qn zQT^FUCPB{gCG$>R(gqn!M&eV8f!Yul?$-{h?MT%CvRsty3gLI87?owxL}O3Twt|qA zLzR@(+?A`dadCW5cMHPwPrROB)s}GC$n>0~uy@l{S_GmxnjlkKr?92bPAp+>?+#%U zMrc@t$NJ9AV&z{1!i5NnQyJIMA!%z;lZUdfRKZX+Oxet|aM*-z3HD03zqOUFNKR%R zYJYH0YzZY3Rmz~x^Z1T!Haet=*5NK;J0xd#C~q@0VkJYUKr7I$!V>l-uWhw|q|*;M z+w1e~x6c+&?KH)v*9DEbm1EmI)H<-mKfiYpNfVk&ZW5UK{8l6^Nmb_S#m`D)d2}tE z3=VB`GX)+c%CsK{vIv^!6M>fI@OIoztIL0HAJuBu?5#{3w?x)Ork1x^=k4z6W-t2lR;|+ye-&I2Es-}iR4-$| z099doU+$nW6KqtuOb4X9@)+(Mrm-WogB-oE-3NkekCM@R z5f2b;oX;$iMh^rd`@H^P`@3X&{>CV}$QG7wq#)K^S$x6Dos?w|J+ioP1qT>VI`UZ^ z<709pfyA<5B|CjGD#-<_v=uo;Np(J<8)?S?Y+72R8q0p+C<;rpjQ*n#Lkhw8d@S|^ z3gp+cjRd`!uAS-7KI-@XUMy3l3YWL=+|!}XD=kznhoXNBwJ$U$z3N9l52h;m#|}J~ zjjw^+@q5L9InL_vWAh7r0!^q;V+%K*^X}F%AYR{{q0+wD8bTxIOYib?0!^qz8pSJm zxaJynB{d+?T^jj%v}B2*O{!`av=RNx?k(u!LiSFjHGy<;rLYQP3@Yb`BnKoRW8)HL z2~()Eux5Zr!*2oJ88P* zI$_hMh6DPXAy10xI@s^Y63ovf zK%7bPvLAJ_C{}gBpPz(09`mkYdE_ZZb-|ySf^I(Zu3>!TDt2|jpPGPgF7vKoY4dni zb-|yGfovZ0u3>5Mc}8`?pN`cPcRSMX60x6`N56C8FqjOH&*Ytyru#r+?GY*6;wCi3 z(BXC3=P1wDpV_REESb!c))cL$uX<%^Y!U<5(Rdu2T)WKd4dC;~Joc5VV{qfN2ZB5q z)7mifE&u-NA|H1N+q!?eC;Rf#Ed?JQe*u3l zze3fFr%!rHq=NzZ+F0JHs89x%=OOkJMRMf&8Md2xIW*Ppsu<8cSllA7y#gUWUy_)x+YithtqUD|E~dMv3_d@PZDLvI_zIIIt)ZB-^Hi)TAk+1(Th z8QvyVm5;i6WuL><7MtQ|hr3*Zv?^gC;lLsNfqYaJj+yDa4zd)$d- z@b@wv6u%>L)4K0AJ{jxBux=@OkH#`mMK}+3A`a)7wcCW1X46sE}$1=cx zOM2q@ponhoXC$W&hDDu$Ch6^#V)Y*$WfrN?%Z*lxv4$1EY*BH9D|b~ZF1MYaa4A{H zwbMdi4l!1ZU%6b5Ot9P8s0n(a_FagBU}eQ0VRNjX6(u7a0ZN@)$1^)=)TP%v5|+0{ z?KzkbQ0eL866*F+rwj8nf@o?h@Y0xE`Xa?w;#^l7B|l+jY&uw1Jw08W4*XfkaKiyy z_H3P40?G8wt$|Vnw^+E?g#;eER{-Y@GN?`Hcvz}M15llh77|fDC1K}{m1{?X;$*2E znqxQYknF#QSV9o^cR0NvVAgwdr$2qLoxU(OYz8;yT0Gbr>m(d1+2m!O*mSX8-W)hv_m$Q!Ke;X$ zLwpXlj`v*~? zY2;~9F9~Yy-Vpb!icV%PBv8*8+)4j$m5YdvGI$knhi3WSd+q^ZT86Bh>`#D7p~M5! z!+UEC43Okg9xSXA61|*Q)7-WjlJ36Il2i+|h9K!4uPPW$v)PWyZMab}fI_~Iow_l8 zcN6jn|1{#{;tM7@acS$De%ev$?$P4dS<=pCAi-H6FxboiNN)9XfL|*669^K2ABgm8 zeeM^856%+XZIqygKQUSqcD5}ZxNX5Q?!GlvB-zBL1t2L_KgQ|jFb+PU{$(5*n13Ki zMTVmdKe=e!i@Rv2@3W?4-GJg>kKGnKf~>ut`hKOlAV#%pKO_wR+zk1Dy)2p06rAVl z_u@ZdbfR0!m`#Ls?77>&B^pj(LgzkMlU^^yroXcD<(5$9CX;IDjx~O8dtpYx)ZL9c z!%4QLJCAiCS&`1AxB1$02ahBG*+9yY&%Gf)P15&Q>-sgVL?04c#zEhr9bCEAX16>g z&_e3x$=Oz43UODfV(-dI=yo!1_p-x%S^8#C@KJpRdQjDF2+{`(S7l#hA0LMU9xZ|$ zQDqZ3MI0sFo-epN`BqZaviS)Bk4(9O> zOo?~!MT?IO2*A+aG8#>h;Yrdef7Qo2F+XgVkaq@1O^TJ?l@@O$6s=NjD(+kH-rXj# zUO7rnPtA5POxG&fQq@YqIfB<&!jIeq2l&Y{eUXhO?eyMlxMT?9-D8SI) zG8uod;YrdeeCOvnH#rQHqE`k;OX`;FwH9w9bgp1dD(+p8-R}mmUOy^idPXRJrYC#? z&%ID?F4{m{y$I=OUfu)}4xew};fUWB6g!oZZrxb+E3*6W<0Uu+0A-x?AtP)Q6TYbSJcO z#RM-cq2l&iU4SarO?b}4u8MT?9#sKC(QG8uO<;YrdeeD7yE zG&($#s@DccNZOXkedDrN z&-be1+diY^Ve4XS9ywg}tNTrdG(?OdZemYvfylEx8ORi6!docz`|lMYXH7I7pv`l#lu@6 zM6Q_mzAoJ?H6O#rh+eM|mooD&Jv@WB;<)O0tW2>=YUdl-b0rwiR;bKgQxz1yzor{%)@z$~#g^aVTI; z8NfzqP52nXw5RW-y{P`d)d{EbO77;pm!E&J(r3VN)Av(8*=C1>Hj#rGGoGf@*vo%H7amiU^U6e zYSL2}M=#1GFhf5tvGS|ZDbVy&{ZI|epKDV0PtCHy0wbVbmwSY#ASj2}k{h-0# zcy5NH27y{y{ENF|84Q8b0?XzJKmhfIRllY{cJVS(qib0GrcNP6X%-*0X-V5rn&gxA z{3zswW7Bfpy6K$;OC$xr2K(*~lsUU}9qd4MDV!XG)Xa?)9bsjdN-_MeKf~D06K zra+j;K`U1yP}fRVugQA<)I3lc{YEm(w*+L5pfG|}6hr4e2oA0>+)~ZG5h-z4a9^+q zOz94*CR|^ntiG3CF1e=ST!(o^C!TJnyZAf7(&!sfN@Zt~Y&pP3eF6$P;_uE4hZ=NY z=}ME{wRRWo^{qm9Zk)P--=umVeyXOoIzp_4k2wO#_p<`ey&zlqt7lj1`y&_aj}lwQ zT4f83T)EeM4VAUfLhJl+bNN>O0!}W~xzgN_LpHD>ga^OMMl~_bgUL?VY@W#V&iU?e zQ_y~zg`AdAo}7xJ^ayDZXRkd$``iuS@3~`X(G`Vv@3YgIli<-ChY$1^p6B;As^*b``BZLY?u^=dDVzTv`aQloT&V84wXCCF>i z;VWLD>iOUKPR92!)>etj*1WfX(if6>yTOkDkX`~<{rhruTui#{+#2X)z(L4EuN%?d z#)!v_iQLDd5Rq2&G|@{{A!>+5H%S}Adao|2ahEtoE>llE5rnUt4e5QArah4rjOD|9 z5GrE?pi@{~tTli>3in#tFjyJJjJf7cD*wd7TlQ%}Vf;>@)Ask0Nl_=0a@7DtNkR)G z4t%Xos!o(L0pF>p0CplKw>OZCjR&~`#3hpoM?D}`D2sB=s1KfUPBvfrbQuV$Jh$$f zD)ZXki<0Tg@svHAwSiK}7{;V3nH@W~p(1;-bR^SA9f0e`7_U0}f~6z~h*D*`Dc>{+ zFYc&QQ7lG)1ODC*xnvX$=KtpXi(RVPg_IkK6G+OU{DrZfv-LgX%0n>3cw=$s*FD@{ zj8zAoncyS-vjxz5@C24EJzRj#n~q}7^Y=o<8$etB?>bD5Z;N(O)Va#x8zdK&8_(Kl!WG#0-~9nxEmQ*F zVi^`QT6T{EAO?8*_7k09+rHYdkLO3X;qI9=hQYupx@^^F=PnQ*86Sr#|jG^2;$? z0&hlm*jv&XAnBBj){Kt=eVLZ?VTY=g63_+3+%`XL9CLuBVFgfBtqv(`CBb!VfkzXY@JJ zC|;{yc*5?fBPU97O!nrC%^d#{W5gK11ls>+D^X-Pq>X_oABHG{gJ41lJA`Isea=1Y0cTdVT2xbHh^+n`fQLi&X7&r z1P%;BYjQ#yLH_Lw^b00kZTb%%B zLZIug%Z)kLf`7f!qu*m~HW}~Mt%YxR`~UI98&~uI!*IBeMUIZ!KNo!y1JF(#gK!HY zI2!WG)Hhg4{P2V}Cx0Sh$Oz3C;5scjr>dT@5kw`&N8w6H_8Gr52S^4K37B1(aEk9X z2SwI`C78Qe(DW84fStGG8()mP;Kc$N5pJx#^L9}Pw@ z=M1!Kf1om{?xai?J_;ojo6`?*_=;i{jha(zhk9cJ*wJv&^`(bKh~M9Xp*BUt62}Pk zPd6eEiR$KPU%V&<5!v@g^V+IrRH@R@PN#l)Pi8&`140iyfILazQYcj(1jQO{w@p#@ zYHi@@*mGiMb(LqD@qRw_qvHgq(LaNyQ~`t>CkXH>b7CH8>fj6;{3hiQ-;)Eg)JkSj z&6bfsM}Bi8(tZi!LJ3whd`AINEC3 zF=Dq+Xb@Z}>EJCI_FiWT@CtHhX6x|Jm1-xHM2AAl{{;X^pJF4c>PhXR8BtB>2M@D8 zIn&UK^o*e)oFXKH0spkVwkex*arQi_av5UvXk`U)Z)HnY>Bwp1F+L>^$|nXP8BLM$ ztNk6AIDaH9>_~0iDXDXLYWdRw_>4E;0GjlnXA7HheD?2!8@w9g&SQQgBLb~F%n7M| zmyf8y5sH@cVBuvg^5hj_uZKO=jEh<$d;&_8#Hfr5j}!ssJb@Ff{&fj;B`?&BlM6e? zKl9`bGq*edZ2UsO7)y;ZX<$_qQ~10q;z>x?jyScu=1rlAh=kWGRVv-{_Ax0N$j#KA zuMw9s@=J!`<2Xcr6%)dDHC$Tw7cD-KU?ht1FK}~k1LAKN{D@DS`XJ>~|H8s=0&!mF zw@fX7Z31G^$g|nP1{BtMfRzs(pMnGOa}7{^k|dh#HQa`+yMm&}bMYYM7l2cK=>!P_ zZyMUwdwb<=R5-<46MI$I!}`MuG^cm8cb%RVGkUB76Wo+9B`Q>xLd}38TvH==;Y~W% z2V4aQPjodGdu^F__|7Qgb=HMfxuv?`^buO2&@`fU4Fo2ZuskoK0#K0#3nOnD+r@i% z#cfnM)m#xr)sR45B^&pdP82RdnRc{A_ ze$epq?1ZQGA=7e^V)JeiQDNG`?E6{UK}gT^p23Z&Lt(HJ+Av=l+2m%g%r}8v-X951 zw58TBJcu$<@lG7Rn|bo?+gCKZ>;Lw?&tg;HQL;YS52d;3=0>dZ%_45xKMHV82Iegs ziw&q!ejc8fMhH#oRTQ|?1yzpCLQvnU5eeL1riK$mcB!Mz9h83$4d^)Py48 zFBAau7BBfqj8+^S*ogvv9j6GR{Qeh)ATruoeUzf8!}!}r47Q>|=S~cUmM~BME0oYp zPRQv4XPd?uwVeMC06`I$z~?(gFhy6Te{G#j#&-RTCKegI0|Df{kt4;Wf@hf-(&r?& z|BVw<__6bKt$|+P)N3PluGf%JduW)m%@58GtDD8iv%r&PgHE2uwQmj>$)vs$?2SA@ zRh*L66(~#8g9n|T2-(@2VgOo1(%?P}oU9T({4|pT*wH_VHX~=`947&1T@n~{yoOyQw43*s4y*<>p>K=? zEg7Kd<*b$<0mX8&ck7@dt%3Obzu8t%>0^6^pzzXaNAq-oap>|>`SqRTqj+;`_=SNx zE~rFt^#m(rL0%hbn_s3yC>hxOLu*~5NTi6r1I8qB-7Y+U;;e)JuLcKVy0_ zJN3!TDDh@QfHkDEc3eFswkMbVtske1zk~EF05Zolqh=u(S6^dzNvLSjPbia7;`dEE z>y;isMjjXXgCRA?1S@9M z65R;NbF+UiTryE-x&AN7dD}-T^Ea&Fjht3?5R?+e7Xk`pXnI|cICI16`FR-0Q9{vS zZ4yXM*P821fJIia0=<^?rNCN84XT0AJTlyhkob1LvG@XE+V_Pi>D?;* zmvohJi}8te@_jTr&HMun6|cPag{6&_i!=tOB)1NqK8Lum{Di-(ilEeF(qw}J&{1@r z<)w#C2-}{Mpfp}|7KRx1PAiCh9iPlMjSKxb7d;gWF=Z_syb76m?+uT!68z%1*7aU= z6sHO5jw^_KJdV)!jSK%61|ii9F=q@6pvswg?JSAa7!JuW=)@j)|7D~sjLj4Qx>^^h^-ut!IXHnL}w&$h0&i2s1a zH?bnab)Z)|sYhEQdnwV6uO5>}R-|*sg%o`+0`NqkoQw>Ej-kZ_zmAZ`0osxD1h7;L z!s&gHpPU6`tM8G=8$;kVwm!jaMmQ3BMIuo+P3{ujrE5X!ZISvdnqz8L3GOEweE%GS z&b1a~nuOIA%KTDt!DT75iLM%2;uFm;Et!65;_t2(0cv1l@H#Uc}vz-RGFw z)Rq^EV+MkIkztI|HvYDW>e#450^SmB;?d=ST{h(t^JKflBJYyy@$gRqeV_D{Xc^f6 zcjA04spWhE9u&4N-vy+dmy)I~Cncv3hODnRu0RcS;>3DMnT@c%QYcZX0{>ox!P&fp0dL!zcF=zFq-Ad z%us4DWYA`=#4k1YLzh?U>2PyQ?j;TDK9O9>O_S5IYJEtx+#wweQO9 z1(Y4&iRBU^{Das99y6l^%qpsRs`4+THO-Ty33e9=vf)DuIQ1EoiQO}_4kZ-yRw2-y z-CJ9`cS68OdC#_NS1tbtuct%0+T$_D zIeRuC!mvMfiJ4YV?y6KBluma6j=NMaatm{4xf;@9EF1QLmMKH6eV>#)zL6lKK$@ocd@7b63|j-eWVy*o2?jJshobvtOw>;W6K$8YDaM7PmYisKC&dPjk=*r%A?S z8qYi^$Njul3uw8NhC3|pVNru6qQWIBWIN zK#+TE`lhMJ>z`&3fk%>EqZ(spMclu$Jq!7LP(+Wsb{{p!wc1ITS*)3!vsRHgqbGMgs>YSBW-iQ+i<8A?Lv}<(*Y)_7)OdXq4!*!U=U=l=! zKn+XnFn0xef35F+l@(kUGuQHNDcx$h#D@OS zlEqb$6re<~QCNyFOi*7K{I*X%wp~^prf60uW!mM3>8@v&b&?ggJ4FL{iZot-s!H6p zPAr+I1U{x|L3yS)Z33M_<&JafRpv~|+Sm6lNI;P73Ty#W=jf1!a(SpJd$(`QK*9D*jh`Ei=( zqo+f*gEf#b`PP&dIEWq|a(iB!v}$aWc@Tc2O#})-oP~wV{6(`f@)we6XD2@DVjL^w zJ7{I3n6D@46Jo+#u`~MhV2r+@6q0LL z07Zb6Y9y+!G7`)G20o%HXGOh)BLA)t!6V&``q&n660tzyQ$h-0S3<0@QY{F{dLT-3 ztnh}2k3He?WuzQ}CBz?LOI0Qrx^0I^8^j5n1sQRdKPVjiR)aW5x1m%(GlOl`rz?J9LKbDqH|e8LE`W{D)vM-8&m|S#=5Y*x79VFpe@Yhlyyh=DLH`0d>R?^gF>k2O<-?+0h$syel>$?V1u=XRw|G zC`|k(G`yB2RGGhGXS<$obK%a>?mM3oY0-u|R|O?@qhL81=QUBw{sEO73DC70avD^U zVAr6_j}!|*POa1Tot|!n_ZGf04KE`Zb~Y?p`cH#EDOskH)C77^|0NOdjEi%ATlAC^ zxbbZhREvT0drg5^llgk?Vo3v5u+)7c?Cmx<%PQTf{w<>U!R0F7HUj2UuAu*<520$@ zkjD%WN>W_0-19{vYjzOR1P}%=ZYEej+_F<_2>C=Qs06FFzruKfZR^X+=~O#;05O~{ z>V4o*8uf0M3Cn$=>-?~J$y77V+8Oiz5TMd$+O~eU_W=y7eay zrlUuu06kb?9T6*DsCxAfRuwFmLR}KQ?|WbT3xMN#S$&?W^cOd>y>^{4b$lY>>iVJxZH9S0hGL9-bh<>&#uy-Koya zh-C=-%Jbp}GfUvxWpGw1cVUL_gf}Qz`-I48n{B~}1UC$sT}5gm+MwLpHtO8JqUIJ5 z)kVk#6(kbxi0>(kQ??wt?G{ERxrNM0;CCd3OuBY?0Dy3QxJj{hujvUKzk+pzHY^h+ z_0eYsE;;(s?*4F!+sM(xBUhzDzjY=MRgcQyGj|(c6RvB=1Q}a{@t-K2M8JNWofHFq zmT~b#Az)Uj>DK^0KG238rad3J>(2*6Q+$fd*?GZr9;p7`A{|-z*vR}n;4Y2bj+0A( lSg7ym#Vz7^_OCw;r|aqa%q-~spO1^jN#4lFue$Vo?t`b+`Un63 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt4694s9 b/bsp2/Designflow/sim/post/work/@_opt/vopt4694s9 new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt4969zi b/bsp2/Designflow/sim/post/work/@_opt/vopt4969zi new file mode 100644 index 0000000000000000000000000000000000000000..5c20c444b301a4fcd045047b2d83b9c13b167f3f GIT binary patch literal 2080 zcmdVZ`BPJ86b4{Gr5F|?n_(#dMN2h7L_~2*v>*YYiUx`-8a53mk^-W#B|uQbv?Pdv z1WR0Qi;$E>0gGZjp)6v60BJ!ONr0ANt1N90)G|FY?Vr$@+8^F~znO35&OGP5w`V$3 zS35I!O4}43?V^gS0vD&__#;92^X}m};?ArFg3_mnwKUQodUE*{Ghk2QL8rc_rfRdf z#@$mpnq3O4Wm{Q}U&l>FOqdfisWrTtX{q|wOGeYTH}~SJ?6kib#(O2#*kn(!pS>kn zH3TLUoLZ_-Z{{XMU`aqyZ&6HN!*(; zIC**Qef}ZIqZp-@@2qgGTU_N}+p?Rdg&H>f-(|gtHt;F;2pYC(T;Qh6S9m2Q^&PqnJt1oE^OiJ9c8?!va=y=u!7uS(m4 z8O8(e_{{lQAHI0=?+i2I4Nh!oG8KE0g>WwWkgww$nzVh0@>dd2%uxm^9<4y^ueKm* zfDxjo6A-2J7NQuRh8cYeGqQvkc_0d>0a2)GL_u|s6_7wk3q%R&{3p}!&Vj^0Zb0B$ z=pMun5)H|~d@yUwQPz*+goSNd-rL>xJS5O&xt4<#&DFtG-imKkbw$e4My_U(haC#r z_PcFG; zzj*NYj&3pkz)3=gfj%oe$W!FH_>0E#sx)f%`amrEte~G8_`^94xx4g_N2$j5w@8?V z>o)SkwU;xlcwOKec`2g)I=2O7V_T80?iw`dmx}U#9!v0C08b@&)`Dk60G4fkt)Dwk5BnJa&l&Js0Z%A+ z^1$N{9u;^h|HJ*9fxV_fydjMby~}G`Y#UKvRjkvzE%s2n5@$^~O1EH*j-`rt0xWX7 zX$p0QM^7>qYu7NjeIx;Gfe_kN#^MeWMYPOQky6ScRiz9{a>AL`*tIT_R?F)Mp(=Y8 zmt!KL?Td?)*2<}>&Q(cH8!Kw;vZ_g|53hxLBHW*c``U16nU^h3tf%8|CObS6+ocj= ziyTee$JEdK?8SGuQOcGAmX1*wz35RJzA@9fzWLy-a#?TUn!f4k1kMa?P>zq+Q-zeg zY3e$*;Cd`tUw4CVr=Q-$wkiL-T?Mnuk63pF$3@ywZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD_?CI0X8d2=h%#Sin%T~aii|6DbSm@iP z>5F}Smg$#fqx_d)IZt0F`soi{?z!UpOn>@aDD}Br{68e?0Pj<%1IF3w6Ya`$@mW&@ox@{|A-O)HX}Z*|D#5HAu#?SBYslix$off#d(hH zH|p}2#r^PcBmRDg=QznBPA%Ix%A6C&f5M3WP+>jL9HXT-lX zF#hvK{Pw{3M~wJVVEmsN@%IJBf5C|VU|{?gjraqB@iRvJR|Dg}WW*l{jQ_F`|F?nh zUoqm32gV;X;(r<#|5YRYxxo0Z8S!UfLmTq^f8B^bKQNx>|7z>^6@l@8X2h=xjQ@ra ze@kHeqelFe!1%|E_~F3#ZyND;2gZNPh<_k3{@X_UM*`yy8}SbZ#(&3%p9zfrb0hxI z!1(VP@kavVj~Maa4~&1@h(8t>KZ~6;pYvZ}zrg+b8smJh$)8)|`+!TZ59E2}WP&I8 z{#);3biWICx9=95_&mPZdjkEY1N{c1y;PT4){i<> z-=09f=|Dg2C*@O{=50p*>AX!nmS4O0={=fSy-(A6G~fau1aBk8VTj*Upda@IA$Ugv z{Z^u(I9{8jJ}bqp68mbgI$nQ?|Kan{CF7Iv9G^UA^L%|NeiQFTiPQ0}=XEKM&+EJ| z=Xss^Jg;N8Wxg2YwlJS~Z<6N@bbL-I!`UC9e%02;(DU)%8}Xs%y;7rG-1s$4f4d@$(ZSes^H}KO6B6 z2gaW;;vWx;{}&_v>A?7ZHR5x)pycyitNs4Xh`%^6{uv|wwSn>fZp8No#{bla-yInL zA4dGA0^?5_@!tuI|Ctg0BZ=qwve5m&&yD#142=JU5q}mgrgeQ-i2qq5{?fqs|1#pQ z4~+k%5g)q0<$J$s^ZyNj{J%2d-x?VI-$r~-VEq3W@q>Z!&l&Nh!1(8l_U{~kh5SPIqbEZ5g@O7`1o|}u^0o&0bp`rO1@2o%1N~+K{YEi9Li%?quzxSZ ze8_#_KJnLm<|C5+u2|hK>OOO;>@yk9eI|_i;Xd&;>=PjqKe^jphTp)Czt6`s{BLCd z_l;=o%#GM*`uCKLOfo;u7XaMP`uC3W^Zk3o`T736;QW05o^O7>e{VNG-@k{OpTFMK zdw#xuPc}clmGwdUTJOoEJl=Qna~RxrGoSlze*U2q@5z|Y_N@1P*vk>c_hFaA0`ESe z@aGly5BXV^C*Ozp^Fw1^-;2?YphP3 ze#Uz5V!cnX-ji7GKdko}*82+UJ%sgs!Fq3Cy$`VN`K|kV>t5cvZ|8Zr+CIU$AGhwk zt@~{2p4z&9w(ga!`(o=J*t*}f?rp96SnHnEx<9qmJj(pS12B&HF^7f35pJ z&U0>l!|#ReDOUONc)sN4vp8QepYtWxpO<6(VLtJ!`v~iv!MZ=N?ggy#zIBeb&ga&- z+d5BM=Va^rYn^MY^Qv_Ywa%B;xzRcgTIW3L{AQiYtn-$2j-=Gz zE3ET^bq;XD8oxNs&%ro%8zzkNE09j^vzX>SOYi6S`LQSPoQ%nHsrxTvyM5S|wAc>} zz~b|EfQ{TftmM*-`95cxmKJ?^8~uSFwZS6p0-xU)xzB%MUpFd#ET`YN!Q1ZRvyRw^ynoEQ*QG1G@Au_x^e5icu;lgm z72YZFV>$h-?|H59@!5UeKjuB)(iPr^e0dxFiFXYwd3}C`cfa_toPN8E`gZvE?6mif zc@MgDh4&F(-bR1ot%W78&#&;#h#$-8cZb2->EpA9ynoDl#HA~|kNNU8`V;S3Sn~S( z3h!a@V>$gM4c_Z~e0J9R$GlIvbcOc`U*1N4;=K}My0zjqkC*ZcVF3GW~CKI_sI-e-Jy8~us*Td?Hy z`4!%i;>U9Oz0=@b@8h$lynoD_bN9&=-sgOI8~us*YFP66{0c9o9nL>(ET`XH2JdhC z_-upsk9n87bcJ`B;L)FWuMu9KU*T;QKbF()Zi9D&@V2CQSEhK+6FmA8@3q3~^DDe7 z#E<3lyT{;to$$7%c-N$OR|y{diFbqW`uqxSyZEu3e)k%@UBcU$;@yzqT_U9O z-EY))lko0K@g7L=J|uYbC*E6x*XLJw_lqCP>GvLk_pQP^o#H*1;(bK$=uf=239rwu z@Xm-I%jx%CgST6F52bjIq<9|_Jo*#wcZApHS9lMLAIs@?5iIZNJ|O(M-$b_CSN<+7 z_LZ|fE}o~4x^!ipenN2RPuvF#yx$YNCsTM&rSOgk9{q{;pn~z*5`z_A)4b;Kj)+89{)L?ivDiVPk-t!__z-7 zbDy(dzcC->`E{ci1pEEu=U^#x$&zU~o zEDyoI+R#5D__BV)?cWT4j(?Vi;E#I0Y&Y70aX&zs&t0d%%jc5yl65BL<#WV%mXlZU z09*CBdzFDdCit?>#`yaAIF={th3Ty-{B9)f?JfnO4Q*_Xxm zQ-aU(5d2pf_!EMEFg0%ZUV{2r9)iElz~3eKvd@b39~FF-hv5H8=2xzFT<7k$>?Gqdt@H=6GehC+BhfyaCIT^|8k5 z1_S?2!B3A@{hR{JL-1c~;J-ugt#yLsA^0~K`0o__O{wv8Lgqo1hv0V^_;(4u>?7mx zG%M>6%R}&AZ{Xi8_)o$n`}c(4vpfX5a^ z2>wk5ep&Eyss8N}e3pmc-)!K&OYmhM9{2AQ{5c=7JOuw11OMHEFXx6Be^l^U9)kZm z1D_jF&JVKhkMU;&pXDL=Z#3|^KPCR&RQr$0e9H19zO|lhH1O{i{PaFRKS#sz5PY4l zInQ!_bq2rQga4pE{u~U`z`K?EYVODJm}NF#o_>+nmp|I%`yJW%S+oSzp0hqPm-}#k z&U``sS>G=C;}R#hlHZVj4E{VXdfSlSEdJg$adL{Fl_g z_vh95`sExO>(|d4rSZ=f{2cVLf2IDB+#}@u`MYW9dr^S%+zOxH7`2Q49$1VIJ~r;> zefW*>Q=mW2chT?rbO-a{7e8-*;PYEq&ioI+R>Ob5m&f>1KCVE2;y>uq9n4SSqgt+i z$%Fj(T!&W z{gCcpeiC2XhdkBVhjGdFS!cA*C4ucT4ZLdlKkIp7{hvdc`sq*opZDnw=2Jg)vwa@% z`K>Hx{ug1Z;m`Q;82?K?u0Vg{f7z!yn4iQa59d$vupJh`CdUusn6Kk!z0p3G0tkAC zYt27j0bVuz2R%=$|Eox|edtg9U-Rh>=2O4&eBI}_vYh$ffUSoAs4tK4xh@f({>1;L zPj@gsiLdQL9=1ceeHh1lZ6EzyX8QShSz!Bo3wYJ^f7|oK`VS*b{q(2)@Az~p^Qr&4 zu+?ym`0^O%aiobuf8uC;iC3+@^iS4T??GFa`t^0Ij1Nt7pOM&?EWZ$`D3`kp?wLjS zcQ{yzanmg8`;g8Hk{|sA%W?i}fyLin$*(w@`;=w*Jucm}l;zM9pFc06KlU{+7}u4* zFVFG8^?wB{%D2!@bwSF0o|AQ@-6gJUDb5cPs{N?%P++*>Sntpb<2Kap6*%rQ8(8w>RzAH&GMw~m(hO# z-Iw|DE%Z}e)pXNO%ke+UTk(s!&!SDV_XQ2)%MM9@xqe1E`YZTRH;SF^jVawMPwKv$ z{?JYTYUAYPzI+S)s7w9Q)|g-78?(-dj_;S)SB=1^pM$ zy~>wwq2EHf>6g@fB~sK4`Z>BUHgx|D{F1syQ@UB6)ZI@11$4Lh@-6gRNH_hGx>qAb z-5jsUakA3T{RI4|yAzh}T~6s{c~bY)^j|>tRla-+{T9+qzohOpNKy9-X%pl7GDG+G z;78r-VX1p6rJLnR-5p3Tr286QzJ-1Z>84*&_gbW=`yASY?p8zh_u)s~U9i->Kc$=H zN!{1de}VR9zoC2!{T9+qzohQ#kfLtx8_tGqP8?i!en1O-e6Hc|{vH>t{<}_Jb9x){ zhf&J)gZ@dquSB{Tdba|Y>&H^0`8`)O2mBlIhXBm`l+U?z)6%q`pJyBLCu;b$2wuyg zh4EI1Uv~|^R`J_Y!;jCSt49q#|2#G1PuB2TFLP$Y}pqE|V|!mIg;?hkJ&c?d#8sEJB)btfwEp70djb)R(qziBHS8FRQNY z60eqV#N)W3j;BP&_?^QA@()zuUkv|R{Qbf&&r>k}GCyw1WBoe@$v%sP{rE9zBlIOSFhd9<>`=^vj7bFei#?=5U+i8R79G@8Kp^oB2 z$+cI{;4uEFmV9}llo_q3zjlZ&nJ>!PKbGAmI_?r3;CDKPddGK?KLZ=l;)l;et#%m@ zewl~LI!<-m@I8_~U&-|1WxqqKD&(&x6i)+Alk#e|p4fyXics&z+VR#81bY&YRmLe-@TJyM=FL zq9o5*&k*Y|f(eoqZm_k-`;h3D^(vm9R3C9TpPYgv?_74+jSKR*{SQ9J(O z%wRpw`>^oKI#||mt@Dqzr?#8s4?+*0ze%y$e)@c9J8C~@zFLI2`JgrO^?F9?RUGy6 zSn1ADH$F44^*k>lQXg5b%Z1`j*B=~jY)7@^Pp(t^UJBFlKDi88ndCe}|75zax75q_ z?#+~X_4!HSTRi+83VFKxej=D>Tb(@o-U)dI>f|ZZ$;0oNkY`VwJbiWY@OvfXnGWRX zEfn4UB?GJDXA$DHU(~W+iK~|1BVl?rl&3i2#z9|Zq^@zm?~Rb>L?F-j8Pr1pne?GLs5{s-~peRoZKtaEkY^Lrk|AISUp zs8&1aI-0?HTF?06_d3Y4C!EKvkKkEd4-db`L7wSAp26W=e&1B`{i6M#R{KFMzpp|3 z*+6{kqusu=cf8=%so_jvQ0GnVJCsMQ@~ABf--$rJzW!Z~uQ=w%f#RxFT($f@2J6!d z%XPL^{{!Ex`y*p>_t%QAR`J#H`xoSC59BF~3~h7kIyUmOimO&})!rxg8wEd$g5>HbgPctqM_}rv%(`g)+{k{&io^inMPmrfQkVn`5)tTa0J>!7is~`_Q zrxQ}2$&uk*eq0als;556GaATKz=G*`V9$hSDv)Q~xX;l3SF8Q6R_ikp$Wt5~cH^L@ z=PGv|U3*mq|I{d3- ze7Q6@@X2%G}E5?O!0kGpxecevp2Mte(6PX?Cbsx;c??knQ+O^?=R zWWv=WGcrM*wBNQsze1p2UkyLKS4pprs!R80fN|&YZK?Gq;pgukYWOAgN3MM=-pV}M z{rv}+j=pi20Mb5*zUaDn2_ZMpUy(6Z7j1Q88>^IWS z5pg)r`}dQJ%fG|o{Xa3B2G5 z-*4gqkNiEuBaWwUN59iIIwJSiJN$iX0>_OjT+jLSwx{59?*ssG`T^kZ0FX>)kniT3 ziXO#r^EhDY)#2Y0703K~QQYY)s^M@^4S#-=_X4O!pBuLoeix7H82_Bq&+qCvjERQz z1ifod(7X8@^sYTX@6%`i_Z$q2xb}+I4SnAw?=c`FtR68=pC4zvb@u1H_(FZ{7{`zM z`j4GyJB+*bKsTHrf4^&YbVGgPP}T!&Z#?(1k1)oK`0Kd`%f9PZEOSoxyyqo_uj_Cv z{}>+u99KJKUxndR{dtP#Rsa0pKGwYtjOTyRiDBmY3Hyw~ocTL-?up|dg#2RwoHKv- z7F|2_;zD)~4lYou`s2cr`RcFhB6RdJKG802o~$*`^6%1fo|V6IpPXl1KNJi7PDe4` zm-+p8|CnF5((|hJBc{_GZhlRlkL3Kqd7kgXd2dC2ECbH+^CwKhsNE`Q%s(#wxY+%v z^o*nrr}B>`)B2t)`M!$xdHg;B*Rz(hy{+Zf8tB(4e#)n~`kqSP*Y%>lTz`}Ao479W z`xDgFB{+TJr~dkWOVj!ui|xnv2mE|13u;wez6hYb2o3i_Lki9G?Xd1b8Oqls9WFLdW+8XkM*wQ^LAx> z+wHUyy<>j;A76VV)9r13ee7);ckB01PtnkKllPpHJ$~OfGBobub`A8Qo%3ZJ VbMceA_lW3sDYQR^BYu`l{Vy^dF4_P9 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt4c3v8k b/bsp2/Designflow/sim/post/work/@_opt/vopt4c3v8k new file mode 100644 index 0000000000000000000000000000000000000000..bd361ac502b18d682763d5e9866a517241ce7815 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wpB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX4ADPp#4ihsKV`(Ty+Zg~(7@H&55p!XzAF{4?S^m{FXJK4{#cCu zV}G+Bwf`nl@n@ryy6Ht*EB;U_9?3bnHEoTb6GnV!`!&O{TKk2L-%cZ5+p(7Z2_rsq z{Q2i8mtPJXK2O^2s~{kmz66d;_u_#^zYK{k8m1%m>uEg4v}})qD4{>c@#)iz`GZbp zWBgoy!R0SsIxG4eF~)^j#nbxhbB{3BziN*NPM@I*)1K3%^MvYJ;qn{vPm3;{FI3kl zLzm)(>e^xGYBqG8C%RTZ(DP`ww5~Rn-w?NxwwL0C>e^}OS}D5vVUzv1N_1@&T{=Fs zz4{GZiWjP@-_X?}y7r}XtrlH-M3;_x)wR#irFfyb1`J(oqANYV){3r!q6@~2Th%pd z=u*5;U2ivZQ4gQTbU$*P=kxfC=t}n^HV3ZXbzG@cyii@c3|$)xT^mK$D#zcLPwVP1 zbSYk_uA-r<)6jL3=-OiF8ZmS!UZ}1?L)RwJH4B@39yg1wy`oF^3p&204PA;Cs%yy5 z)g`)4rF3l(T}MP0rqMa${+OXl@j`VC8@jeR{)W8nYjnQv6t9(o+_d|k{UxEjd>uU++z-=WS_T|xt zq{S~9GxSV&zbj;3ikyX>O?B!KoLJ8u;U^yTlqD^GQOVFV>HT6o7$%u4^o-Q0M{r_2 zdxf8P)H5Y%@r%X{J^Q?0tOqijo~b(Z2u`f$fbbKKdiG0N{G#24o@wtF>v8)_w9ibP zdITrdb5Qt+M?Eu=7Qg5YL(d`6qvIKFu6>TysYh^PJx7F}c+_)P(&86Q7;!~HAuG@+q6kB2_*f)nd`TKI`aJ;x<2 ze$hJ&Jtw?htcUA5^|aNgM{r_2&k8^BsOO}l#V>lNq34wMi^p>#($ur5PCbGX>&ao_ zcJ(5ON(E0m!YS@`^D|!)>G&isZ)>O#Cmw&LOsNz9$u(0Eq>A6hMwk>9=BdW z&s3dy1Si(BO8ALKJu4+Ge$hRK993hO^$1R^XRYuPk9yi9Eq>9xhMo@5 zqw|luH$eLwty7QS#CkRgKk=w%gQUeTDjRw_Q+k@DeNNS>M{r_2n}wfv)U!#_;upQk z(9P152Qz1z^!pVD)V=;^3akKn|5O2SV(>KT!= z_(k^_dL~2=AzAQBPUY;uq~P^h~DotPnk1@2d5e zrAKgLJ$r?pc+@i`Y4MBhH}vdF>G=)Ov#(A)f)ndGApFFmp8b**zvw-Np6QgH-xNKE z>eM4Rv7Up%Pdw_Gk+k?l?=|!sO6hr#=s8xW9>IzA91(uvQO{vXi(hmR^11K-faucs z57}-U&!%)WGavKfDMMGz$Hn7WaPoo^>pChrh(}!y82nGB_+Kpi%?;JZvBfVqG5=G- zPdxHJXz(BRe)%p~J~#W}&-QwW=;#m~T26kRyDS}wr{}F_LPRFe%>pRf1*x)!HM~Es3`X@#7pvX0C66L zakjzx#q~d*`QYDIC%@pt{7u47yd*zh=kTvc@n0bPhw9`PoS6SS;U^yXx$k{VHW!Z{ z(UCtYJSe8F`1xApRY57v_S8u3-^Fsrt#lYb{^TsMTKQVAt8#vq_-U1xG zSJHa@%)n_gaJa8~Qx%-&44kzF4)K_2?h?&70R&OTO+a=}o*A*wd$%HKN$1nIC1AFIx$FE}oN%V4-ij zrZ4vSS*Bl_jq+cH5=uQ%3yv0^|S0 zi2u95_yb1#PXps0HsVhQ#(&y~KNkZ=&n*k}|7VQ&%L3!4jrjJ!_|F>guM3R-oDu)l z!1&J_@jC+JA2H%ff$@K8#NQVf{{Uk!}^k`aF-F#gL%{NDz~ zf5nJD9vFYni2rF|{8x?m=K|xuX2hR`4QAX!nmS3Cr={=fSy-(A6G~fau1aA|@VTj*Upda@IA$Ugv z{Z^r&I9{8iKC8s87W-Q`-j3_TzJy%8UJK0a>5hn|oB!H5q% zAOE8fA9_CiCnMfEA9uO_telU3Y{YL%^gGYh?0;Ig^L)JI0vSI)G2-_G#{aVs|8QXZ z2_ydT!1#YL;-3zT|5qbEhX;+%x3-&FxX)?7e>37Q4vc@sh<|Nh{J$IVeSz^mHRAUK z#{Y*A|Ea+ElSce^0^@&X#Q#X*xxOrPKk#!S{yzibe__O*g^Ouj9~R<&)`-6}F#f-c z`0E4Xe`&;r?r-_tuiE^7Lm>aJjQF<(#{ahw-yInLKSumOVEl7Nd?_&gc_aRw!1&cz zsCAqzw4Zuk0&gL|(EaEMOo$=8vw`|f1o|}u^0ox}bq4xP1@2o%0{vzJ{YEf8LfUyM zuzxSZe8_#_KJnLm<|C5+u2?h+pXBE`}cP9^Zk3c z`S}}Mz31oq_hj?)TUZ~oul1fx%Hw@EKZn76H}kpg=I0+;@ScqMY|nb%hrJw8d>?i> zEb#6l3V&XK|B#<$dGdXjKR-0)^}QJVSbkE@v9;cVS?{;3_g2>XDC<3w_5R3uFJ!&% zvEJiY?`N#{F4p@L>ph9}{=<5&VZE=g-a}aL7p(UN*82eKp5MB^x9;Vw`*xm}tL+o4 z`*G{u+q%!T?y0T&XX{?sx-Yiwfvx*p>)zJ7kG1Yut@~5!UevnpwC*vj`$_BG(Y#MI z`q#Su<2>i)H~e1co?^8xkLOE%K8y1u^EqE~{dqaoALbL!x{t8#8LayQ>t4V*?_1}1 z>wIpVyRGxIbxyX2x*qgGhNUEuQ@Blr1F?CVCvkLC0mGkDv4 ze0IY7$Gm%7y25*xFK?wk@z%hS*XLJw%i_m!`jrgct9*QR()-7}dtJK1`+i^EN`K;A z4NG31U*Vk+KbF(a`kvQXAD`Xl{bSw(E?wb$$d|X$pLo~6lGo=~c=wAR%jvhtW9X>vL$ot2+>tT$HkB3^m~WFd%cg(p78!L@3Ssl;eE!Jx6+?@ zzXeNPpI_lUDSj-c-#ZQ74L&}5%KOK>Id`92;eF1Rx6+?@uZAVB&#&-e+Tr}u%5wVM zW$^yCkIy!E|Co1$OILW82_F54_Zs2#`4!$K@nbpt?lyQg3U70YcU6k_Ji((s@m?#u zKEJ}dQv6s>zk3Yc*9mV+ig#^_ceUWrpLjP2ug|aWwuv9h>36Te+bO&qDc+4K-t~e< zf8xDfczu3_cZ2w`oPK44_eSCEO!00`@!lkO^e5gMgxBX+csGe3%jx$ngZC!k<@?3t zI@p`y-6DAOC*IA%>+>tT+r*FM^n16#dyDY)r+7;#-lE{qpLlN+UY}p#9T7j4)9*fm zca!i=q!t3)Zyk+rYIsL5fAKfavlPTW4Dc<)B9{q{OjX&oNpI_mf z5+hf8su1;QgN9J(ybF?UR28YI6M6> zh2=S)`G{Y39&88hMVZfYzTR7&l6%To)QtD!wLT}b9nl=0`Z*sx_xR8GRP=X=e)>~? z!N;|WpZlBz`;GZ1&#!m=Rk;sdiF|xNA^twVuSI9Q_qptE-skptp8H_j&kp>?J#Cid z$@|>fk>>blMaS{Jjn2vOwUg;=)V|00HOBSm!~v7-$8y$Z5iH*mp<0aRuyXd8)PtYT zsfOQAKj80$#dwYJ`vsrnA^2+y`~kr~kiwr7e3pmcUuEFGUGNX4@TUczci1pEEu=U^#x$ z&zU~oEDyoI+R#5N__BV)?cW4{j(?Vi;E#B}Y!}*raX&zs&s~SX%jc5yl65BL<#WV% zmXlZU09*CBdzFDdD)_R_#`yaAIF={th3Ty-{B9)f?JfnO4Q z*_XxmQ-aU(5d2pf_~U|qFg0%ZUV{2r9)iE#z~3$Svd@b39~FF-hv5H8=2xzFT<7k< zZ;TuBb9_=Bubbz?pX(;`!ME%J*wt7!nNPfJZe454>$>?GqdpVx=6GehC+BhfyaCIT z^|8k5Mg#v&!B3A@{hR{JL-1c~;J-ugt#yLsA^0~K`0o__&8hKpLgqo1hv0V__;(4u z>?7mxG%M>6%R}&AZ{Xi8_)o$n`}c(4vpfXwk5ep&Eyss8O0e3pmc-)!K&OYmhM9{2AQ{5c=7JOuw11OMHEFXx6Be?;(E z9)kZm1D_jF&JVKhkMU;&pXDL=Z#3|^KPCR&RQr#}e9H19zO|lhGVt#g{PaFRKS#sz z5PY4lInQ!_bq2rQga4pE{u~U`z`K?EYVODJm}NF%o_>+nmp{7P_dBxjvuFvbJ!gGp zF8AU7ocV(Mv%X#O$0bg1CBGs682ou&^tK_tMf|;O$QLE;ZA1Q3Ze3WuR6m!a{Q9|^ zW@$&sr*ZY5{WZp`KR^1vC(Cn#luLd?{xitn{zyOHlg58>4SbNf`mml!e4k&z*Ut&1 z@n2E{-=A0K>z8wEtY1HGl*T__@N>||{+0Sia*vSr=kMi9--`mA=T`ds#;8sFCt)!@ z_}I9g_u)6jPl5h8-$lRg)9uWMU;MoNfzNMYIrBdNTMhpKUmoL6`M3i8iT|Kaw=+M9 zk7~L8B@goBb0LzfXP$A)*Z$SdU8URS!oc?VAn>Z`|3l9c>)%U$v=9BM|Brmyoja+& ziD~r1AN%|kmNWmuu+{KC;>%Zd>Tf8M9tnNR)H z&Gvc3=eMw&`Co*shCk!WWBf1qxB~r&|7D+UXMPf&Je)tt!**B%n;bukW4?}`4MzK1 z3Lxkmsx|+71$fo;AM`x2{;wj<_Mt!Zf6b@cnNR)7^L3x!!gA(+1GXCeqrN=G=ek6E z`V;?~KHbjzB)+x}dDsr=_F)|JwSDw+nd#^2Wr6MUE#Ott|836`>pzS%_0ymFzvI&_ z%%}eE!dAmM;>%;4$B`xu{fVRXC0@1q(mz>Wy$5Ys>ett8GCnlTeMVwmvf@IdqFnAa zxMvpO-{D{>#!Zu~?}IuoNPhGeEXVn?85VzkCBO1)?o*cKCtZ5^QkFwceEz(M{@B;R zU|d)JzC6bV*Z-BUDBnsy)kQzZrjC5lPs>rX;$r+-1l^6a3Ei@9n5X+Mi3i<$zd_w? zDcvkj>Rv_v1$1BH%eT@`byd?%KP|`qthf}vsGIGY)GhmwdAeueN8Mb%sCz?7H_MZ{ zUq=50bYJGnx6)5_RntvBEyw??Xu&V)K8rTd-WN2GFFPpx<@y=v=&#^M-6(dtH>Gs5 zJgNI~`a?JUtBsSF`|_>yqb~JJj}!VOb-w~B>c+Nsj_#F)?!Sf~b+3eFdv8nWW_eQg z74%;~_iA6hm3|B9re9L`l}J%H=;!FZ*wFnq@Js3*N$F;JQg<8u7tr16%eT^RA>H&# z>Ry8sb#uHX$H^)~_Y?4=?haVCcR8h-+8-RqE|?sI4px?2q0--jP{cfwNl z{*-Q(Cv{&-{{`Bc{f6?b^jk8kX+Q4D!y|p8xlF#?QyLhi9qJx*wzn@cya;K=v7UbXRxI;#QeWEMB|a_V zzO1@-NW5Cc5s%}BI-U|8V|NY}$lqUue=+=P@%IV8JWs*=%lx=4kM`{xApcm&w?}uO zXN>7WPj4SBWcAXbS$eautOwh(maZM5OP=3yFXC8#?VnOAU63@48(00XY^RN)b8LLD zn>vc)CD&fv14H>1ld{tRqHiyuA@ zwc4d$_+=g{>p0bM!}mz~d?m-rBf>v2e243o(e80q{|szB`~$*&Nbt1Y+ApeSOmy@m z^ss)~FHcE)VPMF$$M{&E8z&jqdi1BXiXM(DJ`XzIX}|20{^=I0?WXgjK6hGP5I-GnI&W^5{8?D? z>=C}<@sd1e-Gi*hFeXS^xWU#Q?}MUW)~k4aQhmhXd~ynwypIX*ME5Z6R?v^T{rp_S zMD6&8G6VHI??b{b>tI>Owa!1G8W2HMs-1yAE*7Lj!OMPU$E*FZsTz_!9u^rWtKes@{gdh5 zo>C9nyC+lX(dQ?LZ}ISZDCFt%`-xzl?RE0-dne@Sual=xCl9}8LY~Pwd3x*Q;rB|& zGabm&Qz*LqO9ocQ&mzQYzo=!u5?3w1N5b@MC{J1&t0xHuE)->KKxz?dE|X}O`g7?9{5-0N$n4{+8=89{SV^H`|g_fSm)}(=l48_ z-=FvMQLT2;bu@$Zw4U+B?{$!8GMvY)kKkET4-db`L7wSAo`Ip=e&1B`{i6M#R{KFM zzpp|3*+6{kqusu=XRP4Xsi90^K<7>FJCsMQ@~ABf--$rJ-oD+AuQ=+*f#RxFT($f@ z2J6!V%XPL^{{!Ex`@^Gi_t%QAR`J#H`xoSC3*;#b4{mqsIyUmOimO&})!rxgn*=|L zg5>HbgPZKT>_}rv%(`g)+{k{&io^inMPmrfAkVn`5HJRdQJ>!7i zs~`_QrxQ}2iQ%E$eq0ajuBSfAGZM&Cz=G*`V9$hSDv)Q)xX;l3SF8Q6R_ikp$Wt5` za^s-8`zm)HU3XOm|I{Oz}jk$TaXQ<%tMtVw4PX?Cbsx;E;?knQ+ zO}Ex(c-++^Gdxb7wBPnXze1p2Zw)`aS4pprs!R80fN|&Y?Wy%A;pgukYWOAgN3MM= z-pV}M?fM7H_nh@rc`NNZ>iS`)>{rv}+q)MoFVraSHOjU9^nMfL&aE%XAGaSI*zb_H zljAf|?%T~MPq&xFlV~q@Uc+^(yVro6p@qhy?pIWgwx^E6bh*}7_XilpZXcrYaed)X zZJeWA$4S-lbbT!z)!8HCd%V=<=ZDmDSEAR$$-r`aj$r{~{+hNnH!on>=q4W5Mb5*zUaDn2_ZMpUy(6Z7j1Q88 z>^IWS5pg)r`}dQJ%fG+g{Xag^Ezf_! z>B2G5-*4gqkNn+3!;YtSXP?tIGA#GkJN_OjT+jLSw!7eT?*agE`T*eY0FX>) zkniT3iXO#r^EhDY)#2Y06-WJgQQYM$s^M@^4S#-=_X4O!uN$`&eix7H82_Bq&+qCv zgo%dr1ifod(7X8@^sYTX@6%`i_Z;*OyY`CL4SnAw?=c`FtR68=uODYUb@u1H_(FZ{ z7{`zK`j4JzJB+#ZKsTHrf1hi2bVGgPP}T!&Z#?(1k1)oK`0Kd`%f9PZEOSoxyyqo_ zuj_Cv{}>+u99O$!UxndR{dtP#Rsa0pKGwYtjOTyRiDBmY3Hyw~ocTL-?up|dg#4ob zoHKv-6kR*@;6io|4lYou`s2cr`RcFhB6RdHKG802o~$*`^6%1fo|V6IpPXl1KNJgn zPDe4`m-+p8->6@=((|hJBc{`xZhlRlkL3Kqd7kgXd2dC2ECbH+^CwKhsNE)M%s(#w zxY+%v^o*nrr}B>`)B2t)`M!$xdHg;B*R$rcy{+Zf66n_*6vo>9O4kFC3s>9$tCKK8VZx%GRnyXfrpR{xxg%6ra=E?8q~z(mgACZg{2Uo`LB|DDn@SUUl~npj4;Y|W)~$XL%WSzYf96) zmfFI~<(DbWYCAsd-S3?WLKZ?D&Ra9-!ZIp@Ld>pb|(JpH`i-|zSLe>Q$dPC8}( zsI)TtJmyuL%e1iwBJB<2&Nu~Ul(nTcs`l@B7{2gFBd_+%E4sgZuA4=7z4El$q`+>} zy2&P6TevxVQ%eHPUJ}OD%WnZ~YI3cwhySj5P|5#B{ z&yLRgC0g5(`ow1@=eeHc)r|h7)QP-xj*++eTBLHm%OmLY{F3@Hbmg9#E`fuZg4yJR znF^PCeC=meB*L%>9ZO%E%C}P7!k@o7P1LBj_qK{WJhE^yj8k_r9kd71=ih?fj>gRwWLH(Yhks+<&eL4? znUJuo9;-6M@Sc z)w6v`7T5%C#uvVE;>&uHiBq%%!u#%#*$v*-me1WU)E)DGaz&FEcWETkRHCw2PMRb3 z-;B#K*Q|=z9B;~3uGS#Z%woK=9DC18sjt7BKmC%IQ?mW4h}^s^iQeiG&FOtsi_g7X z!M>fb9n(MX5fK;a5w%tUiLKob*L)Z;bn{Vxv@>FPAk@$!L6qrRh*AP`B8MRg{S2aT zoDc=?Kos@>%qoY!hrfouNmxN-AFd)S?}(lICMjGz-ItI2^FwMOxwT5Gd ze%-!2&9FJedsS<|NKkJx=gVv}e(zS-knhe^e{JG9=I<9OOF|Z^$meS*bbp&woT*K_ z@M8T@wxV1rM)Sc@+9(H6bM_!{#0SLXUqTGI1XSRYhFI@+poZKzL}3;}Pb=uD2R)%L zhXFm4p(h4CUqH{F{5w@Qrv% zx=HmmUnMhpNus0o!ya`TdDH37Kg^d%4eOGf(pBiKz6UtH6Jz*XVLAJ@j2x!#8G?v? z`G`92g2dGV@c9vZ)`L%Z@aYUb!P#^s^z?$BWB<*k>Z-Qbp+_+aGJ7K%yCMyoqV3L@ zX_UQuQ=5EZ&Br;*z8mp_W*WaGo1fa`qEw;xG)#NNn-rSedt-l5U?Vd?SFgl@pGAI1 zWYSxxxttDhFfQW$#?E5sVzdG)l$L0ZsC7gn-s*_BmY)z~<26*EY>il<+Tha+e40Z~ zMd*1PdM1HSPw@Ewe4>B%`L*Y}mKX)1pGQ|)j9!SV!DF&>+RhhvV~mxj0_%@H|N2Yw z({}r-OKm32R5sT-61E>u&m`zim*%}IDePE9mJxZ~+MwWe+Ylf=dH%sR1sX!R3AE_yIcJfQ~Z%X*a)ioav2GSm|=`nRt(d z&&pGUilcfLd4WF-T;9^7(q?LVT~WhIo_WgHH@8An60d!NcZDXT1^ch?@M7i>q)QqH zJIN1IzR+9T&T%@rcH*M(FYK&>QjEqjL}~S!h-&WvE?dCm8*rHoE-`S~4ldilK+<>nr)no5fR>OvlJD{|j4n&Q7fyCDb5m(_ZVgzhO z1vASKtK1JYs0*N9C-m!uej@OA6+Dt*)_ySSL74SjL_u32%OL@fW=KDz?VlNk{|pEN zatRU*xdwsVL7|W&xIJySy&iZY4g=rQ2lw558If_(_H+eBAKceqAbN=+L_7{@#t|OdU-@No9W^*goq}Hczdv}C*naC>)tNXg9GbL|)OL^Z*l$QiNlrqdzzx4OtrulNuN*bo($&}(^ z`Zh&fj$N=aZj&0w-n3hU=?`2*MD`j)#R8BR4I}RTI>Zpb?l&1C)?+Q$eNWi^HsBct zo($lDx0#a;Jn&s*{{TEp;HiQ9DKq=ZGy7zFhX`0bjAEIPVlMo_}PkM?7ccE*wE5gl;(I1Q6E_&aiVHU$KW@ncnv~g!p|!RG8HCcDS;)CqU=3hw9~+z}sUv=*3vh5QPbfbXxp zBT=I_F!=$KJuqzrCQD%2z{5w$szWpKD!_CXm>vO>2QWPXreR>p2PPL_YWzPl!M78^ zJt7Z?;Eu=5s%AX_3b-9%F{8)7Q?`Prqw3|YuJHqVY5keAypjdM6uGY1(w24kl;A}7 zlBPoWbfJu&Yq#g*pybDqCf*%KS;G29ewbqC2nrs}L1(kSE8C*>x^J}R$T1^+?2jbk zdRskdFG2Gd-qdz*m#{ynwR_P?ESXAr&WpNeN+=E?V~Xb^QLz6FbaqMxc@;aMw~AfG zuSwZx|Mx$~c~)gr_T82kf6*^et&x)px7ZiNGa7qylqFik@Q6Ke7JC#bjS}08SJ!r_ z9Hk_2k20X9ES!@tj8l_4Zuim{&_0T|bcrv@0=lpF~a6@UjUV2%& ztgo7Iw3&%!V5Elc+!U-6Fg2o#d_So zlyPne`wJ)SWM8s``9_Cz1pLUpCOO-q!hKeG-Q&igk^;3X9xF1Q_54AXo1ye3*SwFM zj_8~8k#fy6&f0`P{AA6*FbWuS($WnIZ7J5u?NE9VB|7rCC@Z6!L_45}r!BD9-gT9j zXsZ>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRG-?18T}FV z-4DWHR>yQ!BMUL?23GdjAGrT9(S;r&3Xp*wED{DN`ho1$b+j{7InHb{YF#d>BPm4` z2O>ylP6WV^+(__X`O&a|vf~jzC5Lms3Qm{6Ros5S>-depm$6I0ZzJcxp9Y@5e@#rl zzuL&aKlS0jKdO_!KNMHMKWP5IKah;TKVVV7KY;qbT`AeXEzBY{_#VZ!CB}X%3(Tz; zR@f_W?C>6-n4x9>aRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dNqfkF&~ zL&Zu+$I9c8nv}z#iKV1q@}nSm>rO&}L=* zPY^g>9H`Z5$$%b|(m~-Vs*lmp#fwtn%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBT zR9Gj_>F@^Nlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK z%eSq>)-Mvs?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Da zs(;pj#A|Cp$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V( zxVGAqF)in4BO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8 zvijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZX zYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIs zG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);j zNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7g zOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeI zJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru z=h)+!-KEsARlZ0f1LrLSMve_g%v@p6*!hy+@v~KcqbK`72M>n8PF`KW-TcYG`?_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%Wk*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zACzK*3WlD+^lvhwtB0<3)kRk;LzW3%muj8}HIx$!H^Nino-+OOn-p&*|Yc2oief z<<7EM9r|uJAWVY{cB@0RzqoA73HYI?{fgORmiJKjRCksis((l9A@7qO?T~mnO~1wQ_&Xd|+?g+~UEw_=yzR6ZGp}jJC&a(i0{-m@&w1h5gCoSF@hDEl zby>zmllp#(^M$Yq@w_KIS95)y?^fXNkRPe>cQl^ybvDbdf$-fFzGvY$%`5qT64(OL z{N9n@!%mu!j@R+o@5IY^UJOv@nZ~J}BJqvS^ZTNEB)l0m3i0+5x550GXXoAZBWmxs z9iFeC(PUjWiS7E9wgJwgzc2cCkLxpk$8$Na5rj!UhA?3OVZv0~5Y~JbSwA0$?sF$) zedlp=JlM9ZpZ!m9UlIL=>bGLfZ^LbP6c^IY@j{;06VlH9AiXaAj$ga~xGH)`nvE+=*l*3B zL4fn@!%u|o<|JRkvvnpcR$o6Ao;#B~L5J710nX#sXTtZ#Bp(t>dDQ2+u6IRU?}`V) zv%E5quXH}1&xPlV@F2~G{5TK)XxSQIPeY`g^M$l~x(>-s`4yF4 z@k`OUSWpMk!T#%bsIV`A?@3Z_oQbgx#(fO&MP9x~s z=TX=02hEeB=1K7z;s32TzpX=Elgu;k--?b|wd?yq>npE&CZ0#)7RU zMA9&!>QP5NY$@Bi8_ci4^!WTLhJafuo32m+$f-ecaJkft%+5q+!gP5njAf9sz;<+F^^X8-V zkmL3i!qGGV9VQ|Z@sCT+lde&2dT zKW;C`kDrA{^Du24kI(b3V&9Uuimu~7W!&UEzal(u2)-jYYrgLZPnvb@dw;lR&o8mQ zFNS=6&VnvK*GA7fH{|;d+%NKdhyl`jRo1ut(TsZpeJ{-ICf@sDK+og+_v!EFBc9Q{ zpx#@|xHnSXbI1~zE9y8 z!g-5(_Fgz^ClmJp_FSCz%YBeZ1IiE5%=}(v^W8Ba-(R|zAky`s#Lj1{yiRQcr1>eu z3IAU5BF3QW)#&%Na_L>dp4a96URqnDcDZDq8(t~p^K;vc7%!FV{a+UjklmhZ-_zrE z%H#Sid;T5iwPSw%1d;HR+Pr>n4zqsvtrGFz`NH=Td!;qzDS?RlUAqqaQlIsZ-Yx3i zELr_F4qnuDlQqsi6bQK&zoQ)C@6@%ea@~1;d&?PY zt^4@0;S8$V)Z;sYT4UR(Yy{3=;AETCvQw>;_nm=2+1aR6Yy107E%0)yO2w&OvQ`Xi zRVSBlxs%Yv=gVFm&*( zA6L75Qsfm0y~wJ<=m2jd`j-)*F9aHI9VjqGRYxPCFSF=Crl11@z2W)wLZI+<5ikgv zQZ;afibhQI{>DH7Gq5~W6KBv5Bt5)=0%kr(0W;EIm_!B&n2`aaM`WOY8Cfv;L#_$Up%za$r^w87N?8F&IN40|m^;gE1m9P{51=7-J#>1E?Y6Cwiz%q#_CN@SpbnPp(ihzt}kqYTEJ$Up%zDqt*#3=}Z49E>HAfdXb!!B`O) zC}2hnj17^20%qu7Y>5mMFryB}j>td(Gw>y$+7lTlU`7*+1CfCOX5i0{>PTdufEjHt zY$5{%%;@$elq0c z)_jbOX-=l(N10E}o(&Y`?fftyqVr?-%xqiuMX{3Shl4h2EX=%;%w|3z8x-hNC5uTP zDyk@_EKE(R#!R1dUMv+m_+)Q>?Z*vTuLdsJ{%em#RtXW5pWY*n>s^&WSA>xbwda~0i{GJYhbzD_TPtwI}X&It8n#P8d5TY+(1 z-oaH6D$jQD<7F2YXgLZ0D*drTM$D0ZVBl2mWtk}Dr%eJT(sn-MgO4|IoMZ7ZpevFp zuheIoNilX8{(;_}6FeRjw@1O)du~p$Z@rvrUd4e&lC;i3)@g4?N>12?oWSsec8Tl62atYOQA-rM0f#V|YOJjdD{ovuiJ++aEyo%o0Q zQKe5Ilsj#mE1n~s1+UG@V)t}U6_(xUVR|SVko;dDff85ad#a4rgbpgsaIQUDw1t^< zaPWAVQDMZ0uWF@AEjNsjJFIs_h|X>hxo&mvxBUXGrp87)Yx`S%5x&B5>$-cSJ2)E7 z^{2S+t7LZnbbU=(Fn8ZWs&?%gS0G(?e3bJg9_wC$Q#zHWSQ)DejHlXRwv(U zI8O>lw7jeRQ~cux;*VCdB;RCPj$7@{u3K4GtkTC<`$u0TrE6%_)8Ds9r99NF9+EZZ z57Am{lD1{XY~MP)I8BZ}?%zqxIn9d_A6A}u+-mRAX_=Jn6m2&gB9KxX?~1(V#4_){ z^S-2co9J{=^|MJG^IpMtK%*C>LD#b-@z2-VIZtN`?|-`ZBDgr0f96f*uCc<`!xiWb z3af8^Mt5L5qqhj%f%rokA#?}+Lt0!}FZ;N6TvO8+ozzf)SlEPkyQI}oo4bnk4zxZ_ zS}Ln5bH9*Sag=htqLzA9LO;``S!j~c6)<*QDZVkSUl=N7Bc=>A6l-rjnS>kyB*yV6(q}=E?g~QPb|+m=gl!`9@P!24C3l{@4Pfn glI@=CIh#E1dqQfg>c-yZWLH7e8qp^EL35A)0C?wn=Kufz literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt561809 b/bsp2/Designflow/sim/post/work/@_opt/vopt561809 new file mode 100644 index 0000000000000000000000000000000000000000..d1a2631150cef134f5db0d85a27c958625ac6d7e GIT binary patch literal 3056 zcmb7GJ5N+W6rQ;|++Bfe@Yz@xMT}9GU7z?&EG#IAHZ-W0g#;6ytQtBdv9YkQ)5e6t zg33@>_yN75&BdBu9yb|CmAoZt!o#LsRkB5o6%T9(E z;uj+Eg-AT>%*X$3iQgx205`tWnNp8&%uD6QKa2wN`5SndH(A7>7v|vw`dRmAOI(Z} z@(bgHx(|5$yM8_s%5yw@7RY>j{pIv6JRFa_or%t5z4S5)`_n9G^3b2qzcG z^QHfP@?`SQ-zz}o%l=OJ9wc8SZTSwnxKhgTxsSvA`u^Uv`5KUU8i?qOc%2%6 z^QsR;c%v=6BN5(B&#U-#PxR3UFB2Ys_32{~-gpbI65-W_hjN|XV1zf(!aE+}z4W}= zO9-wud-aJ3Z%%j%!aEt^%?s~?@J>f~i=LP6cK(0s`o;D4q@P{9-`_mfVPBtd{PunF zHKMm9dP@<#p@`nH*Q;#zes|70?ayz*+abJj9^3Zucj4_6-uVdchw%8`aKBuL@O}yp z;CQ?bI_0+_Jh>nAr3jDj9qxt7F7J2m3|_pnjEjl)37#V~lfK56HqWC`=DNG6lo>0* z&cAoGan7${+FgGB{2H3;8g=t=v=R4Y9SH{GG2)m5rFQY5)W+Ldby@Zo1#5z(Eg;Wt zh|ePvr5%v^1=z&R^gH>vkEq9eLgYRma-E3)hg>JxT!(x={Xw@C4fynvAM)jULOayQ zb=t@u;;6$qr-0ZG_8A;R_HPt#Hu2~6xlTcUZj1W7=r2^d zUgci5bK;*D4D~IF{Z(*TQ0_A;_m!3V$jW_V+Vwwb3=Pt*RVOEiS+#MCc<07#hvU_T<4tD| lojr3SbN0PE4Hx%#Y69z-x_QR9volz@u#dUUSSGaM^S`rEuQUJv literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt5a5re6 b/bsp2/Designflow/sim/post/work/@_opt/vopt5a5re6 new file mode 100644 index 0000000000000000000000000000000000000000..2f50791087bbcf0c090cfa8b5931aa6aaba94dc9 GIT binary patch literal 772 zcmV+f1N-~|aLNwS7A>4o_72b%Eu2x>qJ`W?X^SvQTeMO)itQhzGyG=405T@~U;*e4 ztl-thHhcm&!&Wc=Fa(G}=?S%+0}a|=t2OJ)<` z;YNXWJOn=?7V!r-5C;MN4E6%~GnV2AE+|fs1gRBuNeLL1VsZ*@Cuel>Ndr<|GF3I- zUTZ6>ne%iDZ%!`c`d0{S1k1q(7H>xnzAWhomkiKxoevF=_@Rmc00010x-+l=00007 z638PuEkV$95H<%6cybRVdS9?Q0ICmOp?L=d#CL3e421XSdjS9d0Kczv`NW*_Cd$_y zMI}@a14E@E5eX|FyKT!^8SFS)mO^RIS+x8QB+J~g5{E3%+XO?rxW)-!H$XIAnwb;j znDl@^(iCYV=tdR7Z@}^d@fIWyH3AnmB9bj91Q-xPb0H6S7Hw(LOBq7Fe#K8z00000 z<5Xl{;^hQnUlipU4^R~o8y_$g5(63_Fawq`j2FbE93fm{!VqgGS9o(+9bAXAbsl|M zIH`_KABX4!h#vrf=^mIfAm};yo*>@tVDE_ip@4dKtx@aN}BK$h#V^xNdWPPz{OEPy%K~+~FV0I>V2~x4Ii)Wm# zFbj&xV}FJ`HEo~~8|e?VGR=oL)-(9~=B>1^so0@}G@>xbV?2g>zu?WjIIWqj$9*~3EsT(`Ur3&{zR^fdr2jWJ5sK~o6L42_XwI0qs!=TZ8N%(_NdK7;FRS$d(k>1AyObQZS1qN1SWgPt zH4LgX>E)BTh@olS_{{svzGp4Rj0i*mS0kY&5g) Cickgs literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt5fbcnx b/bsp2/Designflow/sim/post/work/@_opt/vopt5fbcnx new file mode 100644 index 0000000000000000000000000000000000000000..3a14656bf71c4c2a4b8bfe35762a892e15a8941f GIT binary patch literal 575 zcmZQ9IK_8`Ezk9Z?lmC!I#7B?*R2CpE$UrDy2J$4q3P3Ed0(le#Af?J+1t1pK8dV?zWE=y7A_K@# zOzL0`0|Og~2NqJhr4_!o)TS&rrRK4o!_GS~ooD&KAMh)#zU!>Jn&*S{*PP&RyK_ac zmOsNMaoQiL_#e*f#M<}i+^@>R!HuccT-5FwDKcz4bitKJ_WQd^g)4h!Ts+&#`)q}U zUuAA5jb?1ESSzx?=eK&QTWW2{E}LH)-4XG3>+?_Bn=-rwru?v$dU zc&l8^ch!5iH}jYBO4vizF9qXRk1s^YyV6C zwzxgpR+qQ)gcm(h621Li>UL8(X+>?2sv|ADfT9yzcV$c2SgxDAT#u14V%wtkijn%Nb_ehC>ST3%^@EjZn-#9-80HL_%!S|vm z!mq>ck4=ckgDrt5IBQ-G@fE`{4Ii(bl%va`o@Soi)=0~H?9t_%nB$9SaEDi;pib`= z0NtKlK>B^_z_h!jz$rH!z!NS?z-Ju9z>j$Nz+bVU!2cpJz`q4Cz&}e7z(3Y=z(4Gi zz(1IIz&~(a27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^ zK;U0ndo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZb zaD;$&ph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym z!-XKd#!H>A%-4Ds*zdJ=oclxDzjYQ9$al7rbsdkMs(N116t$F+Xe!bF?^UJgOJR*X zDp`oeL7)VlZ=>PLX!(Ctkg~reU?o?5fC^S1KoqN1z^mUEi$$^21Q zm@P=Oa2wDVpmyM40Ih(tKpH_dz;wbNz^TMnl_z+Z`$!2eQqz`y0C zz(0!+z&}=Lz(4GYzg7A=%O-p1N|+5K)Noq?=%MymkOQszU`HCS=AavWN8h7hZAz$O zn$!>@GN&#@`lE|R|Can`Niha)7KJDqn-SCu!O_%_!sF>yiOAdH#}9nbNemx&SlWjH zesmkv2Q~dEl;8u&9WgdZ-FNVCMA zowZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD8`_ZP|LaEl`GN5~|5sbTuLz9)Gb4UoVEi|X z_*(+wA2s5)1javR#199?f76J+J23uRM*IVT@!vM$KN1*!*oc2PF#bD6{7hi{pBwRy z2F8Eah(8h-f5eFYeqj9LM*OkB_*v|%`JDd(`vvab*BIx6P5#^x-v?ZReIU;(Clfr$ z_uqPc(fuym-M&|F;`8`skK0B(p2xdV@B6s#Oyd4fbPfdi?Fsao4)hz4_EKGHSwHGj zeR~4^rUU)7pOjB+nztGKr}H-TSbpu|r}t=T*>A~uG~fau1aBk8VTj*Upda@IA$Ugv z{Z^u09Iwq%pOs=)iG8(L9k;)f^JSNePsVe6@|?}{^`-btyc<(-dR~|E_`J^ha-P?j z&+|HlTjq;VZVU5?_a=GnK*#5VkBzfGLj9_(kD=$|zc=DT&&S7&_|Wt5KN#_$=i`4g z;zQ5J|765l=i_eIpOy3RkB#`P((ibD@zdl!7fTCwo{yJYAmisJM*Qx;_Lu{L_K)|7yhNa6!rEyH@-Cn-PC;VEi*i{A&Z_|J{i14~+k*5x+Yy{y&WP zPX)%GG~&M#82>XP{znqe^<|;^fu9@k{}~wn3nTt4Tukfwun_;VM*O9L@&9GSUmqC% zOCvsXf6MoN)#m>j0{MSs#J@E#{=bd*p1}D3G2#aUbK= z{nYyscnkT3?nh6A?h6C;oe1=62;^-I^y>=rn+n{wjt2V81p19)e1!DxRAB#JhWU{D z!hPbe`^-lq{avx?edbo#XEL7qOc?jWed2A{CqgEEa<{zLh?;Ypo`}c_R^Zk3l`T71m-~4?4-fn)re-Af5f4!^s{CxkO zY<_+#>x1^S-jhjryzl1cFu3n#KKI@H{6j0=lQEy|S?~L>mm`Yr!!Cyf-hD*j&nxgB z^0O>Yz7O-~hsM0V7o#7`Ps%yA)_XAP{g(CK%6cDVy=SuCA6f5(toJ?EdmQWijP>5d zdY@vwC$ZjtSnoBg_Z8NA2l|;L&#iN}b)L4) z$=3PTI@em~RqGsToiD9(qjes%&Ux1P%{rG^=Pm0TWu1?#bB}eNvCb*h`NKL_Smy=n z9N>mEesP?igK_RQOc>`^Af4Q2G0lCJ-p}##V^82Y8I$Ky_g~0%`>-o%u^$+K#pmq+ z8@YX0$)y|fea<#5E&B2{`U5{|gGJm0KEE+?pZ~6=p$7lC=|Co2LOILW`@5|fhPrR#P$?Nkgyi?-G za{5`{^IGHMv-`Y%%zMD4E4&Z+@;3St?;2S0`uqy-e(_^D{dO7k?eOv0Y40EN9(3sn z?<2mvjsC=23rk*~U*Vk*KbF()4uiMT$7c_D|CslPOILUw^W|;yC*HNNzY>wJ9nnD>u)pLXdA z?^C|KjsC=Y6)bsueuekA__3UR?=X0;_wm^i-aqDj)}<@F&-n5-`V;TBV9D$AE4(Mg zkLC1xr@_14$7fG@|Cl%D?vpFL&-wB;`V;Tfu;lgm6<$m`oPXL_PQSYh-rx4|*#_?) z^DcMk3hy$(qd)OpBfLJp!rLr2aE5#E6mZz;uF6g>J9?~TIi^DDfg;>U9O-DmJ_6yC`c@9q@u zU4ln{;=M_DeSU?vEPgDfpY{EtTZMN|ig#~{_x*xLf8ufD&w0b=S9qtykLC2c->B~< z;oXz?ZTZMNz#d|Qt`-tGtpLlN*UY}p#oe@8l z)9<|oZ@2IsO7R{^@jfPa^e5i$2(Qnt@E#UFmecPdSl-ipK=^gPiEOv8{9Rh?D`$ON zJWn5W>B>C)gy7PjxDOb3zbAN4rtqFh;T;n^`V;R#18=k79Z%sslfpY8c=RWp^*x;1 z1n*=D?^Fu!S;3=!5--R2#QXFug7;hsFNcQa-&N^DIolsWoUhvGpTt9h&%x^vyaw+d z_lN(UO9gM4;L$&c*O0>7DtOCNc>a4U6}%R~qd)O_CC<7Rm2y7LPX9|`dCq4(;+LHV z+lhNo=JTAd_m-#Ro^lp7<2`w;&k1cqG{>iY&PUHZ{&PMR{oSIU{?uRaaUJ64K4-yx zV?N6B>s)_T?t@n#AKy=izYp+h(OK_(F8iDJx&5B!J{b429lvo;n`L?OKKFK{IX>FZ zalCJ%b8>v`U^*Lh>~?;QaXq?lz-0Teob_1*%lAa67UMaroINJ>;OBFy;SbOc_rBkc=ZNtvC$HiGw(4{D zDg%E^@MWEi@%8g@EKk-8(_2;iYYqHy!IynvjK2}}@zf16CpB3vrD)=l9!T*)auUzlA&fS6E7&qqU_@q2u zH_wMZ*G=YwZ`lQ~tFUe|pLko{y4IN2b@MeweJ0_}@yd2j&g1%d1C}T2V~y7h2L7Fb zpB}IJIR%!7;J?jcX~@NY2i-zoT;Qse1_%!4cs!S6Eg?-G33N5vYw{<{TV&J8jCsNl0a1pjpgJ~yJA zA7tMj3x8Hj)vtS_&Q&6p5^@N z41T=_|3QEJIT)sacPsbR+>hfi%WS|r{UWa~f3(T>JF@Y!XbGx4XMJWa_u>AW`GWkj zzFqRiB~EZ9zajq^{CQsVwjsY+{Jm|+7bWd&L;h24U1(aWpUY8x{aj9qw4>zHxO&k3 z8spWUAN}8x<+(x1CBGs68DwyOq@V9eeSRy; zng3zfYWN@VG0J zB)+x}d8)M!(4YFh=F=U_r+(%6y3cQAIrF~(TMhqFUmoLgT_QgHiT_QX?qGfr zU)zT~Y=?CFFpl}!KKi-L^z-$y!1nnT@T%$mw&#iUA4Zz`=}-ON@#$9PQ~!5itKl5+ z+4n-ADZSqBe5@8ej!p(E_WN;GmG%=aIh5P zrdihaA)OZ_Kl%%n}y~!t}B0Ep5ue- z{|Z=?Z=s*+q90^aM?UGN)-LvqcZmwU{y*{Oz1>>878SvZl`4;+7m-?m03H_3~Ux5^LV_Q5&_X8k{C3TOcbhA9EyPf_E=x+1nTj;ltZu%v4uSSZxIbM_F zWTm0|3HVWWCoJ2$oYKwmr0%Qfzku$meEAmoEu@=%N!@FZqV5;cCdT(=hVJjdkGj{x zQukC!H_MZ{JCI&T_cgwJ3;h<-O~0h>wMbF-IkXAgt%mOJ!;iYVV5xh5N;k`sy04}G z0`1LyL-`i^Eu@=%N!`~WMcv#toDJQaIJoZofEN1rT*Ke}JuX`Pcb&fG^fu%Vqm=6h z{gZlMiF7mcZUr#ckEKZSd#-2>_&4Ma0hsqGpL6M^rD;Du&o<;w)bMK&yp}}^58j~agdd1}a?tl_s_@LJJc3$=?MFAaIN*Ft_91#e#szi#oHt>L#- z{2H)vN%FFvljCU}QfFg4F*nLAF4Ha%+y4J(hlPJc?3magvAtr8Vqq{&7neCcQNQxE zA9v-E(f+YqCSUF?4UW(b_Y67P*Pj_#gf!z=Pd|PumialUFKyovpO$f7R$bdAUM=H@ z$8kd)Pl=B4JBJJ8AE?5=82+{R`-NYgr(phNe%zMF`gaVHf4t<|qo>e2&UB%-ub&pO z`e@NCeOXx6gY8*M*LKk*&u_U8ajd`gPbrlyNE*hCs{vTH(+1HwJ~7lo9mR=~YpHTrzE~G zIPBVEV!YptlMHMwFq{`I(hiL6Y>ny$y2D4huujz5 z2fkbPN5G%p+m-)R3 z^32r9v${?meoultM+15Kwr`i`WqbU*gw%)Mhak^M;Ys&(_C|ET@1 zR{LKqzb8SSW?Uffxk=-u(>N~seI0B)SiZ>pe8?=i%YZjuDPmj4Kxx=NATCdm+r_qui};0{|l4c&4zE zahIb*bbjYNaR>_;$MMh$yd4}Kck^)XaKYh?_LiKU3@pc0X|&JXSH$O=9<9&FgsVqp zWP&_tziokjg+RZ)8h(1Ol3pKGm+sF1DtOm3g$s^$(Ws zIqR$PR@!&W^}`O?ucphl^($uK_v33ynwJuc#hvPaTKpa;>lK4={|~K1Ac=`of{wI7hjTld9$E z`dU1yvscFVM5*1+52@#_QjfXi^K@dPlk68uhwg{8zVmgguAyUY`MmbPMkqvw?w3k~ zqwYSm2SV81y|_qm@#*|+Mm{bos`}$%VNO1T5+BD6Kb{m{^4oE+a`Ra#e|0(^4NIK< zF@Nuqf#vud#{$Ou)$Q$WUcj=^Lp-jFoQHM2RLgwsFVymTM@;`1A0!FcZ=|0i;&7h# z?-^2wT z`Fn;(98celey4AAMDDM5`1{rbjvH6Fp7ZN%Pr>Ql2>{~s1Hj<{Aeqh}-_17_J&NPz zalq88!@nmgj`{VXxYJow!{MSD{`@HK1yGGXH*PEZE*{r0{yC|i-_>&%6AkMLde@$y zck?;uU3-Aur_liJIT#pm?G>*Z`o2rvV?ah&Jz|_bKhApV?9X}eh5Fhtjvx2+A3M`_ z7E`*K-e+eb=v8=A7<%&r1ql*Wp_JF+Kt~ zu6D}43d5=T^Ayjk{`tXuta~3A&;Ozm!_4&)_8Ema^LOgp6URXa`Nse_Xa4Rjx_0Wt zh3p(0T%cC<$Au^J)nC^|=;&p9qFvlPS!Hvij$*to^ZW7s zF~4r5=T+@TOs6~C{F*)=$@zuzJl}`&-irKK2At*RPnd>LyH(Pde_Z}?vHMf$8A%^b zJ(a$%>qULJ{wCiyab4v1C#b7S zaQehg{q_Bpru97*+mG)L`1w}GcT4>362D#SHnBU!!erQ9lM*)|amu5*C&a(sh?_ja zxIJeWw@>2I`+|7s`y|?aKDH+i53y z$Nc&~zV=F{+uQv5*xNSl*6*R7qO;rD{BtrU?>Q%X{JwEyXxzo^8t6ki=gT*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA z-51|sR`*U;BWp$M29_S#A2=>C(S^Mt3XsPVA12f_yQr;)0mQyO1}6jPy4Mt}+sgSE z_vM~&u8VD;99OFVdF}>4vYdUuBzddA39=@@QzRY0=Lkx`k5REzBV`_#VTyCB||r3(U0` zR@fVG?C^e|n4zWsaRUWFqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;mQQx)|@=4zwJjFlbGS!;9P^OnBs!v#xT1cNhb`PR~uvh8_! zYtrz6L_cIg$v&FI(mg_nv3h`!-*(Po|E(H?z8icb(C8oPX3JgBTR9Gj_>F@^N zlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK%eSq>)-Mvs z?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Das(;pj#A|Cp z$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V(xVGAqF)in4 zBO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV z4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?# zZ;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X( z5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQS zjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM& z@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*z zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsA zRlZ0f1LrLSMve|h%v@s7*!h&;@v~WgqbK7)2M@NuPF_90-TVo_`?*8Fw=?&^FDJgh z9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceE zM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkC~W0Wa#-s66s|MTA-&)(0*w()-2ANH5yxPIq(o%elrT}x(>OQjTZ^9?C|@+M#B zzl}OK4;iT5to5=Mep5>JHSZzUuRdI>jLVD3z2E&^iXQNXpl_=&f8m@9cJFuMeR_sf4 zqqBq7q*ZPmXEZbDUh)bT!!-AO@|@**6<&{1b=enYu|m)Bter~f`2y*wD+h&6k4yx~ zDqW$Gho?|}%^tyKa%CZJ^913e>H;CIhreK!yhkugYb}`NR1(Zyj}y%H1q)_+!{Qv= zgM`-BD>}Sx7<;72xvhqkQnj^)X7`v}Se&+bP1HG+DP_(|qkCPB86P|MhUL`_s=D3h zDjx+ud%xO7e#|qkjPa&Y8@)NFlYP>L#91}!cxlAxHFZl&r#zQ;h~BH!N?m<&9hr2q zM@F{$tChjh$%~?9*4k2d#BE(=!*HJgS}r@@O`caHZnJDmQ}?)!e$sr$5i{FY)IQQ& zanG=N#sV#u{GpTQ1^l*IX1?4#?x?0TKRs+_`qeI${KdssRN3=F6D2Ic@v;o>7=yBP$PNtyzzO-YbI;d+-1Ar*m=#w`Ff|%^^M${ zdd;tHcG2Of8{YM}8RuK4refNW<-R&$8+ZDRx?JnsIE(nnjpg;f=f2oneE3hVDq5~eNLE$kg$ zNzi>cR`B0BPl&5ENHB9R6wD$51LoZ_MEzOajs0TQ)CEFh5Yy}PXiLmACxBB)DhD1W(&C=Lxgv6=E704=RzB^BZ6-C z27-UrDMDPQD|P3mrbI!$IR?& zzqq`YWp=vjX#GZg`lyx5Dr0FKAW>w$J|4d1Hz(ze?s}3lG5boMryZAX&%I~wus26y zyUWf%Q(c1PCv3F|CpovX9X zGg#*nf3?p4&7KOo1?*DTYr{Se_FZ<1%O9}HPQT*{dr#PPV6OsuJnYM07hs%-Rqu^JA-%{jHg?|zJZ{TkT|3Ubh!S4XSt;l~J{%74}!dBG` zp~B$&_`z2L67Rid{XwQ)!fyqCq`3Z@;lBa@i>@*uRwYBIJ}^Ii^yPrW;1~4mTGoFw z{D4O%e=7W!;D7V2z5DO=9|V6k z{KfDWz^@L!0)ADo{?%B2OZZdZ4}||Q{2k%H4S%p$|GQZKE%4{S{~G>%@aw~027W8} z)7P;6PVgUqzXSaB;U5eCDflJdqy7iOe;xj3@c#yXW%#q<*B9#_eoK*G0smb1AHd%o z{^#&-7waE>TaiB({ZG%);|D#Z}^YGuM7Vq`2Y6a`S0}~ z0RIj6U%>wW{#x+ghu>VRe{ubL!hZq&`SAY+e^dAq;ZGLpUtIra_?7U#Zz~gyRm~72 z{qo}*J!EdpqyDF}{!#E7!!LoqCj3+3-@y7$`5yH@5dNF+m%#rR{s!>BhTmDNe{uaq z{`2q$!(RZu5&W6(-xKR!Tz`>25B?{n)PFhZ-+}r+PyHuR|8H3TE%0~vr|N$c{8{kd zhyN1%uJCKXAE-zDtFrzU@SlZ$E&RFg4~4%G{Nb7@LQdw z{@=3xTj4i_zX|K#h4pXB`gi?a_3sG(75E>*e+7OI__g4Vf?o}OOOZbb{`K%*hkq3O z?ci?)zXSZXBL5}$pToZr{t57}hTjwZP4N4}&))OStQq{>;Wvc8IsDqxk30L%I-VUo zct(5jXU}M#@1JJ(3g2FJwJ_^8w$|;^na5@IT5nQ+xjR6v7qE0fdWK*owH~sk{J^e< zW{$2Idt|}b6%)0Sx;IGhSoGS`{)2M|$<&~h1~V;ddkBj0dc(t$ZMQ3(NA8svIqfOQ z>^V<<@4^QA+_^0!w$Bb3sQ6z|m&)JC1fzKwf{tB&{7fMr@o0uLxy>aZP4~Hw>%Wp* z{P3EO*KoX&@QTOlI$m$_lK-z~&p-0Y#7k{j<&O_$9-X8zJfytgiu39FZuQsP->2V) zls>wk-gAjkzebQ` z&-)i8nX@GFd&f)cbH|lRY)y3xREiexj5<;#Ogf(-L?+?%2B&D8I^fg=r?NN=$LTCi zH@=6{Uu9KmP}f6lPBpVGWwkluYJYN}hF3{xpq=Lwi>DiXlcHBxkL-WkG}#QgY0B>{=UPD_)EDhg?v zEOB~^(^i~1<79wSdvekzCv9@_``VmrAKiYdtZUTzy=Q}sZ!Sn$wjUQ99b0wjp7^Lu zmlDeOw@0IT@rNbrV&h{*sTWzr1%CRla9MgE_sLC@(l)Ch84ViFa zXok??Lw;>}*n4Mu>`z_33&-}02N~N}*P+J?Q ztuxA^QI~{hv!l>13oNqtj$`%CY1AWB!Gq1wH8hWcojyjFe=w zmyGt4(b5kuN|w)&$j6n+#VH=A1e}sYr#zf?<8)Z8JDld?WQ9{C zPFbSUd7P4QdWX|doZ8@|i<3W2aX6XjF@H318h}$VPRnp|$0?Lv8czQge(n7Ew3o_| zQyX$RLQbd2=^9SCIK9Q`B~FGot(j988f89WlUgD>=r$sou!Koom2mK}TW^pC< z4&%v5AE)a$72tFfr#3k0z70t9-zrUx>m;P%lWW!vr;a!^z{w4#%{W!SsW(nHzSc=M z`P29DI=qh$;(dHK@8f59AAisLxF7H1hj<^C@;*LY;C*~K@8joqAD_khxIXXW*Z7uj zif;*P_?EClU7FTMCgk7E5CS84pY6;0>^YuiKJYxVljoVH)R+$JePKTb`+L~;!`>No zQ`rCKH=Dnjo1f=#nLM(|qnJDj$U~hx6y%{w9u^7ISQ2@pkVhbSJSLBh zobqrg#pwf1UB$fsrvjXU#2QP+DGjGEoSx!TRon}38i!M^SYvuP-NflPoZ@gY!^sRM zGn~xC8q=r7B-B`2oND1T9j5@CdgGMx_iL;!H8z+U+e?j|pvGQMW8T7DW%DKFER_y<8lv|jkxr~MByUC#TJ(xxU9hCJ}&0CB;b&7G8Jq zXk~ouW|B$I&y)-v9V5TDKxv<=y+>ku?zDkQ-DGv?tUQ^p^kRnKa3Meb-Cf>MB6&vX zOD@T{e86QVE=_Q$hl?dH@wi<7ySY?)SCjr2Mt^LlKX%d|S@cIs`lFV(FVP>b#ok^; zf27hMZuCb5`XiYBXz=^KbdmnJO@9Q_A2#$y9{ur={(w1`_xE?q?4xEf*ag^&Vc!9J z1=xpw%iR9=w;&DPtf1JNvfhuAtl2+X^Ihi+hCVZ&lx* zrqO$^<$x1ziu}cu!ZWL=D|5u2+aD*Im$zc*MyK$+Ai|gO%kI!eGD$iA|d9FIZbJbyt8D;?y0dZa8(p$poi1#^fZysX9(xIIYCV zkDR{iGyUgIS9$L$=V`EmVU z=F#Q1XNQc^9X3b$ppRYmdMz^(cFzy*(xtGe)a`*Nlih4(nhBxz@rHoS5mh8EiP?Fi! zL4L1^k$tYCjl{N(zky0M3w3GlD>C8H^$cP4?fiJpqXCJVy7OLG1@^wMGZW3knJ9%l z1NIEqAHW{^rF*gGKuJxNS%(kiHVfT4DfDTM#w`_#^ST$jW<0VQUq;iZ^Yf%JsRpu> z_C4qM$5_9vf6C7I=yLs>$Gg;CuNN%$=^j<+B_9eOyv|SAJHD1=&hYCc-jeb1?FLeN zhk?r_w!@MQR64X(m$vO76Dn(G2=*@d@y`zhByR7_x9u`~+aAWdR4%>n2#4)BG{nIg zhp+nE&R;vY;&1|o**NIp5QoDD98Tikh=Y~rFcXJ5IOO8sg2MnD4&o4u!vS?^I~=Ou zFan2HIPAqi7YBbF;&3n%9k$`n00$Wk*U8}lIjsAVXZ62!7>mO(9A@B97l*w#1%XSO%tx#Jaq5m zxV6T z!DSXMA-LFo-#o^V$8qwQNgg%HV>@|VB#)cqu}SO;F^}owp-CQ>$it63oX8`SJgolJ z7e6kKKkjX39O7`8hC?MBR^zZ6hx%e~AHm@W4s&p5fI|uneQ;30q2}+s{j(jMa5#g5 zFAmjk*o4Dr9J+|Tjf0iw;EzK?94_KufrBOv?SAj=pY7m+!zmo5;7}fiFdQOrm?`$Q z=x`K=**Mh0;Vce=a4^Qf`)Bm_AJ@`o98TlljYAn6f^k@a!w9h-#99&^X5pZPLp%<{ zanQqI@UPPk6LC0*gC`DMaCn8oL>$(~(+`Jn*oT7`4(d3(!J#D%0uIZ6oqq7Z;Q$U3 zaWKQ-BM!51IEjNJ4pyRrHxA`+_<(}}4jDKc{8jp)c&BTse$x|8)GD_RD_hxFBlUjM zyLQztHq+mzov1d;ySnAEv|0+|G0(%jIT2H#cST8QpF1Vqnws+MPKWFruFRC!I@U2z zX|haRs^ujUdPQUi7kzm5Tg$uOXx{yf@=np6XYgvwyZ+3G$IOU@%!sdk_xp6-{ZUU8 z@4}-Q9#!$sz#|_IRXon%(G`zQqK7LUIe7HL!vYT#JfwK6#3N7i2*+bG9;@(}g-0kJ zJ@9yi$C)4E5sOD-JT&l7$Ky2~5lkhcZZk@fd`M9v&)q)E4s)J(lC)gU3cZJn-0o zM;Sb(;Xxihz+(>{26(9B(Etwx9s}`cDE5aJd8FdujK@_x?C}_n#{fL?#s2Ukk6L)F zz{3xZAUtgGxQ@qdJm`-fl*e8?8sSk64=p?dJk0UvF7^i=okR~OJhJd`z{3R(DIS8@ zA9&=69xL&fj>iH#M&glzMru!J!<2z8jo3cEXBhbj|X_n{h@Uw$3qVf6+CL;k&VX`JVxR1UaTw8V>BL@ z@fe7QA0CtO*o?;zv93gqRd~$7V<8@vc@=TgKwaD709E<5ABa#2ethX(&-9(wttaj8Y1iPElm0xbermGxDwY{X zS}XEb28L&vX)1d!jF-$w^)B(&F_UliduZ=akSwt^!RsYn$CmN!eGuQ?U+~8x&+NfF zua}NwPS^7#-XE;x+fP)ncW~Cg>nUEN@G8V>Ctm7!O~)$=FTSJvOs~;+9m8unUbXPr zfma4z`FK4rQRKHej2GXBylUcg6)zvWX5)1qF9}}CU(;(6UWf5=!AlRXc)V2blB!x} z>}XG32l4X3s{&rP@M?h9ZoFi8P5IGz{rf#2Tl=P0i)%GUjM22x=o}p=oQh9a+9~nu z^(hfSF^#hdPKUVlSQa?3tlVOKpY)`ur(+engBFGNuCA_}eeHpy&eTRFTiiFw%P)_$ z*J*1Z$qFB1pmJ=Qy0qD8nP5_x^E5Kf)8q#v`Y&T2AHZ`?G0&aL+5e`pPi$bH_@?tT zpZ3$I9-XshjA-l8ZQ7Vr@7I;x%9P92TyRf6GOUN1pQEPbp;dJh&9A%)?{Vh6(mhm5 z(*1mV$@A(Xa%J(C-A_AR8pWBow=ZYQ|ka+~`t z#oTW(<$lXJ?zb3mzh(Cy-*0))StcAWn<2CtkssgeTtH&A9BHzng4q+#>?vmL_rqTU zejjGf8fMQ7-d%R^?o#hdzW;uHFY4d?!{E<_|1JD4;WvbT4g68?bHC*__gjj&-(t%B zmT}x~G2(v9?w^0Z<@fcsgp{w-j@~#gzLk<9M4l;(p8S zpMSsQcm5&p=fPhJ{|ETH!ha0@0{FS#a+~`t#oTW(<$lXJ?zb3mzh(E&zu)pZ{~-9Y z;V*{20Dg7&74WNy^{>YITf(0Le<1vi;qM6lPru*tJO5z#ufzWg{@>uQ41YHK`eOaV z&;6ES?zfn7zhxZvTa38hvioP>Z!x>~jPv~?Ip5!$^ZoIh@Ap)HdC+OM-p2lJ>4nlx z()L55)S|lXoHMt^ic`JD6wX+inASdF^n_STt8Vcfs+1(O8a^|r?gl~ODU1lexMHi) zHz!t7&MB&7ZqIdcs|%a$BZCG>vWhJYRQx)qOSg5A38w0t@8x`dE6(@V<$S+q27hOM z$}`q7_SMPkOX2KGfBOu!cwh71`_ce%xj`;3$mIdK)FPMrjpM%qN%M z$fYT{{PcS}zw-}-|0et;@IQvX0sODwcNY6vtSgcKJp94%7r<`>|4+ZS^E>}2__N@@ z5C0|jUE$Y&pL;texVLkgdppJ4+cD+d&N%Mv7;$fBcgat`xAQx{Bm7t3e+d5-_&wm) zf}eXkC%CtBn|nLO+}knb-p)Ag?HF-yXZO#)xAW{T`&yO0o=sn$ps#)DYeV{a4SgL& zU#rpAmUZZB5BmB7eLaJ|Zb)Arq_3ON*ADcx?Nj=C27SGmzV1k0x2CV_)7R7J>%H`~ zF3)=YJnO}Y&w7#c^?05)w(`88%JarIo$LFO{i;@U*PU(wHSS-kWq8i@`YAsRuQv?? z?WXLvcpCjODS9GzTpDr5Wl*Lvcc7JI=*&6XacRsQmmKc6?BtHiVeYtG<&Mh-?zn_< z$EC+VeaB@OcU*Go4zhad>Ga~|g_KgmanmZTsXFOHRFvaXwK5-PTK2jcuSm7E4_|aT zO8I7Kw4~wL!zBl+9h5h7e8#=tSKJG(${BX{wzxJBrWtehD}9pc@add=YoB)D*0P$1`#gOsOs}T@);M}<={N=AGzh1^bw>1ar}8+p!s!f7yKyST zX$4MhI9(dr!p_yO@YR>Gc-EH@;i^ z`!^Tb#CPC4@&e8yZ_tRocm91}pCLw^M~>t?vLWY@_i!HhDCd!HaUOXP=aC~gk6ij| zok#xk_aofsm#y@RIsG!0ezB%s>d`Mg^ow9jznr9B#?UV<>6fkaOZd+{7yc=`JM1yA z_ki66c1zeR!fq@6_66*(MRr%%o5Q{t_O<_gX79(H$N#)9%F!1s>5EhJ#SZ%71AP%j zUyPzJPSY2s=!;`B3&$4p9bq1Ht)#YP5q+_dzGy>V?58i5(ihA9I1_r9Gof=h6RJU9 zETS(g>Ge{6i{x}NXF@-6CUg&HLJiq_E70rC^m+t+@ty8@{pbGmxzk&mLU0;@Qxs0~ za8lr;ij&1vdc6>*wK(bHv>T@*INio67$+Tndc6`(i*f3U(`}rBak9ZF52ufqJ;#Z6iMj7-E8h2fL>}|VV-EA=H1nhjf2%SP?-Fz0Q&+t2sY;CvB9ANVz2D(3 z;eXcH=T7Hwx{uQ`ob+*;hm!&)Rh%r+sIeTJ-r!V#Q)`@#;B*_OV4QTgADz_&r$U?- zGEZFiy`lO1-cVnDZ|K?q&WG1#Mv8w=Sb)<=oKkT54)6JpPukYw(Jjd;f2xWcm2+Hu52*ibmaV~No{rJwGK#C ztPk)~T@>VQF|?;;QepHN#oE)`!}UE!DtEWCmmCSRD7n4PK_2Ye#$M-RKlQw(zaOJEzSTlY%{8?n zTQn^^fAoabkfM!IbsYAqO?>;(a$QcY!pGb>{N1WcO6_b5iPFutq@8VBd9(Y)_6|wU zB(}5F4OE;DsY{pfO>`)~dGdHbe*F4NJR3jf*?1MbGYgkRxb(v119i5O=a$BQ=N;wK zXXEbg+}f>acJt{GsYdU01NJ=oZO_uIb9Y+}k(IeTA-u-!_&Zn5_-ah}pb|KK#uAH_ z2bLs_@7G$f(j_3g^QD@~$YJLsSEtP?X*6C>UVcTEy-wE?lB^{w4O9a7`}<)2Zk-vH zA>89PP{N8hCmzP$Jc+$|F?;iP_U3u`Ovh(4KF0Wb^EvTPeJZ`1z}~!_z1fPrc|3cw zEqilI_U2mR-Ym}l6YR}n*_#d7n`7CVL)n`@yR(zRv;IAv^}~49cjQ_BBhUJrOHSkO zp}Cx`e#hDBqh{8yD`3xu{j1K_eEO_k`;qWA#m&Cfgi*s@lymN7P*BI=f<|`FnOSqH zn(TN`d-{q->E-SRH@s_p@QgH}X}3B_RR+~lj2QVOJVu_bjFHcgYzwSXlDHsIp4_jd zePmb%N!G)K1}gn`s7ueQ$b=`;_+5uMe%GNIcc%KY7t`yyVy};(p4Q>e6Nei(B;oKk z?o9puEkc8D5g&%FRVDzqh-)sY=_V}Q|%Fg*^)Phuf&OWt> zJ>P7;qqzqMkB`Lkxu*AFVZ}RPp zN7*|#?3LKs#2KiRO;DGPxGEFQ-pCLxa5v`~cXNuGNs~93agN`Jci%SbTh;KGgvVlf z-i@AL%e${T@4jDjuj12v>(g9@C)ckqpjGH?=cbu$gDT&RDqH33kkp5h9PDa44AfuM z*+|W!-37}v{WmKnk69P~T-i)nLAFOyDwCIZ*9wqtcUxiaaJGxY)}M1JV>oBJ(VKHZ zoHLF0;hfOg?|n|_Q-?pyVlY|Qo!DUEbGXm^_oL^gR%ldgmwB#|e!Z&e)qF-qS;j8& zQD|Oo7v650k23VQhNN^?L5cU}`tt2}w%9x5+LDEgEDXt_B3ZbQ#Y(amK^FJOBJQ8a z;txGk@R&wT?V+Y*)RZ$dRfd{6K~1%%rkanXrrJ|ev#6;m)KnrhrAAFzQd5!CRQee_ z;?<>&mt;cxHO>irIj3l%>?vBx-62H8q}^`lfS2pXTvjHPw=uYDP^} zrKT!SQ@5z8veeWOYRZtB(h_URm72<-rmU$cDK(`|P06UK`P9@$v8Fidv|)-&u;8rI zSxw)@c)Go&3eKXVy48z#)jf@S!iF=nHN7!jHcdvET)o$ zoGh%#!ksK?lEpf*@Dcl4%%THX%pr>kWO0EkYLJC1S>%fSEoLE*MJ8EflEo{s*hChd zWU-els{Z3yv>=PdWT8P8Wy#_#S#%(at7K6q_O}gLj3bL1WFaLBJF;j`7R||`r`X@C z$%1ps8>i9VoLi1}rN58U-;Fp2$a%)^a}My+diujG%8|tsvWO;&0c0_dEJl-sA6XpQ zMqi8}i?(DjnJj9P#dET7A&Yrrp~Ic@^wVU)opi@svfwAi64S|o=i79iZ}U8VNMAH3 zizZ}Ikt|fn;u%>qA&U~Skc)jGW-*p5vdO}dEC!H8W3td7i?U*0h*@yC<;h|)S!^Z? zTe7ex3vaR*F3vr&h!wMFM;2aWQJpN_k%bpoY!&AoS=fkKoFfZXR^3T7ItLeMi#bYabKK!ru4-M zvf%u9#7voB&H3@`w%qHy&Y7Ouoas5r-xtjI`$84=sJ>)zk1RHl#aG|!{L3uV$-7=Y`l8u4G|M7CvO5Ll(Em!h$T4#Cak1#VN8lOBUD3f^Q6od}ByX zCyVqSHZP3Gq7hk?BMWV^NFa-zWYJNa7i6I&X5mB@S!6MiES$)~k}MSBydaB@Viuf% zUq}|V^D=}7{Jn5_Jip(W$=`aelEr(n5Z}eE$l@be>>&$7`l330@x^yL|1yiRWZ^{? zTghT1S@b50!DLZSoEKtWh*?O;Vk%i^lf@&l7(^C##Cak1g_uPWS==OxM6$R>7I|b* z^uy*wYqHQI3l*}cO%^xE!j~+Dit|G3i+*G=nk+7p#Q?IHP8L375i8CMu`l?JFoyH* z8~vFV3z-*|%nQCLCU5zn^P(bI%qEKyWZ^^>60+Dr7H^+1FJkD6(PYt`EZoSV30W*B zi)gY?cVu4drY}yC#Ra~HoRtX|KV}Fw_j2dCO+ez_YSLs~=7oP4vwI?Y?ILPwEH$-( zn)>QH&!5)Re?3PTl7&85sF6i=vbanZW5{BvI4{J$5VLS0iwv@`C5y>q;Yt=E;=B<1 zLd=41IvZz`1$Qdqxl@t&fGp;c#WZF&^MYrPzj=oLQ-|gs?(^O^ynQX(`p-@q2Kru$ z%PzMfYD!LV?6?IIW$jB#2A-Sx&S-Y4jyEe`j}@}h2Ch7|$o0m`0u7&C%>!+(47+zP@^J$ zOQ*&b@h(1$Gss14c|L5<^I<)_T*>A**%**bd-`4fTb~cV)T__ou|ZjT4y@eK+oRix z(OnncFaP?v`9bdq2~D?~Csi4HSTUmgzVMh?-pZKDk@S1~{4qoT+>W5cVysF_sLga+&ht=SWHvL~!$ zPgvE7=N1Y3b07AEiR=k!>K&5Zl-0XcP-d&yvK8XkyB<`1+^w)m*%Z0<8HL1 zD5Ame`b}D&)?2)D9CIrMh}|g{*j6_}mwxln)w= zlyonUl{~lVE#IEb@0l%XD6zerW1!-|Z-Ct&ArrPGWe8QSk5^Ihu}{eP7D z>P~%Cp}zW1Uw7Eo0@>HT_}tT9X0wxQT*;Ym~PM2}|=D#t1jng8WJgP1!S~GHZeaY_A zdRupHIvTPvZeHN(_wy}0k8eoYIJ}x-cE62X;iNAUyo@u180xJO^)`$El-gp(~!*U9M?IUV}i`SYpMAJtp$ z`MsZv3>%d5dem>Tp6*C2YP@B1i;x9Y#{>6QF12W6KOw2yx_m{y)cWC}iyJFH6vj&G zs794+30@~JZxdy&vv8m!tH8oQ#jdlu^j0I8aG-sL(4;dSYIxY;4O|8qsx61iqHPw%D&d zHp!s=TSeJ4qj2qz{>t3nW=V$DtWeTu%XxYEa;o+^TGb_4XA?Q|*oCuQjXB%ZowHr7 z^W%eC1|-^)<$Z?V0sAQauDmJF(Bkjnf0wgepV!y_m&Hc1=uZ}l$fAHOnv+E?S+ph# z53(rp|3((?$zlmv)Fg{UvM5g$i^;;CEY^!zv>^*?vY1X5s$_A4EcD5OUO(I8Us?Dz z;Wz&Ip{xmQ^5a(<1tfY_;rsS@-e=~K#Z0o;P8Q$gH~#-5iw$I9O%`Ef@qjEkk;MnH zm`E0d|Lp@6c-#~{#G2}dhaVng@wkkK1dl0rl=+v(Pp_#+YN{VK zwS<~_OidY6Q;(^se$A&Ww?SS#+e@91wsvgkt=K4hUy77xk7oGikB-)nz*7VF5O z4_VA5i>G9vK^Bo@vHQ#SS_iU7B#UISNGFRtviL|A{8mja|8Chk{tkN7%#AGm_V?{S z&*B|fEF=pZvdAL~GqQM37RSZ@CX4=J7JbQLDp}}|#VxYn`A}>B@BRJLvsh0SeaRw_ zEMAg@3Rx^6izQ_7|IjnY8?p!?3vIHvMiyW6OV#69%9Z(j;s-oSt)`}|sHwHo)LClEiJIz1O;!2wn(A{(CS+CO zJG~X(>G`|QIR5SvX2f1wnZ0%bdu=fN?N5LI?eFw0-`#tg=Wf-wx^0~oD?3NeDBgH! znqFbm3R!pb6KxGP^q+CzV^5u#H5_lwyfS_2w2`x#N6ZKsX#BQ@>oUzujr^#7fwP3V z7RQBSNo{vnDVppY5^gU&rgZQPmke!txuj9SEP45$iS|003Q5)#?%5kV@;jeJe3RVG zH_3{8lXT_1V=eC;7QA<4@!oL*w>!9fmv53^<5qFB<1E_+enC65-q%XD?VU&PZ`DkkLbv>7nZM&|7-Q znI5`C5B>0G;6L{$!6O)t@_0PLV;CNWcxba1)Mqb96Ze8%czEE^0*{4w1maO0kHlZ( zS$-9JK`-`#_3Q=l>;-P@1rF>5t-pNMk7U*-Fze4U>n||tuQBV3nDv{O_5R{Jt~l%6 z*$e*m8IP~(7hTgPwr_QB?#S=Bd4(kO$o(x53(Vhb3rsjtWkpi!b`2HvH{K0z(p;&G ziE)r@Ti&-MG1^9+T(**Zq;6UI_1UBHdsE&hJz zw*TZ^YYq9x$S0V5-jI(L`J5)7$K>;c?^=DxCyRU@kk4}R8Am>0RoSbp*{dIY&%OFj z9M*C8x20(r-4g@7M(LBmWKue&xv(jS|{FrQ&d9S zoUA0XD;pJNgYJb-+?T8DuyVL$!888NF(>}bu|WRKu{u*FS=m(#R9bFVmzHV39~%2- z2yv{lA2+__{Ku!?9slUt z{ii;IOz-z`s$||GUTu-3<;!ROvX*0Nsow9s)nZv@UXoK-s3P!gN_h3_0m_S3`I4!_ zj7vthIwlXUbH`q%^G!)s@Gt|F6*bkRe*7k4(54Kbrzd;B9`*pfNfb?>PZp5R9P*Ll z(*hqgeE!xsuQHEP`K@&+zqLM#-&*g*Z>_iGx7Kf(cDQ2Z7ubBgKEJizgWp>3!EddH z^IPj#{MLF8ervrVzqKC3Z>_ie^?qypcc0$vdhuK9{rIi*2#*ajgf_3+sT|_B*6;9J z>!bLs^@aS_dOE+gzE~Nh`i9?HSL3(VbN~Cd*85+R357Q^gthnhjhZ;#gN=9(ZcV>e zqTj{y=j+MBiY%05kxFe{qPE^pTPLWkuljxLKl!G8pKsbX_@+IXZ`!Z;rmf94?dyEg z?#?&uN59H9?Z57karArvJ+Ep#s7rPqr;7S5;#K-uT0ZrfBQqFNSM_!8Xp4QBnMrzK z;R@BeZ^El*=PNJv)RRmdysu<*BYLD9J)%vIB-10c#2(?y*FV=IU**#o9}Rre@p*$! zTYR$dDaL2B=yMOB8~B*xGXkG>_%y}G9v>^whu<#ur{{w?PiQlS-{U*MU5zHvWd4oO zH2!UsTr)HFFeB>BkUG<#&YY;Tuez)8sn4I(*#qkACUxdTojs?{s#9kv)LBF7Y!`Lr z^eg=Brp+M{I!ma8hfRCqix4!@A#rJONYUDB}A>ym>no6DQ+I&1G>u|{G$?c}$>)brzYrw1hZa~FeuZ!^uzm>Q}{4Sn-(f!*=Vvy&{JUR0#iGY=2c z4_7`uk|^nTcv{KrA`^M=rg!!_t?uw|Ww+qpp(|3CK3XIbwoJ$n$_MAi+sxq`mz-~0 zE%?Tz2K!XlPf`CG)W4MaFQ)##>I~=Sbu;@I>ut<>`?B8k#Pxo`dXHzlSFqlytoJ+C z`xfhM%z7Ua*SiJl&D~dv4XpQd*1Ia}ZNqv$WWDEo@Adxt-vboSZ_M+xG!+)f^x(AZule7Gd*nyrCK``YT#u5u$ul|E)8EwRzUax3$rj)75*Yg_fO3IWs5w(71H-L1rWR#f* zsY~t0$%N#S{03_?_Ixw;{5JG!8~U{>HSbByFJtFTLjru7|{q&`Nu2DZl)XygB$DjJ)+2|dABRFby28X}>Z)Dfz-v!FI9Z3DyP(OOq z&ob(#jdYON`u;KF%@$EV`P5HK>gPK3)0q14r+yk!Kf|dX+h3!8{^g)*Xifc$q<;2O zKMNk&9bD2?|Hhh^)XyyHCyn~CqJAQ&pBvPVCG|6a`swha>PPT4@bkC#tK{k3Hhbf? z>}o9>V=BivL>DR4U+ZS{-nTD5Q#LH%p1Y4~Zt}ZQTfaKpRQ0Z#T3l-3yXuJ4Jz{mpZjyOclpH-QwCrp6;&em+Wqs zA8B^7InT3?hi7E2H_gAN+an+>d8BHU^*c*nBp&K!K6ry^g2@)&RWpaUC#dy}Fx=SE zReM(X5U*p?2gDdh*>LIhO(Y6tmk6Z(}J0j z%1jZMDM$ZorhLj81#3d1Ed5st+~)4-S39|PX!l6}rz=xs4y)}m-cIV8fB0qpfL1lF zRC~6KEOiaP+0D7c!Zc`hL*F_@ZQK)Dory3^6qvolf8`W zZ;|~s`S0c$L*Jz*UO>vUN6!Kw$VN=sOK!Ri9*L|E6s z+7#AkSPNlYdmYy2ux^933arCneF5tZSZl&Mb_J|tX{Cn|LVEo({&YDb6`z^RRQaKSnt6)9oBtf zy&k7t+rl~(RyA06!&(p4rm#kf^(s)W@vtVsdJfi$u-<_61*|*7dVRvWE{4?&)<9Uj zV3mLCy5_=q7S{8y2Etkds~fD#V0D5uKxCZ;s|u_;V66dbM_7YkmBXqnvL?Wq1ZxVc zmtnmFYbdOPVZAG|y2Cmb)~T>=g|!Cj>cP4O{IBP=&(~E6>p56cVO;|2D_HGdErxZo zxUTJB^?|hn|!>SFd7rnKW-l{-v4Zh8~u7cG8)<>{zgH;{YL9iBn&AN(v)&*Eg zVLb)wL|9E=&4#u3-K4IYkFpQ6fz=w;sj&8h^*pQ#V7=AyOoT@o`#=t?Z(uzDYZF*2 z!fFfagWjxbKI<9|>mXQP!nzLDS+GWb%etP2^&_ljV0DMp3|57>zrvaiYkR_WrMSPsnhEP&k##VvuVDSE+4^a{{{OS!?S#TT zk~*=yr*!J!uexTk?DS&&3{(5m{0{5(1hh&GR_(dCL8~79gElq=#)by=Wt-X7~ zqtFOL>%Gi^%FF^!W`_9lv&^bYY40^uzt5$@PFsl+X;_h-2tmPtUj=|fHeo! zrLZQ$y5SdMeFE!FSgl~44yy##LRg=`TK-p9S8*0xXU~d%JN15o#4sj&inV>Z%4Eyt zXGY32yS~wN>U5(*fU$Los`ui+QjN#Ax*e}-Y}$75JKw35@3^ZyZV@3jFLKpRTpZ$c zjv7d%2Ch>B&%)RTJlO}9vJZGt1LCvGMCx@B^*WZ`n)jvqK%!;89P2@~C))cy?*44( zn8K%V$_v#t3~`PPuB)bL?6M`le*J1yMR?YaJFzSM6$7LcB)h42XGuKfmJfsDL4DYf2wA9tz(F_(I{cfbS)IC*dmxpCx>kzhs|E z91fo~e7)f_g3kuNS+>rxR<+bL)7{{E179Wh%E0#wK4bWb^L$HQ%H7o(9)m9xz6 z!?z5+f$%+tZxwvA|1Mvq_tZO+ybXJ(*E?vR^VXkFew?v-it|p%qAy4e- zs-1j2#4GLWfS8<{`4!(J2Mjro2X8vO>F_>gJr}Z`V_47itYUPjUMalY;q3;mskpvc@HU3`G`xG@O@((cyoK=g5Z5;w-eh?5;7x}& z9o`~%!{D9x-PiXSyy5VUhW84*GI)*P)q&SfTwjs53A}Oe?u0i9-VN}k!dpgMUy=75 zyt(jRfHxW5kMQn=xAk{l-$9^OoNufh8fUY@Zk*!&~)`vTt8@Or{~5#H(W_J=oDtY3H!iM);AJqYgs zcwfN#9^T4g{laS{@(S=?f;SW1SMY9v*Yh8#-&gRifY%+~czB)QodfS_v3|w%tp~3j zyocdE18*U`sqlJ;^((IL4R{mby#{X{yhZTtg}2&2Qokkeu7r02yl3HcgLe|Vkz)Od z>nrl=!+R9o6Y$=FHwRv8v3|w%6?qfjy#nt|c<;d*2XC8yq<-JPyAEDoc;CRQ3GYUD zXYZzdy;xrzc#YuQ0q+8MH^6HMuY5N3YsLEJ!g~?k3-I29cN4re@TUJG_4^v$_3%!E z_XE6I@Ggbd0p7Rp9uj%mz`G0HAb3~6+ZEo$@Xm$TO5{z2HwE6?@UDi}5#FM|TfaHX zx51;0dbVf~I4JJQRUe`Ew0h=)jSeTcMAi$xX4Ev@`tXV7O|Kr-tXz1<$*%ZgK(SU6 z)#pv@OEu06?shzOkZD_?yYJK$libyEyGF?M&$?=tVK3{&UY44}vrG!lGKoCPyn^)% ztku}d`ZFsYf6KGXpRgW+wLYwkVLb!uX;@#tng{C`Sg-#=tVdzhg4F=l1F*)xngnYc ztTDgXx*ma58&*A7kHESc)+|^z!TQ@TwyyhOZ3wFotUF;{3F}r^{eQuF-3O~KtcI}e zfi)7=L$Esjg7tb3)&{V)gf$x0`LND|)$A9n*8{NXz}g(vZLltZbp@=tzhJ$dhP4K) z9bsJu>q}T&Vg2|s>-CCbznt;C8#`4Ro@0E;!*Zcb75nwG1oNAgW;LcodKiDWW*Smi z>%9E>Ho_NEzQ=(`&iAlue272sd(F>rySm zYrflnm_C8|6|Z;&40(81`e;RcVU_z>z8S^w&8Q8tz=&B;h4-BCyytA-J;#Oj#>Id2 zElyULL|a&4Px@rhBTRRczLJDkP3c2e{0 zrCWN0M}dLs+Mv22UOnvx#GJpyx*ld-yRfe1S=UjlYdY(i4(k(GmwfHI{xNGYtjl3- z3+obCx5HWuRufoP!)hwBI>6c=)^f1w!kP!G8mz-$z5e4^pToKg*7mRl!Wsvw8LY!# z9VV`;BdnufmBCsO*7C3x!Ri9*>L0tV&tMIQwIi(aVLb|K8(62oT31|Gk<}5_KCo7W zwHmA+VYPtu+K*k=m$0sawG*ssVGV=T5!TgWy>4e+N5X0ct0k;8U^Rg?4A$r$U#~A< zT@9-#tdXz=!)gQTQL$cOH5FOyVeJKL9azm^-2`jUkFVEPu&#i$E3DzLZi3Yx)@x$D zitFkC>u^}5u-1dM8LWF@z4hbkwFK6cuy%)aC9JDq^@R16Sg+!`imW4GwScuDtZiV8 zgEisD*XtWt*TJd>>ke3pVBG|3T{G%+E9*KOR$Ev{!fF8PFj#G1HTd!M`Wn{tuo}R+ z8`gWUhQVqA>uOj{Mb;s(j)Ju*td6ic!m9R9*6TUumM?S5l)1H*xs}1(aRB77Wk=;6EGPg{aTYk(f)$|CDwXCZbb1TMf zKupDg{E9;kFt<4KutJ-;<-*)L%G@$zZdGM&^5{F>*1KV~h4bvdlet&_|x1#>H- z8muO;GPg9lh^!8oi!ITbf-&R!3Nw zTPDmcKjxO|M_4UjWp4e*b$tozDp;9YCz)Fc=2nIytgFR(-Ojp>gw+mK=9UR_i?cE= zs$sB3|M+@+0qbg5nOi5BTMFh@h7GJo#d?Lci^ysZD|5?)x#h>)Qr!e=(2uXzSFo;t zmAQ42xusxkW%$E-O{`aOT^(Q@4l8rZgt_I%+)~{O>#ZMOuO+aqgq68 ztZM&cy^8OKQ!N@hHFZpGk~nGbmI=mQtLp}J&z*EEp;d}YxTSy7^Zv5u&CN2lRezda zrBYVFh$mjEF^ZDXt*({373e%R4cck$TgQBvd&0E%2t%LluG+CiAzqrT2E;g(%dZ$X zi@(wD;BWK>{EfaA?}o#9H~fvcb&|Q&jJegFx%I{8wf-;bNLbBb9R#ZkRxeoX5?iH2 zM_BrAy9jGDSgXNm0_!SRJHa}yO1FaCC#FFyd%?O1);w6%U>yqUO<3>3dJ5JS-;1?~ zza={is6EAdX)PISas$cwJqZw)ESgxmS){w(WuGDjPeyt z@@=}>1>^;cSKVFvTlSix1>P#WT;RWcxE@~Qla zQ5u|YG3R{CGuRHZep;-bGwXMd^)sO!8&Z!xe5*LXcNIgvtNhRN^F@7O>jPVJ*eqZh z3!8W2kr~@HO!BLBwhM4v2%8FQFJS8i+e6smH@GKE&5kgfTG>@w-UqhZu&sh^By100 zi-xT>Y<92}d^1~r*lb`kfNdyj{l#^ItvqZtomjUJ*vi6I3R?@<62x_b?J8^?tFUe| z*zUr%0X7+I@!xCR{{7vgMxBK|_lxe#8s=O>Gpo#<{QFjwRnA6Mt~oCIX~y(wj?Vi= zmG;y7khNRo#K1t+u}7*3X`{6vMw)XZan_itR5Sa+$H%9S%BM~mCX#c1 zUf1zUSJzpeUHK1g*TduedcI$;&+9D38P+7MMp#SJ z$<-I@4_I|r^RXsk-HUY?)}SxQ)fnrCSiit(gLN?0e%%l3Z4JU&i&cfS5vwQG8CdP5 zuUHMls>C`O>qD#+SeIb6lD=Zi7waXg?O1nVU4!)q)=AP=tV_hY4(oobR#;83y0a#o zXHELQ_pf_f{jt_#)ndJjH3F*%R)6WM) zi8T$YxAawV9f~y>>jA8GSoJ>ZeY_3?V7-V{gY{ReVOYmuO_07yu40YEYKQd>))K59 zSe4RO$yKb4SX;4f$GQw_F4kD-tK=%yzhV6n>tw9YlWV`u&>!!a?O3z6vSxjbb()bz zrI>AM@J0b^)+N>~bJi>+9Z1LOgLUIk^B#3xm1}$xYt~z=Sw*Z_hv`5!*5g>GhWHoT z+~=-%8f#WKYgQ#|RxKU4i1jwsJgiYz^}8$nzq5$P*1cG#W3|KTjWrpo7uH&=Dy%8K zSktj;uts4`#X1IS6;@lU7Ufv&v07p^#OjW<8fy*KdaT+zSUQk(YZg{xtZJ-rlB-y!V70rN#Mia!y3)BUbm6L`e3qZv$@fZNMg;qhx$v z;j_hOf^QMNKmBb$HS5#+Q7_GU*`z!$_0*%}D+wbvZtWTnEc9B31;tmyYm^ z`)c2m=$&RSW*mz&o-r?FPR3Hh&4a6Y?sYGAo%hOX&YkCOth&45msq3D@SM_Q-mOpa zZvEN~|6-RE4e{Q^>xB0@-g>-&(qFu8;#K3# z#T$-Sh4&!dDNm%oOYk1WYm3(h?`pgOc&|%;CEv|>jqrBheHHHmyw~wMNPi_?U%c1w z=HLy+dk=34-he04-x$2d@Y>;h6K^u!nRri2e4#L}scM9I$@cxE(r1V$v6))cu zxbRJZlRw@Lyj$_Ecq08>inkoEJ>EpTL-8KM`(h^jbtd1B@S5V);e8tKX1s&&cFv=} zBgl6S-v8kJJKi|F8F(Y{W?=ZX@@Q%WJ z5w9CwH}SUP{Rr<;yeW7S@E&>+{T;=;2tAbfrq1fpg73pBN>q8JE8al78oZf!1MzC`X5tN!e8t;^w}|&0 zN8Wd=dEXhyc}LtIeY@G0cP!pOyc)c};tj()4sU|=cLDi!;Z@V5vzm-jDt z1MsTx*5UQTtH5g}{gr&hdmXO=Zx`M&yh(VCq`#7{cti2(@aE%9#Jd;oFzK)4E8d5A z^*&qd%ljPOg?PL1w&9(Q_eZ=PBj|4g`98v{!|RCm4BqeXZp5p;LVs<@*A(wOyq$Q9 z@eaoujJM^V^w)%ZXX5R|`!&zovpjF>c-{(m-c0}KE@@xhXYoeh?ZMlE*A4Htcx&;h z@TQ2j4evC(r|?$c-GR3XuPt5+@rL8=z`F;p5#HH&Yw*_N)r$8wyvOkB^&3rJ-cjr? zLd%$M*37rJm~X+%x3T2=4*BjP-?f>{H)H18J?5J=^X*6GTQT{bBwr2rX7Iiq!2Uwb z{v!Pi=G)KAx3lE?3;BLWzGv_b+?Ww+XK`-fMVM@s7b; zh1V7@f5(9NhF67mJzjUb)p%?0*5lQRci`q5-eA1Vc+cRC!TUbmgLo|^-!*u(c&+fZ z;Vr;xgSQZ`PV&vf8-!PjcMIP6cyHs~k9U;hTa9<%<{RD+ysdao;e8kHQoLL7MoPZo zy@A&fZwuZWypDKN@s>)y;tj;B!JCOU5U&PrCf*>)SG)r^-|zZ#cw6yq$GZ$~F5Xz_?>X}QH{QPH+ZNV`nU!wQ z=PKPYE}RT;C_86!v&$#%m7xs@&v`cQNow3W=u35^=d-)CyPvc0yjrv`-e+HDL4(<# zl`T*xxm{;d0}c81tEX48C(Z zaqY;*quq}#Tz;#yd&klB!H!jo9^xnxl}srrt`d^R~N19nLkD0 z@@nR(>XuKdYPOZ6ub=9X*_IO@_};%_vX3U`Zd+>@Tp5s+uX^Ruxv~Wl=Z<%G`e*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx z&$0bRUH-DTA3kox-!JhTCppBaWjjZibK>|<81WwpjNfO(e>O1wlScfbf$^U*;=dOd z|0hQL-v!3+H{yR982_*le>yP!(?I3H~C=a%?B;1cWud0si0 z;7Pv!*83RU@50^fdj%&xk8k$4ZN%ewyesv-kNeIf?hi%hK%n1Lpx=Q&zX544)uop8 zqfXT~73g;$&`UpBC;sA1}E;#?McT_}zi=|7^rR z92kGXh<`jV{$Gsvrvu~v)rimGf|Ad-wwqeG&uPDZGvY4}jDN<6e{Ep=zZ>!Wf$={z z;&%te|A!I(slfP?M*MdI<9}wv|48DwzASV<@N*;nKLg``VZ@(>i)mdS7UF-_h`%&2 z{=ba)>jUF|X~c)_Z~5M@+Wdb*Apft7__qee|F;p}6Bz$LM*Lu4{BuTpDKP$dBmSPi z_*Gb_b(}4%8tWx5_?~@!V&^xF7BlZ^J$jGVznU?Pd54 z{P_EPOvC?H25{d<+#9ja^zSJfnRGmS0l@Q}fA2Uy-@iwkpYPub&d>Mn`R3>Q_jdF1 z{d>6i`RiT1=jZ$PWb^Y|Ss%2o^`1=1<9#;KQ!j`y%_yiep1e{wcdkS@3*Y?R@VC{>phe8{>XYS zWWDdP-s4#BXRP-w*83FeJ&E=H!+Nh_y|1v|Ls;(@toH`i`vB{n-@3oI?&YofcAl53 z?Gvo~aqHgOy3e-msjd5G>t5NqFShQ1t@~Z;-qyO0weDH1`%~*))VlAq?lGwIaQ8?E!8bM=oqMeF zjCD@2&L7sf!a6Tl=KwdX@r&d99E@|fVZu1S0_o&Fi)rq&^nQ+?AA17N$(THsy8lA9 z+lO6Ai~Ya=EIw}s*vRd}N-o`)?{l_kY0;Or(I5Cx8!X~3@cE6A`}`;Nb)(|Pa{7%M zyzM?dJL&yn-rX);;l0b3x6z+?t6|CO^DDe%@nbptN(S#$K0Z6;{bSxeE?wb$zb|j2 zKk=@HC9lt~@J@>#%jsu*&ufj3&+hg9G4FntuJAtO%iHKrylY^|>+>tT`^1ms^xI|B zx5LM04|xBW_n=ExcpvfQZS*JJT3GV>{0i@k__3URcNn~#K0bTM`^UUTT)M*hm@jXm zKk=@GC9lt~@E#UFmeX(2;JwbrXJ@^C%=@HES9qWB+>tTN5zlj^t;pG zUFYMo$Gm^c`?O0}c%Sm+ZS*JJt6<6N^DDf^#gFCmdxyb$y^qhH@cuFHvo2lXea4r! z(Vuv~1xsF^U*SC|ek`ZoI}P6TK0bTO`^UUFcb{D0ea@G+(Vuv)h9$4hukd2p;r!Fa za{Aq6@cy=s&o+4fn0L8LS9q5R9{q{;8sYW%72am?V>$iqHh4D(Z%c}IWs3Ja!J|L% zUMsvlzrwph{8&!Edko&!32$qPcTI|SmEh5zcsB^I&#&;diyzDBcdx^z4)=5er1FAM&a#B@oq}--XwVRC*B)`*XLJwH;Nz2>Gv*!_a@=x z`^Drs*q7qnEO_)M-p#`6^DDet#gFCmd$+-Ri|`JlcuOhXqTtb=cyAP5pI_k}6+f2K z?>>Wfqwr3qcz36G?-D%v6YovJ>+>tTW$|M<{jBdF-736ODc(IP-uDY0{fWnoKj#gf zU*Vk=KbF()extsdgm-U>cYliaA;F_R@!lf5KEJ}dPyAR;zxNotZx!AHDc*xA-bVzF z{=|Em@cR4;?~M4doPO^$c)Nx7P>T0RiuWlXyACC*G%T5xnP8csVpQ|E@|O%Gv%1;(XOc z|0Et7d=6fZ;5B&vxIg^&Tq=0W1dsknyoMCsR>51I!t>u-so=E;9{q{eD{S5x?v_*iPJwGN0#sy|+9i_ms1!8Slw!eNJc_qB%bGb3S_R@t^al=b118&#<*d&lSiUDhwHVJ~EXOm9{3uQl+;1z+}wG5$uZv((S>5d7;5 z{F30yzAVO{7JQb6;J?zqpAh_msd3Bq64cM~5d3up{w~3neO9dhsNl0a1pikuzjD3f zI(G+tW89dZ)uTsN5yzGWA{uEM&>eBy0&>sn)8*Ui@$^_he>$1B@CIgjh- z4OpJ6k2PL582EPzetNv>=M-2Tg8y0r{~dyFtrIK{!N0-4f2ZJYN{y!zG7qvm1i#C` zzf16C9~qCQSy_iz9)kaR1OINpe-bv?zb6Ert2@NY8k%YvUv^>3HpvpfXaBb(vFf(jsM~r_#kujVLg-hKEHym zpA$;szoZ7fKd;W$FXz};zkc2*jeox2=b(@MEA@}$9wG0~-%U&3ivpbIR`~qJs9pT0 zU@<=U*tnnf;Wx%lf&Mt(MZfRU9n6Pc{Ji~v&u?Wp^FIJv4gUdO9^+5@xB~r&|DaEI zFh7ZpYPtR;5Ax%4A(E_To^j0A{?*T2rQ7Gi!1nnd@T%$mL(dcI-$Q=15B;hCk9^vl zJE^~!Y4pP%`}|gxGylV|)$l*!%VYeH`nUr9iT{vKcQ8MRukAw~w!HoOriS>U1X|@mjsehkOcQBv&mobg@`J~Tp-6#3`VXNUk?8{^P zPa{oy`V*h^L%M_cNqlV|@>FXd#wFWlozXs*1h&rs;8oNASudQ zjrO?|K+ro}YySBP@T%!Q=y_uOUqzbjLx1Z3nooBypZb;O>ps7g<;?#EY&HBxeR+(} zb&2@&C;m5mx`X*id~F}{upQFv!#L(^`{?H~)6dt-0^8?Xz^kVJ+ny)Ze;8@%r$6<7 z$ERDFPyOG8t%h^Nm&Z7dBTXFo6G!VyylVBOf3m)M58AraudiEWd}x~cjKscV`GrVD zx!i4V&n&{f!@*LFn`T+xhjd<${OB)Oj`L>=EdKsVe#P0`r!31)xpdP~mP1c`{=A6( z*w?^dTvz_SJjVyu{}r$(-$FmtML)=XzZAcyo9&v^E&Gvqx@X}>-CVz@dwohb z%agiaM*jtLU*^lV&`)($(@j4u$NwyE#V_hUi#E~T7c`JBJ0$((`Wflyui!`BD0aFx zrgXDBsrz#JLpS}ajgy!A@-6hEF7-=~6Z$1}zXB=h#tDqp^ZehcZQUsCs#NKrTF=jguJ(ET^?OX?m?>1KIScRT$T(B0{dt9{o z?>c?W>21g#Mk&`1`X}|i66t2>-3nl?A4`$u_gv8&@NdW;0x<7WKIhU+OVfUSo^8mV zsNvTlcrA+-##58j~agdd1}a?tl_s_@LJJc3$=?MFAaIN*Ft_9 z1#fQ+zi#oHt>L#-{2H)vN%FFvljCU}QfFg4F*nLAF4Ha%+y4J(hlPJc?3magvAtr8 zVqq{&7neCcQNQv5KkmvSqy1yKOupP(8XTb=?iq5nuRk-g2x-Q#o__pREc0_xU)sJU zJ}u+Eth%;KyjsQ)kK=|qo)R77cMccGKTw5#G5l-s_Y1!~Pr>}l{J1TT_3s!Y|9Hu_ zM^B-5oasVuUq3Bm_0ghP`m(UB2ivojuI-{rp5JmG;#hy}pHeDakTi@NR|BwYrwyWW zd}64FI*Jn|*Iqq?!}zCK^5uzAX0)FE+9A4Rz9?(|Saz@IxJz_^-{~0Y9p6d*3~WS; zA3hJY+GRlaWgaT)IMs2(_elDDCCAGn!aq84hwGQIo(Wg~3~W98gTjAE@U-6AFREu; zbo3|muzuPvPf2`XaM-oS#CX3OCmGm!^t@g4$UGYNN4zvwB79*!$M4?5pzzwD6y=@F~#rt_pecUoQ$KOJv6Z*G(P zSy=My7QT^*l00WUL#)RLCP-Si!PXw{L!w{St9X7=eZ=8>atfBbj|uN&&j{{T(2u+P z{9MFD?f8c?gY`V`!@@7?U|Gkt&Oh3o+HRUZ2t9oMCdF#|>GPrOsQsY%Y7yqlvw6an#Rar8`I6_{_l8^Sq2mePq2Z7m7Pwe{j699o3RQxlZwWDNM`z=O>A8@$h>nb@K3gC*&EZlc!K855H$Zo~b%{`s(E2 z_e#ifAdsiGP;~p346KfyMTpmaQOkZMu3CPNgz4E(p5llb2Ys25y2b&&H$t8hfjr}9 zSRZ~*ggo+|yIgBrkDp!et>mbimIFDN&!Lzy^9)6F5JO=`K28Va~eN)Nzi}r(B z?FY5|z6SAU1M#tscKg!a@q$~YhBJjhoj1AfP#(3)qqZ!3Cj$BU`gb|L;+P)?imO&} z)$;ootWPs6*V$VA4}7=okBrUTUn{;^#aGMkUy!Fgkf$&*w9T#S*vQi=u3E)ad!OKM z6#Og-lIs@zl4%l7zr38@di4?&)j!jtazbo%z~ z+uV5#)8@|P{!#m1t@giKeoult&A33|bCbqRr*T~N`#RWq#sR-SL7w(N9$o)eXNqI> zj01kJf;{}3PDp(wM}~L#aXq}Np86=yXdq7k3#Q|NJrkbkK%Q~qK12Iot@giKt~!}?Bg2_-)_Z8&&%?u+9U~mC7*{SX&MyqO_ClD; zN4Ze?&jg%;ex{(?JYSy8CZ_1(rBN% zuZYh#JzAfU30IHI$OL)Pe%k{53W0uoHT?8mCA~hXF5RC2#+}QzrPiN>pTB>o;g{GS zx%RPmEAwcN>mMxNbJkbot+el$>xUh(Urm>9>sh$GP@}xhDA)GW`%R2Hx4tNU+v* z@_FrnjZlaV-7l2}N8NpB4}`G2dvTHC;?w!tjC@>FRQ1Qj!kl~vB|eTDemp6@s?g{_1o-8kRWyWB%SJ1IzI_js=YQtJ~Y%yntn+hj?5UIS=c4sh0WNU#R8xj+p*2 zK1dR>-$*}4#Nj;e-%lWA@b|3=95=3TJ?Gcko`Tc869B~N2Y|x^ zKr)>{zMF3LRPon|cb1*RC+ACf+^nI7S$AFBmdc-(=ew_8z*`M>` z3-z^Q96#>sKX#_=Fz(s|-EfBd{jS~74fTyfSr4?m@!ZQk!WcK=ujd{t`>tQH%sJij zo|hE9uEVwbV|)Z~T@x~;=I_+GCys*< z@{a*<&ivh5bnVoO3)wk1xInGyj|)%ctG}*`(9z5IM7y|overDyze~?~R{qX?a-Mbl zP%QL29mRNG=J(_MV}9LA&#T&xm`-=N`89n$lJg7adA<+ly%qVf3^>crpD+!hcB`Z@ z|G50)V)v!eGm<`>%0HS+>wB`~`zqe&@%sc^&sxs*ww7OOpkJr>DWBr%dn$cj*Nggc z{Y}1a;=0K1Pf%Bv;Pi=~`s@2GP3wCswjbXg@bj&V@0R%6C4RfuZDMzdg~_nJCM9k_ z;*>{qPl$iN5jS~;aZ_g)w^!oQ`+|7s`y|?aKDH+i53y$Nc&~zV=F{+uQv5*xNSl*6*R7qO;rD{BtrU?>Q%X{JwEyXxzo^ c8t6ki=gT?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRGyi)BMU9;23F45AGnV((S`mZ3Xnl(D|o&?j)>kFU?mzr&8E_dF0m8or55Xw z3tgsUSNa@??zBlzohifMdK315wPw6PD~$-i7Ft2TZS?ZMo2a$GcM%)FuVQAvABDWY ze@ZF9ztkeYKj{^~KayL(KV;{?KZw4-KTtKmKj1RIUFk`{EldM7_#TF~CC2zH3(TPy zR@gIe?C>t2n4ty$aRWs_qDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;pRQx*0^=4z$LjFl14S!-+H^Olb6!v!l|1cUQx`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Wba9ZcE8df5BOweYOaE1?nK7Xo|i3oO81l+Yz= z*>V1q@}nSm>rO&}L=* zPY^g>9H`Z5$$%b|(m~-Vs*lmp#fwtn%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBT zR9Gj_>F@^Nlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK z%eSq>)-Mvs?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Da zs(;pj#A|Cp$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V( zxVGAqF)in4BO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8 zvijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZX zYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIs zG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);j zNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7g zOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeI zJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru z=h)+!-KEsARlZ0f1LrLSMve|h%v@s7*!h&;@v~WgqbK7)2M@NuPF_90-TVo_`?*8F zw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkbkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt67n406 b/bsp2/Designflow/sim/post/work/@_opt/vopt67n406 new file mode 100644 index 0000000000000000000000000000000000000000..5e166ef786fe5e31bd360dbb5f5301ac0857f402 GIT binary patch literal 3512 zcmc(gYdF+-8^?86Wtf^YAz6oVs<0H2L-UX1oXU)KO8;au*%)Dz1aom|` zS~-;3!fNo#B+p7wsX;v~>1*Yo0eo)^2<^Wr=6_WSwV_x<}n8Vyla zOxrV}s0Kgxgq5eVHd_Xhb_emNTtYL;+tM2~`gS!%&Hd3R6rXs;2yn>ru&7Va+!$HhoT~Mzbss)Idlv7OaP#@`mt4~svlAKmCGsr6nft^ zupmlrAI`;+=0~sHU-M9j7ft+5)#P#S-1l|r2ih<5uIV}SeZALq&LhrJEEOBuj4F}L ze|ExklcqTeHTBq5b0TWgbw^F}UZA^9IY`=!q0zPnNYM8Pu|H3vhI#>_I4}{#_A;Va zsvwFn15rphh=Ma3_Q*aWQ_q7|GupeW4DReSQEnaA@a;SsH8K_{%sGEt;qU@ytZ4f~ zQFuve_qHbm^K`bP_R3F8eB^sq)G+(z)5h~xJI7q@ZCQ}}UA}@|_b=(w5lePmaSIyI zmdqSWo~m@aDbjspO;Q*#WndYr(nZ#)n?xUrCJ9>g4pi%y{ljxdB6)RJGH^ScgBX&x%K<2k&9v%BEo_rnrawPGiAg8*#CC;@>C(K~)G$PE^Bbu0qq z!Z?8_JQtWz2cmHL5e3T??GmjJZD_3|aQ0U#eASUK``$}yi%0nH)y7($H#_>BDp?jj zRXRfngTFd`#WiY9qb|K4I2_#5%=p9i#i=h&#eVwA@`t9{m>aMduJxIT;CjxrqIG8*0d#MHE&s^t6Va z`p^^l@?f{{W6%?Wo?X!Mr+@7^3O&sZL_QYSn79ts4js@Ix~lgd_v$r&%Cs4!_luH8&lXp3t}Rl= z47|e-p|=3hKDr@!jRbs7gU@>KsRBM-!6!JI%z~ai(DTiI^Qp14En)C(JaN(Pm_})g zp-Y_o33ILTr!U0E4zHM=wd%c`G+?gv+cAry8{C#x>OYLsReF&|w|{LCAPZ__1+LLA zbrfZjn+PmM3oVb=ArHl6{NFg)%rzKYV2!vb4v1DqKyq7W#J75nnCmYfftn3sN9cl2 zbMR>aJyoISA?TS3KE1)`ZSaZy-RGB{uUg`X1b;7STfBalyWu^uD|dSr-WYG~O=SPk z8&H4l%pZVxYPoduHf<(bbJdP;SFId`cJ$0rQ=jjJaLKJ zzDM$1mcC1l7ORfvpA`oE)L*!%TfNQ9?xL!ewF>K~iCVc~gtD?Kzo$;*e8 zub^1kIPiqrl=g|y;&F=CA>EG4Mn7?~1!WkWZG^b>+KA@h1uk2_Re*$yt- z!R0vg%>G|ou3sok7;f852zHK@Bqwd8FG)IS%R4eqj9>A%&(W5)V##l0sJ0*+kt2?T}Xa$0P%@8 z5Hrvg38oezc7;D`(3C*GC(y44`pLj!5qKoSto>ou12F3wh=Ob(UqJ#P&5%Aw+dnf3 z|Ctab<7Mww{Lm+F=G54i;dV8LSUtADB7_$0t0JYJArKc?^t?CORn|_utH0z6bvG(ftC7WQ5 zs>KLKUtNN@3l$K}EegpWRwDl3GQ>O;1MdSL-iH*J!D(Rh0LCF;ECfb(V1!-9;oaZ> zFJ=Wj|1w4r>Hfo)J=?;3OjU?OnttvXtg#n^6)@HX=@faf6a`~W;G;HicDB{TcNGxNYH%rnx_vvY^es$q|^~JA-%q9eenN>2H2GK0 zNY=PLY|jCY;P=rhm9C4T9gUSm??}Fc^Y{o=b8sqSc|ejt%sPCbt5mJ*AUQ%Um63NS zju)FN#xF%Haa2W)7@aVQxYSxilPyH@Y=6Y3OW=;4z#Tn#0;Y9Be1xnqIHjTvOgDh(E--lk(_LU10;U3Bas#Hu|1%SO zI}zL?@`6aNdtIq+)|a5bYtfcd`l9RQN(4O(AF8I-51je+Cr%1W=OpjQb6O!dhy3LVKoCv(D)&*4J!vMA)z^QgCI z&sNt&?@g4d-fLwhpA57$YLyQ}S$=z5X#9qHkS!Bncyv~x<*w6J#wl$k%fwQR5Sw>V z$IOL7S3Qk`4M!BQe($10%XRW9u=hx^9(*Hk4)PXOw3w_FLJy zb1eEnzfd&GnWuacbMiGSFFF?9*PIizczGGMpr(Z+Nz<*n<575}RhppQIeH_GGv?ac zPKs6O-&Zo-^uT{+n|}mR*^t)etMbZf#P3JDoN>mYsN$?(q2m5~3SP$_V%?sf%6V5? z`-&&*7e8gU78vi>lZaw^?xjF; z7_QLf@>V4W;YVuwhfv^vi_V(hh?Yw_c^%8UVr2(Dlw@aCkm!3=aqb+OL#?aAWVY5Q z+e#nN*OMBa$1c6QBP`Y3b<;#gyIIBX^RMUeQWM>Am+ICb;nrH0KJ_;d?ueb&fmX)K yZY7jtOD>b>%`0&3{TP|eulLucjW1SpSNS8f!!I*^c-(nsR&is0$dX=yj_#j68uvp0 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt69d4h0 b/bsp2/Designflow/sim/post/work/@_opt/vopt69d4h0 new file mode 100644 index 0000000000000000000000000000000000000000..9e241a35f74eadfcf4505362269951d771e6a0ac GIT binary patch literal 13693 zcmd5@JCht&6>dqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt6b86vt b/bsp2/Designflow/sim/post/work/@_opt/vopt6b86vt new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAs*1zat8INTWzS2YV$+QG;c7!RQ zta!@+8L`Gdaw7e}qy(zK2}vZtQ_>i~=j1`akBQU3UsKn>|K|R{zm1K+KU+({KlbLp zKdha=KNw5EKXAstKcM`;KLDw~KR_bDKfnyYKfpo1U8%3YEzHj~_#Pj&CC17u3(UnB zR@nP+?C`#zn4u;BaRUWFqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;pRQx*0^=4z$LjFl14S!-+H^Olb6!v!l|1cUQx`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Wba9ZcE8df5BOweYOaE1?nK7Xo|i3oO81l+Yz= z*>V1q@}nSm>rO&}L=* zPY^g>9H`Z5$$%b|(m~;9tBS#p#Eu4F$z5N7()-8(s{4?E#bf3|%ZEV3)=$XD?cdAL zoB!Y7cfaTC%U1bb6eU+`*_s=a@^$NY>*CdbL|!gH$@~t$(z$Das(;pj#A|Cp$(LHh z(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V(xVGAqF)in4BO18T zNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV4m?1w zn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70 z@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;d zVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|Z zSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE z`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWh zOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA z&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f z1LrLSMve$b%v@y9*!h^?@v}jIqbJiq2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_ ze_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+ zpc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt6mwswb b/bsp2/Designflow/sim/post/work/@_opt/vopt6mwswb new file mode 100644 index 0000000000000000000000000000000000000000..c0554acc8aa115bf4542734b0f51fe61050b98ad GIT binary patch literal 169 zcmV;a09OA2aLNwPEVXQq%`CNS@0q2RG`?q+@;$TEkU)kAB+(dvV*mhZ27F}!pI6Xl zDX=I20^<)KGek239W_it1pPEDT7#ekeF+)lLBag5#(+p|?Y5 zyq8FHu8EbH00fB4gRCTO&B)}V3t?nB-kh*^2U}rM%RKT^1|@xx2*Y496)EN&|0=!- Xgq)m*3&tCe!vwa1elsPy?x6Gt{f;^5he38-{(BfIlq7I`<(l_KIe74uJ`Aho8jtPgbg8WsfBd>_-w|qJ$qeB;IGg;%b1zoeSZWlm6h9d%FmmH zrZ5NOfL)(;d9qo%pjjFWf7^ri^I@~|1MJhVYgMl~z<$nIlTwC@c(_^w!&k5=l3&34 zZPGq1*gb1xZzw6~J1cgNbe_t?Wxd3D6^J;i>=*?49eBp+#6fqMIa_=W#A~TCKp5e# z93Kdwny#cGKhnZB*^?GEyl`cv{D|R&7nV%awbe@0JgssAT|NEaCHlT;3u3H0(~=pr zJbahKSUU9sXZ4Mo2NL&l+7T=JDtRo5qr-AsM(~;s+!sR%pClPI#~@Z5aIG-JC{U3n zLY@tDQeU?a67LolgHX3eM8eA$grU3<8K~2I5xN238aoji#7PQ52wEw~4?R_uCc(o$ zlR?y}`3=6Lx$X#uQm-48V${6{;ll5h<)Uql zif6@N!|E!)?5j^Ic*ID7670tmu#Wo8GCDz$P5}Q)kfIa7{}QC>1n|EEES&)UmmotY zfd3`P{*QoJ%dC;J69**P`qU50BUl1!z`UX=z7jIzB?Uxa2mwqJ4Lr~PR_cl3ra_1_ zc&jJvymJ?yE-np_!wcSO%8z{6bc7@3-X)Oh-prSkmhSPS?{e|TS`Lw$t` zU^T4`hm_Liv?=<y zD*9z_E=I96cbHy?=6;bVSge|X8B(y8rBj9Gqx2v=EZB5Wq!KJR0=f$(mMCIYG?ZKE z?ar_D#S((jJhBsmOE7)Ubgs~kP`~BMu&oLgLj8bgN-;Lh^$P7|eY2!SnW5mLhpq#k zCr-IwvSue(K*zmqEnQvnXU}ZPEEY)x)dmcTCg@wF zdPj^vv)v>5p;nx<$-`L8ZI9I?di%pl`#+GzRewQ2o*|W=TYHH9L#C$wlDC-6QhY1J zaf4y^jE#_Q$e-JxYo!XEZMefRY6k*C-q?Fjuad$?mQo_F{0=LAV}@HUV;cl1e9hy8 z#(C_;c|5{?7xnaobT17DfM%7%0XisqjNmFb<{U=gz~L_A@#$C3DqXGo(Mrqdq{=+&pv{ci{<&z4rM7OrE}p}P(z>>e>wqBE`H4nn z$l%YpMoo;yTaH5d$4hM6pbCo%BYeBwQCz9w0$Z1nnmb%s-dg;9>FKJD+>S}j9@>3L zgXP9U%Gh0;lR3Xq+(L$pVjVU_UtdDl6n8CSL+uYi1-m^Nt?5xL!YQeKQA=1eLm^*X zE3TL^Th;ScIQP1Pc5y}TpQ%c@SBEy;p7F4exSas?Z`e7Zag5$D&IF*is%^l}r+ z)yY@20#bH=xa&2$t&Rk=QfRsRsZNcd0WQ=~&Lb~VsK z_rph=!o&Q50S*_Ji<^CcJ1mkB5`)_z(mJy}FK+5|Ot)4_-T$5Vy!5H$mg7#f=4+PQ zLc@|vk3;8l4wb#bq#}Fgt}E3_@+n|s8Dyd4tsbrv|Ak!)@?6t4{ff1#>j>fN!eP`yBYD~mwtb!aE(08AY$jXilOk0(T5UjMmvngvf{$KpPYKw zj2YoqN6OIjO}}^0X(0}Su7XG;JcHpL(L_?)atm0>A!tXtLt~`hjwKz*?~tz$L8Lwd z-j(C2ktwgE<0IhF>8lniA9INGjO!$L6Hzrxffy7T@2^Wmo0(X6^#a#|M8cZ-??C9& zjs%-L6#Bq?A;4G!FHC-~cLvL+HUgYtmy_N2JAYnSJU_^BM<>)PnXwyw z$yy$aClGp-Ep>c&sleX=71o@YGBne1$3M2v|7_3xKU?PGj(=hpTC)lsc)1BC63oVQM6bhH3qVr zA+1ygu*px610SoakbA?)m`Nt+b$>mbwDberzZpy`*R833~1uX zskRLV(V4gyBXQ!9g7{;ZiFsn0F%j%z7-V!j0l*jE?(X0LTDT2%#`BF}8SU;04@v?b zmDf#H#C*%5N2cj}cRN-cB3}IA7G8<3Y1p31GS?0ar`^~Mvw!D6*C=kGPM20P{rq#X z48KH8KjlE4tiBH+&YCB;nUC|7_3w zKU;ca|JVxtXM3K?GEfmg!_@30c+g!RJt)c6%eIEc*b|^)to}F|18o9v-q=V?ob2ng z%!`?C!)+2zeB+ZD&?L3>7rY}iHTlM@CXxHwn%BvLJ=DSk1bVJ*=$e(;3*GscWvlox zy;aqZDHp!1vvq>k4OFRrffwtvA1|oK8BqiLH6>hoo_bi@8V6jX7#Fx5^8w#XgHt8v zK}!ZASUme!w-ALN3w;e76>Jbl*>GezTrZyO*yq)w2Uf`72tz)DkMq*ADemrWczN5S^X_E`>;W(*LqVgzb?ATpo$v6n zFK#PPwk+$5c-zyNHXbOCnY+L|X~TD84dWEUSppVRqJMf_O1Mh-3kI~KC`a^T{2>9= zC+%SLPLS+&Jss~|CSzWP-z7&&^1FH(ptQpKPb%O{beW^1Wx93raEEH0o|6i`!YgYA zhiz5gy}W)KywI{YYRkyikSuIkzMYz3oT2KP{Wa!zTmZtdWy_rzq!!nG9?!x0>hTeQ$;5ZFt{3 zgZ=Um>&I(!T4Q{iKw>sPManbzJLv8h`rdTSohGgrLtnagd*Hc}3ts!Sh-0&XSKkEa8fg2=;;R!H_+lexyAw?dp4b&n_P9^$E ztnw72`>YysnRWN$MQ?cz=@stX@VYYktb@Yy)nE_FBXITT2arK!zz8|!D=$^Tr5DKi zz<4a(7jD^oJPhE-u)$?;A5@+k(}x#$fR6HG#Pp(o%36mbGRUuA=+59x#;sH!q(!YD z9$z2-D%<}cF`xw))U)A+vpO?KcP{yP;HXgs|NA;c6JObfObD<^i{}J(dY0vj%v-0@ zodF9rdQ=G1)Muy{-*yxBCh+i<7#yhDc}m^_rKLUj& z#?n|;PAZxDQbMXO`_&y9MV=CyzDb@Mj_im$nf&-$5Ppy% znZ7>^U#~h-Rz3OdWlz{_uW_tKo!3eQ7<}^=&!eFydfFMm1+hi98&JWeD^*kthr|A( z{2~Zy2izxYZ&%-vTYo-CEq*s6K#761x0Y4A(Vu5!R4%B!&b(kDj6v{VaDCg&<6=lc zWx5QsQ|ImU50q}tAAQFkVzM9Fhu8zJh9#ur@%_b9uTnLL6j+bUmZ$ToX6XCM|JCC2 z>9q=Bz(#xn?+;Bzg;Wx;b9?~tz|MYpX-KE({p=dwynp2Wg#ER*Y7FRHt>9!9uyS3 z_2l%ufJbVX>RrH%ZnLU2#guF<;c8TKts3pv@>6CZorvp4b_N(n|};aoCv7976<*|!A>uW#l2PID>n#WOeW7(AVNOF32I zOw@0<{|%30^R7$MdBkUw3g+o-8n_$Z~N3dhb?DQS!3c2fZ?CJ zAgUB>#IxW4HSq0dsB2D=t?X+OtmLChah8zU9F2O&n|;BgEiXgrt;gWn+B3I@d%5n` z2ZJ-EKW%|OOyXy#+(y2qK<@dqAVq(iQkIgnkx{S#^!yBsdyLT>a`~3u)K@na@+ICE zF)V5mYS*=&DS@+p;PsY@YbO{$B6a+gUwrcvr6SNyT)OTSB6n6Tt3_cXp7BeE?Wf*x za&pcRRLXn(uYIXQ#|7IZ-l@Kj7cE*$I)!#Bbi5~^F~=JV+r~IQM?65Y@k>QK`kZvV zWDY(vxWziSBSTvslU*Ny&(NYy9%WnbsW7ADrn^u`bE)OUXD{+KU*>Kmd`vfaxY;#f zdZes6m6~lu271ijS9E9MxcE}CNT0z}-XHbkRraYK(Dgt13`W0W5kHZk;{H}(=S-?58}dW&8@QlcDh)B?$#(#V-#geZeZCvoo|o7`qS6XW1k)# z6+Burx{K;Zp-VT%OsdGj6`ChUE2rzg(DG(d zC7-dI*VgWorbL__C`-?BlLn|P11PL|x&3+eQT7Di)nAwIi77cfoVZzN2P>ua$HyvY zHxHIJ|KJios?M}1gU(D&7dhAXey^Z4LI3^E<6 zvg+WzJW$)z8mA&O3wnb6PKkp$S@h3~x4ZtW&t~nblkJ+cDbtseiE6wprw`)IINpC`G*2tmRqzUGIPIT2Eg6aW89G%O9V0-`DlMfA@IO;ja8( z#fsAptX^EytI#oO+bEXW>uDj-1p0`I#o^ zif+HJh;dZ#a32*D{LM17Usw2f;oiFSV_`9!g&Fi`mrH_h2GMz#I5 zKP&OjNq*q^5GuX-AW=KAnXs9~hut;<>B?x$gZ#Pc9(Day%@@PpQK|R@MnF|uv6QUU@#gE1yH%vCtJWGyTN6?^Y{i=xv$cOkHT$>y)uMRPT7--=mLbF5VnO zU(lDVIy2Lsr9#i)Z%{R&-m`uam%Lsp9%)BY`pEt^tF7*BmE0PA);Dg7yor0~t^SqM z=iJTj(=;g-|87{dD*drK@aHisKdd;c-B{6Ab``eKu=&Dv9JbKGx^HibT$t;vLj1K|=FgE+t9wX!b{CVVj5ow#VkCLx?<{U1 zhig}kh~}xBxGiZbzC=4!J%Z!k5hgr;<|<2Z?_9p)ozYa2kuMSG3kXBqlSsW1Nc<6s z__;nNDc$8H?D8j)J1GfS^Fr4CM%MI^HRMZ3L)JDTYmLa-P2}Z2nzcx{7FYz?ik)<| zy2sbt&hYJ;rjc}h0e83JTv3MOaCQ)X7BlQ%2`?yav1C$dI*nI9j3fCPB&7Y7%krK- zh99eIOR*K(h`=$5Fq&9IDu^NRjP=A%+D%g8lF=dC(IFS$ngW*EJh-O9wHK~Ca2dg+50{$EH4-j+xL(4wA1-sa zis7n)t6k>02$vRIws1X!s}-&Yxc0zxZXmj`yxiX2$!(kWEdOPCWqLUg4IlCn_!kSe zQe#SQ5V!idr2p#(apg05t*KcN@9S$LiHgAu+D4iQr;DR0JRiehDSDjcJ04V{nrs+E zP}4^k9}W=d1y>S3st{+f9%r!xXYm2f;w#wD*OE=JwZI0?z@Eit1sg290(m~ew;RhP z+o#_Te)-NMbAR$Ox_OAQYEhOOt73Lj)4|Yo=IM|Xygz+)Byo$*(0u3Z;3Vp#2?G`6 zSm{<=zFOrqirqSv2u=hLhIc%X#)gu3_7UQD*ove$H(}orv2VCn@akYIfbBVKMX=q+ zzV!~&zR6~qkKL*uSFh0q-ENW3Gx}|%8h4*x;F^3<5E*8zX9rpGnPIdfUeNV8$)w00 z8qYSDBY8GjNb6n4^1d!b&LWYsP~#G0YL~|>Mc?J)9TVT}cpZ2oAu8R6>!6-2YV>kvOW(d?u65bO8)2j&={wv?yXkU( zW6%*Sq@5A7y#H9jkG(yUV$WMf1Ud$Up`c5oRvIL}67yM#`5gBI`RYM$oI!8k&MJ|h zH*nwO1P}Balg;PFE4^&LC>znTT>5Spc4RKpIHRw{{X>1X=(blTd&^rp=3HlaUbRV@ zgn3jz`?SfNBkAoE(r&;t6D}3FHZCQCE;?a|rxB@=I(owyuCH(%f@>9A-Ed{Yr34qc z@#oCvMR4`Pbsa8UxJJTd4_5VYd4uF-ILA{P9>YqL730uS!PN(u02Uhd#-F-bY)8JZ<-+{+P`NRvFj~u znX|`@;61r^MdG+WlGe0(3rFzakx-m z)A-)BgzFw$AL057uAy)-uxGW%*lWyY{M~?lZw5ImR$tUOKe04oMqsIha@xBxXVsGp z?JWPMPp|Ws!FHOwz48f?(b87h=C}i#z8xXL%u^Rw`T@)MY8MPBw#p15*f5DO-mfOo zb2TJhA&>Z3SK%x^!&z*BD;2H@aJj+N4i`E^C_j*Q#eaWC!?gsi2XN)V)eV;zE;YD@ z!F77Dxnx~$?ik{4GI`rp!`(+?H8M6axEj5UBJTBMcIgUD<`{)kUc9hbQhRR#?Nfdj zM^b!DNUOGEdB5x6$DTe$vG@29!S$nrF~b*qC_uJ6kuAJ=#I3*?e1g5I!d~@buLRhu zpVEi2o}Y#9m6jpf6=PS$UGXg3F)HfODIfdi0m%)07u}ocd>WZE*KgtFFP$n0O>CxR z2PSh&)2)O?YWXaE&py6d)Om_+znBObbO>XgF_ErZLgMp>qYpRZ3>M=I7Q*!%uB&j( zfol}bpbyUAfPSyYzQYXQlEM`S*ABQ6;Nrq1f{QJ4>A_V9S1?>R;WB`W2A4Nnu`-tf zT#axYfXfQ5O1PwO#lht(bA5yBGF%35{gk=<@9(f>KFjn9wo8jk;^PXR`uTqEOi9cV zh4GBpk4x7v7fh7nb)<ykFA!vHOQmZ1VsjD9R#?$|*$J zx}C(Iyh!}EYr$m;*F(5k;W_{p?in;O_RAFerHjs3Jm6iZk1o_f7b>6&tHqu77$X1if((yk;x*DVHnhnqkkqS3A#3i`VLWMxt%oOdIm-G-u^! zOX2-%d8`N1didqTa;T=YDnw9fK^QMy66v?&BtF}P_$~QPQcRALu-P_v=Xi^Z@sTku zGWI7jhIeUUATo9e8FL?qH@6>iImm&Ij7>+zMj&H$$XGox){l%;B4eh=SikHn_90`L z$QT_N8;gv2AY%uSF&kv;sVrj*Wb6wv7Kx0xA!B&IX~+9bqU`-99%&_N}VP7!AZh5fltQwel>aM)PzsF;S z^s@;huTaqZ_8;>nj~=KMphFv6Aa0Mm5`L z`duj;wexmD&yl69L-z0ZjxVFBrv2VTU>;5w#k+~LDx1W&D-*w@wIpTtcf5~YL2t}P zzLbzJPxJ=5g0m96QGwp5L2oqvr+ecclO;?vm^d&AVcH5)I7~V)<-s)N1x%hWoq}l< zOw};e!_)>-I!wcUJ(C4Y<6!cE=>$yPFdc_!C`{q9eUh2jFeSmX7N!?4J%XtOrj}oG zpX^{#gUJ)7D3}~zdIeJ>Oske-pPs?w4%11PTw!_xQw~gqFzNql`_x#o+RK@)GOA5= zgH^)T&ez;<(LT|dA+GFHy%=WogTHusicchW-v2=}PEF?+44*Ef*^Fm-Ki$NS?QEgg zXH$t_=mf$rcO%lGHr(ISaep6%du|Z!xw)8Cc%R{|LzZygrQyCiuZw^$F>Yw^q9?4+8GT_%Dp z%7pRVi%4^lN>v+$#!julS04MH>1+8zxnl>|w(1=N$ZgK5+Mn|6$UE$r^i908>9q zH(**0lPgSyF!c^5Q(wKU*O(9|i)5>*TEUfn?96QaD}#P=!B|xvyg=Z#y)*Tj~_yhqtmHR_|5eW7QQS0&;P$+2mZ}|ESznU zm$~lhtwP;A;(FcRs9RT@nW3mOO=G>&B+dQ&p*fL;P;mB;PtDNvC+Dqh_A&TZ^vN-08@IbOe2D9A%TuUxlMh$->8*-A zalO4)e^+hKW4DFcjs0`-BkoRlYOye+ASSpc>a3;jv!PECm#l8)E&o^aCB3qZ6NWc@ z*y+N*nA}W_2|1bmTb?HQJ~KW<`SZRkXTvJPc8w;D#9cAmz*HYm+VCUZVT%kYR#|t5 z(YTda^lk^ku^Fuz?ixqA5nLyc#o12p+@NZz!A>s3$lz)gJ?gOGSbH}O_l7sz2!5o< zVug!m?xkqm=#1!*8zz?6cltkW5Q%&FLzM4QtDNU#oQv>zQJBCTseZ}n^z9Jngu-o8M_sU#1$U(y%qHC;#!r&#O23j#8epRoPqASb}e1e5dRzs>RfV#2V`o_AJ%hmw{u7ZN0*+EEaZEl+C&P_T`iz!tf9) z*4kSo&7u`|*1nkV&ew{BC03A$fi3?PoEDpRMU`DRjwN^$(6Z>pBmD#KN2*z=yz;Er Ta4hQWdvp7k)O!u?qwD`0+-jAQ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt72nn7g b/bsp2/Designflow/sim/post/work/@_opt/vopt72nn7g new file mode 100644 index 0000000000000000000000000000000000000000..9da7f0f399bff707368a10317d18dd2f0ef993ff GIT binary patch literal 40216 zcmc(of1H(9edq5ThKCt05|Usf29qI!h9o327&2j$+NlzQib^9|YKhD+gUmDoOkjv; zqh1?ov7(|)TWn)HZE2HgRBF>Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt768t70 b/bsp2/Designflow/sim/post/work/@_opt/vopt768t70 new file mode 100644 index 0000000000000000000000000000000000000000..be5647361f099161b5abd1a3af590ebe33f7d9cb GIT binary patch literal 1568 zcmV+*2H*M9?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRG z-H$|JR>yf(BMU3+23Eq^AGnt>(S^<;3XmajL?akep14dvn)#^Ux-hA-a_Wd49;XL< zd^pXxxG>5vF(Kq2A_ABI1VlhUNXXH^(9qDp;oT`9D{EzI~e_#Sb#CB_yk3(S2O zR@jSh?C@Ton4#tXaRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%v$)_wq*76(dCIsQNyX5w$O9V=5_-%~(-j1|=teObQ1; z*;G})^6BEhrIVekZx0RLT$aCTNc_r_(6~t}rgVXthBfuCh~6h2QT^R~;=1X$gf*ow z0%u7enOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8`NHBM;J)*m0@?SH$3 zo4+JTcRxRo`hR+%Nqjm2)41|P<}s|uj3WckSqDzw^G?LQf9@}nSm>rO&}L5e)}4wh?R#7pnz!R{bT5yfs2)aXe+arjV6fVIG}Rim zD0bj15v++AVi-tpgmBoPNTKLyzjxdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_R zi1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+! z-KEsARlZ0f1LrLSMve?f%v@m5*!hs)@v~8YqbKt~2M?COPF{V$-TaBb`?*8Fw=?&^ zFDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B z1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt7eqzer b/bsp2/Designflow/sim/post/work/@_opt/vopt7eqzer new file mode 100644 index 0000000000000000000000000000000000000000..9e241a35f74eadfcf4505362269951d771e6a0ac GIT binary patch literal 13693 zcmd5@JCht&6>dqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt7gbymz b/bsp2/Designflow/sim/post/work/@_opt/vopt7gbymz new file mode 100644 index 0000000000000000000000000000000000000000..9cf6ca24416712b3c4c248472f651fb4654672e2 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx z&$0bRUH-DTA3kox-!JhTCppBaWjjZibK>|<81WwpjNfO(e>O1wlScfbf$^U*;=dOd z|0hQL-v!3+H{yR982_*le>yP!(?I3H~C=a%?B;1cWud0si0 z;7Pv!*83RU@50^fdj%&xk8k$4ZN%ewyesv-kNeIf?hi%hK%n1Lpx=Q&zX544)uop8 zqfXT~73g;$&`UpBC;sA1}E;#?McT_}zi=|7^rR z92kGXh<`jV{$Gsvrvu~v)rimGf|Ad-wwqeG&uPDZGvY4}jDN<6e{Ep=zZ>!Wf$={z z;&%te|A!I(slfP?M*MdI<9}wv|48DwzASV<@N*;nKLg``VZ@(>iCWi(h4`N};x7%1 z|1Tr{`oQ>K8u6j~TfXwrCLIr70PuY0-#gCF_wNzs=ll19^Yi_CzWMq7z1{qL z{~m6B{(4vM`T71m+5G%g)(7oty(g3Mc;C&>VQ}BgeD1sX`G;1#Cu2U_v)=b%FGm#L zhg}W}y!(j4pI6{N`h|4~=pOkZKt@mKo`z`CemGwT#de3CNKeFBn zS?_zS_c+%38SA}^^*+UVPh!3Qu-%D>XKES%?x9;z)dwJ`=o#*9h z`vmKL+`9L+?z63XYU}>lx>vUDi>-TL>wed|x3%tLt$SAM{?xh`weCBudra$o(z;AyH7qHIz z);ZofpIhf{>pX3pldbcwb*{C}tJXQxI$v7nM(aFio%5{on{_U;&Rfxa{5`{^IGHMvwOXN%)8&EE4&Z+@;3St?;2S0`uqy-KJjBY{dO7k z?eOv01KvO8J?PRE-bZ|S8~us57M8p|zrs5sek`Zo9R_cwkIx?R{xROR{0i@J@nbpt-eK@w@8h#4ynoF5tV>sTpYi2w z^e5hL!IIbKS9ni~AIs_YPJ?&7kI$a+{xNUP-6vOgpY!Ey^e5h{VaeU9O-D~i632$eLcSDMI zo#4@*c&`^;pI_l!FMceiU)kWjQFyyjyqi+IHwhm7iT4KK_4yUvjpE00`n}8Gy-9fa zelfWY_N90?3m*N6ceC*N{0i?@@nbpt-fi&SBD@1B-cpLUD0uWI-W!G2=T~?~#gFCm zyU*a=D7=#?-rXtQy9AH^#CwzQ`uqxSS^QW|KkNHPw+io6ig!B~<;oY0!-JjxpNbu-SytfFi&#&z?ZTZQ*PiuYiO_YuLP zKk?osygt9eJ0pH9r{8-G-frPNl;S;-;(bi;=uf=g5ni8P;XN#VET`W^u)L@Hfbi>n z6WMNG`Mb2(SI+vlc%DA$(v^Ao3Bjd5aUU@7eoye8OyND1!aF8-^e5hf2Hs}DJD$RO zCWUuG@aRuG>w7r23Es&R-l-Jcvw}zeBwmj3iTCMS1n;>NUJeb-zpK)Ra<)H$IA68V zKZ%D1pM%#Ucn#h^?hpSxmkQo8!J~f?uOWrERq&Rl@cj2yDtIk|M}Ok=N}P2sD&>5f zo&J}?@|@3n#4kG!wiEZF%;z~@?=4TsJ>@KF#(VNwpA*`KXpT?)oR6M+{O5cs`nyFx z{i(m;<2uC8ea?dY#(b3L*SY?x+y}2fKE9t2e;?r2qO;!nT=qBbbNfBdeK784JAUJy zHp}wleeUf@b9}U+<9Odj=j8a>!E`q2*zNop<9c-AfXVh_IqS0smhXvBEyirBkc z=ZNtvC$HiGw(4{DDg%E^@MWEi@%8g@EKk-8(_2;iYYqHy!IynvjK2}}@zf16CpB3vrD)=l9!T*)auUzlA z&fS6E7&qqU_@q2uH_wMZ*G=YwZ`lQ~tFUe|pLko{y4IN2b@MeweJ0_}@yd2j&g1%d z1C}T2V~y7h2L7FbpB}IJIR%!7;J?jcX~@NY2i-zoT;Qse1_%!4cs!S6Eg z?-G33N5vYw{<{TV&J8jC zsNl0a1pjpgJ~yJAA7tMj3x8H zj)vtS_&Q&6p5^@N41T=_|3QEJIT)sacPsbR+>hfi%WS|r{UWa~f3(T>JF@Y!XbGx4 zXMJWa_u>AW`GWkjzFqRiB~EZ9zajq^{CQsVwjsY+{Jm|+7bWd&L;h24U1(aWpUY8x z{aj9qw4>zHxO&k38spWUAN}8x<+(x1CBGs68DwyOq@V9eJ*Xpg+!c(eL|o2lL?GruWuzfxVylVRY(DTIl_mCg$Lx1Z3BcFEX zPU>%F8vXFcKEIXa%>OWKHT;kG@)-Z4KCVE2;y>im9n4SSYx|Ig?XU>fh(n9n7cxWlW=eKI!vY_euVK*lPF>`|=q7 z(?}Da{={efknUi95?|YgJk{EVamn^sXSB~Hf$ehuc-8cO*7L;rKZi8+)1Uf3@6#R3 zr+(^Y`#j?FTUpNhFTz&CpYi1}{+E1Qf&Rq*vQKv~KZ#Es&Y$FAJ1l}tjvvM`U&qgS zqkS#~5cCe$nt#3mylVOndY)MSSCMA>(4YFh=F=U_r+(%6y3cQAIrF~(TMhqFUmoLg zT_QgHiT_QX?qGfrU)zT~Y=?CFFpl}!KKi-L^z-$y!1nnT@T%$mw&#iUA4Zz`=}-ON z@#$9PQ~!5itKl5++4n-ADZSqBe5@8ej!p( zE_WN;GmG%=aIh5PrdihaA)OZ_Kl%%nHbUNK{wxT zPb{)*&`tkp{TI-^%9n4U-$J_Sm(+bFQq&FlIl3=4bpH+flDbDzx>=so-A?}nbhr8PE%aMR zH~o^jS0hE;9Iwf7veMA~1pKJG6PE2=PU&WOQuo#LUqJU&zI+S)7Sc_>r0z9HQTGdJ z6XW|bL-+UKN8RgTse3x5o8?K}9Y`;v`x;-qg?r0(mGqHgXR&W3JI99(yPKns0*uHobGQOfm${z<*BM7kMzw*r{!$5N#EJy$db{2TIz0L=T8&$)Ed(zKtSXB+Y- zYWTGXUdy6|@m7dmcMZQ*@tdmQ$LG=2qlTY(7iVLYi@`rysu+%lw?wm$q+- zPs_M3tFG-5uaPh{B+psT5bH6536d6Wu(ikgkm#57DxRNIA8|OJoPs6qW5PSxGlIJn^y4l+ zKNm4kJO1I!U_HCRC%J~OcOJTD_sA6c)q2;}K46y5$M1FPd_5#qI9)UscRtCrs*VR|-{r#RxqL0@L1u5rNcjgaR=AkX+2 z)`#B{A&;S54HUM2l3^7cTIe(b9LeK zdmh9e$ou)IRy*lBn!$Qn&-mi^I><8>&g0fc@T{(fhu`BM&w)Um!Qowg-&FGbqWz#& z`#~+guR;9TKz!_@-M+MUyx`WU;Y?vr=S}WAlt-=ds4WZMi9o)-{#}l*IOfNJ;;L0# zwfsH?>(dO&b+%Uj1K+LtBV%*-*NU%J@zwJC7vyOVYCfOo_To3Q6r#{Lv8pukZ0Vu&(Qu?tNpK5>oXI` zQyd(2*MOYig~p@qS5%L-r;fvPxz<9FqSWr^htzXdsmI*%c{;JtN%o7SL-#{k-}yRL*U&My zd|rECBNU=T_e-V0QFkBO10ihhURh^XwFJRf|As*L7&cnK1s%1X+7i#&vBc^|h z50ZrJH`31$aX8QW_mhjuzrejS(~7T_|Gp7<sC0|D4p% z@9H^>iH7wAy=za$wNZzUx;kb58fX z=Ou-&>u@dq7#{%~S36~2h2d2Fd5Y&%|NP)S*1Zpm=YP?OVdnY?`;5Yz`8##)iQ^!I z{9^!|Gk^CMT|4#SLUs-gE>NrbaXh}bo4Sl(JpSDtToT_@6vOgmA`YJoM&A> z6bt=MM={=)`TcnRm|wTj^Q!hErqdm6eodc`Up6|nXZ$*AA1J3gECrrbr-70C! zKQ8~c*nO$=jHC~z@{cCd`kpNLzKZvG{5}EKvzD{Ht>xDm=+`NJ%BQ&co=V@>^`gF9 zf0OTSv2OYV6Z%9PwWwryn8t?zBUMQ8iR zde`!KyRyCQcG`*FF~9zguf3A#_BOvh_O^|?^?RtN=`7(~V_{rUSMD)89+8@IaKTD?n7gU%p761SM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt7jcmwv b/bsp2/Designflow/sim/post/work/@_opt/vopt7jcmwv new file mode 100644 index 0000000000000000000000000000000000000000..32f538c4cca6447d35b1a1e722f539764e655e1c GIT binary patch literal 10110 zcma)>2Ut{B8ir?>BSi#316DwRpi&fT6cLanH58*FIx47O2?nCEgTaPqY$U;k_^3fx zO)OwUAs7@j(U3(&1q@l@TChY#SBN6&p8w9n{PQzsGkc#$#`Dd4-!u2jHho_wQKfTsO#=al` zJmE}%jRa8eCtWD8i2#g0*`ESi2mr6H>D@0$xU zfM6Xi5qur-2DolFLlQELPfsv^FNOmTzkXxtj% zjvXMXB9uvMgp?^n)r9H@Q4NXAS|i-i9HLr6b%N-35_N{?UnF|3HA0sKkJulaf`gSd zxg~!X%M;bf53>Icfa8%ic^Cfhk+$LQ00q1T)f;5Um%b}EusfOxJy-}_8kk4|RENSx z@^1WxQgauX0u6n+y*uo4SCi0#r39(kq5{-Ic@GXu(%eO+Km$m7g0x|taV_LL0mIY1K$Km+`S3n#m<1~PQu08JzS4ShHeL3Uv; z$dDhLERG}+fCfVj;Q4|NWUP_!{dhzZ2|$B(J6RJkIC29`BmfO?wK0Y4!u}#dxY~#! zi3Felt~O><;3XNt)y7nkFaXdX0A3M(05?n{2?GEP0-%}juG|ny5(WSo1i)*;59Edz zk}v?!pbaPk}LD2y~ zgP`agh(XX}0MH-+Oo&0yV*t<~08EKN&|?75AOJcNgLaP@0BFz#6z@pU2lJ(7mP86g zr-=lh)Q$sfir$47py)JVT?qg^rildL6oMZ2BnCl`0YC#kN~y=HOE*4{F)Lz#9@9hu z&>%QrTN4BHm?jK{XmH{{n;zQ`gP_L%ph56y>_rTMngW0Z0nnQm1SJCi4FbTH7_>{q z06>E_py;dy;B-3#-kyF$3N56G1fX;%2mJWEjAv-(NDRO+2+pPepg{l(B?du{0YHNQ7)A`*J!Sx)K^sswr~xSYaCn19 z5h)a%CK7;BLD4;k0g6r&217Imitb4aP;{Cw7@|Q?bZ=r16deFGnDQy0=o;4*KEwb; zrwM~08U#i6B?c%uO&AQ(ASk*YF$j7L02)T{DJIenygxArdJF&>1i%<#5cC)TGzfqI zVi5Eg05k}IKw{ADF#`Y%+JM4M4M5S|_(HL8B88&UL;_Gck^@0>aU+NUicS*-Lo~Q^ zAeb5=i2;gE69z*xjN(8DHH;?)LD2y~10Trn1`!{~*aTvLqSHhI&>$#!6frn*15FqV z(IB`LFp(GpMF#*49(;;ux(?CAAm}jwXb=FCh(XX}0MH-+CKH38#{i%~04Rw;yT=Rw zG-v~gP&EKW_k=fi29ZM1X(9nA6%>6YF+kC2!eEF7LDA!g0g6r&217Imiav)J1Vsk` z4ITItP;`wm`dngwqSJ)I5Dn%WXmj)JV`6}!(}ckg4T7R45QCuT0HA>n+J0Q;5rd$| z0H8qtd_oL@9s_^|0gy-xf*u2a1_6*n4B90l{4tWAhXClP2L6Wu?uSd8+?ziHY8&7dwY15# zPjw1BO#Ug*^Whv@!Y9H%HGFwQ6A8fOgq!bpJ02!tONqgcM>LTDG-&@8P%PvI6##FD zKS)0((lI=ui3Fe&mIc2!-~$;;C58YV(L@5!V9J3u*KsR}A&>(!VK794;7^`a#1O;{ zaQ&di|EV?x02;IxSCOm+U}=K+ZfvclR1X@1-?e~N0@PBuU}?aBT@B&;jHX3O)0{#s z0M-#eaO42s=OvU+;Y+7TCkE})nF0?Jy80m;*k;XrWMMq=qEZ0VM=k=k5JNaOcvAxa zXb=Efi6Md;e5e5cGzfqUVt_A%@GBxdkTC$zAOJFnL3`~O0B8_YWE(MPpD7psXb_wb zw-ZAof88`;FhqkP2iknku!9)Ja)2fbhG^ic-1f%TPGb0o12gD*0RS2Vz%F8N;f6L} zBLIK~0gy!u+L~2442I-`D_v1_6*w4CA>Wp1uYE&>#Tz5W@s+m_-c$ zpg|i@e4z&5L>0vidi!_;o9a{pKU)^Z_A)Cetts_33$8pj?oHWz_XjsT_H18z;_^6~ zoR|BLm|xrI6LEBK^5ZH;m-%lWr`|hMH#+`#ha87nlZQ_&Z?u1U#=BQeyz{lZ^HZu) z#(E#{S3lEu>G`t#S#OJOUA>%`5q|Wus&VS49@f|#esTT0c}eQV_QU7g+3IzAm-|z# zXHR9{$Q^Ye@rvJF$6gNVXP=h)75PtB>`j^K))I5Zc7B-KwEqMUQ)idLh?yvH0uPE`lo3OrSk)26_UQJl_oR;Td1+jmY zuKBFTCYKPe8TxknD#~J`I_FRPZl%&|jqAw!dxqBOfBU%L=IIg>3*+=PmlmY$-duEK zfBQ2H-EL;zHF#oKoNOGrW1sh(E3X<4dRFE-H6XMuiwSg%6vnzo;c3?(YSowJv-&zg+(98hbqqAvrXx{Db}WNkyU_a z6+66PQGKR~89 zqUKLZ`0Z6lefQ;WHhj}()A|KVe*Q9|-p|8q`kz%wlgg%^GIlWj&#>XypH=_yW%bbumD4XT{rSp^fs0Ru%9gF} z?052teV;#CF8)#zoV#Uq?fLYJPqtVbOU^30(`j;ExA^7TtX)Tq-+1-a{!iQ4lozk9 z-&K_4^y>8Cn->)qX4M|}#y2T5ZT>BL{lK~lhHI7M3KM@@cW!3SCjCRsPPLwMcRcib zcy+O*!O_3EzZ|o9+xN2q=iRtJ#z|Rbk4wkR?v%2@4H5ppKNS* z@l|wWK%JXcWc;FjZwLI^srJp3{>NT!&pov_sWvLU%X;@GS))txFSYExA7W`x5->d~ zX~Xt6;U^zC_wjjFx;QKJ@_@`A?v&*?7!-~uduHW+x?-Mj^4gT91JCQ4pCw&)d7M?d zGV%VtpNx)QFN(8I+caeSgUo>XygVcMG|!A19olEx|C02f(UT2hr_GMuT4QP_R zA1>Kk;T{y{l2(|kOm&^}c(-46-}B1#m67LKRUACH0@sgJ(t}94rbS75=If*ZV)70U-+_FZA% zAF-k!b|O3eZPVk`DSkG)cl=tE(AZ~_f&ZU-%H!T2*021~rTCYX@-LLVGdmVK@0;o# Z{BX|_<+Hhg=CKwwRYT%>Mf4tE`d|00&KLjy literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt7qyrjn b/bsp2/Designflow/sim/post/work/@_opt/vopt7qyrjn new file mode 100644 index 0000000000000000000000000000000000000000..26de24fcbb5aaec66aa190b1ba16bf91350f2995 GIT binary patch literal 1452 zcmV;d1ylOd?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRG+zr8U54t z-48}#R>yo+BMTwy23FSDAGp6U(S@EO3Xp+jDRnn8{`a6w9vvcnLslvW_`hU$l!_#< zXl1b=k%}?^VpSDDgz9?0NR@@a(P~@3xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%vbT$Z0|Nc@VF(74GfrgVXthBfuCh~6h2QT^R~;=1X$gf*ow0%u7e znOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8`NHBM;J)*m0@?SH$3o4+JT zcRxRo`hR+%Nqjj1)41_O<}s?sj3W=wSqE0&^G@{a!?oUC1mhTL`4)tfvTf98WYw*Z zOE}bE*D(5k?jeE!$wB~uE(Xm)9ZVU-df0o&weYOaE1?kJ7Xo^KZ$!L6pU5Do5Ttj& zzvw}Gi)1XeRuzpb?P^mPnw9-q%G%7E)D2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv z@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBm zbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B z*BW9uydl?)mbOom+@)gpZMFp{RA&}^cq zFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLS zMve?f%v@m5*!hs)@v~8YqbKt~2M?COPF{V$-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<( zzxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo z-=ipPN~rRh)DQ!7>0wW(l7qz%WkwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD4i~Hf@M*RH}&vBANoLaVXlsPAk|AZ0$p}_e4M*L?3<3DM{KN=YSDI@-Sf$@K0 z#Q$Aj`~f5Wr-AVg8}X+D<3DZ0pNj#b=az-~|1(DXWr6Y2MtnzL{AZ2$*9FFZ&WL|& zVEpHe`0au5j~MZ#!1zBk;_nNL|AG{%-^0 zzhcB64~#!(#Q!uf{;Nj(bAj<+Gvd#}hBoB+|GE)>eqcP$|JBy-D+1&H%!pqX82=3; z{+7V_M~(O`f$@(S@xy`f-!$Uy4vhbn5&uA7{I`wxj|9daHsT)+jQ@@iKNA@L=SKXa zf$`rp;*SKzA2H&;9~l3*5q~T&eil1xKIgx{eu4Y|7@p*i+$894X&*NRG_kG-VCUJi#ItK#%_5}J(2l@?2d#Nt9tRHo% zzCD3{(}8~4Ps*n@&D)Ir(|MbEEWdW~(|a_vdY`8CXuv@<1aBk8VTj*Upda@IA$Ugv z{Z^u(I9{8jJ}bqp68mbgFm9awQqGrMGCmp4@yT;G&)1jYH}P(iI1C>br{{GkkI(D8 zFXwrk`8==F|BF#>3-gKhCVB2aM;tyj&i)AXtF}Ido{#_Dhz~s8kOE6{H$aNjx_=rkie*Aqtrs01p z1GsNQb7yYEKGVOaY-G~$@C5+RcmBQO{Cxi&aelskFE~Hnzvr8u@88?a&-d@)=I5_> z^`4*a-;>SHZ)JVZzSes(DUbKv{2T`N-OT5{o1cGZ#d|X5vpws5ANF!Y@qO6ku)w>I zDExT^{zHD2<;nM9{`}CG*Y{%dWBExr$JTleX1(9C-dkDkqpbH#*83yty^!_3$9j)r zy`Qn(yIAj2toJ0=`w#2AhV{O}dJkc}U$EXASnmU@dw%Qw-ny5!?%R1@uC`CG?#Hcr zZ|gqWx~I18pRIdk>%Q2!2e$5at$SPRKGwQtweC-?dr|AY)4IpB?kBB#NAo_>=wIvp zkMo?H-|%~(dx}-QJf1K4`7F+t%;$W`_2=bSf0$1^>psG|XRz)Mta}0Lyl%3~6L#^|rb#AoIgVs6EI=@-xGV8o$oujPtk#+8|&NJ3I#X5gj z=L+k*V4VZpu*NTr^K&rH-G&L{{0gL#`z)rp&(ixjetzr;JSSuFTU*w>AUAIs@CZt%AI`0S+j zk9l{ybcOdWU*1N4;;n`yug|aWmc@_d^eY*>SNZtt9`7IX?se%3@B4ju8~urQH7t33 zeuZ~R{8&yu>w8{ne0+AF_m6oGxO9d0Az$7`f8t#OOJ1K};oUEOET`WtqrM$JK0EFG zW8Q-+>tTGvdc``rTphcKZ12A@3ja9&zak?_<8ajsC>D7M8p| zzruT1{8&!ENrU$~AD^A|{xR>9E?wb$!k4$vpLnl?C9lt~@E#REmecP}gLj>e&mQys zG4InZUEzJom$%WMc&~ybug|aW9v45B)9)Py@AW=Dd&2w2ywAFHh4&d>-bR1o{T3{F zeSU@ar1-I%e(yAR*ZcVFDeoWi=G=X9h4(pM-bR1oy&9IhKEJ|?X@~Pq8_Vf;m%;nn zK0e#v{bSzcE?wbWCV2EG-fM){=T~@}#gFCmyW8O1AiOOp-jyld^8}Cn#Cxsq`uqy- z3h`q({q8Y%Unjh+Dc&_H-c^D}f8yOBygt9e+b(`Ar{BE>ZtJ7s zceCKppLjP5ug|aWZWTY4)9>8|?=8YRkm4<+c#DEZf8xDSczu3_cU1gXPQUvM-i^XL znd04@;=N1o=uf;i39rwu@Rr4o<@B?@e{`$x?n&|PP4T{8@aRuGZu~iK`1}g*l=!in ze)k*o-6XvGQoILJyblQ;{fYM$;r00y-u>doa{9f;;C-v`PN#Serg$F_Jo*#wZNlsG zE4(w}$8!3;*Wm3I-a{$gBPrg;1dsm2`yJu+`4!&7;>U9OT?ET}x(^7y?l+O`_LaX& zi+$y+kBjH&qb^;Ur=Jj9`V;p71Ml|)@5vP2Qz^V-f=7SiJ!s%<7QEvryk}B)Cj^iF z#IwGKbDQ9uOyQkM;XNyO^iSgD7@v5bzD4k!OX20v(EPh9eJE%9BZ%`=8~u}bXz)3B zJ%ZQZ{p0@d-*c(pEfYNYC-E9mcv}T;c?!>eZ>56QB6##CUa!Pi_o7nH$JyzBDJ;+V z%t!pP^I$u1FUowL^Yz~Hl-yI!qGr4&uk|^hZHVUh)X(|oxyOIbr=q`G^wXdE3qGzx z{M_d(*l)~7d48SiugZP!3gqMa3Gw#+gKbEsTi(vVl2-RXdhn2I(q#pcyPBr`i z`T>70EXHe$KOp!l55Zq;;13G^ffT;Jw_4p@$#^mC@q zH_JotuQv3L2)?Wzar-yJpW~n9A^4--FWZfFVB8Oo=5yC+@bbB2y=0wUeJOuxh2L6QLA54u~zL%hWmWSZ4Gw^o_zU;GN{YM3#ztO+bg8ys^zg6&A z9)f?9fnOHQ^?oWxoH`V^5GM})H1KZazMA`SJZ6~eiki3wdbtQ%;i4Z zpEF;Of7Z84{muH-l5AA>*7i{3WmH;ccw4f&#^y=};U%B>4cOZ9U(%CDcxX_0o6 zd>U5|+FxV5`tzgzd$K$?NV()U1wRLU>|d#WB=-n;fBtS-`d$>^Jh#HKlla;`dJ#{V?Z#HT;; zSwEyZn4iSg_90KT_F-JIebyQ6b4g(POarf){?B@zSpVmcrhfWU|L1+WgZb1?-E5yn ze10p-ng2!DYWOq0JjVZ$k1Noh_+R$v4(2EE$;0`RJZy(Wu*vbmIOgm4S#Pw@r2vB7 z;ac<0SAbVd|3S|a>;Ed!Y#;hl|JQuFgZb32JYVC+v|PvUF)kcaJ%ZXd=mU)x7NmzjRPUKZFs-vVAW{onRHvHrtIQ$PKw|2sb2%6#ho zE^IZNBfdPwc^qlt(4RP3U*c7(Fa4AC)qBv^rG9)=00UveveBxEoC|M#OKe8=#PC3490ck z@5^(1aQ$Bai}Ee>Q(g3fZ0g7-{j?lK%P+>SMbOtNi@MjRbhA9E`(^ZB zK=)<7d<*?lS2f-A({lXJ@>cw!?z3nU?R`N5`LaXOU#_2#j{XXM)Qw`Ndt*vB%agh< zr$2PlzuGu?xi8;BKk8Dy^f;kkQuiy6qHb)9=jdKx=>BW?QTGa1w)fVQZk8u?UqSx` zbg%N|Tj;ltZu%v4Ux^fTgMN1HYv1(UfkMCv~^ee*xWXzI+S)7Sc_>r0&&7 zQ8&kHa-6I*bUy(<>h6SPdzVwXS)SB=HT@UReU&fYLcfJ{(=Vxe4N}zoLfXXmzRb}5 zJ@`@gdRXe7O6g{KQg;W^3+cYbmv5opLb~af)V&rd>OO}yp}W=4{eAdRcNZ*m?@#Gw zc~bYa^k1O8*>5P{LcfJ{(=Vy}I;5zZ`-Zcjn-d4uogdIbAD?UZyT8XptN*Uk*PPyl z{9%-G{h)tR?<n<0{2>7IKIL;R-Ly3A=jYjm{D~TVErQpw zXkok+;@4fnuT}i^)bQi;=;~3!&p%HM`I9yL)(c)M+H0Y9@#Cc-&-Pl#Z=>MttKruz zezP_Fwu)Z^HZDnC_H%MPtwZW;j3?$snZ;$=C1TtEAMLR4kBA);J0!MOY*8!>#_8fR z$0zDnp7!IeJTlrpmdoVJy`{ks+TordXZ!jyBa4t`9P8=FZ^be{C-tT6TjJ9)?#rrc zyTq$y9Pv1AsN*ToF@EQ8f&2qi_!q;!7Jt9+%kvb>zs!%@@>u_lLGq86e0%g1ddHbA z^!D}BLRKFwnx!ub%X+XqYw6l9y5#vS_aTn;*ZwJ`(gjJwxN$WA%XZozI>#r5dZ?o~ zQF86oGdPTYswH2ZC}l?L>8~B4OXiER_K#)viH^HO2l$NvW`<7H++wz&sTE1JRMUTv*aevgSXStu}%Fxr!e(8ZA+CB)i>(LqUhnc;`5;Mo%YKP>7O34+HN{e>T{>%1@Y7Irt{`D$)ANK&u-xx znJCF~)-%LvEyE)Aa|(8{1JW`IGAuzn8+ayiYDeRwg;m&_9{(>n-)N zy?ZmIUVVO&_!bYpheDn%zn=)^*;XeHzjs2OfjW5#b@K3gCgj;uCr@9UJp5h>d8Pw- zdJ9Fjf62h=_*sN_?H9G|SK_MW_ehwY4dp40xN*>z8L4X=@OvZVIT6S+eunko_e97e z@43sh#`X9a)`#Bmztp*Tci_agb*^kY{jsm)|#)e7|TvsMUT@%kOIt ze>M;w`)Ic>?Hw<;b!s?M7}R-_`wrz%t2}DU!gnH&udjcX<13E&aiF+r6<004kHPvh z!*ZRi)&IbE>;A~t-2JuUt5tlp{Qd=b+5>qCBSYKVx{i%Jt>UUxT($QJ{zk#iq9D0$ z(Jz@szqoT9;OCs{6ko04tL679SP%L9L%9}D0UIUvyu;Qrj`=+b@=OKRM|s*a6D1vA zA?q@~H$k46I(b&t$;0nSkmqP1Pv7?K^1N)1pO=vO@cR(tIVn8peov=w-@eVA*D!7F zOzt1G|J7>$tL673$kU7q1U@%u+;kepWxub3t!EtY`xE4859HDHe|4rfR?j%#_bSN4 z&*_BJXL4kCmmk-|yXvWr@{9)Z6tG}A9@sPCnF{0?H|{gE|J7>$tJV6<1o9LIhut{n z>AA|CN7r7J!9Vqk`-^n`)kgjrBY&-te{J>r&ecY~!P|L_;jg^?1vj4#ZS(VKacCUl zDPJxP4*BPJM`3{J{=!aok2Erz8E3tR#{E1zoY^tL@rrTf;^O?mfNL*=xqOtn^=$w^ z#2e2Pb~5gAbcoLHoF@)pA>%k6dV#lt!{crq?j0^TywTp0)02VaxGIhIx%-OveAA=# z8JTeP$c#*oC+)W_(612a*H^<&?^V+4qw3QA8DQMGd|PV$N%;BuhZ=r~{gG=Qi?=e5 z_PGAR@;zsLRo+Vbj=6r=A^X*I`L>>g%L_Hi`;2mJKfT|?xO3}^^2hB52lhMU?cg{~ zl>2rw%G2#-@g&;Io!4;P>h3ilXLzCUsQVSwqwT5VFkPiothxR}S+q)MRDK0*pzs<;!A!z4pweHOXaUl z=c8eX(?90#eKN2dpW|4-n7_Kc-OUSFHhPH1b&>P1u9s?=&;5m3e(#9sALD~0A^VN= zb3`1@^Zxzh;_@$W@65E~tL49ML>~FRBi?6>x&1_GaMJaABJJwYix)4fS7D&1=xl${ z*$gb}y=&07L$Rmm=C2Ga^Nam5UuDMJy>fCr;{CX;M;n*<`j*D~UH?yv_Q>;JaJq0z z^!J;%z$1Up@QCB-+tKgzjgH9u^$vgEn!s`63fFUfz3nMD-8%t5oPGc}JOCuq8RWb9 zrlLo2+&m7LdUg2sM8z?`UKDpai)uJrRKuSi<-Gu^(dWi(h2O>FI>tXI_4B)W4r8KW zJwfl<6ZCFA2fb?#(EBtRz&!^8Bd)#Tbwl5G$$JdQ2&+eo)91%oZ=L-)FTPM;JI3+j zzW!rp+79EcJ?4eEBmR2s!LslA70aB{J@0u*;p;kF z%Rk0P0LRr%*;ipWRezr1dDTBZxQ})31LOH$bYhsfe!@PZFlYWwoqOUq2qFI%0O!o# zy+zkfy||E_gM$mys{Xj}WWM_Ax(FS;j8C+SniFlx6-8uO3KKQ4BE zDm^3V!>RnE$+W&FOTMq-eICD0!1b)?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRGyc&BMT|)23E$|AGn_}(S_b33XlOnPC8u|zq`Kt2n4ty$aRWs_qDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dQrfkF+0 zL&Zo)$I9oCnv~0-iKV1q@}nSm>rO&}L=* zPY^g>9H`Z5$$%b|(m~-Vs*lmp#fwtn%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBT zR9Gj_>F@^Nlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK z%eSq>)-Mvs?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Da zs(;pj#A|Cp$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V( zxVGAqF)in4BO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8 zvijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZX zYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIs zG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);j zNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7g zOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeI zJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru z=h)+!-KEsARlZ0f1LrLSMve|h%v@s7*!h&;@v~WgqbK7)2M@NuPF_90-TVo_`?*8F zw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt85agr5 b/bsp2/Designflow/sim/post/work/@_opt/vopt85agr5 new file mode 100644 index 0000000000000000000000000000000000000000..0c42952e8120bac060175a0f4be760388695579d GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD zaer`ECbxz8ctd>K_2{2!^V%xO*L@|u`P{d{o<6;-5ydXe{3z43Yz6GOcup>Yg}!Z? zzS!qynSNm_mYQz@;;~z5OCncWy4nAL; z=h%LuE`M3v4<9$;@0WOvlN{pIvYn&MIdS|ajQ9@)#_u!YKN}eTNhAKz!1zxY@!t!K z{}Utr?*ilZ8}UC4jDOgOKOGqVX(Rqz3>ZDPEY$y>G2$-^j6Yz+cLc_N)`)*yVEpHd z__qeef8L1S9vJ_K5nl?7|5GFWzQFh|81WwrjQ^q$zdta3#)$uFVEmVi_#=VwUpC_Z zHZcAxM*Q)>_=86LPXpt>YQ#Sm82>dR{w!>0L!SSy8}a7{#`FANZT-F?F#gYs_;rEt z-!S5D352VEkbt{^7v*?-=njf$@KC z#6KDs|6L>gNMQUCBmVn=@sAtv#{%PLv9soL{tN6ExPM<`oDVknb4z?5a0&K-Jg=Ng z@Fd@V>wS#wcj4~#y@C^;$2WW2HsbL--j#aa$9-oK_lKf$Akc3r(CQc-4 zQK#yg3iLY==%@Xpd}`CY&FDX!x2ebSYZpJgM^mf!XbBvp+)ps;!Tq=i|RO;zQ5J$Bp>V^YK3z z@uBDAe>CDl&&U5{#9Qa%Zr7ic^YM?3_^pY4=ee5wPYZXRkC$8^UPD z4varx#6KPw|1U=T(}D5-YQ*PoLCNP^+f6Op=d|Cy8Sxhf#y?}kzcw)b-;MbG!1$jU z@w)@#|HFv?RABr`BmO&q@jo--eki ze*Aqtrs01p1GsM_?v2=I`uCKLOgbLE0O0w~zjvIU@82WN&-d>I=jZ$PeDm}Dd%OAh z{yp6M{PnKh^Yi_CvibR~tPk4PdQT?h@xGg%!{EM~`P_H&^AD|fPsV(wSv#p2T|pVZGO|-d9-fA*}Zc)_Vi%eSme(Z{6Qp_wv?#JI~A2 z_6gSgxOMMs-Dg|()Ykp8b+2sQ7hCth*8Q$^Z)@GhTKBBh{i$^?YTb8Q_n6lGq;>CT z-X|LUYu*2Go^$gXelK)SvC5an^Cdr@#rcx?oG-clyd3Kf^NDBOM_Bg^*8PEXFJPVb zt#iC}KDW-@)_K}GCtK%V>s)J{SFLlXb-uLDjn;Y4I_FvEH|tzxowuxWlyyF`&OO$7 z#yY21=MU>#VVxJObATJx_{DL44#v6LFkzfufpl`8#WeR>dOyd{k3E6sWK5n*-G3q5 z?Zd95#eQG_7N55RY~=P~C6{i@_c`0NwCKy*=nwp;4Hj`1`25Dmef|^sx>50CIsL{B z-gY0Ko%H@O?{1f_@ZROi+vrcc)v)CC`4!%>__3URC4=`WAD^A_{xR-p zuJiHPW8OdJecGifyifV^Hu@9qRj}ms`4!&d;>U9Oy~E(W-p6N8c>kF9S(mQxKI6;V z=uf=gf+erdukfA}KbF()od)lEAD=zt{bSyoyHBq0KIhBZ=uf;?!;;tMS9me)aQkq=T~^!#gFCmyVv0D65h@f?}ilb zI>Dnq@m?>yKEJ}dUi?^2zp}x5qwsd6csHeZZxTHE6YmYe>+>tT8^w?1^m~`Vdz0|; z{bF(*>`U=(7CibB?`Glk`4!%+;>U9Oz1!fuMR*5NyrmRxQSj(byf+H3&#&-~iXY4A zcb~z#QFtd)yt`ApcL^T-iT5Vq_4yUvviPx_e%AMoZWZ3C6z`rC@B0Oh{>0!!n-%cyFbPIkl@jucyAG2pI_nKCw?ra-+K(+w+iop6z{B;yz&D{hr`GnZkQ2g?CKw=uf-{4ZO{QcRYpn zObYLW;L)FW*7tC36TFityi+N>X9bV`NxU566YtZv2;Orkyc`;ue^;ds4LBZdj!k-d+mWSY9W#GSE@DHZ&4+uWXL-4OL z@OKLSaz9=w?IYut40!{#hP^KkEIm-Dn5K{Qzk`cbx_=pG($D)|r@> z&k^HUPF}?WY}M!PRR;c;;LAE2x{j zeo63UUl!v}3qH$3@Ly@*PYC|O)VSq)3F>Eg2>v<)f0y9PJ}cILRPb3Ig8wU-U%B3K zox20SF>cJy@kx2SZk`W+uA9sU-?9r}S7F^`KJm7?b*(Y4>*i~W`b@%`>o)(Mt};NM{2zfy-`nOB)SssFavw{CE!Iyn_+`rTC=X}KS5d2#V{C5k!oEu{N zQNd?<2>$B~d~QTJKghm6#-9;Da(`i)_S(lz`tMc)B6DZ z91Y7u@O8fCJj?mj8T@(={)7Jbb1+N;?^f=sxgW=4mf3)L`bAz}{%Di$cVy#d(Gpa9 z&ic$;?!)~#^9A{5eY@n3OPt_Jenb8-`18EzZ9{&u_eSRy;ng3zfYWN@VG0JB)+x}d8)M!R@T%$mtmldKe-3Htr$6<7-lsd5 zPyN)*_IbqTx3Zl1UxclOKjX_|{4e>q0{w~qWuNX~eiENNoIlCKc31?P96yX>zK);u zM*Ca}Am|;gHUE4Cc-8bD^gOZtuOiL%p+EJ1&8ItF4WZf$j4x;8oNAZO;?yKa4c>)1Ugk zL{s%VV6!ktPoPiKF!;UbXttKUrVB2W?&I*VnBwJ~YjJMq*#G{6eIn zT<$iwXBOe#;b1AoO|z`;Lpm==e)JbC$N94b7Jq*wzv67}Q}y~!t}B0Ep5ue-{|Z=?Z=s*+q90^aM?UGN)-LvqcZmwU{y*{Oz z1>>878SvZl`4;+7m-?m03H_3~Ux5^LV_Q5&_X8k{C3TOcbhA9EyPf_E=x+1nTj;lt zZu%v4uSSZxIbM_FWTm0|3HVWWCoJ2$oYKwmr0%Qfzku$meEAmoEu@=%N!@FZqV5;c zCdT(=hVJjdkGj{xQulO9H_MZ{JCI&T_cgwJ3;h<-O~0h>wMbF-IkXAgt%mOJ!;iYV zV5xgwN;k`sy04}G0`1LyL-`i^Eu@=%N!`~WMcv#toDJQaIJoZofEN1rT*Ke}JuX`P zcb&fG^fu%Vqm=6h{gZlMiF7mcZUr#ckEKZSd#-2>_&4Ma0hsqGpL6M^rD;Du&o<;w z)bMK&yp}}^pkI$p4M-4y!JT>G`*6>>|c&%u!h1$iBmxessYazdl zg15JZU$^+p*6`aZeht{TBzf7-$?>!fsk1Sjm>XplmuZ)XZU29?!@@rzc1-M$*j}+k zu`n2?i_09Js9*VjA9v-E(f+YqCSUF?4UW(b_Y67P*Pj_#gf!z=Pd|PumialUFKyov zpO$f7R$bdAUM=H@$8kd)Pl=B4JBJJ8AE?5=82+{R`-NYgr(phNe%zMF`gaVHf4t<| zqo>e2&UB%-ub&pO`e@NCeOXx6gY8*M*LKk*&u_U8ajd`gPbrlyNE*hCs{vTH(+1Hw zJ~7lo9mR=~YpHTrzE~GIPBVEV!YptlMHMwFq{`I(hiL6Y>ny$y2D4huujz52fkbPN5G%p+m-)R3^32r9v${?meoultM+15Kwr`i`WqbU*gw%)Mhak^M;Ys&(_C|ET@1R{LKqzb8SSW?Uffxk=-u(>N~seI0B)SiZ>pe8?=i%YZjuDPmj4Kxx=NATCdm+r_ zqui};0{|l4c&4zEahIb*bbjYNaR>_;$MMh$yd4}Kck^)XaKYh?_LiKU3@pc0X|&JX zSH$O=9<9&FgsVqpWP&_tziokjg+RZ)8h(1Ol3pKGm+sF1DtOm3g$s^$(WsIqR$PR@!&W^}`O?ucphl^($uK_v33ynwJuc#hvPaTKpa;>lK4={|~K1Ac= z`of{wI7hjTld9$E`dU1yvscFVM5*1+52@#_QjfXi^K@dPlk68uhwg{8zVmgguAyUY z`MmbPMkqvw?w3k~qwYSm2SV81y|_qm@#*|+Mm{bos`}$%VNO1T5+BD6Kb{m{^4oE+ za`Ra#e|0(^4NIK-^2wT`Fn;(98celey4AAMDDM5`1{rbjvH6Fp7ZN%Pr>Ql2>{~s1Hj<{ zAeqh}-_17_J&NPzalq88!@nmgj`{VXxYJow!{MSD{`@HK1yGGXH*PEZE*{r0{yC|i z-_>&%6AkMLde@$yck?;uU3-Aur_liJIT#pm?G>*Z`o2rvV?ah&Jz|_bKhApV?9X}e zh5Fhtjvx2+A3M`_7E`*K-e+eb=v8=A7<% z&r1ql*Wp_JF+Kt~u6D}43d5=T^Ayjk{`tXuta~3A&;Ozm!_4&)_8Ema^LOgp6URXa z`Nse_Xa4Rjx_0Wth3p(0T%cC<$Au^J)nC^|=;&p9qFvlPS!Hvij$*to^ZW7sF~4r5=T+@TOs6~C{F*)=$@zuzJl}`&-irKK2At*RPnd>LyH(Pd ze_Z}?vHMc#8A%^bJ(a$%>qULJ z{wCiyab4v1C#b7SaQehg{q_Bpru97*+mG)L`1w}GcT4>362D#SHnBU!!erQ9lM*)| zamu5*C&a(sh?_jaxT!OY+bePDeL*~au@T^NH*dW5mfZ6+lqtD$Y}?4FTi@Gyi_Z3s z^{(afc4d3p?X(lUV}AV~Uwb9f?QMR2>}?x&>-SJk(b;Wn{y7^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

      ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

      YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8brw5z b/bsp2/Designflow/sim/post/work/@_opt/vopt8brw5z new file mode 100644 index 0000000000000000000000000000000000000000..af549f4cea6d72b785c117952a644ca439ea439c GIT binary patch literal 1631 zcmZQ9IK{U)BIA&RX5B_0wct$B!YVOtUj`sxOyd9&AQponm}Jg?3n+hZWCBSsXQBu( zfrPS9gqT4>*(gFRKp~bKpb#sN0S1g9mJSeu41fS;kOGM9Aj{Z*qAa;6df0(N+CYq? z2c!U@2gqSy$wSe@2^3OB)dNz1(8C4NlTSzwNC83*H%LzbAw3`k2t7O?J%xnyfD|C~ z@PhOd5z+%vfY8GS(o;-G4@dz*4?jpx2_Zco1qeL?AU&mo^ner~^az6Vlo8ScQh?AS z1kzJZNDoK>LXR*=PX!@8AO#3LB0xRN)o>xc4}wghAfXx*Au*6pEsBshNT?1)NCG5O zk0K-q5^6vaGGvkh2{j@KDKbfegql!b|hs^5)Jt#m-7lPvyvfhd*Zg}XWDf#n|6GCv%h*K zTxy%}<|MQK3BKjJjwgi9?}&a=6e;F~AzN%Dl`1Z*BmGkI1y?g%b~D?{vKG%}f46-8 zX6Kz!xAXD0MK|A-mv65>(8??FRWHrklVPIo+>Xf{XH@03Ew(Q+l~LDykSZ!=d}HUK zc-fY^`DYvRSlOaZF1qw%mH+CF-z#R`t5%okZCjQpvA|=)Nw$|iFBio){mOTBkYyH~F4ss0M~WUoS&$XjOrrbt`u@6%@~ z3J7QksFi6iv)ZkC^VO5UwB2j&v|OG1U9)q=foB?bm{hM>-WPOt%-_i0D{+J;%Dd~U z%Ed!3&MmogEN<7OV^;IqE&Cg8uG_oH(ns9;eard!KN#>I!55_KLsCuk7!`(EL z=T3C;tIf(~U(c+aGo8J<@w4{7eY)2+idH;0)i>eu&Ocp$_f2}2BDrXVqs`8=MJ+={l&p7Zrj#o*XyyDmCx`l2F1s)`GKM6QEGx`7B{i0sKGu*6O zz3hx0o!h@HA>3!lV$ExTf{xv;-GxVX-apQFP3PacjnmFb?{41Z%rxPYB~v>96Jvub literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8ddh2w b/bsp2/Designflow/sim/post/work/@_opt/vopt8ddh2w new file mode 100644 index 0000000000000000000000000000000000000000..0e8b5f439f9383fdfa3325af237910fa497d4321 GIT binary patch literal 1516 zcmVUArV>_17h@WMuecC z%t#q%x}y_I^yRGyr-BMT$!23FG9AGo(M(S?p83Xq{*PAnV_oWC>$zwYIv=0In}QL;i&-1j%) z_?qg3v2}$=BddDQ1{S5@O>8=V+n6Lk_i;JEuA?Hr9S1eQdrmsQx7-B4FZo%(AF{*1 ze3(P?n zR@n1!?C`Fjn4ty$aRWs_qDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%vbT$Z0|Nc@VF(74GfrgVXthBfuCh~6h2QT^R~;=1X$gf*ow0%u7e znOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8`NHBM;J)*l|??SHz2o4+PV zcRxFk`hRkvNqi{+)40(@<}vEXj3bZGSqE0&^G@{a!?oUC1mhTL`4)tfvTf8UaoViW zUN@HF{Vu75yBz>XH+y!GdiUs|No^bg)0(?P=5;>EjH@TmSr-T3^KMq`!}Xe81Y?kD z`4*LwvTgQgWYy`AOE_F$*D&gU?jfQ9$wEMZE(T3P9ZVU-df0o&weYOaE1?kJ7Xo^K zZ$!L6pU5Do5Ttj&zvw}Gi)1XeRuzpb?P^mPnw9-q%G%7E)D2R(J5l~(jV&La+gn);jNI_R* zzpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG z*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_R zi1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{R7on`cZUP9vokU~6d&!o-x6)m}FXijNABv~Ie^ds* zzvx%MKauCaKVp}xTkII$HA$2R_XwT!9P)#zd8FtRvtZ|FCOH(A&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+! z-KEsARlZ0f1LrLSMve?f%v@m5*!hs)@v~8YqbKt~2M?COPF{V$-TaBb`?*8Fw=?&^ zFDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B z1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%Wk&1_D5uotj~zT7~fIFaQ@@MpuC6O zXj(m*OElQN7WEnO!#wOb#yOZG%rkH>nRDrO^cOZ8DDUju!D3oB!iDttg&F;_im*&n#yG0}xyA_|ZJUOg-cf61%!Kuq0Je|keWer>7g2sz)@P%_S6 z;3VAdfC>1oKvS>}z~&%tz>NWpz*|FX!1u<8z^~0=z#rR_z<>5vz`v~jz&{y}z&~BQSV*ia^guYw!NImzn&^oR!;58fv>`xFlUL2^^YRP~el+r=r zI;nus!p4La#LY>6$lKG1k+`c6q2R;j0)fXtL_*KX$i&{x(8>MP;M2SF?1Nv?UW7LX zYWcsrl(IjoDQa^?(M585;>)CZgx3kDNbgfPk;r32UW8r;YWZ!ul(L(uXlp)JkVNMxV9AaFfYM!c0jjs_fyMg}Ld&bSPrlrb&m zXd@c9(MdRQAp+zj0z`<%M95Ia$k5@}(BXsU;Dbk(>?5ZbUc|A%-4Ds z*zdJ=oclxDzjYQ9$al7rbsdkMs(N116t$F+Xe!bF-&d#eFDipR9u$D;Jv<4+yomO2 z_k}EVu1j&;9M>9RIlLj)j+VAhlia0Z_-(cYC|0q}#5nC9iDS+#ktu1sV*5$NgtpV$ zNG<2W&>D`_;B{QvfUEfCKo_ySz-}Tcz?}pqzjrN|-J2)NmWC=%IEEkOQq! zU`HDL=AawdN8h6;ZAz%}n$!>jbm?JFsFH)l5M@WhV2aM(={U2LDTVR85=sn?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$A2>!a(S>ay3Xo@i8hV%{z}qBq0!zkm!$k6c$H|mH&(nFp-sgkB{f}3`yIi5q zzc8G@KOsoKKLWtOKSc1rKgh7aKhP1tKj3S?KY)+FT`4obEzI^c_#SPxCB`l+3(O4| zR@iHB?C_qTn4x9>aRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;jPQx)_?=4zqHjFlPCS!;XX^Ommc!v$+z1cP&G`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Whc9ZcKAdf5NSweY0SE1?147Xn-C3oL+Ml+dMW z*>V1q@}nSm>rO&}L=l$$%b|(m~-Vs*lmp#fwtn%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBT zR9Gj_>F@^Nlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK z%eSq>)-Mvs?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Da zs(;pj#A|Cp$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V( zxVGAqF)in4BO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8 zvijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZX zYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIs zG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);j zNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7g zOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeI zJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RZbE3loy4QSd&w8Tx6)t0FXivRABwNQ ze^eI0zvy?sKar=vKVlcGTkII$HA$2R_XwT!9P)#zd8FtRvtZ|FCOH(A&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru z=h)+!-KEsARlZ0f1LrLSMve_g%v@p6*!hy+@v~KcqbK`72M>n8PF`KW-TcYG`?_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkU?C|cOn4yLMaRWs_qDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;pRQx*0^=4z$LjFl14S!-+H^Olb6!v!l|1cUQx`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Wba9ZcE8df5BOweYOaE1?nK7Xo|i3oO81l+Yz= z*>V1q@}nSm>rO&}L=* zPY^g>9H`Z5$$%b|(m~;PtBS#Z#Eu3)$z5N-()-APs{4?E#AD_{$%jD1(oe|9<=@ND zi~rx?SHI`%i&ptwlqFYc*_#`b@^|Yk^Wops9R+skxVBo9F)in4BO18TNjPyK0^}tE zM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV4m?1wn9WTdaoY)e zqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS z3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_ zNTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`M zpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuI zceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9u zydl?)mbOom+@)gpZMFp{RA&}^cqFK>ks zAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b z%v@y9*!h^?@v}jIqbJiq2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesU zKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipP zN~rRh)DQ!7>0wW(l7qz%WkwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx zp4fh)E`M3v4<9$;@0WOvlN{pIvYn&MIdS|ajQ9@)#_u=cKN}eTNhAKz!1zxY@!t!K z{}Utr?*iiw81X+1jDOgOKOGqVX(Rqz3>ZDPEY$y>G2$-^jGs2*I|AcBYs9}UF#dB! z{96O#KX1fu4~&1rh%W`k|EUpwUts(fjQ9@*#(&X>KM)u{W5j{#BryJn5&!+b_{WX-V}bFr*je*A{{{97+`q3e&IgxvL zc#`kG^*%=TyKr~=UcrgaZ$R2hb*W|j zs8jXr3G|x|^wWM)KDBAyX7r!V+tg$EwTqwLqp8*VG_6MiE)YWSHewux_)P`+abFOE zcQnv%B^rw3wOQ)3QtT?RuNI4C(~Z+#%K5TO#wX)BK6%dO`TA1)Cf+R z@p+y14< z_|Wt5KN|6&=i`4e;;r*>x9iW!`S{01{8s6AJihp8a-WN(g*(s3OD>S{^AjU}cVPTK z8}SbZ#-A|a9}kTG7bE`Z!1#YP;&Zs5w$Og+eF?mU{6hDmCqnmyf%;Aa`ZWadwg&oj1^P_|?psF#{bmCFMln7@`gba@ ze=oy)$bI2H@z;IkBa;5ESnmJuT<3M4xmEU=jORWR#{JOmHtZ826F<4zUWVVmkH62y zH2iO60QZe(?#zwYXZrV)jZ8Woz5w9)&cAn@pYPuz&d>Mn1?T7c_k8p7{d>Fl`TjlJ z{QUK<-t+VQd$RfYt*j5)*LqJT zSnqMH_cPXe7wdhB^`69f|6#q?u-;c#?;))B3)XuB>wSQA&u`t|TlezTeLK&~)%FS2 z{kV1SZQW;E_te(?vvsd*-4|Q;z}Ee)b#H6k$6EKS*8Qn9IwxD_U+Y|JomZ`MsCB-y&W+Z2&^qT?=QrzIW}UaJbCh*Hvd%r$dB!@Y zSmzJxTw$FTtaE@H*7(J7eh$XD+c06AUx9RTpT#uyS$aRm&yPKU=VVNtOWl7V+wH@y zq{V(<02ZIO18n5>VI`Mt%=bClw6y5U+vpGcs0|iz7x?_f$bJ43`?^u_V>$iC4c>Mi zpPlsnG4F1duJGRF%iHKryw$Me_4yUvviPx_ekFtVDj%QS^M*LV#zdH=xP9L8=6=6%|wE4)wn@;3St?^Uqm_4yUv$iq zGI)R6$7dV7f6Tkwr7OJ41dsm2dyVk={0eWg__3URcN@GLgtsNdyE4Ulp5W1+c&`;+ zpI_l!A$}~U-#rHJ>x8#8#k(fOyGrorPrMt1*XLJw+r^LN^t;#K?GoP36z_%fPw zKk;5Kygt9eyI%ZQPQS9jd!z7nrFb``cyAIs`V;RB!t3)Zyc@-j<@9@(!F!YN^8I3R z9qdc-ZWcWH6Ypl>_4yUvt>VXW`n}uWy+wEjQoN-UZ&C2*PrNq@ug|aWj*1`4>35&O zyHR*2Q@p!Vymtv6{fYM`;r00y-m>_yoPO5#k8Ty-Jt^M3Dc<)B9{q{OjX&oNpI_mf z5+hf8su1;QgN9J(!)fPvQCRtyJ(@1dsm2>y zh2=S)`G{Y39&9J>MVZfYzTR7&l6%To)QtD!wLT}b4bdE*`Z*sx_xR8GRP=X?e)>~? z!N+xopZlBz`;GZ1&#!a+Rk;sdfqZ;FA^twVuSI9Q_qptE-skpvp8H_j&vyLAJ#Cid z$@|>fk>>blL&x#Hjn2vOwS(zw)Un(7HOBSm!U2=*$8y$Z5iH*mp<0aRuyXd8)PtYT zsfIs5Kj80$#dwYJ2LzwxA^58e{6WD#kiysZRxA&}zskUWyWk&8;ZKWxmWSY9W8m); z{N;YURN6gN<#9)kZ`1OFX@Z>#P4{03f1`oV{VDPHrrLj0=2Mm@@vZf2qk(_F;HUQi`Z*ew zhv4gc&3Tsdt26lZ9{dOW@#kQe2HvgQS93p($1Jk}^Yn|nzWmW9-|xuA&!Q!$_MG*Z zx!i~QbLI>3&-!-BAD1}6mHdYMWANvB(c6anX7Ts7AzzfVw+;DExpkpwseUd;`So)- zEz*vXPvh!A`)iC>e}43TPnPEfDVO|){AZBC{gHmYCyoE&8u%b{^|A(F@*1wnhXdn7h{~!6ZJ9kol zGt=mYKlb^pENA|QVXNVP#FxkTAN6qs`V;>lpYC9O5?|YgJZy(Wu*vbsIOc2nbjmnR zx6eg^?ej6xXm)^ON}6KIEy^K8#DY&pM-hE(vU(Y2a1U|5?uy>;D|m)K7ov|GZCkFrWIV zo9*+6&u?Wp^S=mN4S&X$$M|3JaRvGl|I0qz!TcmXc{qQPhwZQkHaUJ6$9x?>>y7rg z6hP2BTxZd>Tf5)d= znNR)Sg{_8j#Fxi7k0VVS`V&X%OT23JrGK)%dJo#V)UU5wWqfFw`;5fCWch_iMY-H< zaL+8lzr(>&jGJaz--mQwko@Q`SdQ~&3oQQrN`A%J+@~zd?{Vp-r7VY@`22Yh{jsls z!MLvceR+-#uKz1wQND$Ks*8S*O&$59pO&L&`NjCP2)Y|-6S`&JFi-bi5)ZoheuKK( zQ@UB6)V-4a3+TSYmv5n;>Z+!jep-(IS$-*gQ8(K)say6V^K{R`kGi>jQTO_kZk8u? zzl{D1=)TOCZ=s*+s-~NMT8{r&-ilw;eHLw^y)S4WUv^0P%k?wT(O4+OZ%pZC zc~bY~^oMTxR~siU_vKsYM_uZd9w+om>V5@M)QxTN9NjAn-G2=~>RtiM_THM(&GMw~ zE9k#~?p3~g3;h<-O~0h>E0LmZ(9h9*v7!5K;Fr`rn$pekr0#b5FQB{4mv5opLb~af z)V&%h>gIS&j+2#!?kC_!-JP&(?{Z2v%agjVrvC!Eukz(v=(mt=`XzO*L5jLxNShen zml?Xh2S4gw4@=!sDcvkj>h3^#A>G&b@-6gRNH_hGy4NB_-RICIbhjG1zYjm^?t-Q6 z{VClnPwKvw{tL7>`wit==(mt=`XzN=hZJ>l-*7f`bK>B-^8;Gw<8uvv_xHGH_1|^+ zn$z2mKa5hYAM{V^eI?S((7P4DTtAi~&F{IQIpE)rKLlXjr+m((o0g{i{5;!`KT*T4 zMete{EsVE9{JLxSwTj=K8h(5pT|H{}`RA!2f3k+(dckW&do9#1e!Mi~*Mbvz|H#_t?1kbj^G|6=&p;_nxJd7gs#m-%s99_!yRNdEDXZ;zfr z?>N(i-oAcX$m*j-v-D+QSr4{nEnVA1mps4aKE$#9+CQaKx*%y7H?9U?*-jfo=lH}> z4|NnLO0Kjmkl<;(wO>@vxajCl z=wbb|U!Icq!r-uLkBRYqH%>CJ_2_xK=#hCe?vHx)Ecf$V8G72;FFg=M+Xq29Zu0^C zvs3iQe0|1xZmQ^6{eRI@6g?bQd>(YZ(|*|@{nH~>+fC<5eeSfpAbvXDbl%)1`LnR( z*)4n{6D4`hdWKk!5loP@aD%Nq-iJiLtXJ{;r22@%`Q#KVc^?zr$(|A1t)L%w`T4ns ziQ4fGX9nwe-iL)>*1@ulYn^|zJ+<95e-L{3{7s70_S5G>+fn;L^VK5E%?GWKuh%nD zui~hm$4YmOy78HTt><|ek^0DbT`m-Ny8hsJV>_xPe{!AT_fnXa_sM0*$|UC*`X|$U zy`^5ZcWGggkrdl`tW-pfiVeFV?ydU*If4)RO~@(d2|^82Qe?-%U{wb~DA z`F#!I&j#XSAMN&~z2gP9P7P-YgF0_=-=REel}Bw^_)Y}!_4V&^e8n+84is0d;;QBM zF<75wSgy0R`XBgi-5(j7yT4X^wTiEn-@hPFdmv9?WN4dP*RheORa~`-tM)#@-zfN5 z6eQOz`X$ro7k91${G4;0;;U7BwftTM>mi?iDA(dCV58)oci4KyF~3Jao~gk4C{KH4 zqNL+1WL@U>Cde~WC(r6SdH6jE@*EB1>D#_to|oKO<8 zUIlshIh~ODOpXli^5c4VS3UJnp3y*_0v1fi1A8VsQ-M6=#(jqNzgq2owOXHyVr|WC+sLoy)-xH;FKR=|NyGlLgme13PjZU&(EFHQZ()!NVvATwix#jcP z0~?_b9lBpC4UW3|&>je3d-viZ#l@%dw;B1ksHp0Xi-kG)5K4R;H~e@~e93Re!OG2N zsr=RHd^9X^`p5jePX?Cba~um8^H;aGyLkc2Mi240E^;2$^-?YKxxY}$?;SDyV|b^_otajAwfy&u$Rpo(#QTgfx1T5tPP%?iq+LCF@#2N`Dh%`# zo$W6=n}KD$cMbY>DE1WH{FQ-aez9NXtIU|YS5B@+ydT%~XyY;H+-9(n!? zP8W`e{(ch|c;xRH9&tQM;d;)mw><@?dnW*h(+>cL2Y_Tc zgM2sNRP-p0o5ulDuMYp7s5s`=i{egaQ4NQSYWVY`yca+<`rNp!@Vj_i$N1-@etuWa zVN5ivC+J;!g5J&Npm*&7dY?uExaVMC#I;wvZs_|id5-}ZVfBb{`usTSt+PMp#TV*p z$2fl6*MICx+hN?b2fE=5`TJeFqZ{fQhq4}Md*iv6eS|S?#9z-nSoU4NVwrQg=RGee zd|iiY`N#MO;JDf;`zj2l>d#X=ulnZ)_p$DMU_AeeP7E{GPuOP^=FH!zb59%xA>Wd?e==&hva9&U-8JV;OLkpFd$5M(tKfWBzgZ z$HneXrDr64IF)}inb!AY$@f*f&*S$AxSq9~?QJc;)Yfn)ej{%34CD5kVcb56OYaNf@r#WBpSyYEwYTJ+r=d*AonzZZM&0_})?0M8f2?;c zpSLU9+is_w=pFOx|M=P~nQm|M>tk=*xLd!6dWz0&YxB>^n7rql?D6}?k)d%Hw`-se a?VK;;n2Vp>y+=g9OQHQS9PzVc>VE-M?=J!X literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8qsevq b/bsp2/Designflow/sim/post/work/@_opt/vopt8qsevq new file mode 100644 index 0000000000000000000000000000000000000000..b1c7cb32988f6ac2aaa363217d03f5fc270a31ba GIT binary patch literal 1568 zcmV+*2H*M9?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRGyr-BMT$!23FG9AGo(M(S?p83Xq{lLwss~=*^lBmz7PxZ)?lIpO)sp ze{G$>znV(GKXt{xKdSn`KNO|FKWI9@Kaf1YKVTuhUFmMXElf={_#PUzCC0!k3(V0N zR@l>U?C|cOn4yLMaRWs_qDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%v$)_wq*76(dCIsQNyX5w$O9V=5_-%~(-j1|=teObQ1; z*;G})^6BEhrIVekZx0RLT$aCTNc_r_(6~t}rgVXthBfuCh~6h2QT^R~;=1X$gf*ow z0%u7enOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8`NHBM;J)*l|??SHz2 zo4+PVcRxFk`hRkvNqi{+)40(@<}vEXj3bZGSqE0&^G@{a!?oUC1mhTL`4)tfvTf98 zWYw*ZOE}bE*D(5k?jeE!$wB~uE(Xm)9ZVU-df0o&weYOaE1?nK7Xo|i3oO81l+Yz= z*>V1q@}nSm>rO&}L+j zD|kde7qHN&09BX3p9+9`%b>5e)}4wh?R#7pnz!R{bT5yfs2)aXe+arjV6fVIG}Rim zD0bj15v++AVi-tpgmBoPNTKLyzjxdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_R zi1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+! z-KEsARlZ0f1LrLSMvf0i%v@v8*!h;=@v~ikqbKV?2M?yePF@|r-TX_?B z1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD(M{(n>Vkml6>7)(wlg#u%}NiYecb2Ge62SEn5M5E}oN%V4-iD zrZ4vSS*Bl_jq+cH|<81WwpjNfm>e>O1wlScfbf$^U*;=dOd|0hQL z-v!1WFyen282_*le>yP!(?qcLc_N)`)*yVEpHd__qee zf8L1S9vJ_K5nl?7|5GFWzQFh|81WwrjQ^q$e;_b^#)$uFVEmVi_#=VwUpC_ZHZcAx zM*Q)>_=86LPXpt>YQ#Sm82>dR{w!>0L!SSy8}a7{#`FANZT-F?F#gYs_;rEt-!S5D z352VEkbt{^7v*?-=njf$@KC#6KDs z|6L>gNMQUCBmVn=@sAtv#{%PLv9soL{tN6ExPM<`oDVknb4z?5a0&K-Jg=Ng@Fd@V z>wS#wcj4~#-GURJ$2WW2HsbL--h~aO&O5~8zB7sYL(w@9=(i`(Z#vL#K-x=nsb&4B zQ}yi$^qUU!(|%GuwQ1gF^j}E(wTqwLqp8*VG_6MiE)YWSHewux_)P`+abFOEcQnv% zB^rw3wOQ)3QtT?RuNI48;Ku1M<$T#Ci{2P408Cv~cJ7c*zAaetu%a?+%RrXCwaM!1xnJ z{NsV~|6;^H9T@+wMtlwzlzhHxwco!P@fQciKV!tdHZcC*jrjh+_@5f_y949@!-)S> zVEjoV{yTy3KQrQgB=KB_7P=q!xe@=Lf$_gE;?Kgxw5|^e@jq+CUm6(yUq<}(f$_gI z;zReheD7Cn{=XrR|5rx*TLa_&+lcQ8jQ<}aelRfpIU~Ll82`Kxe@|fiDlF7G&KBB_ zzAu5dkYDJ2^hD^sFi_u#K);4S-qt|Bu0X%3zaU70dk}p6k5sGq=h+Zhrou74ONI&-SeMeb~zp#rI*C!vgOnDu_kdT(XDkFwq~S?`am_d?eD9_u}h^?t^B z?_#}AvEGwd??0^f8rJ&?>pg_^e!+TgV7(8p?)k0zd+T1_x^L%sx!OL#x*xahy{-Ff z>z>-Wf41(Gt@~o@9@x6yweD@L`&jFq)w(~m?nSNpPU{}ix}UV}9nJehqkpaYKhAS* ze#7sD?kQIJ@_4@F=d(CpGN1D$*PoYT{b4@wtosP-p2505u-=V&%dGR3b&j&mN7lK=I?q_=6zlw9ohz*K zf^`mX!y3Oh&d*^DB@}?z5QYK1=WC`1!FX@SKdvbE*3;WV?OXm9*Fo48Y>^ zc7ToCKCI-@jrl%jo0b-Rc^mzKAGN_E?gF3R7`e}XVqZ5Zek`ZoxWU`*FyzlqrZS*JJ)v)CC`4!$N z@nbpttnYcP@$uPx-aqC&;L;V|hkSV({fT!CEO~u?g?GRBv7COpjQV!?`0TXzk9iNe zbcOd3U*1N4;;n@xug|aW&WIn&>34_0+v(%8hrEBxd&H$HypQ?vHu@9qT3GV>{0i@3 z@nbptCJo-}e0+A+`^UUbx^#v2318kuf8xComb^Z{!h2NwSWdq?4c>J=K6}jj$GlIw zbcOdRU*1N4;=Kx%ygt9edtCfjPQP~;yx05q>!_4yUv zlj6s6`n}WOUGL+wr@Vj6n{)Tc72fB3c^mzS_i9-3`uqwnrX9{dZ7iqXT?X%O`}k~w z_m6p(yL5$jnc&f%c&`y&pI_l^7C)BL?{0&4gYdSbcvq%)&l5cQ6YsUc>+>tTE5wiG z^t;F4eVy>Org+z+cvlG?{fT#j@cR4;Z@c)hoPPHjyj{ZEnd04$;$0_r^e5iyh1cg- zc-M;`%js7(cyAQmt`zU46z@%fM}OkIL3n+Bg?FR)v7CPIGI(ziUcO&Uu7iCk-pztX zf8yONygt9eyH)&HPQP~>ytfGNK#I4N;w=gu{fYNR;r00y-cj*mIsNW4csB~~WQuop ziuW$Tqd)Q9B)mSq!dn(UmebGr{?V<%yC=oFH^uvY!J|L%xbf$_;qxoJQ{u;R`rU8T zca!k$OYt5^@jfJY^e5h1gxBX+c=wAR%jx$XgZHh%JDuV^nBsjz@aRvxw+XM$ukg-@ zAIs_YUW2z=cn_s`kED1X6FmA8?{|dP=T~?SiyzDBcM&Y_={_L*y5B^$+gJWBE%ueO zJ}#c8kGgbao_<1b=}+7T47}eHyeCt5Po?mV2_F54_n?8dS@4dh@SaKGoe(_w6VLh{ z&TWEsGKF_4h4-xB(LafoV|?O$`WC@^E`^svL-X&d^r4*Xk08!hZS+s#p~2_i^$1>r z_mBI-f6t|Yw@mQppTuiO;cXSXy_E`Hi{R0pc)b#5-HS>&A7`ikrLa8bGavEG z&V%j5y(sf}&ewa(Q*uu^i<N0wjrA1Q$OdU=N|t#pNjr&(NBNsFZj3)@pGTE zV81aR<@t54zbf~^E0B-xC&b?e__gS)_db{X&HLPb&vPG)``M1)xTnpsJb9mcJJK8< zZRj}Ox6wH{zIHI3jXHKazs9&8T{vK}{aDWWEP~~GB2b)( zxqFp?KPLFH&c^uq`8bv*>xJp9D*m+w{&y{k#Foll8I2>jne= zPQg!)SN)s<%R}&AYv8{_@U3-%wE%;BuCj0k<;Iljg|3(A<9>IS)h2J3U!}1XPHyHT$3jVVx{8qtdc?kYZ z27X!abE*FA5`312;NNWEzf16CA0GGb6#O|Ku{;F-76bp?f-mQW7=KjoSssG_Is=~@ zQO*yt?~n0k1fS(0_-{1uxj!ZT-ccV4i-F*Ox!qRSFM8XM-z@&#Hsp(v_O>DaDYq^(E!EHED8GI#r$yRP@@ZT> zXn&3I>d%k<@5%DqAmx(ZkpB!axIfa*_oVS(Tmv6uu0E`165r=n@bz;-Y5bSe!1w3X z`TFG?8|&B48>R8j7yKObv45rhk=!HX{rS6T>3dOt^V|xb-x#%v{~lP34?Z^T=Y9B% z@l&8b&Uex8`*a8M;TJz|f8g_5SzQX9^R<8Vb64s1xiGMOJ_x*O`v1`L#QOJ=AMHbb>i;93cIQs&Z)O_(@W(#C zmF3L;Fl;sakNEN!|D!&xK!4&tGruOuzfxT zylVPC?s;PUpFo=JLx1Yu@6#R3r~YM3qkTT<^IP{z{sGu(_z(N?82{5q6QBOXXZ?`w zV15!`+lM^W+J|w;_E~4N&n1EFGY!0I`akP=V*Q^(n)>Na{h#;g4(3xob+dgQ@%gPR zXZ{yqtKrZ1@)-Y1KCVE2;(ytvJD8uuClBXO@~|Bi!6wHKvwi4K{a^Fx4(3z8@_gOrx3Zl1-+--#|EMpI@wqM$pZ>)ErcZY; zKZ&pHLmsw6x_ubOd~F~7TxR!sVJAb4epsm_;)y1 zigD8{>-&(-3z8rG1`0_3EQ(e_`(@)FsKg%!0FY0EyCUwhxWS;I>_)$04FX~>O(#`Uu?w8Sj0o|AR z@-6gJUDb5cPs{N?%Ukh_y3e9bwD$!KF z|7zpp<-U9i{isX*(&L1FN!_nNin_5ao}+t(q5H4lN8Kx6+1^`Ix>=soeFgm&(7no+ zZ=v5py6KnHeI-)V4f;8{FE(`l4g8Y2M^n04p48n={{?in`SLCFTSzzklDbzTMco{) z$#JsM(ESAbsJj!E?OjgkW_eQg)%0IL_f@`p3;h<-O~0h>HAqqS3uzPM`!YlK_uxm} z>tU&TDy5s{N!=YtFQoe#U%rKY3+bj`QukVD_xIsP-CeNMy+5U!>yV;u?i^KmR;6UU;C$&N*5#z==p3II>Y9}+yRxAu$b85bS>2|cWz_RCWe zUl<&A?J+Ul@5V_6wjMoi7dz?CGTUxJJ~aWyA|}~EQx-|^H}N5 zQ8zv_u=PAIBT^q(ugitvPS+nCZ)``kmqHk7A0;>JN=W~8oh!0(Na=R_dS_!-uR-xDE^yyq_0 z8rS1zSRZ~bggo-TyCzTna4-BT^Q88NTI~pC{_w2G@%an;@@_!|X3i-P33MZaVk z{o>AbfS+@&Q+&0Gua@7dU_IpX59L}s1#Fbu^A20jIOg{#$TJmKALVJ!Oq6tdg{;f` z-UNAO>f~8nCl9|TL7t<5Jbl}@%k#25eqKWA!|y|o=cMqY`#qh$efu_dUcV=GKc^E? zpUIKoU4C2-@2aOh$}<|sQ^11hcwo9CqWNr{^kn z9$kA?2LIGE?l02$R~z|jjQq7m{9fbj=`wKhWJ<`Z>?@o|0OP;H!}T*pb( z@^pPI9@W_^<9nji?&pWpb62Uy-12!kvC&EPi={*NLt5YYI#$=vF}HkPdtf6JqC@vf zrNL2mAKC*UZ0}xNq`3HW{x%~Y7Zp|gaj`HbA3}+bjy))B_ua^J55qaeMj(DFj=Jpe%!AaNeiL|RnFJ8Q`UWI|4qO<))XEU&@ z_pU+T4#l3Lo4+!!%rExKe3cn<_sYrji1*{V9&KFa>suP{cl|#x+9S_@!Rf*=(cf?4 z0+0MX!y}HTZ%4n=H##Es*E{@uYXZlOD_qa{^|q(rbngTJaryz^@BolZXOQpan~EOA zaq~D}>eb=j6BWn&dQsfzEUMvfQ4N27l=lLtMxPtE6@C|w>lpu>)X(qgIgE*h^#r|Z zPtd#h9Q3X|K=0FN0QVdWjJWoS*A0E&CGRmHBdi`VPM;rVy><5Iy!b+W?HI?8`}&Wa zX*-O&_CPnBA%DMXcXUI2<51QEZErmHvX3yvjri-i2g|mqdYGCt8RZl0_)&+_lmbDovIbDx}NT|X2H{Z2kDRx6<>f_9Ld#9d3S2pO57H!g-$W!+CE-ek=pd^7AK5!>HXVY0N(^|G3!wsq~Db z52x~vCe!+!Ecw2Q_j&w20oSvZv%Rh5*Ba>8DSpbQxcZ(--`Dk`zFdEk@0++T^7|9i z)g?H6;-~)leoNE(9*gbA_XqrZE91K*{&tDqE_R#Ponm1!Y_Ca)8<05VQQZ^b-*3cC zo?+acGmP6Oap`?QJbtke;Bz-`y!Mvd^E8wxxpQpW$f#T2+j@)6_K)?h<@0uBd)w`_ z6TM@8{U2X@CDZL~etqn18+YsXP*2g>ZEgNJ8I$*%lRbXlI5ITu;&u)6p`G((9CPuL SyZ4CbcPX?#h9iEKO#Lq~#xCvv literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8v3ew6 b/bsp2/Designflow/sim/post/work/@_opt/vopt8v3ew6 new file mode 100644 index 0000000000000000000000000000000000000000..28453342b1b6554836907f4ec9716113ddf712a0 GIT binary patch literal 3288 zcmV;}3@7seaLNvUSWDJ>eOOD@_z!Ez1nWPn8UJA|dxB|nML4Ri_3Ho#zfki5T{Zqo z{Bo}@0;}q5sQ{aoJ$t*NoG1g7h|GqXq4gXD63)W%1z;`e#Rl+SlI;h7*mj6DN(rV2 z*I|+l33%uil?N+OIt{iS@bihW{eT0e3T$CQ6sA~nN3Lt|zLsFMjI|t+qXR51o=-D^R)scne2?+y@1LeEi!Gg1*c95%|3h z-C*AcD+|T3^A84h{+aX=(MbEA6Y%bg?N8VKsSBWE~x?1Bh|FS6?dXS$QM1$bCxlm-z;_J3m{334aSWEZVX zImsB^l5QuB{+DdM{}TQgb>Pkw8h~7s$Qwq8>x=Aj0y`XZvD5_`C|wxR8-pOa2mH1J zY8`H&d;}V#N8NfG(yXe&{pT5a9>$>X9v@-viS{7JY5SAs^06x+O1d?+A24H_Ga!~Y z;f7D6JuxDW5@b3bC8o_{AdD?Z*TcE^nIqnwasMPn_Ty_{bHOtuLp`d-2l{I%u*%P3 z`6haoH)RJCQ=~B)K^vUAoOBILCyl{J3I{5sE?u=Bp8hDd==qrk{-1RJ8%FlyZ*jP@ z_h5Iyb16eTs>TP%Y8qgxwy`(hnDERMhq8ZL{WSsU)H<^v@K zKFi=QvJI-#hKDq2wXoI%O@NISE_-XemK%qv*}E|Jz2Dz4m;8~+X|bBiD8RXsoC#*g z=7~;IvnI)S6Nh5J$CDfQRFdg7GS_dE{yXus&NB$=C$4!vDg5`pe;Kg)?xpF2H1|FZ z?;fD>qXgNHU zV^c3KHxGRO9YdAhC;3EZlWaK4TSeczh|NaB*>;ad1NPBw8!$-N0sb9Htllo<=ATLd z{%qh&fd`s;B%-8S)q_d9Gv2jNQ~c>EtDnmH9Ph0Y&_CQXpTmneA@`Y(kqX2$!$3$3 z1;fSBQWEesKmmUgOr1tDXC|&V0pevK2pk6|e|RrB;`dX2I$z`;OZjX&_sXOlv|iS+l9?tPLti#*G`~7g#;`}PKSe+Hg>c@1V=e=LfrydFAC-; z>0xLc`@<_dOu-nA6%<=Kq&Yxq02lfPQhZ&|MFy&81?YPnyko+iCNKDCXMuXlL&CRG zd_*_Ikxd;0MYll>8%C6|0}4l6p)4ECZ%DBGd&^1twp(Gj$V&W+y1z^5`X8)w$~|yl zeLOxhf#R|zDz+)ZVoxj`xW#5wV2d#TQ!!KClN3dJQ(k*C>2X z=)-L=+uJzTy$#(6nbB|;4XQc4psou#iMw~Ue7ZZJr+Ru&;imRrY|=faCJSm%{GlKb z1kXMu@EZbA`yf#P8OT8JfpZ^GdiFtS9!Wugk!~15sD}l3_me0;J^SRR z=`QU1QN8Zg7p-q6`2vTyb9^`jfQFcAG^*>PFQ-46^sJOas!G?YL}0As$U;*^N;KO< zM*K{M+(!$^S)P(ONKJ{Ylu46I-nZ_cN{J5T=t~rj$@3dCn*8(I%B(2IhuvrGiR&ry zxV4WNd;93K9IQT`?j5q|p@5khME^a5IUq0)7*hh)Hye0-YsA7{3?U%{vLP&f8Vj{8 z-BCCm^ZPtvC8{GkIvcm5{3ParEJlaT)p8KP@S&EFtBUrsZ-vb$m|K-%{9+2L-N!oK4t5U zCD87e?JthlVuuR5rb-VqOSy=htN~Qe6Sm2wy>_239_60-+l|5eg907-c}W72il#s*Fw8 zQig;;Nd{Au(nl{ce9pj|6tk2iSW6bQ8);Az2@qUH(#tnj>wR3zQ<}wxSOzSKBY-a^ z1CKF^0I$_0pIT|DFPN7Hc2=!;%8z;_n5Y$rSQ3zk5(LF5+nzGw1?~y*Y%@hUds*a# zwoT~JF+Oatgv08 z3(B;ukzTtR!K)`xz4Y5hVqY30^ibps00000QQl4TdshkZc1(O=g~h&0VXeA6xiFxU zt2!~(tN*_h@l)IZ0000i)s#cwDhOP!oaSJTXbGEQ(6QwX0~zlK^Byt{FW4<(?%k5! zBWUPvi2GY)-M_E>WwP7IwT*#DzZi^*NP)TamRGV$7$y2qO6DHu>oH#Ly3{;@2Ljg&;CXQ51RqIniKUDxQ@~ zwGVEp!T8;lFjD6T*ty3GQ(+9C;&m5U4wbfj6s+2JNb>+*liTHNsd>(=^QiT|=D}}+ zv>26u5DVao{#UfSZcKHsov%_MaeLIis2_e*$$VMct(U;cS_X*c(evibUz>AyT&|G z!>;+lT_K)=$ak2rc#QCd zf+wu_&dATh8qfWWv%O8Al{ynqN_u9)M(W(+JLAC0L1_qc=-x>xrJ#;St; z*ugxE`RtPBN^%(6$CF?*E_N^bjdHouEDFcqDryE(!fLwT6dYr}74iaalf#Z?QNXur*rU`@JUHJMAtBczdtZK%N+ z%7DuEm3T%{?E+ctU#4}4-)t89Sp1IV0x^WHV-28sBWa8n(3OFQmjxc``@8bL;vBt! zj2Isn|GT^530#cG*?^Mr;uMS(EBN=}?IQW9uCC6e1MwsJacS2E^4e63;rX|>PdP&# ziHh|mQ)58TMy8tHd+Fvbkewpk5?~7Yyk91)_)azlHZPy;KC~fJ4Xx{CXbqubwFF%V z(3F@F`mleZrgK8&Qw#f-Fg&9*Z@IE!81P+HN$P#+qy%INlscW@MnPDxdiC128Bt4C z8`$3*vqvmKKa2-V1L)>b;mP82u?GY9Jj5A}a;Fhq;(MtLS>-0|nTu|R|IWUzs6#O0 zO2Vf_1s|$sb-UMed-g^uz{cpD<_1=+T^Sc*2M@<54HNUvh0eAVc)ggcip>_}T8QfM z$fvc@4DG(EsNe2+ObR4l+_e``Rc0H*yXS5dm6Lyq=?K4!_c*(@HttU{yL=eFvr9Kc W2Ri?RJ3g~1YzYJOkTYQch>41Ih8COv literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8wk4c1 b/bsp2/Designflow/sim/post/work/@_opt/vopt8wk4c1 new file mode 100644 index 0000000000000000000000000000000000000000..5a950af3817f71acb7ae69f03e5839b91fa251ce GIT binary patch literal 228 zcmVHxZzk||i(u--d|LpIwmy3Tq_UVPf{Cq{gSYH`0D#}Y>jP80>ei%w#NkJx z^y?Fq<5UEHPd4NQAwtL@jSN)71?puGV!UF+26skXegEz{>i%4w%?7#H9Eil+pKY4l zvmQLq;y0!;W*w;TBkH$o7Y>gUbeqBuUY!!^Q%D(*$(x7|t5i9u&YTZ6oU@<++>R0l e;#?t5(p7JxPchya-)3z~tDtG*Dm&@PpyobMfoYon literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8wy6bi b/bsp2/Designflow/sim/post/work/@_opt/vopt8wy6bi new file mode 100644 index 0000000000000000000000000000000000000000..f26cec9a0ec822e366d455526d08c976ec67b571 GIT binary patch literal 21728 zcmbtbU2s*`bw20n>TnSt3tM(bVmGqE39+${unAUT65k{^r7gB)j4`&s`nRMaBozsa zTiV<>B*v}V&^B#xChgp$w54etaEGQm_(2cu4DGm6W}2C}Q`+I7)8Qcxo(?m0XKbtA zxAxlmZq7YN>?<^*yR_F@`}@{jYwvybIp=Ci`JO0_R-7EFL{SOy+=?h_gM2lLqB0~z z)UGmtd=%{!kR`~tRNfy$mZDOZ$Wpw%+z~~Ki=8ps9i?~|1nn_kqkoOc@jt)-d%B1p z>aU;;nfy7K ztB`*Gm1wupe>T&f_^tG>XZoWl{W$>QNd6#;;=h>bzfSu>NdN1NA4R@q{Fcw=(Y9Gv z=8gIB^Jm)Sq!SLzC#{ToL;JPu^>C#9Z2&GVR#NqgFPip!$`juS1O4a#oN4kx(kzns zMZJ1YLi6F`>UgKoH~Dd%fag94=_fd)xKx*Z6_-!iIWOqXxY_ol;v_4~{Owo`JL4xF z=5hR(@o(biy!825zY_Q_w8*bGj-T}-_IB|3Gbi46$dw@^Z9kXV04m?c#H9K{9?!WH@3*H zIF5gt!A*%r{wEAyQ~j&P&+&7gWBqru$gen#e}} zxAGIu=g;PUZ-!s?Rq&r^kza8f|Df^{kNo|RdGmkN___RZW8(PFw8*bGj(^JFro{94 zv-zLR@UK$-3oY_1j^jV7{KO;wlf=zg|Hq7<8$b6gj{m(D`4z|UA2+xu@yO2$c^>}> z?Z|9BwedCu@A^kesN`J&LsBu_3<6mlB zT0C2aGDgbt5%Czuv&7A*L&f+t#c><`5yy!Zjyr;@N%Rn8rao#xX$LoH%L)aeRvYT#qfrVexDnuWKB{ zV;qA8ahx=MZa?awANadaxK`Gq;<$R8Qhwr*e~7p_dH8;Y|4!xaYmr}Z9RF$MCm#8S z3;53%zl7I!e?Hu$aZG3&)_%SDVDW4n&S@OPV;my|ahx}Pt`6&!|3r&^E&F$e>Lwz?u*JVP>&~r`(MK+>x$_r{?xJ` z>+jE>Ht4yIXs7&leLlo^L;NlW`hh$MuP4y28t69|=vNE$s|Wfm1o~YL^sAsyA@%50 zzb@31{#M%cVe7j$5btQ9-zoL0DzBAU-V5qCtA18y{oV`Y?Lo(oy!5M|jmyd`@6kZN zdLZw`KtDXh4;shDK)?P#zu7>)lYxF`)vvDgwKALMcLV*R%sz{0k-J}Q4D{<)zl$25 zmD%|2hMm{jv+Do0%70Y(&nmI~Mck+V7k}`1`A-+MT(AIcm32d+naKFaZb8lT9gd;i2X^0Q0%V+Pia>)B_FU#aLisCIW9t%%pU_^TwIlmitOyD7<)>8&ZEa5;n#$B5A{438h?k!`#m3z z&jWZrPJiM(4w;8{FZICV{emCg25C3q>oN9}{yv`0E0$IAvWXIS%$JYXEA0jz{TLsm zkH`EozYrpM5F_3eNFQ&Tv>SMR#-7rjct!6A?uVUtRY)IihqN1b+l@V?zmJz4Zwfo{ zb{c4tnR?)j8h?j3CG7^@ zgt4de_wlmheE@di%^H7)cU0O9ym@0!=}){TA@kyUkb2-9GyV?mxU?I1HDgcdPrO}_ zd3c;B#5-a99o|W4H}GCJ_LTm_;|7z5_c`i;cgpx<|1|6Ow6q&|bz@KIPdwH?4{s~= zz&m67U3_Py-N5^?v8VL+@v`-J2zKI~GyV?mEonFK&KrA5f8sq2nHOIl^}t(z95}_(r)1W zm$9ex_wlm%dl+`&{oMGw{9ToH1MfX!Pw7v*y^wkFZKED|?;C$N-ZFMHe}Bd_V*Dxn ziT7*)-WL_GV*DLmcLuLZ@#s&y=L+z?qzEu^E=NG@eeVO>SC?5TZw~zgD@V=~g+cJ3OIbkEds^Za~csxkt z;eAE%c4YASrQN{Wsd)7F@v`~*s^aa=;O)!c?NvPb`*@hJj1yKPw^L&N4QB8rGI*nk zM}OkIVEQ+mHy%~IS;e#a!Lbb9QN^P_@dgU;zNUD!44!#T*2v!p#iKv*1`F_hQSnYH zo}GWEq}{;#uHw<3ctZtvI~4Ex8NAaOyt?AipLoLsc)z50XB5xo?_37&tm4t1cq0XP zzpQxY70-@$A%pjp;?bXYZx-O;%VWGx;ITyJQ90B873wjcx{N-#=y=5+`;n0sRR2!K zuDHnu6|U#T_mGIoxU`?+*Z!`peC~TnJ2jr7`vtYT`-Lv}^L~MP@U318c>w2p>UqEL zQ;By~^8QK_-z2nL_q6)!O3ve@cWT${B@8%KI=?;+C%UU6yQIh_!~0#mldCOAHQU9n&O`_`lk5%sYm?1kbe9ayu?p? z2>!tWd^tY?pVvztzen+D55Z^PdG(d^B=EVOef+B8(;k99Q-Ck$OW^Zd=;IG6KJ6j+ zvjzCXW&Q^tef*l@(;kBVYsAT!|8iagKI`w}*A<`k5d1?0_;P*({?QEng5uL2f{ISu${VfX89pC{8EGOm{j@a5bF{I@dr_Bk}| zA^1G6F>h5!KX05*d3lrb4tU>z-5-~Iu1!06tB_0OjprQla$WkoFQc8uJ4iivFG2de z_BlE2Vba+(#N;Y*J&rdeXd%B{~HDP!-{`3gKwY9(;kA)^9}P> zh4k}w4DGyejZ%+smE&v2WuNoYPTnfyQuP`$ekJQ?*Vk`CpU3+m_2BK!@Y?qVw1@Ei zRssIF;`e0m?fVAWL-P6c0{jWZ-;}|(?C52T_Zzf_;D4h4U(QRw z*ZVbh?y~PiXb-`Er2t>fOTh2X#BblH&>n*S%>sNmF9Bcg=Un{uJq+z3_{R(I<-7#^ ziA?+xG?=dBAl=YUu51Kqgn`ykrMYw?Qq8SZm=yx%V1mGcRB z^?uRu+V@Mehw#2yfG_70;PYJX@1OR)6z#;n-u`)_0AJ20z}Ndp7r%XT?6_p|?CvA&dzwEm{ib1-{t!-ngma9!zg^|(pmx<)lD~q2_*VZV;pyz) z{SNBd#P`<*zlpB`J^8u?ubI#28+iC#vuP)s;qZOCS`){EZ{~yMFz`xc0zgM4W$8{qQB0QI8*3F#T z;s>CPKEQpi#=A%L@oms|psp7H_yL|Hs6D=w9pdu-k5S@2`v>?0-a!_GxYSb0`3&=T z9ol8QFMR|S){T11pQo(f$Hl)a@z8Gbp!3Vk1Ea4bW`F4HU_bH`AI|}jpD4blqv#gu z8Rrin7@wW5R5QN1>a%%~^BvZc8Mn)e%Y)iuN{r(f=Bp!$W6g);jd6Vfdh%27=g;xW zICTBFJc%)i)_oFxlu^&ysX)Kg5N)U{o9Nf|`$isQN5-%E_zwO7K3!J_%V_y_T0g@4 zvibZ8+IIcW_J{aoT%3@8KRJh@exHKguOIb({d&;ma~S4SuaYzUOz;Wap^j8g{h3(vU(!TQ5fv2$zPz&{ZrRrLObGd=b*n-`!`L$t>!s=V?LU8C8Ztx;e`<5xsU%NHo+`so*x@#ycz{}r^?ApTb&i6i?b`pde9 z+@Nvo(Qz!mk2v(ti|Y~SS*H{DB;GxyoydLyJpNx2$GJa)M}I%AD*JnR?Zv#{{RrWB zZXVYDHm~$2?`!xZuk0h>lli~IIOv}@jxQ4qc)yKL;>kV(9NAEp7zh3RaWKFBI0khb zNJH*8=uch|`1l?Cf`996qA0t4{B@%*-D30!{lHsTFZ%m&ZAW_r^834x93O<#Wi2G@ zYv(iPG3PV=h(D@%zZpH~_j}N@|E+XGUgX@lM1JU>jiU{5dl%ofrV@PLdyfH7j>&o6iR@&qZ(J%#(-4=EjISKQKEm8US4~8?_raX+x;#-;Jd0crehw^N`F`fwXGAMr!+!#+!AkX+R z^Y(=1ts2U+%)D8i!BC!M=FRfd0(pj)nYVt;TRoI#nR&B33xPZnb1zSG{fsPgy*_Ez zQ6S%u8|2%ieEOcThGvwv4_W=(*b&)}rU%TvG@dg~fB!4mFL)5;KBey&YZkvqjt)%B zF|RLAj|AhY!m97#Nv3%=YyB*dfXYGN!~2uU9s2 zR#NZp>rZQ2-#6B1U#f1S15@VuF*YzlzL~?*^OR$UqWM<#`8~?3?;8Vn?e!~s{A~f_ ze@5fb_mVBdas52Bx4_?n>wU;|$eX`%UO@R}9-!<&^Lek1SKn6#jMvTQW$`_$eEOa; zfX~+1tHLku-|T;_;Ol$P0Q}));p=xbzuUQFrnU_}bc?#!g zUHM*`Idnkk4@n&0OZ;>5hvb|yGJjakIb+e`LtGCS-#GoprlWE0lk;O{J`GIHOFyr@ zo_>=D4@%uf2L?8?{m?_vX1l-gyzt-NxEYs?^G%J@wm&HFdvRz=&VOUk)EwiRnw%Cs z(BV;5QhPAk+($jnmE6b1RL-fKSJ|WTMU|5(r!#HaZ$kAJZ&ce5=DCI5Pj52MW0+T| z+w9Do$ob)DfO!}hF>-R`r6I}Jd^AM;)Xa?B&qt7~%Vab&EBSwUWQu-cbI}z2(uo;7 z^JhDi>(}8!!-6xNj>@@lbZkoMh~}vH4Q$>@nGVkh{sZZD$=BTExSVTVoSJz_>~n|Y ryqO|!wg(Wn$ob*>DUVEyO1(K==5;0ih{|6-pyQPm^hg7KR-F8QS3f?p literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt8wyec0 b/bsp2/Designflow/sim/post/work/@_opt/vopt8wyec0 new file mode 100644 index 0000000000000000000000000000000000000000..5fd195244ec32c6947c1e0b59d344e1ccb2281e4 GIT binary patch literal 2091 zcmZ9|c{tRG9|v%Q#(hVwFgb^YX)@n&U(=9t7{aQtt_o#YDxw_YY+Yf{ICJJccI+^e z+Oe)^DcOEGn&ga^l&8BKo6CG~(Oi+dY6EyUyVwQC1$$}1p>MRD6J<>C1D{ww&u3xOjc_+1Dc3E}TT zo-B#Oq!V6W%XZKfk^;e4l*D1uiCtHCG?^&{ z7SdoL0~WGiaS|-#z(O7@6u<%o7N@{M@lYroZc#qkqH-vd4!0;DZBYdaHL!q#g*sSh zfW_(m6LIx~Z+{&}NVWaj<;vIT9W+)`0QGN|Z{Lq5k86Pi5-iSu1qv+Af`#_~M7L8! zH1b%QYam4J*+U3g=-@q?E~q?z?>?}~otKWO{4yO6#Ok0?3$UMMfm^*hp_sf#jk)%x zy1Tog_1hzWxN-Mq4B_OXv74cK?6=5XWDNjL7s$lCgZYZ4{;eNJ-_EP52d2hi8ZaXB z_lx+n4OpfFvL1f|0dsa&F!Prs9DD~2$9p-Vmezn$P1*t`u;ym9la-NJc{)~64{$3* zDr5KwmRbdHTI&BEWId1o=9~Mp)d`-oA~CcpG?{9e5G*rBxt^IcZikVbo_7 z1H_6w(lNP_%xF_}z-o#Sk?dT6b&v)prUR>|T~c(;c2R&<=>wpxM;{Y~amkzkRt=>< zf~sYUg!%iQ#1-|B=6f7+2GGuD?mW4P7s7cU<@xwR?FwrsSI1ZCWGRnkWCo?%+H86Y zQ9Xe8_=R-7EWSmmW>|lzr7k}$$NVW&>qX^eozWV8;6oQKY{sUzxKVoIw_9~66wjxZ zii<1}&ZO|i3q3b}9%@Y4kHz^tXzZ$%E$5>Tt^9hvOLypffz2`%k)E=Cox1+F1$1}6 zJ*OhIo>YFZx7=%4QN_0Ug#%lZTK#Ma8PGXq(~{`tOi6Sb+jr~e&aa|9E>0y?ShA=- zCD&=dj1#6dnI$lFJYa?ywwJG)(lnSl_L;x1fl5Wxi+e4EvRRi|hXkLx6}c?51<^q_ zn-fbtAy=RD*~w3zcQmBgUDB9#M;RKA_;#7w2jOSVOuWG(Mw3z+$02Kx@v%xZY0d71 zQ+{Lgk66fGD`yQV@puuO(OHDiGs0yR2M@b#zNjWni3qyQ?xvQ^r(S`=XLnC{zHXAg zXO#<|eUegTFXQBYP}hWVRw6#4!Vr9&DCsR(M0&AWPIA^yjfqwR2UM%Notw4=c^;7d zEIG=j@ZDBAvt;sRv`MCJ(PB}qUbE**m1^N(ZK)*{^qJ>vKHitb;Z>Xb7I7)fukISI zGDIeDlQTH-^oiRr-3VupK5 zZ<;Ds!;9Hyo*zn=F9~weU*t1vq)0uM18YtZLk$7y*9O@vvmxxtd4*Dj@OnnFmc99OfTDX1cD!aJ)3d7Sy$=}=aV34PdYa_|`PGPp%Oz{ebRJY{b zep%4z1hp~J-r^no#)R@v+SH^0fV880e%@}`B3C_MwXGLJqzt{OYM5k$mIp5y193E@ z^&eU=_Wl-`4Gb3F9^?9lhyj;=tLBE4f8I4@pU3V&**OK~TzvTY4V@>oTll7Pa*XNF z+dYwyy2MY07w)s}_5US`OZ42bO*loIHHZx;LCd$uRPj1D)Fzw4RC!0JSHi63P*ak! z>7HzcrJlOO7Aj_YYhl|KA(GU}HajNr9?_V4Y)+nHMGtkSX~n43z*k1X=t43Lv5%t9 zn4zh>3%|L*Z=!tP6*%@JbpSdYq5&0**AERZE|OxSG6)f)8D}j>gR8OLyioW?w33lT zI-@lBWYm++dXl+Jq{qd0M$>9~GTdL0NExiq2t8|qH@3lloLZ;Y88(Oz6} zbSGJ6*zRg>S)FFVT4^|WX}XV{AUQPfwB9k}KREx*Os2{Oc9+e-a?ayO<5v9I9o+hw zs$$p6?(?}eB7s@V9y&|VD~grm>=TYoG5Ikv)BA44Y1>~OYv<^4)#%~p=NxQ0VUw`y TuB7&kGJ?zT*OG6`s+Ru&pissA literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt91k9s8 b/bsp2/Designflow/sim/post/work/@_opt/vopt91k9s8 new file mode 100644 index 0000000000000000000000000000000000000000..2cc2f798d38870197bb6947d86f536c6dedb2962 GIT binary patch literal 919 zcmZQ9IK?O0V&!|%OB_gAgbTb{-4w8#0SFkMFarq?i$M`gGCzY0D1UHd0!gtv2MV!* zWq|}E6OhmbVh{}h%pe62LqK|f90rybD0*0cLOMWf** z3Mr!+15$u6h6AMMH6cA91qeNyAU$sg=>aJ~=-~qCc}qwSNC83*H%QMrLV7?75PEn( zdfpS#15$v{!wb~I{1Gn1_d$?}4zH?ltUSE}FL7}xE+&dKVL=ElgQ3W={5cieg+#b~t4GrH{03Pm;5B%P^O9=+bm zCo7_Mp=ece+)RnHy6nz;v&90!E41cJ*0sFA7SZ}%5uwGTTdU; z-FYFucm4X3$k!=+Gww$1@5-ICAH*x?U2!Cw;qDoJO`eT$f7eR(${9?P{;c_id-|4H z*7vvTckg}25_y$vp}@UIT<5dBJ5S6PcT=l-zxaNa(S>%2Gjo<0oQ?YXR?Qt{T$|BaJ>&%b@Y F004wh&LtiJVBJw0)Wy&RCrRd0HCxG6|yA@07?r{VTEJ?KxrW=@DrG=>QjAQ{oX(1{+D_HENpnvKV3yPKkpy(8L29h`ES4PdKfHytNf1vyc{c*XuIsTWZv z!XB8~HFPwQ;CfK1`6>m+4qHXgRxt&>Do8Oz1I;QyKo8BH!!GLlXAS^(4)9@T8jL}q zlqh8l0QjnS6bZmB$*V{|0bFFLg0Y(bG<`1xOahLPh)D1{UW-&21zyJqBdHNePryxR zz8*wHA+;|kbsdeY{S!`{#=m_lv88{SVO_RW}5XT4Ozj%;y{oB%Hl$4 zpjihgC>0J7z%^j5JbM~w767HfVFFmeqeuWsg-QbW8hg}mG)Tc?p#X3V9_t_~9Fr^n zC@n;Vw?WC1{FAu7BtSpZO4hzcJ_766nMqQZxg z1puXms8BCi08m@ z6#jEETY^&8)5!XkLKuDQX1`p|P}hCkI)F2I%Ih#=dwfY&XeWx-3cdeX0N`uqVKF8J zy!Y*iv*xl=p=ww(qkO}@Gar74r5YqZe5b{T8DGU z)5mTG?f||gkh1{rG(8roivTWi6o9AcVevgFC~E+qtl@l+g2&|spu83U*urC}MTHBb z!0Qk?O{0eZG~<$U{P$;uva&ZFe{D|n!WYk!oQOZYWZ+78*ZM2jN8iZmx!lt8*?Y-h z!{IqIA3hwLo4^zF}Tb%V2W$wvOTq_tcafOB!C@{7v@_9pyVK*4&xmtMOI-9CG&N z_O_Wv8XGso3?18;G4OHwVB1grw@Pb=`ieiAaiQD)<52t5%R$@c^5SOJJd>j5Fd z5)ioomseprm6D#!M_5iHJl<;NmG+(!6 z|AEbt^(yZDO#0-^lcL;WJ-JZ%^`sIz*E=*$!hr3o8*9|95{5n|&wk5s<~GEvM-Sycb^lu)aHlT#H5@0 z%_8Bny|vY?J?fTMnCHzJ`1eE4pFe%pcV|>Q`*DP=i*ZToh?aV1()vi5lT+A1bFcE^%|Gjf1yL+&wl~p0-5k&2pukUO;Bg-d{c}b6ylVU&gga?LJCYUnceo z-^5l2^OuH$i*fNeoh!B>!?mq9<8hsrk6~qk7i%YfZahTMnQfxg;SXlx^cxQQkb*_d zRJ|5yv`DgA-PD{j*oE#jR6+_jVSP4a=go7fiO9 z$WeB@yF+`8Udf(~(9jus=TNvo&8DvKkt&X9YsiXd1J}ujW9wP)f&amirABJ^WG&&_l}3z*8}%=tu_VoKI4?r z4(XiFdhZ}H5>qY+qG{{ip}mr0<`#eI8mb*U6A;8L9yDy=pXsQqBQg8#c-sUd`?f^K zMWnq|{*tHPuNbyuaI2KRD+GZJsUV|oZjv!#{8-$_`6QI_G2pND2BfWP2A&QBV4?dN zsC@StsHccPtb02UPKp6B4^CJg&r^~sC2Y(_EU*jb)n?=GT`c{uqsHfLpq{x}Z+MI` zK1jRKTOs4BX#%G^M9HH@smtxR*%*tq3z1Ey@_D>G#_r;Y2@Q8(Sb6$v$JGE+X2I?% zo7Gi8%W-;JTEbjX-h|qRFlI?$DSur80_#90qr0nQj8R1vmmN+*x#R%Fj4`*9#pN(bD8*#pPxXe*m(bY;onz2h2%S#Q zIRu^K(3$)r=d?$Fsk$S+;>w=!$z?-vhY5!kRG)5VzI^>~O}(Et8J}WzDQI2ZxZ#-C zutU|8!xZ|Y+dSGTZt2g)rQJRfna54v8n*QBvQqvDRRs2pBN_dL9Qpz&8|guXocAHDPMMzSqI5b0i<je=flOT>F3b2S8&)1ooa5`bPFkLHhfGb34R~I7zgYU^DvV*W&qsn&82?eMUYL zUXDG6C(qh!xu3&MrPMq-mh}fL?5m5g0y_Ym{LY5e?@@6Hm)I~Eq<0U@UK{0 z%r}@N8)kVFX88$bSp&0FhFM;QS*E}&DgX6%Ns2O{oYpD37@kxReLD5V*xemw7F84V zvbQ0Bv62@)keqjIk4v@Ky0XApIw0S>$>5sB@23>b60swux6tS3;u*OdHx6BK09i~O z^;w(rm}TpzNqXLk1KksN@Gc7tQUd(Isf>CsP02hrQ!)w+S_^o^p?KjeOD8-Cm+mVT?)0$}Qmrn<3m`!`WIXz=OZfSjVaHZ2o zlP6POi($pu%8`VvbYRt01&)j;fy0Rr!1Qas=<%fbO6$qEpk;sLSAnCHnm zDJ4ALhFCyw;GLX+MoVaPhDO_G-Z63bO*A&fE&H5H5w=;gKl9Am8Qyl=qEP)-IaPKh zN=ts|dG+`qYB{bES$=0&)*crhH+X%$Vx`GdNqMTPvEN@6Pg}roD)Az-Zgx};Vw0!K*d&Y`XciT&Eb1pb?X7hWI@{EbI&YbHB%}76c pk*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt9hvhkb b/bsp2/Designflow/sim/post/work/@_opt/vopt9hvhkb new file mode 100644 index 0000000000000000000000000000000000000000..9e241a35f74eadfcf4505362269951d771e6a0ac GIT binary patch literal 13693 zcmd5@JCht&6>dqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt9ttxka b/bsp2/Designflow/sim/post/work/@_opt/vopt9ttxka new file mode 100644 index 0000000000000000000000000000000000000000..14c20dc7653051840f660a212505584cc31053a7 GIT binary patch literal 1232 zcmV;>1TXv3?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$VV);43g|g&@8FAY7 z-4|71R`*&~BWrE!29{3QA2^ON(S`jY3Xn$>H5G_A{+8O%Th%8jV9;DH@TDOoalPFN zq6%kJ1XZ5sNa{?G(Ui$x<7wl7hLe^+O=n%e+K%eL^;gZ!X)wpB%=( ze|g-%zp}`{KPADyKML}|KUB29Kj;|1KahFAKVYlBUFmwjElg!K_#R5OCB|SZ3(S!i zR@hT;?C|cOn4yLMaRWs_qDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;pRQx*0^=4z$LjFl14S!-+H^Olb6!v!l|1cUQx`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Wba9ZcE8df5BOweYOaE1?nK7Xo|i3oO81l+Yz= z*>V1q@}nSV>rO(DLxTe~aF%9QvBU-r8Nw{$#0^}qDM2N*i$WX$_ z(Ba?E;e+?!gGaaQBd1ti#KshAd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP z23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm z?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv z;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8 zLsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)> zdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom z+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0 z?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b%v@y9*!h^? z@v}jIqbJiq2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!` zKLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7 u>0wW(l7qz%WkA;RfbiN=Kk?*+1Kt`P+l!wAvxV2}Qp5H}h^!2Pf%T~kbX}vX5JuIAztians_d#%= z1QvU9pm?tk+G=>PYJUsRpzr}pV<=j_wPD}c~7r*1qO={khVe{8ch>nh5-E@;+JPe~c4Fqv;iJzDV z^9U!V=!n@+Ffdu4j6 zIDh3XlD3}Wuvexx2tCF<@Ek=7l+z|5W&d$_Ezf}JS+}7ez7y*E{sh6B8=$Fm0qo#* zz?#f}dA$LQ(*&4wCSdHZ0Tapr!$SdsNMsh0j`ScFME{>*bXOn+$XTQaxrlfmT%?pp zA{fMKT|ZfvolT|Qf1oyR$(^%`6A@0Qy(+lwp$FamU4e4d9JZY^H>*ko4+}HGS zyvXaMQq4*Aqe$&V8`L^N@0s%r?X)awFi#|0AY(=I^EGV-+^U~f9P-gs)e7-7=KRE^Xii7-LulT% zTXQ)fK%#JS-QlB4bR6il%82(uXUa|dv(#XPT(rm1Q^RxhyqtXg`W>pajG^w1|E^j0 z`0zs0@Umgulzq=> z^YI6Gf5P7iX^YNsVRW7AQ-SXMitHs?f^Y7oMCI$Yht-*enOsM`PnAr-KO%Va^W*sC zq}6eC@1(|}X3n6}zg+5fG=qJp-8um2wpjWHySR5Q(QA#KPYQ?fCL60Nl+>IrJlN&a z0%5XRg%3rBK~-n~W#LwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx z&$0bRUH-DTA3kox-!JhTCppBaWjjZibK>|<81WwpjNfO(e>O1wlScfbf$^U*;=dOd z|0hQL-v!3+H{yR982_*le>yP!(?I3H~C=a%?B;1cWud0si0 z;7Pv!*83RU@50^fdj%&xk8k$4ZN%ewyesv-kNeIf?hi%hK%n1Lpx=Q&zX544)uop8 zqfXT~73g;$&`UpBC;sA1}E;#?McT_}zi=|7^rR z92kGXh<`jV{$Gsvrvu~v)rimGf|Ad-wwqeG&uPDZGvY4}jDN<6e{Ep=zZ>!Wf$={z z;&%te|A!I(slfP?M*MdI<9}wv|48DwzASV<@N*;nKLg``VZ@(>iCWi(h4`N};x7%1 z|1Tr{`oQ>K8u6j~TfXwrCLIr70PuY0-#gCF_wNzs=ll19^Yi_CzWMq7z1{qL z{~m6B{(4vM`T71m+5G%g)(7oty(g3Mc;C&>VQ}BgeD1sX`G;1#Cu2U_v)=b%FGm#L zhg}W}y!(j4pI6{N`h|4~=pOkZKt@mKo`z`CemGwT#de3CNKeFBn zS?_zS_c+%38SA}^^*+UVPh!3Qu-%D>XKES%?x9;z)dwJ`=o#*9h z`vmKL+`9L+?z63XYU}>lx>vUDi>-TL>wed|x3%tLt$SAM{?xh`weCBudra$o(z;AyH7qHIz z);ZofpIhf{>pX3pldbcwb*{C}tJXQxI$v7nM(aFio%5{on{_U;&Rfxa{5`{^IGHMvwOXN%)8&EE4&Z+@;3St?;2S0`uqy-KJjBY{dO7k z?eOv01KvO8J?PRE-bZ|S8~us57M8p|zrs5sek`Zo9R_cwkIx?R{xROR{0i@J@nbpt-eK@w@8h#4ynoF5tV>sTpYi2w z^e5hL!IIbKS9ni~AIs_YPJ?&7kI$a+{xNUP-6vOgpY!Ey^e5h{VaeU9O-D~i632$eLcSDMI zo#4@*c&`^;pI_l!FMceiU)kWjQFyyjyqi+IHwhm7iT4KK_4yUvjpE00`n}8Gy-9fa zelfWY_N90?3m*N6ceC*N{0i?@@nbpt-fi&SBD@1B-cpLUD0uWI-W!G2=T~?~#gFCm zyU*a=D7=#?-rXtQy9AH^#CwzQ`uqxSS^QW|KkNHPw+io6ig!B~<;oY0!-JjxpNbu-SytfFi&#&z?ZTZQ*PiuYiO_YuLP zKk?osygt9eJ0pH9r{8-G-frPNl;S;-;(bi;=uf=g5ni8P;XN#VET`W^u)L@Hfbi>n z6WMNG`Mb2(SI+vlc%DA$(v^Ao3Bjd5aUU@7eoye8OyND1!aF8-^e5hf2Hs}DJD$RO zCWUuG@aRuG>w7r23Es&R-l-Jcvw}zeBwmj3iTCMS1n;>NUJeb-zpK)Ra<)H$IA68V zKZ%D1pM%#Ucn#h^?hpSxmkQo8!J~f?uOWrERq&Rl@cj2yDtIk|M}Ok=N}P2sD&>5f zo&J}?@|@3n#4kG!wiEZF%;z~@?=4TsJ>@KF#(VNwpA*`KXpT?)oR6M+{O5cs`nyFx z{i(m;<2uC8ea?dY#(b3L*SY?x+y}2fKE9t2e;?r2qO;!nT=qBbbNfBdeK784JAUJy zHp}wleeUf@b9}U+<9Odj=j8a>!E`q2*zNop<9c-AfXVh_IqS0smhXvBEyirBkc z=ZNtvC$HiGw(4{DDg%E^@MWEi@%8g@EKk-8(_2;iYYqHy!IynvjK2}}@zf16CpB3vrD)=l9!T*)auUzlA z&fS6E7&qqU_@q2uH_wMZ*G=YwZ`lQ~tFUe|pLko{y4IN2b@MeweJ0_}@yd2j&g1%d z1C}T2V~y7h2L7FbpB}IJIR%!7;J?jcX~@NY2i-zoT;Qse1_%!4cs!S6Eg z?-G33N5vYw{<{TV&J8jC zsNl0a1pjpgJ~yJAA7tMj3x8H zj)vtS_&Q&6p5^@N41T=_|3QEJIT)sacPsbR+>hfi%WS|r{UWa~f3(T>JF@Y!XbGx4 zXMJWa_u>AW`GWkjzFqRiB~EZ9zajq^{CQsVwjsY+{Jm|+7bWd&L;h24U1(aWpUY8x z{aj9qw4>zHxO&k38spWUAN}8x<+(x1CBGs68DwyOq@V9eJ*Xpg+!c(eL|o2lL?GruWuzfxVylVRY(DTIl_mCg$Lx1Z3BcFEX zPU>%F8vXFcKEIXa%>OWKHT;kG@)-Z4KCVE2;y>im9n4SSYx|Ig?XU>fh(n9n7cxWlW=eKI!vY_euVK*lPF>`|=q7 z(?}Da{={efknUi95?|YgJk{EVamn^sXSB~Hf$ehuc-8cO*7L;rKZi8+)1Uf3@6#R3 zr+(^Y`#j?FTUpNhFTz&CpYi1}{+E1Qf&Rq*vQKv~KZ#Es&Y$FAJ1l}tjvvM`U&qgS zqkS#~5cCe$nt#3mylVOndY)MSSCMA>(4YFh=F=U_r+(%6y3cQAIrF~(TMhqFUmoLg zT_QgHiT_QX?qGfrU)zT~Y=?CFFpl}!KKi-L^z-$y!1nnT@T%$mw&#iUA4Zz`=}-ON z@#$9PQ~!5itKl5++4n-ADZSqBe5@8ej!p( zE_WN;GmG%=aIh5PrdihaA)OZ_Kl%%nHbUNK{wxT zPb{)*&`tkp{TI-^%9n4U-$J_Sm(+bFQq&FlIl3=4bpH+flDbDzx>=so-A?}nbhr8PE%aMR zH~o^jS0hE;9Iwf7veMA~1pKJG6PE2=PU&WOQuo#LUqJU&zI+S)7Sc_>r0z9HQTGdJ z6XW|bL-+UKN8RgTse3x5o8?K}9Y`;v`x;-qg?r0(mGqHgXR&W3JI99(yPKns0*uHobGQOfm${z<*BM7kMzw*r{!$5N#EJy$db{2TIz0L=T8&$)Ed(zKtSXB+Y- zYWTGXUdy6|@m7dmcMZQ*@tdmQ$LG=2qlTY(7iVLYi@`rysu+%lw?wm$q+- zPs_M3tFG-5uaPh{B+psT5bH6536d6Wu(ikgkm#57DxRNIA8|OJoPs6qW5PSxGlIJn^y4l+ zKNm4kJO1I!U_HCRC%J~OcOJTD_sA6c)q2;}K46y5$M1FPd_5#qI9)UscRtCrs*VR|-{r#RxqL0@L1u5rNcjgaR=AkX+2 z)`#B{A&;S54HUM2l3^7cTIe(b9LeK zdmh9e$ou)IRy*lBn!$Qn&-mi^I><8>&g0fc@T{(fhu`BM&w)Um!Qowg-&FGbqWz#& z`#~+guR;9TKz!_@-M+MUyx`WU;Y?vr=S}WAlt-=ds4WZMi9o)-{#}l*IOfNJ;;L0# zwfsH?>(dO&b+%Uj1K+LtBV%*-*NU%J@zwJC7vyOVYCfOo_To3Q6r#{Lv8pukZ0Vu&(Qu?tNpK5>oXI` zQyd(2*MOYig~p@qS5%L-r;fvPxz<9FqSWr^htzXdsmI*%c{;JtN%o7SL-#{k-}yRL*U&My zd|rECBNU=T_e-V0QFkBO10ihhURh^XwFJRf|As*L7&cnK1s%1X+7i#&vBc^|h z50ZrJH`31$aX8QW_mhjuzrejS(~7T_|Gp7<sC0|D4p% z@9H^>iH7wAy=za$wNZzUx;kb58fX z=Ou-&>u@dq7#{%~S36~2h2d2Fd5Y&%|NP)S*1Zpm=YP?OVdnY?`;5Yz`8##)iQ^!I z{9^!|Gk^CMT|4#SLUs-gE>NrbaXh}bo4Sl(JpSDtToT_@6vOgmA`YJoM&A> z6bt=MM={=)`TcnRm|wTj^Q!hErqdm6eodc`Up6|nXZ$*AA1J3gECrrbr-70C! zKQ8~c*nO$=jHC~z@{cCd`kpNLzKZvG{5}EKvzD{Ht>xDm=+`NJ%BQ&co=V@>^`gF9 zf0OTSv2OYV6Z%9PwWwryn8t?zBUMQ8iR zde`!KyRyCQcG`*FF~9zguf3A#_BOvh_O^|?^?RtN=`7(~V_{rUSMD)89+8@IaKTD?n7gU%p761SM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopt9ybcv1 b/bsp2/Designflow/sim/post/work/@_opt/vopt9ybcv1 new file mode 100644 index 0000000000000000000000000000000000000000..c8c9d9bd922ce71c4c79429f41bcb15bf51c3ae8 GIT binary patch literal 1412 zcmV-~1$+9_?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$!%0tF0iw7aI}4Z+23^pRDx2e;Jv; zzj9*0Kc%$5KMFa(KU4z1Kj>7zKaknLKVbX7KY*^kT`6(EEzEK?_#S1pCB{B13(PGT zR@f_W?C>6-n4x9>aRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dQrfkF+0 zL&Zo)$I9oCnv~0-iKV1q@}nSm>rO&}L=* zPY^g>9H`Z5$$%b|(m~-msesYK#)KBc%}IaA+tY}VxT_GM;KSwufyY5aLeI*`#NN)( z$^F&f)4TKRgJ02Jgf|Cj`M$*v55(j9LBsyE+(#rt_e%eQ~T z)-Sfm?H@PLn|~(YcfS*BS5L|*Pd$^4GM(z$Das(;pj z#A|Cp$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V(xVGAq zF)in4BO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJ zV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7E zm*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rH zDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R* zzpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG z*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_R zi1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+! z-KEsARlZ0f1LrLSMve(c%v@#A*!h~^@v}vMqbJ)y2M@-;PF~%>-TcYG`?_?B z1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%Wk^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

        ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

        YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopta2mcid b/bsp2/Designflow/sim/post/work/@_opt/vopta2mcid new file mode 100644 index 0000000000000000000000000000000000000000..327c987258050c73031bc8fe62bbeeedbd01d2ac GIT binary patch literal 23104 zcmdU%dz@WWb;s|yncPe=LkJLLcnEWXfm11jLFD6;yl7& zZ5bPEBfbzpqSlrwwyCw2BGuUXh_8y(TCG;8kD9jFrj}OlnYLj1`|h>&@#M@oGu*WQ z^yc%qD{HOadi?g@XPZ73*NO8hm8#@N8!MGLk`t9mr9o1nGS_8Ia#;F2 z$*N>hZP<9aWVKRVlpw1~Yr}#{<o>@om1G^! zH`m9*@~}?89al|y=u`gW2{rhXBskft_)o4T>2>3IU7y2pSN=KkzU2AB{8p1*;uV)% zEcx`IrW$tb2em~3U#)#WlKQQtPwRJ`>_z>~l|9?CBQm*IJ%-3C1-!s|2?phg)8P+ibWM%m?e? z+!9=m<0Jy^yb|1&9BxGkuGev*8}h9z!S&^Et4eUY4cC593-IYf%}GZI?taHD5@B%X zm*Dm|Zkgj&m*Dmru6Dd+c3vL~_NLm!A>P)v#y4Hpo$?p0lLICB9`}6Ll;m4elJ5!2 zx8fM{CtT-6b)R(Hv5xC1!Tr#2$2so865Nj*_bkUvch;VN=-1Dh(SO z!DY@d=-LNv$l~Yg93%5#59(&)&^iC8T&PQ(d5U|;^lAIuwlMF%=yNcqb5K(L>ZI9g z&cpYWUz}sUZTxEcT`G+FDefr|%8my={R|Osuzu$#(g9C^;k@vq@iJBlVpR= zg<%{k)#LqsmrTm8K9cK9PUFAV_VHT0|L<{qy+)dT$;-a){$#iB`^{#r;%DFYc}nG7 zVZ54a@&0ra^|jv<$A16Okf*7Jy=cEb=zYX<#r?w3&rOozGZf}MbDv!p?8&JTm8T9h zB@KoP=V9S*bbo@pSLTJ@~#vn*2jyy-(-6IgV2tSN3J`Tod)kE9^_vqzgZF z<8^p~;X8fa6L9!jB()xT{9KthE;-Tg9q8cDC2M!6U_95T-*n$+oOWtIfiHY+)^k04 zP6A)R=VY^Y;m`6p#q22`aQL4sndRfSuppGb105U-1U~o7z~|NC!+gQ#rFyQ1Pk6mi zz-I}0G(Y&Wd`>re$_E_&GbFQo9GA2jz5^W`I(#0OfzJ-{VO_xIWqPiM&rmVtYi4FA=ks_9PkBvmYcl`f0j=; zKW6xV!_Rp!%g1p^I3H@gp@Tz*&u3=fGax=(Z}8cq=X&^rb7lb_Ze*-C{8>I>-^%a- zhkuo1mXG7?+$lcj;5tm!zBU7&E#kxe0-tU@*Td(0@CAG>FnbsNET7e8PuCkb{GF0n zK8{P)7`_7?9J*xfu^IThOnle};j>xK_3&8>zJO1c*}L#(`GoUlW`4lo=e(Ka!_Tw4&yjXnLSK-s6=X&^rb7}#fOUR@3hCj>aIc87i2OR!&l36~EOD;8h z2Rb-($y$RBlx6py7m5$}0r+gub3J@617E=Bxn}RepXGD8*;78?@LwUB<>R>Id4}&m z2Zs)y#WV0(FFxF_;M1$;diY!kzJSkqvv=Xo^0~_FDIak7ua?a6aa{6z!*`&ALx<1O z8Tec#KHQ(-)2HWp_*?_NfX^?Ry$gSq&kM|+@&Skcg_2o5j!UjJdbog8_1D}h; z=Tza~)34`x_*@6RfY0@2@4}zubA#DaKH%`bNHWXEamkAf-+>Me9X^-Oz-P7ioF*K6 zUaseQ_}mD-fX_{4@4}zuv%%~sA8`0zBAMmmxa4NTcc6nqhtG><;ImSEmIw!*t$MD9 zPdM)t@CoN8?Qi(Ae8Tx7vtNV5zezI7$8kwF{b;{N2Zs)yz8Ux|6Ccid@Y$y4diZPx zU%)5q`{IK?%O~ug89w0fvkztYI4%j-v-qHcLx<1!417)(pEHDm&w!rm;nNSkfKOPr z;)6fSC(M6_4>9R4B6EFZ@u!-nrb2Zs)yduHIXSbUZW2cOVK9j{ME6jd}o+s)pEKg;KpW>5Km z!#^sS<>R|OY?e0G>UsfENfiGBZuQGcV{w$wgF?-4f9R62JX8AZS z`BlSrpo2q~tbL}0kKEyLOn7eC7}k&GXO497N-!hC{Y3WpIs31n>-vuGgAf4T^u{*# zCrI^i(?Vn=3GV}G{KETEjN{p|^F9>oj`3_UnbyA@J+qHAyMIbjc2;OV>#wy83^;WYPG%!SEf#k@t;>f$(^j5bgcX?gx_}^~+PW?LvKp9}mUaRr|Xyx;6=tZq{Rj(Pbbv#-XF{sGg|&js*f|6{Ya;zxhb z^eeHWSJA}-yYw3*g1#cihH(FcPkz2U?u3Y6uK#eqCysFl_p^d|C>z&5Q55%;$TO~k zINt70km~cM)4;25ANORXbmk%4{|fq@WB9aR;PpJ<2d~%Ynep&^>G}=sN~MK`!TM@P z7Obze7N0y~*BS6Bc!c|)^2YsE_P{TL3p)2R>gU(xL%}}%bEOaF?2A0_!)8B8B7BY% z`TQw%ox40=;_->w)Aeydh;K+fWcW+*vz|O}uuI<{bLjhg$zybmA&znUqVuN?=bR4A zn!nXZ`FH8Li06l~7hETM&A!I+HYMQb=ObocjUWBbOi!;9{Mi58?5+6GKWh4w*wN$o zV3(ebL#OnbcyZpK-?)EB4ZOXd%~2Qa+nMi=;jbpw#D15c%l>h*cg6J|{hu)VCB)H> zM2bh>hpy|#)=N_Y9(!Cr_|fT?@#VZjzmXSK>X)srPvY18E%x&%(>vWB=KW61qxl=# z@KX=J zdrNS!UltJa&AERV)jm-uLK#6^F@CEh%((Gw{aMZ_6eXiqJpZ6J`E1ml9Hv6=7QpW!a;0x-1(d=n`aMZ_6eeO@O z{!-PyTsrk1F#9vE|5xA(>VL`XX?<|i$4-6jpRxWD)xSbI^*P_yGyZ=KzM%e>&7Rf= zM}6$nxBI=#|0$~fJlUzgN6+=t{|fkm`hR2gv_3fMW2Zj%jmW=6^{VMtrX?<|i$4-6jld=9H)xSzQ z^*PtqGydNIUr_&1v#0gJQ6D??*W&l(`rkBrS|1$su~VP> za;)E|`s|C;f5_}Z)k>uaKUSoDFK&K%KUgO_=d=%+e;WQ<*tL%@tA+2U*4`_f`+)M2 zuZCZJq~BlTy2Jl%{5p5-7f|=>^!*Ie8{6>1!@lp>RQq|O#mPM5V_onzZ2XX<>QB4x zdGi>`?+2QH+BnI~`RfEEeO@Qop!JH*gLuZ_<9aR{XYcp0c%82{ zy~yJ}WpUHaliqLmJ~Zo+y3}zz>;15C3T}<}i$Q6;Z$uW1H}e3Ge~@ggCQEdA=&>+- zzZd(1cK&V0f3C(goG%K#hyRcAXX9I>6TjEv@e}`u*{AXQr;_-69*>{+a33!?H$E2f zPJey;y_|hf(5uNmoBbq-!(Jc%3wG^ao-gtE#O>*Rb90E-@2U)cDSp|*G z@uNR(dKY%|x#-IK?`BV5H-p3fA7(!hKYF|#e_;0W@nc7q49lNvK7N^q(5;YV52lTc^#z zF8^UXbRJ5+0$x0tO{P44W_eDP2j59pbz#7&Z$Lxs|y?t|a-(?%P}B=f2IlJ6iW`wGDpJar%#Vhu;SZ5q{r?TqL0Uwa0Y>=l6U2gy)<9 zUVPK_I3)WPt;Y>23?6>`zG|%`aX6%S58wu7!Sy~`GUv*7M`+}mft?Qz_DN^r-^Pu=~Fd;cuB1CD#R1b3e2YDn!b)a-t6IbR9wg4 zbiLM=`t|$;&$CZaT+iUM*K@z@P0IHy&m%Ql*T*e*LFx2ZSOZ5N@%LMC92if{gWY!ZzmqLr=W?^B^LC2YImhfZ zaO8{g_Jdr%;IsX1RUE%de75HyO?KR~{qpw-z>)uFo;UV;s>h$}eXMj@Hg4eaeK2mP zd7k|I#XgqF&-{U-kNmj7Cp&ISEMMm;v!~;By4Ptpdkq}<;#%0;KgU|C|-1%KP*WDL$ zeZ+B(eSo8n{J1k7*>OM1@^xNl_H^9O_Bvf=uYn_99QPLIw@-eqFYwuZUm-jD4C{b? zNs}G7e81qxza%$q=Xm^q9N+x7gCqW|T>Ns6=Y6nj{d_z);#cJ2&-M5xW{C$!{Q0@~ z^E^I(oy7W+zR!UpzAG2cI5J=S5L)Bdd7bI$JgxNnoQs63fg?|xr%OHGK6#lZ@bahU zyIW*uUGc-#qIqgJ`&RslJM0|DK7*fn`FSHReXp|kPCv({eRr6>%KJ?84KCaFHMzdQ zXZz+{dX)M;-}8_qJHK&#u@7@j0Z0A~x$(Wg<9R)mjgRva&z<0i-;|4A?eS&z!59yY z_}*N6r^n~-Kl%FLh#$?xukm=!yNqLx%d&k1yz->;&p0ywYdueXe~aT7_Z4vTk>6Ly z!+ZxZ{b}*AY)9+$39h6vcM3VrWxLD9XCQg~5#zH`Z3TOjwn=f`5@q&f(d9@W;IWSF%CGh2SHt0T$ZQj$OBi{nFm#_>T(c(<3t#c_?}nU7oN zaRbBS9SxP*)Y$lFD{^3Hs2|za-MtFAW^HBF97*Egb#10zoKNIK@@MtF65Pvs2FJ+T zUl|;$O2$6RBz3FGze^JX-#cU9mC7pOqrX%Cq5fGIDh;=DeMnAmeIU6Gki0%ba=jqK z8z7P`sFEkTJjvzBF1eoY*DIgxai_UF-DRuGGhNo3_x}r?_hT8i@J5~F5^3mXxLoS8 zZ2qGi81oy~!K5x^){V{)D=Hm{|5*PF3;~MAMyhnemlh^ZcEk_u)Y?ioK zH(zh<5yq|0#l`%QXO#JR8;&q;+bnUhe!kx15ytH*iHmj0`0YNzxIMGP#kzTZdp&N6 z9PA@UD*biT)Fc1PeC^&x%=f70TOd38;`1f-zuPZ0Idz%K=eoSys?;u z^6HEZ|3T?l+3)&RmpwWE64yCj6F1?K^Emnzmod+%>!-Vv(C1cjr~L03PA%3!i2Z&( z4)JU-W3ds;$FucaM_{@ zig-|2>3^qkDz2wE&W}3Y|DM;>e%Jl)cX3Ya;ruo}Fxidl86VMSfwigb?LEE7TPi)h zoXh(9Uw$k4z-WITvVXAWHe^LIG5>b-(UI+k`P)}jZsWYE_!9rCwBCc?&XMC20k>^r zFy!eS9vcsJB(rrU2FJp=_SU}fZTJgtTd@xgj0YauhDNNf%1EE{$@#~EJ~CX{>ir(> zpBxzueMovAVVuUcn!R^hzm3;eMStru@R+iiK@T*7?zkOnG5dXyXivF}) zZEARA`$Xt>(D=WqGO?XLBd%}cR;w>b{H>Y@@O>krVf<3PXE^YzNP-)z3v2D8 z^IDM%{6}p*CVIz1|APZQ&yx6i2CYu-$nXy8bnAr;vVUv;Xs9b0aHCs8{AmA}jo)}> zf<7~^gMxoY&tUNDb;<QJ| z^r4>ZVH_sA$4Ah++bbjF8S5VmxZZKgr^_SYxA)jO9jlCQBj0%ckd22VJaid``5hY= z4|PX|O%7>5$s6PQbm39&HeGn+X&c!d#-&XIhP{8ZM}PaQHnp<7?Ul%hp3z{R7+bRv zy}iw@-=4OyP-m!nFvu-!HvXeMR(Gyf`emSYdVY==(3nNID%bKQ!-r}|~U54D@XUn)ny z{}kT9ziG_CKa;Ka7&VKUh`3Kk(wfKcJnzU1=AO_#XVWCB_Ua3(QFv zR@mck?C_4Dn4v}haRbFbqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%v$)_wq*76(dCIsQNyX5w$O9V=5_-%~(-j1|=teObQ1; z*;G})^6BEhrIVekZx0RLT$aCTNc_r_(6~t}rgVXthBfuCh~6h2QT^R~;=1X$gf*ow z0%u7enOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8{IFGg>H%okfi*>B{; z@}IuQjgGImrEtUZr2gOo$hPEd!3J|*$*keEx-JGugg}p9!q#E zeb%`cy6h-$G?^KoC~|9Qf6?GTU;=M@G_i5ED84o<5j-RqVmK3UgfMQPNFju2zjr=B z;7`(fG%5?WD2T%>5m3$;V&KPcgn-AONI} zdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom z+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0 z?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMvf0i%v@v8*!h;= z@v~ikqbKV?2M?yePF@|r-TX_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7 u>0wW(l7qz%Wk*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAofv4IL?ZV#7vO+H57QYDFEj6lG7?l1fSIVbLla zB~Ga8C+YM+Ne?P2QOZV%N-6I5&iKu_=bpL$xMzO3`QsWhX1;&C=DObR&-MLoPdnTc z3oR{A7uqy%YVhxj#nebgSzSYcUeNBI>(mu#)5kN^M!0?A{1z@0mRL__XP;NK{nVU3 zk?U5Gw{&X2!^N!Zl7+d$Px%L`eww6Ew&PBvQK@@wkeluw6x-bT3TrXV)4FCeMRt}+ zy1eV&)zMCJ{%&KU8IP5fGrXSs@qwY6`)2pSKDR!_O{Pl=I4?~f$IHxn?(3-aIi+0Y zdT2LID>sqIEMpPNrP(CUREHGIHzlPrv`E9KdE}e@Jd)d>Of0_bCKfp-h{e)CVqtoQ zSj^i=EVM2Y3mG+HL1X7`NgW`DC7#Bgr>)DH`OwKgv7}qg`B@UDy)#6ZaQ33i?h$gd zbH6!>ye@~;uBzk zNL|>UtUN2zkEuk>;cZklqJ3b_6P3JPB^qr{QTW9AI=j8DwMynUJ?2+VimZuS=dHoz zGv?gM?^ib|7VR~tT5?^j-9l@3f-b9M0-GV9Zp%#~i^TWcZc_3OnX!v(ZZtApD$qLN|XzBxXe<-uN}~ot;2ZCelc#PZ`NgEF%`&55z(oLo6s9e{VaZ{+U=?6BV3mGf9r*V+mM>Nu)*h@2SSnaPSYaYZk(Ovd zNv#5J`}Wzg)#X)XTemP>R|LrJk(+UAf7GElcQ)QqH~Fw^ufe%7*VSa#Y3;WD$||{8 z$B=(#z)hm{!A6Bm2DaU>-GFTZY{9Taz~=fB+PJW3!xjNs25csV`1}w?F4Kgzv{lV7HwdxvkCUo+OS}bj7r@jntM+%iA;M#94AMRSANc- zHu6X9@=;M-rP$lz_Tnp)Q`Mu`ejTBL3umq|<@e9!Ic1NfH5>U5z8;^@Ro#iiBZ0&p zr4e73CnTk(oP?%#liVpu$eIVTb{ARGL)MTl0R>sxgse3oYd4XX|7g}C;96)MXeU~) zquDdD=60G-*L1a{3kx}WeG^`VnmLK%ju~S1!|Y z!8l%wjvb99-%j{Wk%ZpNBocl!iKnk4zLFl25|@k)@kWPSgi8Z18@Te}>W2#*z+603 z9da111#n%0s|&6RaE*q`0j@^4nx(D~xVUglgKIxr*>D-br3aUa)HNC|2e@9sbr3Eq zxQgMbg6o~sbqOv_xa{D11lL=*!r|Hn*SVqS#`1CphxM*IJZJlv>z3)}gg1W7OW<89 z*hU*ynn7Ia=aRvJQKHJ{)LJu(BJRLzBe9Zx24xe)gx$qf7hH&DGv&9RI*s*qJmJUs6S`+Sk;H_Mc-B$k`-crlac;)GC1T&KV5@`eA#5*TD}wC- z_N{NI_Dwp|yzEy5x_FG$?{STIkv3>2QM>cvBFE&5oX{X^9V>7(j}b~q;s)M`6Hkfg zrEu+X+2ZG81(d$EOwSt<5 z#pn&(ciBNhJ;$W;dGT@&yDy4Hl&lT?83rAhi`35OX>xv7-6Op1k;&THYR{PKEX%Dn zxh!TJ<5RjfTd~D`{Q^n`T(jU(f@{-K!tbIIx@bC)D5#=0oZ%XP>j+#c;Oc?v9$X4= zp&Nh9d^Uxv53U<<>A*D_E(f?8;cAw;=D<}6mn&R@aAm_~1eYFMDpJ=*xH{oF3)gVC ztl%n!s|v1nQdcirxp0kzYb{(qY(8u4U1UmYs_g&vB zr}4hI8m{|reS+&RxQ4?;$DY+9W3Mrr@qa`5z8UDaSk<&?L1Jn6%z#pB#mn!@oRv>D zzGM0|cfZbK1lg-|_sb@T$4cH(w!|G`_wNiAWS+Xn)blszsa({jv6N;K{>CYU{$VAN zoU0-6a(TqpwhCwQInH7mT<77M1eYsZ@8Cj*2xN!yuK4fwXtdpqIBnn zvc<*61(a%grsw+(Ud-v^G}b;}!oP8h&}aIf5BbQJJF=hq- z^+Wnl+VivVzS1_#TRvt*+*S92ons;&pYn2e;h)^tf61-6&Z~(rYu#3E{?cjUki-_s zy?|u4S*ne|NF|@C=ibj#iM&8#IV>jpMr}erU`!;-myr1Uk?6xMID^GFg9UJXgXG_h%i#a%q#XXp1hal6K)X zbYTm+&=y^&gf9GoF3ds~8lVd&OS^C>x{!)4oQ^J3K^Lw^7xtkG!_bA!KSdYnp$oOq zg>vXZTXbQSvU>smRz2WNZ{NW{-^3BV&WeSS2!MhKvnL&tg9^ zmWhl}k+Jc}*mh*>Ffz6V8G9zp7#$h=f{aBVW3I>;zTdpV_nSoN_nUaQe*Ardij2)b z#zrAy_Q+U0GB${eRU%_%$k;FD`e%j(VTMIuhS_0;y}%6nf*E#eJFCv7k)f;V!Y#Nv z5i_h8Gb|S~4Bu{4@a@L^EoN9aX4pQ=uya50468-P9%6>QK*oxYu@}f#6EfC>jBQ26 zru`}zQ&xUl{`tuYk2P90Yo5tWn%Zf(%R`uV`mRjDzWKDr8D^pz%bjXJjj-S{lk3Gs zH9IJJT`6pp3-$u{(WT5I4)1wRFQaJ92R#YjDvZ#J_Yg_dJre&;k@zO9A}M>m;rr-S z^oAkwrGR|7qc_kM?B(c<3iL(|dZXz--5dXyR>MSri4Btgrfo2V!K4jS9!weyFuB8Y z3Z@k>Rl`&dQ#(wlFpc>6Ox7?>fXNG{SeQIvIswygn8Kv{BsH;MN`h$>ObswShN%Rm zwx4sK>|s)Y$sMLhm>gkx1yd7DE0$rOp2Oq@(@B_IV0r^n4on6x>HTc`)Ks(5!j z-#riD>4WEv%%kOVW@ZY5Yx@dza)T!96U!7*DVF8K*!N%i3mzP~#VlN>$t!=ei`INR zo$$9R68bj}BFRZ6@#eO;S3JbMVgUDw%jgF!nDDm^Vh5P;`#BrGpAX%=;=h^HVY0;@ zJ%nixrVN;t!Q=vy0Ze_rlBvJm&SPBgdh2AHX_`TmzwgR?`&SzE*{nz6`?QH~ z#srcw%Y}rFX(71`{MOn{#Xe2PKB;1#oUu;>=|NQq2_&XMI z5DRCU2j`==e zri^bo5F!d+aZk;rT#J+2W=Dcht#oWt+S=G=7ZhY0s0ZbCnHK z_3EpNiM{czPj7c^?-SQWT1|s<^26_FJhNUD{4hGGH}dRipXbA$CN5do!d>=v)D_*b zO_N47e%$5Up4Xk|D!X0a)i?2E>*IL`JiimqyWsh+c>dD= z_WVBY@{R*5Ef~uSm$f`7H_txuXAmd4VUMBPxAdCuoT>fR=7HDB%&tysG*;)f+bchJ zRlls^RmeLQTW6A^9FcQhZdNRJ&M{j@}xQa;=qw zYMvswAzrDDe>V~HdEUXtEB9r&2F@}Vyeg-bt>M5i3T_orvm!l1H|f%tDviNL(Y{&K zuL=g^?`~FeGi~C8&x;aTPp5h224>M3?e7O0>CeuhMur)Tcd%1)Yb@Y|^9qF4meuaL zSE6*H(xOIhoLu72>Gz~jDC*-4Q@lf4;XEhpT)0<5K>}yA>Xr4UZx<=&9n!k@&^Gy6 zNp;YqXB{{9@H(yQ%aj9@8n<2U&404#^U6&=V-#o6OP!V88nI*7Rw7!PNbmnSt4jEySg1mF5grpQ2RF#0u4#fVLf9F2@{C zS7vQhVe-F*^48zWqP3ZskQief!kVp|`!Zl$v7JYljrF3gin2NBtuHl(3BrPHn5%A; zv~{wz~n#nZ!^t!tas?cXcZf!SMC)Xk4LtC PuyTk#f4|XfZ2f-$J1O<8 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptaa0agm b/bsp2/Designflow/sim/post/work/@_opt/voptaa0agm new file mode 100644 index 0000000000000000000000000000000000000000..23c51c9740ec314648285341dba13234e2abd703 GIT binary patch literal 1420 zcmV;71#|k-?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRGyf(BMU3+23Eq^AGnt>(S^<;3Xma5LJ&bm;^#+6!q7!zcr|}b+T>C+I8Q_< zFz93uAds100ANFaK!Daj!9d->!oljm#lxk*%f}nQ*Uv}5@893Rpa0Lmf4|SbzdrB4 zKRu4XKRRr{KQxHIKPX_pKM;_>KVVS6KY-}KKR}7UU8z36EzIpT_#RufCB{N53(VCR zR@mEc?C}1en4zWsaRUWFqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dNqfkF&~ zL&Zu+$I9c8nv}z#iK6Sr-T3^KMq`!}Xe8 z1Y?kD`4*LwvTgQgWYy`AOE_F$*D&gU?jfQ9$wEMZE(T3P9ZVU-df0o&weYOaE1?nK z7Xo|i3oO81l+Yz=*>V1q@}nSm>rO&}L=*PY^g>9H`Z5$$%b|(m~-Vs*lmp#fwtn%U3Cc*Y5yG@1J*((KGI$ zGV>b(C8oPX3JgBTR9Gj_>F@^Nlc81Y18bdLgkGO&`E84ovYX0yYd)5MMCYkM$&LZQ z(p`0ds<-Qb#QP9J$+xY<(k~Lok$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3r zd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC z6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7 zU{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+ zgn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2 zg&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A z6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RKanTEKVlcGTkII$HA$2R_XwT!9P)#zd8FtRvtZ|FCOH(A&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASED zQ#Uru=h)+!-KEsARlZ0f1LrLSMve_g%v@p6*!hy+@v~KcqbK`72M>n8PF`KW-TcYG z`?_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%Wk^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

          ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

          YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptah5hkh b/bsp2/Designflow/sim/post/work/@_opt/voptah5hkh new file mode 100644 index 0000000000000000000000000000000000000000..e87e9e5159b46056ef8645e2926151180a8c8cc9 GIT binary patch literal 640 zcmZQ9IK`L!uR4=g%qkN|ZCdm5eg4^ceFh+4T*v|>Kr9ADFv+|aE};CukqIQlvIHo^ z3YG;Dj7&g68;C(P1TcdXKnwxtVFrq_EJe`+d5vit{rFZ{#(kJ@%TviaW#dYW?4VB<(sx>@mcoO59@=fQa6ddE2AvtgjtpBbLZ$eH_83XOJ@|9=NA}vb z#+3W)|GHit^13UfoPV%skJ; literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptaqx56t b/bsp2/Designflow/sim/post/work/@_opt/voptaqx56t new file mode 100644 index 0000000000000000000000000000000000000000..195bcae071f2b422b56b32bb9940998a8420cd95 GIT binary patch literal 6644 zcmd6rdpMMByT*q$G$>7Dn=}ehDTJ4hr%;lRj2N4q%4ihv#$=aF*~COWD6-2?6Nbba zQ7Lysg*GxNlB9?xg^)_#b#<(@{#f6!j_-Sq<9j>S`s2o*=kGkv`?~H&+o#=9vtvC! z%$A2A4|ruKl1&V}WSuP<`#qJx8%o_M(fQ! z7&n@nrM0XH)XxYSJUFIcw177K=Y#t}n;EI&aRr^TCQD8FwJx2XUwka5vAaFaICz(x zp4Zllz`}&`dHm5ORjqEjtVU~1#e{Jxjh?+?qolU)Bkwe2)yi++;tGWvs{}4-u29g4Wo)!#UMBkF<&AQGRHLXHYN*y|4YJTNLKey@$RgMmSrq0W3)uz80(U_c zoGE01B_IpLfHPFw=K9aS>I;gz6X-3BWERtGhLHrm0x!W#p_UKiu zpNxr|DBFC4Pir%hCH3pDu*8M&d?U(l{HgqLvdS%UXQQA!1JfY~h?|!aanr@V9HS#y zm`;s9QZ`OSY~3UL2J07mWf>jvS@g||+;!8SE$z#lE($MpPkIS8nS3KLv&Dzbr_ldHSF?%|dJf$^C z98OyDBjkPR+*0?_WR))}L7}B#X=N%`ylG*Y$;>X_SqyD@GW&(@F~Npo-P8uFAy(6U z0U>Ogg%7@q;G~=?z?eHN5O;bNqTkd(qP0>e-OvXGtjI>W@-`^SAEDaJVPwHBM-~DK zvY`4Q3sy9;ARHjKJCGZK+&cK%_-FX~EoEfRo+{ETVff^?tty7>sK%Si&DZChyW^fV zARREQ(6CDO>yeJj5x<+OR^=~0ylR$4wTi8{ZkW)+x-03NvUbkJ@fW-yfn~lJ_3q5= ztcRQ1iLSOO{Oh{}&L5{NF^!#H5PA9*qSweEk?|IkuGfzOmV(aNpmQte>;#?TKXpQ< zs0TpjanQL5bP7Rd59rJQopS%E(*kssX@~_@6ZgG1;~R}*e%n%VJQpjmFOw8@(Y8%a ziuH2JEMqp)?%Fgnc@^c1)=!h{71rAX`M#mlS*bjht&bidOw`8*-Q+kapTjX`K`i3V zbw_m1MkM;yh0-hUgP--_=LGm!1Aa<^pX)*ADCjH!oiqNGpP#@_s?Xj#X?pVZMK`|e zICGqGg|J1pf?cJQAp&a?1_|r;#e7SUsQR*FqoZ-j=m8_8L z<;k}~<#x-I?Tc}uow51BoLTXbk@1nnzOm`G;FzBx)j9)bH61J`gnaN*3jEvwetwEU z+;eV-9$Ak>*W00=FTv0E;O7PKQxW{cKxZrHd;&T@{FQ!w1)b}*?7Ls3rDAtO*kf77 z*4ut{aesK<70X%NWp`tp=RI^E@anot{FuCp-zb09=ejYTK_j1F-#>X(;4@uCO{%eA zF-+zWWBT@Zk;VrOMJgU+y5NZWfk5<(ZAf(RGfL;Dp#Z5!lnBt$ z1g#B~Wc?^}Bm}hAxCZIiQE|-5(vyGjd`@TAZ5~@!>9yc=t0L9+%`R3-+!exm>u-2M zrz*#3+#l-`6eF&j1fuUh0@;^9_S%rWIAqU;?5{)ivp_4%V!@rilKoGuea&Gq5>7#N z&w?~J9Wpzvt5WjfZB5MYa$mk0c9$OR)m6C`qZg{bc|n=_lLNFlZ)2HeLn|4B9(8Q@ zrRstW{1j>fnaygVXA(k@H$Iqtjgu0v6l3NZA?^`#m`!AuP1cb8H^}}1WN!@F)4)?* z(5VMH|I%#wZ=FW2L3K}#Xh*1NB$%JO-dK8XWLuVs-}au+v)Y@>0vFWL@_fcf;z>Nr zilM~6m@L=X#V=#)w%!(;UhhWrt?y-}G*%Mc4@|*N3Gj0#`1uI@d<1@e1V0nOPhar! z*uUfFyRI-9$soM*g{!&W9)tWDq>75R_UxeujY?+;^76r>&eW9c11#@nL~?vTzGvH+ zzJcR13@m}eo)e!Z=>MESO)A*KV$7=|#=O?yML(oDlpC8drqLV3U73dHN*>VB0q7_X zIyxUZ>I5Af13xps&pqJh)xX-&0nlk`6V!2Uhc+%3k{~sq+E}M}&C#;v$2Ldf8!NSS zjg-V`D-Q;f6ff|wKuIP3LqoYv>7jBqfz=CyyFI9bu>-7>EqR3ZGYX#Yk|AXxY2Mc5xOQUlwLx}Sw00nE(}HRyZ^jNr>rq4Yii~DKe(P51tT)Xp+qidx z&@LAr?68MD`vCT=2=?r8*t0OhzQGKugc-JC2F!*-|I3~Q78dL>!W;Om0pAkfGX#x) zD_?uFlj}Zb`;d8CC>kR^eKnMY>wXm14zuMg@^1L86PGw^X0qw=J()`z(9 zjt!Rtn|wIbM~RNCZnsuq>hmamt>6qte_jNp;T4C-Qyz$3eIJQ*>ruMe1PYi@gK{@Y zqNoQL^lJqAbp`TMfc&C>?ynS?Lk38cX@b((Gf+UW3-t0O^zuFM z!5v{M0pAATdkTD=!1wl7_VVYwJ7O7Nx5{k^<;sTG#xgaUra+KACW_;h+gK3 zM6}l^UF;7OU}=DI8x^6KyP%iQ$?@NSZ!z$}Y+%9cBcy>3zWIJpFX7(#?ywJ$agwLK zXFg03w@_?K8m;wa@GUlukuACk>Fe&vip-wlX(j`G;x1npr%bR}VW-b*?>R zDeDgr!RlPRNYa}_*>)CV_F{+|(~9Vu+mPtV1WNDAK>;WB!=5aFj;cb2TOq?{VC)CR z9ALBs#yi0H^;dQD=iT$Qvt-M7OY896V&lo5Z7(@rd`cdW7BLC8v}pXZ-_E{!QyC0W zR3SUTdsxuFd@VIePMyWrZ%mA((eNUFJq{&HA7dVpL)?;Zcmu@38-M|CfIIL8=m54l zU=spc2e7>Xwx4eRb6_I_o7Jykla+n=_h-vgdA31V}j=3Wd>PP9fZ=!&D z*u#y>ppT28j}FksN5Jz5cuoNid>aypzp9Tvc_v#eee7s9cF#$23y0V5^WoK>t|8s^ z)x#d9uI2wB?$kLJZNbKKUI`33m{jf2EEeU$Cc<0t6`tVukmK~a1?xLDh`83#h~9h% ziP~?X^dTvD|7?Qy&!4cnOJR5GKt_;@z#Et{fC**^0pGbeWcT;)?w?Ger*t2c5D)vC z?rg`kUqVd{O3O91RF;~{hR)W<%k0eh>}z&1q^H%{$v%UE_EnP9p+y)gGh&zst}4Te zR?X*779GTx;inO|A{5cJk0KGp38gcIaIYqzdq<&rPS8D=hwSgb6$f0Nz}56C@6}JP zq3#VnZmY>MqpHrf7a|AVO5PxjIvVYAkKOPtIg@HrJnVol-wz_u)k>7Evk(O=^nvcx zK=-tuduosoyzvCizy$M-bqtu`?_bxwH(eWjcIepJeNIg`9jLCrQX@J>>Kma;k!y;2VCg-~Z2?oFOMy$jJ@=i~=>5xR_Ex1;)st(U9rs@Eg;KMop9 zsPijI=8)ACw>vAV9OH=JI-bB2OxKT5Z{9W3W=HR9jYy&NR(Ti2tWF@=EOrV>HOZTL zf9>2{hl%*d-6h-BOewutT^$rRQ@6lwJ#B7Br=M|VwAbgF{Cx^V!>12W%-6Oq^Q|*# z+IvSwm~(I8z}8gVrwQ+i550AG;ySDQ?a0}wg!7@*+a(0L@9b4*Ctu=z#;IStK9}0= zQ%ItnKETM)ep0R7YS@?GyMlW^M#1^EO~AldYRA*>@54e!4u{+ybeBv>PAOe-tqxLt zuUinDLz`Q)i{wE$flYeb7j;hw&{DVW5mzMKu4=aJT`AKar^rZj2^@3n;}wpi_(rua zz;Nk=2!l&EuWH4WuAFa2RowkNC@^ODGS4PY!nZcW1nY6=iZEENa8)b*`^x!_w-k5x zEDMa`Nbqdd@9?fIPE=1UNtAR|YH=Js*xJM6f8~ft-M}neR+Z$(?0a?hY@pGy^oTu+;x z9briXo=^7T&EE5Xv^DMt)@k>ngeY%$b$--L@iCdVWxMqm-#{%MPQg=bu*k z59cbS@S=haM?JmsY|FCQ`nFkL2;rg2tbt3aTw-CQ2Oe_0rysfZZd$z5%fG2;ai(xV zNATd@?}aI+F3B=?QgH5c6vz4IRcvsr5lS&sN6ZznwXcKcHQW0{+uHm#Dtx@^(!lFQ zVnlR=ExxI$rJuL{`leS3AN_4nRHhIq1rOd1FHET~m1W+Q!?_QG292*g)Q%mIq}WQo Z@fNzCiXRxUc1%8B*Ry+WH+eDbKLA$rI3)l8 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptaxnwzt b/bsp2/Designflow/sim/post/work/@_opt/voptaxnwzt new file mode 100644 index 0000000000000000000000000000000000000000..1868354805763aac2912d0b5f60633dc0aa624f6 GIT binary patch literal 9640 zcmdVgdo6yXHQNatvp=jfzqhSsTpJ7Cnx9m~~=;!kf&ug2La5_wAarEH{z*ecs-GIqGKK zsZ!7{{6r}gM-Yd~t>i^(83~IjBUbL6r0mc!@_eli`C2a|`Mn&%$VecJsaAxsuYoXf zO9^A%eZtUfA`FE{!l0=9EotdwcIkc7k5e^sX56bVRxSOY<@_Xp*U=RsjyronVfQda z>fEo667STox>ePQLv)sfHOL~m2V(6n<@!D!%6ylgXX*b}L-DZFI}#M8)m=Q?>oa;? z#_G*YQwu&G+~0F$^-YQHV>5Mymf2h?a?)XmnZ_@YfpZ^JCg)i=nfbf7ehJ(qx}6cp zUZUeAFbhebX5aE5+KWyQ{=5)Lp=G^9d(>=|@)wblE|$}pj&JC)t=u-hdW!4oj^Kgh zxNEsK;+QrJH{kGf&4FJWc-O7!2ZQb{-1I>DK`(74|zeM^YJiT+!oPh>CN z5=dXzpQJuB+mEeA&l7A^H=*9K=SfOmSxJ=aX)5nIUuJdGw^l3sYRLY=OCE0K)^+Xk zis^H17WPjzE0O$WT)pIqRtH0OcboyIbR3tZe94xdKz@KxF2{B-Zp5@tqY%lE+gd)Tf-}$CncZ{~cjSqX~n?Ck$>p zVTe2kgMFPa1bWyXQ^FwTSc+IKSVdURvC6)!PW*Ws%NHvKYY*0WEDbCltT4$siLPWp zX`PC|-F?>Znu_Z3ty|cxD+7k_QJj8le`NTan;Wl9HhZ`1H{)}ou4oNkue;m&3#asI zJxlqGF+YLogN+WG0&Kfs%Y$= zN)ca|MW1q)TuN{`z|{y>v)mN|7ay*vaP5cd23#g^8N#I@cPYW;0M`q+4!~sz zR|#CzaJ`YcF2bb)mmORW;A)5KAY6OlIyV^ISW)5N;N-g9YnGpdLAgQR!N$J};sh6q zwozlsvWRQLT=IEfq@?O8z0RCb%pZ7VB2_cWqHUs?al5&bMdzcqY-RVe0>?udRP!1p z5!Ut-=HKB&cEN?jjw-@gY`|G8#aXAj8Co&kLC5j#v3 zk{r_R2EBM|mVF?}f^HeCs$QJq%C4N<+bgy|JaWYHlcmUEQ&{$WFsotv?5@z^&@*y>@s2ir5)iebBp zed`;neUr~LZ~K*jE}o-}dR!x(rGK`QY2AEwfoJwfQEZ&Eo)frQzzU@$@B=erq}ma^ zG`?LvSNe3ch}O53?UgA*&LWVr5acW!Iin+ILy$9nmD-$k#xRl^>fU6O%X1Qw)Tvc$n!u1)h8*rJxWeAss+_e#|F1XIZH54vO zxJuxvhU<;o)eBcXT%+My3)gp>&$_?;VphNR#mSzm12@wREDf~A6ffhsR+@?jS{HI^ z-cu}wuQtDT)k&$gcQ=iHGMg*qP889i+}K`jR|U}r%_+`mMIx+Vq3a9L_4U{@%x4+q zbIb$mSqW?{uqDA}1KW4GQ_1IZAm;OUHbW)Pr8i+|@8#`_E>FpyH#yi$_qQAqPQz+D z*6eX3`H!z$mO37Ypf#`B$`#&!C~|uIfZf)D8Fmyi%q5fvdoB~^rP)NLtx95X-}U`7 z5#O7u;kpCYd$_K{H54u;_N)#WdxhDIzZ=x=&A@ewH5WB4h%Y-hBcRM$HT7+|v-+vV zH*CM=53dSXLH3jR`-jI#N6Xr2TVlew{X2t2*{3hC4gD*lUxLo0S0~b0(G<-1civRwOhHD92_u(pls|PL#TpDl< zgDdf8bIH5j(lOZ2Y|{2^#(R!MYo%{y^0fMz#JtQTPTBIwtTBoy{8&+owC>IX+K0kW zuC(O1h*o3I_IleXh)z6CarXKWVdgQyoZ*8$6e3$5$QIr_VwU3!KE_^EW3N7AuY}mE z@6w0zo}Y#9m9`-}l%rS1T=pp1IqLAk)7}ox{F55{FS<3?dpEIWuHVWpTsl=665m3* z9gxH|PqPu3XcV#yJ^BS2htE?Shs8wLs7IK;n-bZIB_y_R1p06b&R_}7U=dtj;mUw( z4qT&f2EB0x2labJ{vBonmkh2LxOT!72Nw@6F$}Y5e}9Krcv~3U+aW6{jg2XK;_LIZD>*(# z9LhK4JStnuS~yXG-KCz3l-6Yw&=oYc^7U& z7q*}aZPA5l=)zCv!W?v=F}iS~ybG723+d>>Y3M=?bfFWvun%1rhAwpeA-d2IU8sjH zR74lrq6@3#UAPTh*n%#!MHl{Du77$X7`<@_y|D(pQH$RATizS)=#577h6{RQJbI%C zy>T18p@QD9{E>U(f90$GTfUwnUk{P5DusM$_Y4Q#ox1s+I{bF$Gg4i<7TS=fiQE+* zSBvgmDPZ59)+?wOmPa*PsS#nBHDNw~L1bS}kl5QBi0_iGB-!j337x$L?;Nejm;f2$ zA!C0bV|bSq1t4RmkukTycys$Umjl<)k+JE>*hpl|9vN#u#y%rsRmhk*GWJ=17Wxb;#H~%&=$3STQp83>j-e#+s0^t;pEa zpCx1J>JKYEK3eIyM%QM|6NL$rx|Z+q6c;4kQYhLxpL&>OF3DWsSoeMygU?QCkebwP zrx|u9b2ZM}i#(Ld*hd`R3LIZVQq2dvh|n^OFiZ9jS@mra`$m=cCRmZ=Jzw!YdKtYj z8~IW}zC6$y=nC!%^hPCmqZYl<^q=mHe@v@kqQS(4Nd(h2n8IMvgQ)1v}o z)HmA1ZR>i)3lsk?{&k28C&eI&RdfG3-$41X^v1h=G}DwcuF;6;BHEhqY_BJq1<_q? z6z6OT5e}U|n3k?YR@{O6dm8TV!*I_H#6343vkLDs{I$pu?z=SHcL(=fO`fH1o+;lv zcj4)S=cdAg6?0}}i-YU>igxmY#_g3V+^5r)R}A6adF3y{G@8^SeulOIP$uQYskM6dF>#;462 zHi>+_5Erca@l1}hajWqgtae5k6j_i#jNF`JP~taVv7@J?o6ernhUk zX&vJokYkbW+D2NbSFW=yi|7WCrWO}61 z#)+j4U4D-m#gab35Y?O1O6NK0=MH*5FN)(SY5wVym|Lt~5UzXso^4V_X-&|CC!JUK z2)e8r%GCqZ8n>nP7Czeaan&ZDQK~bUWzK5trX3qkE{S``9mz|Hauttof1t4TPz6=D z=5xB}uhQo!-Ak3~LrW9s?$Zh+{tfq3mw1(KFiUvSY3$RLJTY1v>c20v>TZp#!H5Mm z3B97qJsI5AOH+lSN&7dm18+385SJz^o$0PV#c4Y6m728yZQDPkMjyYV&e^KL7Jdm8 zINi>n+RV*Jw5dMf%u>&P5iq92&NIx$`j_s?@;R4UUrZSy3JbPjTU{${5ih@C^?brx z9~%-HUr8ngwEZh6HM-!kI;UtHTlmnwZBf=k!|-=X8a8S#Jt{XIKivAx(jhA4PNUoC GhW`dhZ+aR4 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptb5mqfg b/bsp2/Designflow/sim/post/work/@_opt/voptb5mqfg new file mode 100644 index 0000000000000000000000000000000000000000..bb8b69ebcec4a902d037fd59aee2a8d79c895cbf GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDwydtSzul)o8n?m6N9B+BF>=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx z&$0bRUH-DTA3kox-!JhTCppBaWjjZibK>|<81WwpjNfm>e>O1wlScfbf$^U*;=dOd z|0hQL-v!1WFyen282_*le>yP!(?qcLc_N)`)*yVEpHd z__qeef8L1S9vJ_K5nl?7|5GFWzQFh|81WwrjQ^q$e;_b^#)$uFVEmVi_#=VwUpC_Z zHZcAxM*Q)>_=86LPXpt>YQ#Sm82>dR{w!=jLZ1Jx8}a7{#`FANZT-F?F#gYs_;rEt z-!S5D352VEkbt{^7v*?-=njf$@KC z#6KDs|6L>gNMQUCBmVn=@sAtv#{%PLv9soL{tN6ExPM<`oDVknb4z?5a0&K-Jg=Ng z@Fd@V>wS#wcj4~#y@C^;$2WW2HsbL--j#aa$9-oK_lKf$Akc45px<<$-+;82>Qc-4 zQK#zL6X-V`=%@Xpd}`CY&FDX!x2ebSYZpJgM^mf!X+R z@p+y14< z_|Wt5KN|6&=i`4e;;r*>x9iW!`S{01{8s6AJihp8a-WN(g*(s3OD>S{^AjU}cVPTK z8}SbZ#-A|a9}kTG7bE`Z!1#YP;&Zs5w$Og+eF?mU{6hDmCqnmyf%;Aa`ZWadwg&oj1^P_|?psF#{bmCFMln7@`gba@ ze=oy)$bI2H@z;IkBa;5ESnmJuT<3M4xmEU=jORWR#{JOmHtZ826F<4zUWVVmkH62y zH2iO60QZe(?#zwYXZrV)jZ8Woz5w9)&cAn@pYPuz&d>Mn1?T7c_k8p7{d>Fl`TjlJ z{QUK<-t+VQd$RfYt*j5)*LqJT zSnqMH_cPXe7wdhB^`69f|6#q?u-;c#?;))B3)XuB>wSQA&u`t|TlezTeLK&~)%FS2 z{kV1SZQW;E_te(?vvsd*-4|Q;z}Ee)b#H6k$6EKS*8Qn9IwxD_U+Y|JomZ`MsCB-y&W+Z2&^qT?=QrzIW}UaJbCh*Hvd%r$dB!@Y zSmzJxTw$FTtaE@H*7(J7eh$XD+c06AUx9RTpT#uyS$aRm&yPKU=VVNtOWl7V+wH@y zq{V(<02ZIO18n5>VI`Mt%=bClw6y5U+vpGcs0|iz7x?_f$bJ43`?^u_V>$iC4c>Mi zpPlsnG4F1duJGRF%iHKryw$Me_4yUvviPx_ekFtVDj%QS^M*LV#zdH=xP9L8=6=6%|wE4)wn@;3St?^Uqm_4yUv$iq zGI)R6$7dV7f6Tkwr7OJ41dsm2dyVk={0eWg__3URcN@GLgtsNdyE4Ulp5W1+c&`;+ zpI_l!A$}~U-#rHJ>x8#8#k(fOyGrorPrMt1*XLJw+r^LN^t;#K?GoP36z_%fPw zKk;5Kygt9eyI%ZQPQS9jd!z7nrFb``cyAIs`V;RB!t3)Zyc@-j<@9@(!F!YN^8I3R z9qdc-ZWcWH6Ypl>_4yUvt>VXW`n}uWy+wEjQoN-UZ&C2*PrNq@ug|aWj*1`4>35&O zyHR*2Q@p!Vymtv6{fYM`;r00y-m>_yoPO5#k8Ty-Jt^M3Dc<)B9{q{OjX&oNpI_mf z5+hf8su1;QgN9J(!)fPvQCRtyJ(@1dsm2>y zh2=S)`G{Y39&9J>MVZfYzTR7&l6%To)QtD!wLT}b4bdE*`Z*sx_xR8GRP=X?e)>~? z!N+xopZlBz`;GZ1&#!a+Rk;sdfqZ;FA^twVuSI9Q_qptE-skpvp8H_j&vyLAJ#Cid z$@|>fk>>blL&x#Hjn2vOwS(zw)Un(7HOBSm!U2=*$8y$Z5iH*mp<0aRuyXd8)PtYT zsfIs5Kj80$#dwYJ2LzwxA^58e{6WD#kiysZRxA&}zskUWyWk&8;ZKWxmWSY9W8m); z{N;YURN6gN<#9)kZ`1OFX@Z>#P4{03f1`oV{VDPHrrLj0=2Mm@@vZf2qk(_F;HUQi`Z*ew zhv4gc&3Tsdt26lZ9{dOW@#kQe2HvgQS93p($1Jk}^Yn|nzWmW9-|xuA&!Q!$_MG*Z zx!i~QbLI>3&-!-BAD1}6mHdYMWANvB(c6anX7Ts7AzzfVw+;DExpkpwseUd;`So)- zEz*vXPvh!A`)iC>e}43TPnPEfDVO|){AZBC{gHmYCyoE&8u%b{^|A(F@*1wnhXdn7h{~!6ZJ9kol zGt=mYKlb^pENA|QVXNVP#FxkTAN6qs`V;>lpYC9O5?|YgJZy(Wu*vbsIOc2nbjmnR zx6eg^?ej6xXm)^ON}6KIEy^K8#DY&pM-hE(vU(Y2a1U|5?uy>;D|m)K7ov|GZCkFrWIV zo9*+6&u?Wp^S=mN4S&X$$M|3JaRvGl|I0qz!TcmXc{qQPhwZQkHaUJ6$9x?>>y7rg z6hP2BTxZd>Tf5)d= znNR)Sg{_8j#Fxi7k0VVS`V&X%OT23JrGK)%dJo#V)UU5wWqfFw`;5fCWch_iMY-H< zaL+8lzr(>&jGJaz--mQwko@Q`SdQ~&3oQQrN`A%J+@~zd?{Vp-r7VY@`22Yh{jsls z!MLvceR+-#uKz1wQND$Ks*8S*O&$59pO&L&`NjCP2)Y|-6S`&JFi-bi5)ZoheuKK( zQ@UB6)V-4a3+TSYmv5n;>Z+!jep-(IS$-*gQ8(K)say6V^K{R`kGi>jQTO_kZk8u? zzl{D1=)TOCZ=s*+s-~NMT8{r&-ilw;eHLw^y)S4WUv^0P%k?wT(O4+OZ%pZC zc~bY~^oMTxR~siU_vKsYM_uZd9w+om>V5@M)QxTN9NjAn-G2=~>RtiM_THM(&GMw~ zE9k#~?p3~g3;h<-O~0h>E0LmZ(9h9*v7!5K;Fr`rn$pekr0#b5FQB{4mv5opLb~af z)V&%h>gIS&j+2#!?kC_!-JP&(?{Z2v%agjVrvC!Eukz(v=(mt=`XzO*L5jLxNShen zml?Xh2S4gw4@=!sDcvkj>h3^#A>G&b@-6gRNH_hGy4NB_-RICIbhjG1zYjm^?t-Q6 z{VClnPwKvw{tL7>`wit==(mt=`XzN=hZJ>l-*7f`bK>B-^8;Gw<8uvv_xHGH_1|^+ zn$z2mKa5hYAM{V^eI?S((7P4DTtAi~&F{IQIpE)rKLlXjr+m((o0g{i{5;!`KT*T4 zMete{EsVE9{JLxSwTj=K8h(5pT|H{}`RA!2f3k+(dckW&do9#1e!Mi~*Mbvz|H#_t?1kbj^G|6=&p;_nxJd7gs#m-%s99_!yRNdEDXZ;zfr z?>N(i-oAcX$m*j-v-D+QSr4{nEnVA1mps4aKE$#9+CQaKx*%y7H?9U?*-jfo=lH}> z4|NnLO0Kjmkl<;(wO>@vxajCl z=wbb|U!Icq!r-uLkBRYqH%>CJ_2_xK=#hCe?vHx)Ecf$V8G72;FFg=M+Xq29Zu0^C zvs3iQe0|1xZmQ^6{eRI@6g?bQd>(YZ(|*|@{nH~>+fC<5eeSfpAbvXDbl%)1`LnR( z*)4n{6D4`hdWKk!5loP@aD%Nq-iJiLtXJ{;r22@%`Q#KVc^?zr$(|A1t)L%w`T4ns ziQ4fGX9nwe-iL)>*1@ulYn^|zJ+<95e-L{3{7s70_S5G>+fn;L^VK5E%?GWKuh%nD zui~hm$4YmOy78HTt><|ek^0DbT`m-Ny8hsJV>_xPe{!AT_fnXa_sM0*$|UC*`X|$U zy`^5ZcWGggkrdl`tW-pfiVeFV?ydU*If4)RO~@(d2|^82Qe?-%U{wb~DA z`F#!I&j#XSAMN&~z2gP9P7P-YgF0_=-=REel}Bw^_)Y}!_4V&^e8n+84is0d;;QBM zF<75wSgy0R`XBgi-5(j7yT4X^wTiEn-@hPFdmv9?WN4dP*RheORa~`-tM)#@-zfN5 z6eQOz`X$ro7k91${G4;0;;U7BwftTM>mi?iDA(dCV58)oci4KyF~3Jao~gk4C{KH4 zqNL+1WL@U>Cde~WC(r6SdH6jE@*EB1>D#_to|oKO<8 zUIlshIh~ODOpXli^5c4VS3UJnp3y*_0v1fi1A8VsQ-M6=#(jqNzgq2owOXHyVr|WC+sLoy)-xH;FKR=|NyGlLgme13PjZU&(EFHQZ()!NVvATwix#jcP z0~?_b9lBpC4UW3|&>je3d-viZ#l@%dw;B1ksHp0Xi-kG)5K4R;H~e@~e93Re!OG2N zsr=RHd^9X^`p5jePX?Cba~um8^H;aGyLkc2Mi240E^;2$^-?YKxxY}$?;SDyV|b^_otajAwfy&u$Rpo(#QTgfx1T5tPP%?iq+LCF@#2N`Dh%`# zo$W6=n}KD$cMbY>DE1WH{FQ-aez9NXtIU|YS5B@+ydT%~XyY;H+-9(n!? zP8W`e{(ch|c;xRH9&tQM;d;)mw><@?dnW*h(+>cL2Y_Tc zgM2sNRP-p0o5ulDuMYp7s5s`=i{egaQ4NQSYWVY`yca+<`rNp!@Vj_i$N1-@etuWa zVN5ivC+J;!g5J&Npm*&7dY?uExaVMC#I;wvZs_|id5-}ZVfBb{`usTSt+PMp#TV*p z$2fl6*MICx+hN?b2fE=5`TJeFqZ{fQhq4}Md*iv6eS|S?#9z-nSoU4NVwrQg=RGee zd|iiY`N#MO;JDf;`zj2l>d#X=ulnZ)_p$DMU_AeeP7E{GPuOP^=FH!zb59%xA>Wd?e==&hva9&U-8JV;OLkpFd$5M(tKfWBzgZ z$HneXrDr64IF)}inb!AY$@f*f&*S$AxSq9~?QJc;)Yfn)ej{%34CD5kVcb56OYaNf@r#WBpSyYEwYTJ+r=d*AonzZZM&0_})?0M8f2?;c zpSLU9+is_w=pFOx|M=P~nQm|M>tk=*xLd!6dWz0&YxB>^n7rql?D6}?k)d%Hw`-se a?VK;;n2Vp>y+=g9OQHQS9PzVc>VE;lzApv< literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptb6whnv b/bsp2/Designflow/sim/post/work/@_opt/voptb6whnv new file mode 100644 index 0000000000000000000000000000000000000000..9da7f0f399bff707368a10317d18dd2f0ef993ff GIT binary patch literal 40216 zcmc(of1H(9edq5ThKCt05|Usf29qI!h9o327&2j$+NlzQib^9|YKhD+gUmDoOkjv; zqh1?ov7(|)TWn)HZE2HgRBF>Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptb7aka7 b/bsp2/Designflow/sim/post/work/@_opt/voptb7aka7 new file mode 100644 index 0000000000000000000000000000000000000000..fb0f06d3e252777174291d7f859ba6eddb850d26 GIT binary patch literal 3632 zcmc(hYc$mR8poBk%b+GrXmVT%sj$hl(EPVc?x|dI@1Kk&Cq@{#&lDmlL+#|!HeuSX zQM-`qFBA5bP$`#$6q!b-5M4M=Yn>Noofqev7kjVs;yYf=THnv-d7j^RGVLoNlIT4t zA_E@}IhE(qck1~N4|rwHTluAxbtN~;4exIWUV7EcsZV-A^Dxb})9Y)LncMJzXEJHj zy7P)^hi3maGGyzKnlW({u*ziZ%9d$^YlghmHV>RZHoVIo*4l9}PF`iIEJ7@IYvsNOVWpRq z;XEnh^Dzl10e zZirI3fGA4EP2R`ikf!}{{RIWLD%DmC4T+9^IoCd3@Z?M<=gPHKp%cO_SpJ^J+<>C^ z0gGq3D-?If4+)Y!e9d$$ub~gGba|h%$ujijP`hx}-*beN2YyZd6u5eSg^ky!0&gK9 zcD~Z4j;r*4Y^0Fg53qskJL$a>e^^sWTGVi!87eCjpzIc15_epi#&sT|Rw?lAA z^+uK(Q4f2MYjZnZTXDr4NrYMIlF+-piG^*>M*2_fFEj*rJi4Yph`v0Lt~DdOTuxjh z3|B;F=_pi(=*DPqWoqOJRP9LT49mgu!sM-2bLXCOvP#VJ`J}d0@w85xaQ5JndVKMX zIO|rLIi`B(6Cx}%BA0q8Brvi=nL6W$zBw1=iC811142y$Gl(*G6H!XmAqpu7QD{ks z!nQ&bya!QOBZz`!arblAb9Z!95?Dv7g?{LXTKw!FzuPY0k7{*!`?{VH^Gb$}Q>YF4lkA~HILG+oLRE?XQZ?y(J%#46a}9fT z$6mZxb&@45k&IDYag;L2MlMtWOg))94 zhLWmTv)gT*w&_fqrE|*wd8ee-+?Uf|ON7TaldMu@X`OC|*n{t<@Wq01)-6#9Ox4jJ z5r%S+%U2sDsNsRnPvEl=d`f~(Yw!uq=F*|36ZD+=Z$9PLbVZFlh?EjN5Zc@us%8~# zlB6wP_WX5y!in{t7WId2#Efdo-%Zeo*kQA-Qsr@wlKAUHs>wSI558A3!*jDri5WM8 z)Iwm;+Fi2QJpwsL{kzZaJ>RxRN)g;0db=W3{B6}9lB`qq^yAHu298q9S3@3+ zm%q-Lc-NHf{GctXTW=|3eu$hdq&ioc^R}d*XAMb|??~I5G0h(IOT!n>2C;5AoySz) z1R%nNMC9TXjRXtVQRYokaJd~^s)0*+aA^%L??K0p(D4Rz6#Y-T`Mu-(V5HP)o5N28 z`}JH`M-)g;s$ArF{XBBja6q<8%lNvqyn!SmLc=Y)Qf?+j=>+E*wS(&CA@1PB$Pp4L zX&!w>YDxS?YqvYc?&;lw^QXVDGV)3>Dsu};X;eTirVik;9bCQ!msh|g1}?k7WjDBd z4?Q#f7nj>t^+ru}9U%BvhVf!!bg8Rj&hBEL94){r>^fNry&YKW6d%>*<{_WyiT)b3;bwFkVHg?<$rDXLWm(YGBxIT(9rS2fQ z=Pr~tzX~zS-BA;n2mPKwzd`872aoySkp#1LhgpxptnVNS+6DOm;t6Sk3`4s9nQ8c& z2BAYPL&7125ZE0Q2#JT=Q-a$YfH&d@@IAhN&)$t58Xay*lTu4rJDJ(s>xHB?8w7iZ zXwYZv4bL@?lBq zOk&hcMJQ#J5OT2zMuNwcD06HrqMr+e_aPJBhd7wQd0?~y#&KZ03XHbE2)m5KyTJlp zOdopwX^cc-=i@hn7XD6}l2YSjH``Rk%xkw&&Z!xh6`n1^Thhswf4@7&m3UIpG#f*r z6c^KWOK)bI_{rcqlf76w_VF>*k$gm8tw%1HCla7>lzFcK(Rr}@ty>WDp(5h5Id)VFrp~~uN^4S%cPUG2Bp!YbA#A^5$Exw{+%8A(6$|#g#S%_R77$Lzk zWt90&7twPJQC_$r>>>O=KrV+F5rD}Ln6iM$44B||2JinX_V7E?yCE}w_a6yT9~7Kz z3u7l37I=a3EJx49u^>Jr>pqATGVKIu#zn@?Tm5tCQXI8oSNB2#*d6etr<%RU~? z4$G>?i$la&(p)o)N|-|_&b7#ezX}O5+)*Z#2Y2)g?&t;F(ObA9F3e~nFaZnc1uy~M zUwcRVW@lh>2PRWs+67Gdz_g8nPm<)u<|So;=?*YG044`udH_u0z?2J2Ho(;Ue`bQ; zP6YRe93Z^g4i(jHDm>(QD@1Qzg?qb9oS-b{{6H{iRX z$f?*0y^-!Meo4H7yiffS?O2^rHFRr6)(VL_%-mJ0EI%P&xa~@?*t$~Z+?sYGFHxzX)$VFV zM{ksD-}Ieu){ON~H!)0dyN3Qx2Wga(ls8z!FSjRzwoW5y|z?r-a860M44D;#z zUb`(KJ8W}4v3tTRXcOfMDeR50Uiit{k#XcXYNfc@C$PO(F}r77e;EJhm!gccaw7GR zG@i1=WH~ofVf;#Soi*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDX*R+3OSS$M=oQr=-QCb@qcE-uM3R-h7o^D zVEm&-{FcD@$Bg*l!1!+(@plKtf6It}ATa*hM*K$t;}0A04+qA7$B3T^jQ?{Z{?Wkr z?;7z(0^^Su@!t=Of82;a78pN^oi(5HUtqt${rei@e6Y!%TjKkGORx{*dF5n+C;9$c z?_+eo3wO8g7M%DzzS-lp5s&BbuGITJ?mLsXKNOtlgKkX;wQ=8^(M*r!&O+A)hyZGrnnp(Y2(|R=E0wDx%BgSEf-&CL<_XQz%M+5y< zqMJ{iyP$#XW(*O%fq@otnj9p`#pm-6_$&iitn z*O|}rI)+>3i&1V1^NIH+dG3UtaMTg~2=%MBK8Bu;|K5lXJs%%8;zQ5J|6s(2o{#_0 zhz~s<|C14KosYX+e^$=NKQ`jGO26ar#ZQy_Tr4fzc|KlpfsCJ@81cIUgDy zgc1LEVEn%r@lOZF|Em$7!v!Uu?^^BmZ$|vZf$`56@vjYx|92z4KQR8MM*Qx;`2R5C zKNT2%(un^~VEoUF_#a6;*O!Iv2YznE|7T$QFO2xJa51gx!$SPe8u6C~#{ZWQe|=#5 zFOB%n{Vm`7Rh$2B2;~2j5&zb}`2RNIdjjMC$A}*cjDOCEF9pUwZ^Yjd7{3Y&wT`oe z_EYam;4S1Ax*t6ex-SgWcOuZQA&|E<(61}dZz^!#IvVIV6X-XJ@e$I$Q-S?^8RkRo z3-^h??lT{e^moPTeo^kie*Aqtrs039;6-Te z%#GM*`uCKLOmhCn^92CUcmBQO{Cxi&aelskFE~Hnzvr8u@88?a&-d@)=I5_>^`4*a z-;>SHZ)JVZzSes(DUbKv{2T`N-OT5{o1cGZ#d|X5vpws5ANF!Y@qO6ku)w>IDExT^ z{zHD2<;nM9{`}CG*Y{%dWBExr$JTleX1(9C-dkDkqpbH#*83yty^!_3$9j)ry`Qn( zyIAj2toJ0=`w#2AhV{O}dJkc}U$EXASnmU@dw%Qw-ny5!?%R1@uC`CG?#HcrZ|gqW zx~I18pRIdk>%Q2!2e$5at$SPRKGwQtweC-?dr|AY)4IpB?kBB#NAo_>=wIvpkMo?H z-|%~(dx}-QJf1K4`7F+t%;$W`_2=bSf0$1^>psG|XRz)Mta}0Lyl%3~6L#^|rb#AoIgVs6EI=@-xGV8o$oujPtk#+8|&NJ3I#X5gj=L+k* zV4VZpu*NTr^K&rH-G&L{{0gL#`z)rp&(ixjetzr;JSSuFT;^(1m39lv7CP625-BM&rW*(n0L2J zS9tI8|CslHOILUw^5t#xC*C!%doa{BEu>f7Ptv(w%`<~``r z72ZdDc^mzSw-%PXKEJ{{BYrHW-yH^Tr;pDb^8PXJ5tpv;KIY5Y=uf@n{j^FHm; z72cab>c%Sj*ZS*JJZ^4q+=T~@7 ziXY4A_fCU%y^qhH^8PVz4igN&_uc36o0dN3%iGq&67SWp$iqHF&#(w=>1NA;r5+@aRvx z*9))DukfxHKbF(4Z1CPFyj>~YO)1`+1dsm2dxP-${0i?z@nbpt-evIKB)ojTm|O?@ zQoNf5kN(8FS$KVZg?Fp?v7CPIHh6Cl-hmWvDaBh9Jo*#wjl%2mE4-uP$8!4JXYg(m z-pLg2?iBA`f=7Siy-9d|eucLzek`Y-_5Gt;g?CSicW;XK{enk-;&J28dBf*dc&EgV z<@CGXsP87>-IwA$km7wv@aRvxw+OG#ukh{{KbF()JqGVvg?Bo|doacOh~UwmcyAM4 zpI_mf5kHpG@4W_Zx9}cH@g7O>J|=kdC*JP}ug|aW9u_~A)9)f!-qU?R_;tUDY`3rc zU0UobXMJ2ePak#Z$~^sq;L@MC4;XmACwNb$@SaNH9TPnI6YoI-Z?oVXPvJe2!aE^& z^e3M6J)GMF?_>(^R0{7|!J~f?FUR=A=gPMT-g7Cu92z>gz9Pu2oAgiOp~2_i^$1>r z_mBI-f6t}TAIk)f{z<%s6y8?BTb{!6-&?8RwFn;liPtM}*1f2d^Ko|iUkb}}KJyX3 z>^#^`+>0`w=X|}lJSF#(v#1&G$!mR1Xd9wAKJ{}xdhYR`^Qq|X7X9?6{(_I|5I^@h z3-%lHQJ!Dt`m1suyaM_7enR|xfM1Kwdhc_&pW=OPzvsCR#{F!^Z`{*nS)RPly&Y*j zpKa(k-nY>?Ilgube@f=pp9+P_T^EuV<2j~a< zy|5UsG5&zyvpfWUwShk<_y`reA=A^2Ar_-_~dgDL!J(a-V_{A&#Sor1sIkC#gO z$oOS>2!4lwUljb6Df~Tx&+-ubwFdr>;IB#HPYXWFL-6%;d~lyLJ~?1He$vmGKHn@4 z!N1zjKO*?De#GtH41bP)mWSYvdcSNp+JSLDK$_28r@_nTlJ$~xCg$aH#CVpISMdN_ z^|^bMfj=hrvd+f%`uRAPC+mgjtt$St2L8C<%RVv2--vaV`dJ==f1QC}5`5X0#rRW# z&+-ubR~q;ef`2eIZuwq<`dJ==zs|tlCHS(>iuE5Ae3pmc|4Qapu6JDL?!a%18}oB~ zQXa3H=fj`tCiB6!>;l+TST~tZysd6sYs~Aq`5L1>lknzvWxFTmas9jj%air7#_I+H z|4zYAk5~Pi0?R}2Uu)pML-4J2g5@FjHyHTu6#Px8@pMAwL6(Q$cNzG13BK$jk!LB@LzA>-!1r0!Y2Fogy6G01ph_@{~p1AI)&dL?ZfgA{5Kf*_X_^ADg0K!XL$(z zO$L5h@N=pD?Gk*Jhv46A;J-`oWgi~*?-cwwAF(_H{}u!P-GVRYh8TZT@L3*$|2hMo z8&S>=vhR=aX9S<+A^2}J@VP%F{@zskkIHSC|0%aFG%eN7?E~iD>QSxbA zJ!pT8@#@cy{_n~1+#uzW-;n*s{h_%Eq}@6W6A z^~*Un)~}y8O5>j|_&Mlf|4RKMxkt$R^LNwI_o4vjxfMRYF=`k8J+K%bd~Dp$`|um% zr$B$4@1o!L=?><@FMi(sz~{HJocSMst%m=AFOTu3d|ZM4#DCDIJD8uuN3~r4k_Y+m zxe!U#GtW5YYyax!uF~yuVPN}w5O~$}|DorJ_3tG=+K2ws|3^OU&YjfX%ryGpk9~eC z%bEXS*lPG6@#Qi8M}1s@{=|RCr#qOR#MkyA58Gi8Y;t@uj``X?oidKo?Q>CJ`+N*| z)%1Vd^Thf;fi&BP{?xzUr#qNW{mYm}`+U;px9*qx1F+TbANJ)j{-=>9KK+T$`XSxH z{3O1%4|%G!595;Uv(9LrO9I_0ymFKkw5W%%^_pX8Szi^IKWY z{4c^*!=LfxG5(i)T!H??|FTbaFh7Y;9?qZSVLL2>O^zSNF<-~edZT?V1rYQO*P4I6 z0=#Pa4|<+h|5uS_`_P~Izvj~&%%^_k`MS?`sq*o-|^{I=2QQ7VXNUB z@#Qhj<46;S{>0Jx60cf)>7T5x-h;L-_3P_a86TSFJ|nR&S$-i>Q7(5I+%t>t?{Kgb z_qU!LQG z>;DQ^ly9M*>Y^WHQ%64Or{ySGeldP6g6>Azgl^e4%+vjs#Di|W-=OaHlx~(Mb+4rV z0=h5ppO)i)mS2ir)XjEH>X!Y;Jl(VKqi(KW)V)5Xo8?K}FQfkgx-awP zTj-~{s_CYmmg9exx8fIdpGBK!?+Y5pmmQM+a{Y{S^jGksZWKG+8&kSjp45Fg{h^!w z)yB!oefbvpQJ4Cq#|izCx?h15bz@sRNB0Ut_g}-0x>vxmy|<=xvplK$3i>agdzCNW zLcfJ{(=Vy}N~EY8^mBAyZ0Px;b8x z<7B0w`w93_cPA{{yPVR^@}%yo>A!&Pt9Gv z!&3KDN;k`sx;v0wNcT0qd<*>+(oMgl?zKo!_c^o)-K~c1@57I}yI`q%e@ZvYle({^ z{{rpJena^d`YoiJeo5WeAw}KXH=GUKoH)4d{D2nv_*}!^{XH&P{db+d=JYn?52KXp z2mO%0^Lwsn4){0Z4*{6>DW7xcrln~=KhHMgPt@>h5xkZ~3*)U2 zzwR1-t>U+*h993tSC1Ng{&{N1pRD1xUhrDcUJJF0A1@7gw%0;_8wGD)4Zm*jo2}uu zRs0&TaY^#BpOfQh9a3jwJTW)QEH2Y75!?R%XorP=MC_Q@A+fz;i(+9gP8XLsK2g8& zv>$ink+t;5NS%fs>SWiEGE0*~=sV{Bc5}%fFUshe)C0;G# zh{th59Z!jl@jHhL-~zLMkR5#b*lxx@9#SkHv3e+IT5{z2hCBzRhH?HAQEE;{-XdRRa0m!~AY zFgWbmV`9ADjgt&)J$l|QdSo7r`=eex%l$l8hMsozOAiFm_Cb)2+k8O(>=ZpRU!Sp_ zn<{!%|6lYJMGwanp9h`qv|n~e|MZB}cGG!MpF1rth@Xx(oj12h{wyqcb_?IgL`j~r zo*~v_1QR4J++b^u_aV_Q>s35IsXpRxJ~;(T-p7P@vS$Q$E9l2vets@uqIUelnZbIV z_hI3eb+D}CTIU~aPi;5NAA}x0f0JUh{q*_JcGP~*e6-CJ(t2pZCvC^HR zZhU57>v>*Aq&~7gM-Z>g8<-J2=( z>hqJtw|MwH6!LWW{X{U&wmNzEy%X{b)X7t*lZW3kA1&t0xH zuE)=?KKxz?dE|X}O`iVYUieq$N$n4{+8=89{SV^H`|g_fSm)}(=l48_KaltHQLT2; zbu@$Zw4U+B?{$!8PdJZTAHlP_9v*&=gFMrLJcGl#{JyE=`$hXft@eXjeqV$5vw`^7 zN4tG#?|8wjQ^T3Upw64zcPNiqUZY_b`M|8QSL7b!_Bm6<4j|s=ZI}Hwu0h1<7@be#tcY z#hvQ_Kj&Ph_-YkjEx%X6ddTM=%C&e3*eJQ@9k!lv%!7ipCC_rAdjy9t24#1dd302S3w?rPA8;3 zlOw~s{J0+8RZo4CXEczffCba>z@7=uR3Oi|ai5|6uU7kCt=4BIkf%5}?8ZS)&sFX` zy7sCJ{;6l&U!?P|HuBdP`D=~*YpdsXt~T-w-p*?bf935jxcPKwo1agML*p1v`EqG+ z$UnzB3Ij~{7k0XPq>XzM@>be+%=N<#*{`O{xAiPsUZ_#tXOwIE>HQ|gom*d&KW;xbu-_qX2ghlm+_#%i zo^CITC(&N+yoT#mcdr3C!wZc^-LI$~ZBHGC>2j^F?hi1G-9AL)H1ncsK z{xN^=lY!;<9LEC2{MGI4ZeGB$(L+40i=2mby;RG5?l08xdq+(F7#}1F*>9wuBjRwL z_wOecmw$nKXQmZjE&qKZ^2qlc@jheB?I%iuldj(rX;+V4ym(=~3IjbwXZwrJW?)(G zU4y+H{Y@rC-@F^(Vi^&dOa zb{KcHhVIA{LuExLB< z#f9t~99*DQ^~Z%L^VMJ1Md;{de4<_4JXvd=<=>^}JS%_aJ~_|2ekd0DosMF>FZ28H z{xQF9rRP=cM@*+X-29q8AIbTJ^E}^&^WKX5SO%Qs=TDf1QM*;rn15XUak2YT=^05M zPURm>ru98p@_iNW^Z0!Nu4gT0dt1w|HPEk9{FF~|^*xoouj@s9x&9{KH*sC$_a~^U zOK|$cPyO}%mZtSR7Tb^S5BT|3#&=8n?GnFT>^8AG#lmFRUXv0xAaTm0x+lcH--w$$ z!?-6`qbkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptbme397 b/bsp2/Designflow/sim/post/work/@_opt/voptbme397 new file mode 100644 index 0000000000000000000000000000000000000000..8e08fedc63002909363a37a5c71681982e99b217 GIT binary patch literal 1172 zcmV;F1Z(@#?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$_3(QFv zR@mck?C_4Dn4v}haRbFbqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;pRQx*0^=4z$LjFl14S!-+H^Olb6!v!l|1cUQx`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Wba9ZcE8df5BOweYOaE1?nK7Xo|i3oO81l+Yz= z*>V1q@}nRv^GQh69aUNCxE2JIF>P9CBbvg|NjQWd0_4vEM2Hha$WU&`(BX&B;e$uu zgGblwBd3^N#Kx3rd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PM zdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TW zbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQ zD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS z$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-* zD$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{ zRA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7 zi@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b%v@y9*!h^?@v}jIqbJiq z2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%D zRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz% mWk4lW(bKbL7!M#4g$i3nN+SG&8OgFeCI$vuwq;wGu@Epel13xFC(T6j z<9U7<2~6^mD2Yg%Fc1R*3{j|Q)YKtL;-pIJrfMq^k-Dv#3L=V0r-`$wuH^Qpic6rFC0D%9f<1)0HiSRjo^t%0_sa!3Y(<> zy|6^e*DY!-d|3!W@46)a(B8So(Tm?^N&aH1{O8c(*5b63!oRd6<;#~!MWs`3aj`TA zPEqTyD@i(vSF!w@l#uU{az1(JiIE>N41w&q@D@uNi z7&zbf#g2c!As;*b2Mzhy@jqtBOLJ8J&l>Wvq zmI=t$R|zBPy}}{5W9%Oa)C;iplEe{8*z>GVz~AoL+lpJ9oo#7ceaW?VEFGf#PO-$7 zmWoF`Y21mJvro$t#CeBdSEr+wiW;YC*D}}MRy;tv)L+!DLxx?77i-s@hF!~PS2$iP zXxDSbc%3oqQoLBZ?lSCJY1p-zc3m{=!g`B&*ZI>@@nY@TVA!>Wc7^kJBkj7;>2GuE zJN#bfaid|E;>Fr^w_#VeVb^-vRW|IZ8FndNtX=mQc43?lkKuUTLA#zZ>^f%HrFgM+ z-D}v@OS^`o%&!w0XxC}lC54M~oyW6=UA<1Ol;&y8&(b{aI{7f~yB;v?+F{sLrd`h(cAYfrQoLBZHW_xo-w}@}^ZqqLyI!PSq2FIH>{7f~ zyWVNoRi#~}z^*CUwLkJGL#v`dEPGQY1Hb}3%0 zUGFmNsu^}2pj}TGb{#S7QoLBZ`V6}c8g@NFyG|H(%^G$oUaVaY8FtOkF8FKS@1LYy z7im}M_noqV1;4<`zieNqc(HbEHtag&^v~U|KIPimitC;Jx$AwOVVB~?+VyV3uEVqo zc=_>qns$xQF4d#?@t|Rs;wgWaAGQ62!|zzS#jxv$qnC;&rOey)jB9TzK0~`oQqS+d zP8xP8p6ah>*Lw`Rj?%6RfnCqiu9s++h+k%x+-#WFzZB21OJE$1j#s~7*D{&y5G~ThEVZN4&VOTF=XH2{kC$(oi^#c#%)6F{h z3OfvYdIEcd&Dqn_pgqJ%?b$&6z=J)#tdp-WXxOun_UL@I(VqSW?IBKT&lc(j9_;C3 zoqUCzhCTg(J?*q-UxW4#C$*(~3F-$P>^aUl`3l2^JtqTu zUQ2tbhCN)T=J*eBQhQEQKk#7BDb~qXxK7%!Z#zx9G`^+T`SF>+u4QPKyfI_g<@QOr z{ULFR#7XU%r5(V7U0*fyzZmF$9rYh;P(N`}{V!2J@bda;zfgVu&jtGBaiQz~*#`9! zC)Iy}`hl0%@Agr$A6NYs1O4Am{mW&%=8I!%{E3t5ZIYt4f7t&U1O5Mq`cF2fpE#-h_0$hM=s#ohzbDYYg8I)jsGm5g{$A<_9`t|R(7z$j z{|4$`E*q*w{Es-P{!P>myuAK!{QCm^*HC|NgZhb+>hGt1;6eWjM*p`4`md$_p$7F6 zC)Ho3e&9j>Hx2!G{)y{yVRQLlB}%OS4eBROs=rG8z=QrjHS|vf`mdw@V-4yjPOATL z>IYt4e>nfOK>r)5f3`vW#7XrZq<-K*|E$sfBSOD)sl83Mk#79mz98vnU*+v695(2y zN&i7#_Mi?ukVE-HQm$$#ECOBXOOS91$)Y7#kFg*4VbZ~OgV2YBLGJr3LA-)K!Cu@T0pyuM?0=Z-jcL#N4uN|+IG>HpTA_gUN?eU^b}r4 zy)~&{lIL<5AKWLd$*;_3@mkvPsH=A@{g#1q9dQmiz6{6LGaRhPqIWE`<3`HQ1oFO~ z$^RVX-F>Cp{^J(Pi@5yr_XAPtc)o%C_<2KqgCP$z%!kT9VaVSfDgO(G{DX#kX#X!7 z@{dHyf7Fn#QXcz%_^+_ZzmDRNYtP1i#*qIo<(=b;oR+vBwJ3Sbl505rA2Z}XA1VKF zL;kr)`Cl^RzZoh2q#^%ur2Hog`PWMzW88Jzv<%1ZlZO0TD37=*%J^%EIFa#BmC z{6mrQ*iRyU!}!}9DgS9hekxM_GlqOEQvS1s{6`|?pEBe>5h?#GIX>LS#60EiXMRJj z*N|V9$;9XPjTYY*Bk{URad@4Pe0`C8)kwYzk$i8G@j|{l%6^|<`8>;6mbz~K4cDC` zKL2(>9{UPmlYgz-u9sin{V&Q1n_KTS|B{~OU)*m){zW_TFYb3C|Dqjuow6}Nd=Lj_ zpk8QUJLVB}ZI8{riwybLyxVHXH}kHK3(Utt`-Z>oyqkzay`0;BHS?~It1$00^KQ1T zFO>J2c{dxUX5Q^6>OLbj@7^G*Bl2X)txKh%m3K9NYTiA{ybF2cUF1vT$s6Stcq@p< zyqI41lAh*WJjX%aMLY5?o};`?@-Etew~F~K%)8%bAt;ry_@CJh4vkK z-T7C%uKfb9pAqo+>!1@pPo(FfZUSBMLw_zmzVuwhP>_rdINc1 z&*Yo;y;Q-&Fk;RufLIxkS7n-$-A0A zHSeBc-i18!F7hSv zde_&p>z#)TdCj{!0(oE0)o@>yxYvXxpQL8b6)eu zU-O*T{Q2~|ea>sWs;)wZi6}7iiuk zJcHm7IdADrjz1X~4G2~)f>-q-w1dYZrSz9{lH+L6EUKG81nrbcRbf$ z=zh~58S*NV5>QCy==jqRohd;xA;5WC+FYvw) z$f+Nap86r)+l3#Z9e#-SXx|`yh<4x|F#Pb>jd6_i!!H@~v3~d)hJ36a9yR1GKRo8f zGusFKrXhcv<6h4Xf6I{nN~HX68}fe~DgUe?|BXob-!bI>EK>e=4f+2WDSs}?jPb{K;i)JzakFaD!+oC{{0Hng?}^g z3h`MzK9cG7!&*@HUlgdECuNQv(g^!oao?-SffI`|g)J^}df zeS*Uhe~3G&A|8u)5gYG=`tJwKZNCeAGVa#*14vKTn>PwS)*G~o{qFk=uao@<+A+?5 z$-E)c;?@JSVqNg>Pqr2HK2}ZI@qK`EJlFfEtJ~iPPwR|XJ$36mfc0Lv^}e|E9=LVi-@310y^n3ZXKlSd zy-Ma4{q&({04Drfnz^`5QD zHT~GHC$CgLhX29u?v`KpvGsl%>8T(8knqEg(GEZUVJTlLevEeDS?{s=bM>|#Tko$~ z@1-eT(@$U7ehUABU)>|W@Kfvk3(`|RT_gPPQ?$cR-y|j0TeJhude7n%_cgkI=oaq$ z{=s^`LgjS5#r>GPob_IX%Bdgs26D}PgZJ>93{K{UB;eYVEjq(dWw$2BVp87G~ zhld}d9e#}W9O1`k2cC5fa5h+v`-MBdu3G2+R8IYPM<8dN`%^jfztIl=#(PwY#lO)GJ=Sw)>v^*EoY;E) zYdzPsp4Y-Y*!6(?qMz3DPSVqU;=DWhiFWk!W+_Y3PqYKidah|bue6>+TF)1)=Z4nv zK zkiXB~R_qsl&Yllt_iwG|K`M8ga{3%d@B3QMfmH7D=Rui1>-mq$>2shN7k}+bzI7G$ zCml;&H{}QY{=D?N=o(tLy;DjV$MpFC>M}l?MI3SK^2!Gbt%ZI;kougzyZfM*Z#gC< zp6g+h5$`8ly{%YwWyjLzJiQD2f?s%-l%n?<-`-Zh_(0!rDe>KU(82exp|=nGGXEz% zf2#Mit7m$j_w+9C1MeXzq1U%(dQXuLbnsb!H)^w&Z#m=nQ@t;`dZzaUPwxUh@ZgBh z>)SKEv*ZIEeAeHG+T!I~&U*e-?|E0x^uFZjUEl}ad!&S3-=66`M?TQOx78Tu_j>u3 z3!XpK+bR!`@VrIm_agDY54`tzxodoTrdQm<%`fQSv;N*wzn5<*dHz)IvOsS;@xTwf zhpE@MXL>uy2Rityzw7h}^)3(et`79BARhRE_kQa2?U~+{zCF|1O+L`Ux5Jp7=-m+Ly@Pn*cm1GV-=691CEoz~tiQ*!!^^j9 z4D@aZ^ll;^_@Q^u%U$E!GrfJ}108(U-(A}2x`F6^TVvGXL0-jalsGVuNruh#CtKodnv#>OFZ!B@me7- z`xhx(IfYW}I~U+x2=LAm5BzyN>Ck0()5NO+4@e?~E~iA0%Fn=TFD4H^94tc;E-#*A2W65pP3)=l_mP=Fc062mU->*x$#A z*B9X7IwtQgTZjjK;Jskj_hI5~4e-hV-VWk{A9&W^o%u214F!1B0B?kN;0K=dcVvE? zcvAu1;{o12;(VBX^uNguE%|lpCs7x(mbrDNt+0%A{T>47VrTmO zePM$fo#0NbF|L+X^gTyZf_*LSA9)o}0 z!2byGnU_=l(es<2=kXs#4XxIU;FJ+B5u(R zyrV(fTIZ>(^HSD%sH@ssf3S_aEN*`ub%`tHi*#HxZb8qFi%ieFaG>5Pqx+Xcv9$Zb|xOHC7I*(_a zx9c{><@2Hy`TV?;a=n(0i{^9C^W$R0?K%Vhmx+HOz}L71JqCZRfq$6zy#7k<*L^tX zG5G5Z{9hrymCr$s!N1wS{{r!?xCK21|5gM49~0k-ThL?hZ!z$nCcYK7pvT~M8Tel$ z{u&<_>HKQkf}Y10wguxB@rF42C*YH~waz1wp2qFlgdcH>cG1`Vb}13JXb0XIZ(mX4 z);gbPojB82JB;_*UG49)o|Mf&UEgt+)j}27jZ0|LerJ;uiE6{5uW&FA<;j zJ?F$N=z08laf^6Eoc)IUB5tkom!zk0+bjHtTeOS5_IF5$xJ5hgW`nr3&SP5VEv@sE z?=!~bsAyd%Zb8qFixsyI8~DFT{1>I1FK$7P!S6Tle~b9MKbg*}=3CHX@ZWFX|2FZh zxCK21{}BWKS>ju93wjLxHUs~6h;PL$=rQ zarr&bin!%-jdWaepA33_T&%bqHSqrh@xyV~d<%LE{)mD9FNtr(E$A`$;|Bh7#JA!W z^cegx1OHzU--=t%WAGm}@PD89R@{OfgI_i9|26TgxCK21|3?k{ja$(3 z_`wGZj>3nY#e#|%8MPK`UQm&Hu zMmzBIe63zbTIYqW^T5`5U+X;YCynv?Be4el)EmT~<|EMa<7LIirwsgmPyAUC%YB2qJDn{^ceil82JB@_y>aVIa>11XF-p_ z|Ez)kpNM}tz}N5HfS$)+1paxRzdvQ*pCbN~0sa}<4|*Qoinq@h`2U&sPY3v#??8{i z|Ga_!$Had&z+ZlqAAite@PFCB|1ZQp5#a0hd_a%E*ZhNZ1nY+@0eOa$w+;D)VGED&II~=d#U)6=$CbbWv1^i<v$Vukdl4gF{9=s!R?%oo0QC56-P+vD{g zADnW7zb=ef&RD>lyvIpntxe(RF{J{lPkrTQ`>7FSSBzD_)mK7Pa{GMCPfJ@iK~boi~(UMP2qk z*uUWYXqO3;5>)p1vJ?ukR7i^aJhren2kYk3OlPAFoH5n-9j*=yGY#&&StMm-#p(CHk>4=m+Teer%Sy zyysZ>uU_ugl{aBz3%J2|Lj5Kb2qDkAB1c?!bP~MLzW>{3kyTwBs^-p>KBrg8$z<{;F9i^ZtbP z(60PEY!N!<;aZfrdH9Hr`%&rsW%DrW>VhfLS!VUoj zKh{CHQs;L(OX+)?zb37a5BdDOmx>3)0KDI6@gbh^-p=tld@ISP?{8Xoett^DZt3Sj zdb^1?REMvJe244s^^)&Q9lnj^>y&X_$UeUwl!|?I`1*-=unyl2@*S(gH$=X(b@-~} zTPX>3p?>TmUw<9G8u@1G@Es)I$vS*9 zeEkv!h&O4Le;vgcsUtr0{bTie#AkjTz=CkKtOIB*B#Ub(m$2;q9+tz@H^vfv0sYe~ zr&vlMp}V+Nl2ZJow$aCXZM<5UXibW>!KvYKlw$*lM}!*Wi1c{N=LOFY3#%L)4dBsJ|GY{~grN^{%#?dInjJu$*M6 zc1YFDla9+X*|?0sj)C&TAj;vf0XHtwQ`KqIr;_S)Blg`%`;HU;O6_}z@;j#|2Vlq0 z_=p>?M9PBHwLkUd>n_^E^*o)Qdh@l~udlUn83^c~o*HoeQ`s{->Bglp;p~!Wte`H_ zSW&y+fA#FzK)aYfqV3u`*Dg12ll#YZ!H$86inFgWl}xH%FOIP1ZrZ~<6>ZOxbM0~Z z#T++2VvZXhF{jdqAKgQHm=9~}*E(+>5C_op2j!5|5ogcOwa3lh_y>Ia4@_4jo{M$o z=U(b(p1tBYyGVPchAVD7#&_@bW#(6I-bTiE?RE248FTZwbEM+zgr8&mspn4{X)p7A z^!#n``L{M+iT7`;_x0?#kM?k15pB=onLTy=I%d7TpLTHH5^cvbnH_cgxg}ye9-tlE z7e)K?3z;4B`f;p(Y@&Ydx31Wq@!=K3*&Kha3@qr!_59_Xw2%9|=y}>idxpoDuVev4 z9LX|0g;JL3QIxVgOC0&U5fe`j(jM+7qwP5`$DYBu{u7h;-$i@4Z;iI+xjFVs?#DRD z^6c~3WW|k>EYDM#zhdIMkM?kX9PQ8N=h#zq`wdy1-MT2t^MK~9nD~B(c5wfF#eTio z?~iMheN)bV#>##lR2e7_W4tB@caET}Bs)hM$zz*oANT#WZV6b;Ti7Syx&ZN1rvAy{ zQ8y0dkr7u`hrIt(1}2A~e|Yy)Lw@{j>gV-DZ7uby9ag!Le7eup{iHOD{>8PEQo`=1 zLc1UJ`?V|{cY8m3blQ*WuKmvb9kQpp)%7%`5z@6~p_PQdjb z=mRXZUH1>r1N|4IL_c0&KSrv4{HOL;oxO>ajl{)!Xg9C7YURNx?yHkQ^=s_MHnIO& z!k+wf2ENyXI-d*I3PM-EnXlCAiYwKJ?=(SQ&k{c#_4JKb8tV_f#{_&nhpyWn*?0SV zvNzeKd`XPhwm#v-`PlggY#T>#LO4IrvyEna$$-% ztmk)=SEvWyPl6u47gkqKx$>CzzlkxCE7qMKd?yL`eUbeTe7~QYNUDwaH@=GmJ=J(U zF27ci$HvvKWBhFw^~^-;8FA~}{#{dUy&oC&x1m^^{+ z89^VlMuxzE1=_2e0z$59ViN_28 z)sOvLRDb10BgR>#*_9fX7$@{Y*BvdjT}ynA$@z1G`g9%oPW!9+@txY3{!Wcn{q@cj z?vwF-C-iqVQs3CPAK&@*VYm+0an(}CRZD#T3HtDzgqZ%RKAFck``MWFLiOQ2;~0JE zJj#Ut{Ae&4oYHkSrhoW;6#9qvlw$N{{TguVRApq)-CwAbll_hCYw(>Z=*2nXNWIQ) zc8%#Sh-Np{>99r>N^#wPxenv&%nUC z+t9Du*Cw|?-<t$jz@8Z75F}V#LJsmu$qNYk}~{tiu~Dir0%oE{c}ibyoal9-BG*bvU;!gTej;u$m@|QjdOn8!F^MI?qzZ9uVllD>nvSww7kf5hu@d0O-}jeu2aMN z9A2*O#&39B$02?0==dHR_V<-W2KYRg`@%#@^k3G4dH0P<&&M0qFO9dqdzFv3dj05> zbqwn#zqggwciBEs_33kQz5k~5N)Y$`jQclrcsufTVtm!k9?~aefyBJrwr-spuUnIK z1C8W6d{+kj*hswIoISc8<;!~GvWnxv?-}9x)Y&D|;^r;ipZq%a1ohX$zm53(E=r1@ z=Ka7A^7@efb^LxWQs4d5$L~4ho)h{!lgGz6D*m5G;%_HD-#Z8Xy!&tUqrAR+e_nlc zoZn7RAK#bF=hNHaM>nraZpV5wS@HMZ){4!lngy8U`1m6B+Y%Tm&s?JZaUc{^?A7X#_9$|hxae4>G%3hC@&@(tP^%(TZ z^5ODJA|={W|IzhwVAQR<`;t+2{v+Std>kGP`a8&THHnnK)p6Kqv`>cZx;_swFKB&d zP@nYm!6b3#XLhob=LD`kDmNT3kFn!;faA#b3+IgE==46f&a6%Lt;0O!_F>L%Zt3=Z zqx+P#$)wwN&-47o%}eT6$;cq^By9bDCE4TdljwYe?dT8ut=>9;zn_kHEH^f_SIj(Ng92k(mjr-wKaj|u!n?I;Iz z)em|2^BUT*@w?owe&WsDSNZ46((cx|T~pJ3pPNiiARfEMtM2-_OBPJ5mz9Y@=f`jE z?s^pU>A?xtK0SHcn^EuX^84Aru1Ob9qXQ$Z+}Y)?TPEb-A^7$U`0MiV(Mc!w*ic#g c-LF#^2e~aL|5|_i!?fGgq#bc08DZxC0XtEVy8r+H literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptbz0qr2 b/bsp2/Designflow/sim/post/work/@_opt/voptbz0qr2 new file mode 100644 index 0000000000000000000000000000000000000000..0ad6d3512cc7b84996b8c5c09551ef4531cded64 GIT binary patch literal 9700 zcmdVgdsK{T-v{s<(h=<%N)8=FM{HD^l16GvrIIF@$Vii^q*PNBHCrWSJ4~TT2MrlC zJBM8fp{_^LtD>ZXib|9+(E+81_d9EO)_&Lf-@De6mp`s$Eo=GXvwrvY`@8OYJnr#Q zNVt31Iw7-9<`4Y2B=O^kMcWqf-Flp*BP{m*m1kDK)iW`sDH-UsF(^uDoeIj+?D_kz zPt861rT9vc);+CV$`#{|u0N@wdgFb4S?o4**Yry7Tl!wQhjZ+Us*`O+?tQim+jYjz zb$cM^dHrC5OI*L##DonQ)@<(LzLu*mcwWuxw9IW6JsgNE+cUYM=7zs?=VCscr@Nzs zF*&V~zW7-Go_Czg!!#F8_$Xp{aJGOr@hAq<^ZER^#~tg8`G) zUS7SiZC3He*u4W+R^JqAJ~ma7>X^r(9-4kYXsZ01@bj4u@-qr8Tuno^c76%p!MlC= z5W`%zqsOMVux@K>R(mghOYtpg2 zrJKU_77wQ@&CLsDDC!k*+?9-}eT-j)6)#r_Wt}MU@0ni=yPG=eq<+_Dd1 zZNzH!{F^1iGfc~cyNv40uc&ofYVJ(dWmZgK(d91Mvs1}$qB~w!DJ5}ctTKCPUQIon z(bZGJNz7=XGNVfgx3HPeEFKWCSsCG*yd;5!$s}Vcl|%Szp1ZMdEt(66PIdUkP8nA`$Ew#x)&ILDA4y}yDTp0re?UYSKJbDuBxvE`7L^B`#UGoZ)&7*FLyx z;3|i!4z4#67xoid11?9n9>Db)u2{Hs!*ymfy0N<2+1b@|o3CN8g>IE@VQlNW;$+VG z(k;}x*uEF-Las@G^{S;qeS(paQukW1M>F=h3$X7J7?uo!Y%Pjg)MD^uGY zXoTA^Ola@oi1?fb;ZH2Zv)GJhu>#NHeLRaVU_)PvHo}IT%z|fhpT(#5+--eA*?trJ zO{CJDv+hPbe`}hzFWo}VCQ?DEEZ>t+Gq1gUf7Ba#TI34$-vQd9q$Q^)0SmXYQnWAd zLZzh`S$07j<=QJ$=IdVw_jm}Q`SOW4F^ce+he_a{b|k~C9dk>;+-zWLg6$q`&tNNq z?JnjvG+J|$>@+{8mEj&flMDttm6L= zXPlR0Kf6kzS>8sXPsKZ~)SlklDrFo@nbh2FrO zRU|@h;J(X>80|eK+0RR@d>lV17*q1s59b>7{9*J-%M z!es+jIb3ycy^**E;VOb_5?pKG`fmGKbJuUCO}n2TAIRNzGh5e2S8a0H@*vL|6T#=s z#mxHmRJvuLI(yLixJccvpTa(##}cuo@hAyi3}3ISoWxi&Ds#0o;a1bp^(E-~Cd>@` zS&aRh^Z+v}hphv)blB`*`!07X$$k#UexAy(lrQucOkFm3Y1@)ZGmCzm5oxNqE8m#e zyxNgIZ;A~2@s&#=mwoY+cI(Y7?)`^6*T)YST^-nAhq1#vq6v545}{q3N5tw1gpd1f z;9qHY-&_sX9k|}Zbpx)kaM3WcMr7+!XxaMIb8SQDu!zSE+JgX zaE*g2?PqgIy57bmGT3zbwk<|sM-tVtH`0RChS~%{IqA&G6*K6Qr8C)lUWcghjwGp{S9-j1beioA6I(*)%y~(eQK6l+_cFD3?qNtP( z%I(l}mRXh^&se#Hq3=D+Q9f{%%5+{zxUJfR_J;`(TbUDn$prM_COm`Xcm_-1!gn_I zWw_?UH4)FCAD+QceP5BhhZ(>nhARoK?QkW-6$F<6E~dn#3s)&z5pZ3F%K$D4T)uE6 zN?dE^#!hra2de$UH0<7-@`2YEOhVri_0tcNu^H$1HSfV zq~r^t*(S_Km22pWr%ADUE(k;hIjNK>I@?%&vW2|k&PEL1=X#vPePgIhn-Ib+%O|wj znMC~BpYTtdCxQMNa5=*D0It_?#leMp21ST@nPFZ!=!~VK-i7+;LTz-RG`i3pU05gS z!Y$~+4s@YCx=;~a_z7K@k1jMq7fzFO;WBig9=cEqU8syMbVU~qp$lWsg>FAY7wV%6 zwb6yr=t6sRVV$H4x1b9<(1rHs!k^3aPj5t`H}<199MBsL=#6)h-q?!XXhm;$pf{$X zHwMrfx6vE&=nb16xi|h-zM8(}s|ERbhY{N)(o-(F7w&A*Zi(<3B$o&&yfbRuIM zWGo07`x_a$$QZugyutUI6v_7+K3w1bzM+SV z%|^y#kTEA@tQi>_LB?v4F*9WBCv*L?!y>T5;<3XVvBREWhke2hySA0tWYtEEYR#H+I;WA9#l~B4hWk!=52yWyshwWULJtYeU91 zBV)6EmW(MWJ*@utXr+&Xrk%qRDb?w{D|Yw@iqmdMmF`|heVA(|%&~H5d_T^T%}8$+ z88>XB==W!^l+QZxyk#pH2c6$?T%I4Iw(s*LT$>m|D-R>$y4!^RMu7yTt|A#>U-5nP z5_)4E@+FUad80Sb6)Y?CMh$wS0lm@opYDx+Osip{z{G-y2h$dqVqnsSsTii2Eiie* zbP}eOFxA7<3{y8uSulK*6-+HKJ%p(O zrmi1zPEIf>!{iOq0hrdp^a7?fm{u;woSwqu1=9(bJYaeSQz1-7FzNqjb82g__Holw zoY<}8ZkN2J_hnFw;19v?V?3Cdx(W39`#0FSa*ss?eS0V-nOQ7@3A1?=hp7zTCmT75 zy|x|6!T|lRaj1 z52g{Aa$#BylLt&jFb(}orr~BspUIJ~w&`}WG$LyE?8tk4BU|sp;$M{fbR(pP{U4_= z*IInATGA-md29zI)8{a2!eKw213iw>@IHdmpCzOURm_R}wz-6MWEl}})F=EQZ4#I} zg=Eb2Akh;$ND&>swSLgUoU||}70k&EbNY-PKZqVjr&B-RH`f9jyjT98|9``F{F{9^ zc(zG#-kQtTN_C2fM^3QufQ~RPTTWg}ZJn$7jD4K3h4BXkqA$hoDYr{a^miNye8k95 zZTllCGj`=|ifyUc=7f~cg3|~6ZjN1d;+=Je-=+ULbV8$c&v`Sn+rPT^4{J+rtNY7S?p9k^9sE0jlh6`Y>Gk#E^w`4bQMMN0=c~*v$+Vix zaOD{8YNe`PNzRg@$&d_rL1ek0C%-OT-snx_Mzxe{FM>iB92H!c^}BDhYyp*Vr7_aD zRy|)Ytkvk3?1O4vYPLbKK~Ds>r#pR%!e3EaofwhE27&o{2NaBcarRX6YJC+H%ZV4* zu2}C~^w%MsL)nL9-KSMJ_Xa;|6$pnoV-#*uSGvv5J`?NLQkonjtMZp?+VwJ};yBIQ z_w3UzSJX$SKIyp{#_6?fu2KqBY~6BUu;kH(kJcLkCMwLORk|s@HtBXhZl2u7k_pO8 z@Dxng`ao*U{%UHY!$>yo?~0bp{$;XF(G_WWTeXUXA{v_P73;s`(c?pU)h_i;1*j zth!dwAy`qcszvo}fE|fWsUg!syZ#k%A+h+960>v)gZnU~Yf0`y{kT3^Wjn}4%$`Qx+pzOL{7?eX}Xx6=I; zD^A|GZRXVD&l!uUG0w93a|8xqJ9@LJR$0?0Fw{qRf8_igB@~w1Okv+jSF`)rc5xEd zySi}c)R5xEzPHL3+!=Wyc!%1@$)hW`+Jy>C|cBsox~t7I;`&lzVXQzb zG%bn6u8+hbTSzQ)rW9=On?~l?XPJJU_9S;^@k%45@_Kc*Cxx7@?uWvp)5S77MitS{ z{9YyUKOfn!vi9T%?d6e8lIWhViH;X<1U8SPzfaM%4*t8TbkvEhDKgU=&c*ZvjB~!c zdVTA(!p~8Adb3yG7U?`TQ;}((!=}YfjS-otE);z|^GQ)Nf0?UU@aEUwLbnU@F2^#L zX#4TZ_NLJ0+zB8Wi;fcRZ+k^W){P>Kv2zqFpT|x;S4n9-;@)jny=h*pme;GU@ULk} z*KgQ!=FY1WPSgD&vqv_ccFRUj#K;L0>AhX4n5DmQvK#Ge%O2OP4SV&E4%``cG-vUK zQ0jt#RFzpdK}=<8KF>qNg!Z2Ko2dNdDv`V+Me!r++w88!*R?Xg8!*3d(qzrNyRQ#g z&M>}RG@xl#Cfa3GyChq^%R*;Il0K_^BAcOb(TxVUglgKH05x8O2?%K$D_sY@O%C%B%&wGS?9 zxXR$Fh3k#fbq+3VxE$bm09PkmQE=^s>&#GeV^x)tldIPjzu7^{^egrAqgp-`Ch^Xd zY@&^?xJta5=90m$V?;GisSV~9rQEMCO~lHES1Ic#X6zoerXVAZ%~aTYn&)yrmDXlY zC;a*WLjSOzNX~ka#IYqfi%mF-S7ClLOzU_$pxB$D{OB$0K91pZ}9(%jmxZ^_s z!@l(o)xJq*n!lq}sHg8Z!(OlGXIX;|67}29&T`DY$O(;d*Rn!a^B5776mIC11hGbR zABF2sz!pCpC!qANVftN>AZO9Y*E?jkReTVBXTy(fTz(t1R zeo5!E2W`jZ*$M&6C%GiQ-S#r%P*O~$KgU@uRoLq5&62!+!C2+Ko;$`wT|DqtC*_*^ zezxJeFahP1i0Svo5?=g`Sv1zXWrVM5Na%8UL}IH>5^FG@C790%kCCrF^u{Ul2JWn4 zF?s{{U3S<|&oSwIUTo>>@I}dllIuQj)#zQ$LiJMy+MGYsb_#F!=CC%tc4W+TljYW# zofk6>^C_R!TeHRe0|LrbxMsnn4A;7)gx^CYbkTGoQB*^3xWV-mu7hw{!PN^_9$boW zp&NhBd|m`sKU`Pf(t}GLE+@EJ;A)e)jNz(*%L}eSxNgB^0+#_?s#2E+T-|V;hHE5T z)^L@L_T=?$i-h^u;Ty*SN12Xm!vl)Lkq~DvN&WqI+wa!njh?*HvVWV{ZZKa#a z@s>Btptesh3mIXKn%q6IN#b#mPRfRa{p^8l;ewnKXPE}U%Xq414QVXpnS}45LFn&S z63Ll*k|cQWNu zQ3P9Dc0@p_b7cCxeaDMGd4$H=9Z2|B4ioy!0Q4ar+44cQ@aB=Q0%!0s_No?pHHf|9 zW3PTnA4+?EHojLnMr>7xw@SF=Q?hMr%)=A@PS1iA2=GG?va$SqnrO}sa` zost)l$~Mom6_}_NF%5hMc&afOG?vq1!f(+f^gm6B#BvEqEE8NwxjD*>);a3#UTflCM%OX|{xs|2nvxURuv2p0t|Ke*zh zE@!w};o1+EEnGElN#II=D?sY{2G>Qn4B`4IbNS!jVaxoN=@)O6l$9qYlspLx_}-nC zoGXmrnz9~MtYIveBEx-mUMM!al0uoNw}tI5pD#G(WW@A)PUXe#8$n}P2NQm2E}_?G z5lQD(l6d?a3EZj;mjhf6;Oc~HKU}zHP(;`-bL^KMI%DyWccB5gP#0Y&hc2{37uHI< za1*+)9bIULE>uPrenA)Jq6>}Cg;S(mxD;JTMHfy-7pkHQUD1X8=)y>Jq1!Lfg$C$C zU38%wy3h_?SS#(qP3XdQbfF!(aCo`?>5Xvo#sTz(J$j=az41ZX8=KJ^E$9tT^u{Fg zMlX6J551v?-mw0ad*grQtMNy^nvt)E$XAU_fw*U;6X$NjJYQ{Yr`sv9jzc?T#M6^( z%g?I?_p%F__ow&qsz&A0+EytOeuWL8H$NwmZ%0XDo;wL#@|~oa9VQWT?D5X=8X4mu zV;p4cZ)6Pb(t;3V>;y9AJrr+lKjw0%GZh(|fsBno#vGBcCS+_78LL6Y%#pD{=~)~= z#&VD`Dl#?!8QYAE9YDtHk+CPzjM0&?FUVLlGUkPh;r-?f-fxnn?>C8X{rvj|6&ag> zjEzCY9FegmWNZ)_t3k%hk+EUs`e%lPVTMIxhB;t{J;Mz9f*E#wGpo_Ig`uzJ$t}4v z2{Wt@GpqnJ3~x88c)RiG#0-nV4BL$vcIFqJVGYPwF=p5^WULe!dxng)B4e${*hXY* z+HlF3ips;P&yTEp?R9MJpU6y}+Pz}Cudwjs9hs8d^JovRnv1Sjx-@(oWx-{pHi=E@ zw@?gv(%7mQjshR~3g$tlw>+2Uv9z{*euQrwN$6!eiKI4}O`4@29<3jq zd+_wbb6e(trSZ%hVR%D-$u@4-#NA?<`&7z`suAqFFM|d54qj*8U#rcldbOR_cH|=A zZ&V`m@4iHmpGp#!+2LMMjC;jb+$+wbA9P?+fyoIb{C>{H@8?5zulOG(O_=PkN5wD= z!gLj;XZ}{GNxsiY3|IR6XRe!3tfFAa6NgWRHbmg zPF}HH>gDpfu*px}UE9g)wrQ$V2~lp@biS|X(Ynto*9DAKnnka0Q|>hF@;J66={^5mUSKzG`d zcwt2F9}zY8>g@DK&$mtK6IAcK%zk}w8ecGV&w6I)t(JD;*}6)5hF3sorgn0*T75{z zmM`byk6ct?ZB%9QzeVs|^Kxk&=4K?`RFAM`s}wvB8DHk$8)<8^u&27x_~Ps5S|bFJ z;kL|G*UQ_5D{if7p8PhzmP901lPMt`{}pyVzVMO?t7IaR|1h{?(bb0r``^o}+A6>B VsrEP$^ZLEDQ(XGp7VmLQ{|(m2mwx~N literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptc0dk1m b/bsp2/Designflow/sim/post/work/@_opt/voptc0dk1m new file mode 100644 index 0000000000000000000000000000000000000000..d025396a7e3b068e1cb74c1307e09ed80bc49873 GIT binary patch literal 31744 zcmd5^Z){vuc7M+qCMJ_LhNLv@Qr19r*FZKlkaf&1>^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

            ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

            YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptcdhr7t b/bsp2/Designflow/sim/post/work/@_opt/voptcdhr7t new file mode 100644 index 0000000000000000000000000000000000000000..769b84afaa8bf873daf04e616a787f383b3b70b6 GIT binary patch literal 1232 zcmV;>1TXv3?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$8n4#tXaRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;mQQx)|@=4zwJjFlbGS!;9P^OnBs!v#xT1cNhb`PR~uvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Web9ZcH9df5HQweXQf9@}nSV>rO(DLxTe~aF%9QvBU-r8Nw{$#0^}qDM2N*i$WX$_ z(Ba?E;e+?!gGaaQBd1ti#KshAd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP z23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm z?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv z;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8 zLsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)> zdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom z+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0 z?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b%v@y9*!h^? z@v}jIqbJiq2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!` zKLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7 u>0wW(l7qz%WkUArV>_17h@WMuecC z%t#q%x}y_I^yRG-<08T}LX z-4DiLR>yo+BMTwy23FSDAGp6U(S@EO3Xp+*FM0x6|A@c`MFnQmX!B%AW%dwiDKCtSRk0v|>LM{fl?5`uYD*NrmDYH`Ywdx+mzq<+Z*|wepQ`@Ae-(|u zziLXrKb7RbKWdr4Ka@hiKWNp!KakqMKVbd9KY*gYT`6h6EzCkS_#OqeCC2_N3(Tz; zR@f_W?C>6-n4x9>aRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dQrfkF+0 zL&Zo)$I9oCnv~0-iKz8iW-J$J9rI<6MrH5_&9PY~Q*9H<>? z$$*ZO(m}x~s*jP;#f#G7%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBTR9Gj_>F@^N zlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK%eSq>)-Mvs z?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Das(;pj#A|Cp z$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V(xVGAqF)in4 zBO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV z4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?# zZ;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X( z5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQS zjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM& z@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*z zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsA zRlZ0f1LrLSMve|h%v@s7*!h&;@v~WgqbK7)2M@NuPF_90-TVo_`?*8Fw=?&^FDJgh z9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceE zM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkvISY8wn)$X(EbT3Z#T52sJcmf@OjwM@sBqJ1AX7qDeuKG7X}k z;1N>NAmtHw0E)Z-QW|9be{WX0tnOfIT=@6w?YFZ#J2&HJ?y0e+ebKSTWYC{2W0s%> zAE(+<&7lu4-iBsSo4Gw;;IgjQ%&xf=V-|~5OM4}=FClzx+tBC6*&f#ay;#nFVFKo1 zwtt?l-7D?y<#@5chukurbAFiT{g^E00G{W;=6;M>&9RKvPx$8g+t50G3F9gJsDZ~Z zlK9I8-X-$a4Lt85W&gJg{KG_k9RaS3ALE_kf46}T_ZGD2{>~eC=0%GCs|KElm%`sP z@ZChdhl5q)7xE6c_pf=LbG(K8<++&0A%9;)e2CY5%u3n+X~gd+M=#|6<^OBM2mhT! z|34adzNabsUq)c6@eBSRCGy)556t@w_Yl^jGVXEwM-d<9_jH|L(6Ba|0I#W zZs6%HzCTy9|J#TUbt{!$N1>|5AIo{asr+ulhj`WTb@_iD@qgQY9e)+^!9V*^O8(tM zJnxxY>i)}oa``Gi_Mb?M(B^rZaj&@_{Mz&DSNbSz^wC$kZ(E+<(Y*8V_fp|# zgZpr25)AV6xmf4K{F+ZnG?cxW|{}Br@FTP=v=iu`?f2>ng zf9zWw_~WQGEupqmH~&+0dM>Ko{~7ZF@e}=jA|ti+|AJhrt^HfH4~zCw(Y`3!-$eVA zXulEd8>01Jw2q6`W6`=QS|3I0oM^ogtvjOiL$nTvzR#lXrRe)5`ku&aJ{>rd%lzQ9 zPu-s!9aAUc;nzDEo{agd3pA^%wzEu?x%dQ+82KS D?16jG literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptcghkg1 b/bsp2/Designflow/sim/post/work/@_opt/voptcghkg1 new file mode 100644 index 0000000000000000000000000000000000000000..9da7f0f399bff707368a10317d18dd2f0ef993ff GIT binary patch literal 40216 zcmc(of1H(9edq5ThKCt05|Usf29qI!h9o327&2j$+NlzQib^9|YKhD+gUmDoOkjv; zqh1?ov7(|)TWn)HZE2HgRBF>Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptchasvn b/bsp2/Designflow/sim/post/work/@_opt/voptchasvn new file mode 100644 index 0000000000000000000000000000000000000000..b6e288aa844d436d67d89dce08d41b88275b2991 GIT binary patch literal 24144 zcmcIseQ;dYRex`_ep+c2MM<30iJe4_-P%oTOEIZprD;*Ao4Pc##z~6{*peykmFGlt|)3vnpA!rlKrj`IXZ9eYog~SmdK9lB;1dPY(5318mp2RQY*&B$f&9yI%Yq_%*lNSPUoesoh z{_2fuUm&g(8kemj%hxK6>zu}A^=$cS!#r`_S)NRqzk1`^ABd}6;@YTw;w{PtGk#j# zenHs|jq`1MY~?&;H@>?9eAi_7CIWnltDEoc0N)zr z(>P;p^tNxN^QpMH`R)nut3 zAJ;L~gXh~G;8R@PeD?+TdNO=70Y1gm%~uZaZOib@1^5(KH{WLieA_d8X9IkStDEn0 z0lu9XzRrC0dQn{6djuk$=e_}+16a3%4p&TQ$N4X5V>|X)TSt8w zr};72=lWIlXZGhg0AfLXTV3BDh?DD@`@H$JIH{-O9C5g!!&Qs(P#{k8Z|l8QoSzTG zIT45xv#);MXe7U%ImoaVpYIKL2x^FSa@ckWBg`@I?`?bYHu6^PUP*Bj>-196rE zak7BY^M1d>72SSXEzUE6IL&{(an1zdoC(CqeLo%NgBmC8)#7YJqWOHVIyY(l>y7h) zK%9pHaTYRhKB95bUMWYiM9Ej(b;CwthCorDjCB~zEd^}GnKm9SDM>c_|PqVm%p z<2j|e;^Kz`@w_BBAI}BQ8PD@ej7Rqy?PIu5B57XV z3B*$noPYmn(|Fbg;?aG9kAVg2UH$lYmMcH~F&CE0h~vRLY!8e(&-Nc{hMP=5ML^K-%wzvt)u6XwIu`z6YMc!~V#$MbJde)=Q-kwE@$&hRf+{u4{&S3jPA ztMb!dnm?QWZ5jScmH+e-`PGl-->&@hNB+yyZvh7nuJ31|v+i$K9NUR|PVIaiJsIVb zTOrf+wo3b>+XDND_(_)ObN2B-zR@ju9rJDL;E4c^{?oW8133Cg)H=nU_0NeyfJR6~NJ70W$56_GW|O6v_IOb`QyGu z+*-)=dzFoY^@n!9zBtcm{X2npm=EG?p1vEvahz$~Gm67wZNWNc{Au3j0=ShK+z%AT z^KyFMxPm&LSCI4bEeS6?UW0tV>8;IILXwX-*7d)<0U!KawchnBy1F0_?>}MhVm$0` z`_?IZzHtuuhS}A>tpnmYKc+Kpye|T+lXlvzz5Y{nu!^;Fh0NTCp7K{U}s(5tbT~&I2q6WD8Cyp{N#6CkAKnMf1XJl zxE;D~-8`+q=b7?cEGB0$@AUh>D$V|X8J+b(oID4MoNl*2@B|JbUf2+gLK1MunHS6$Q;pRU7p=&VEH({)H*`0;fZ+Q(R5nD<5bdAHcR*v@z?KeK^#N<45i z^TRp>U+Fy}H>(~~NB`1$#qRg9pNF2_$Jowzdc^MYGpqR_KAj)i$B0kohrICP^Ap;~ z-UnQ@{QQF0yV%ZnEI*-rjCkN`=I8z5x3pE{X4PZr=wJGP*!@0s3-t6p#&*W@L9zS% z9M}91pU#i%W5lQPLtgmt`3dbi+kiuU{5qXeo(Eu0?>odXF77+GW8Y!ExbJ)j|DwO& zcc=rmBS$;V8wJRX*mpwrVZSK-bB7^$56)Y8^h=I9y_gI-+1h+W+B=A693O_{yo$XT zub>XTSxCMIvz@rmy_Y-xW8B9C?|GkcdWH8f^+!DY{SqX3#a`h(t~j<67rNj2i1g2$ z5WMF->GTTk)6(8SJpFwXlDuNC@XjfY?Zk!dv9?S9-1CC>ye~Sv!ux`>cMwm1zYIxU zu~&FcDUR*Lh3>0%NdMeRg7>^HJH5jDV`=Xop8jrwB(K;jyr&h%cH%{5SrKe9siEx8}5`0G)B#Hamb$Gcno*?mVr{mFet#ow*! zk9hjCbK6qSbKIA?fA`{Fva#IfLKrc(CKGd+P$CuR6mlxVj_8NN{$FFVZXGW zPpY5$Gk*R@{Yae@6RD@BgSP+p9l%#{gTv2F_AiIN_1aL*e~s8Q2jiZ z@l#YkGLOYX=DR8RvEbbEF73zWH+v3eqWGM&b2wD@W9w4e_a=p z^%NxMV+eMhN7NqA$$2sU4YjX>#C=RWtM)z6F@FsJjcg& z-f!Ft`TBfQ@*m1yf=+(pDJ##XU?-2&gYnz}J9*eI%|kqS`XI>z_by+?GXfnvIgXe8 zAY8lV$$j4j>mZ?j%74`OMv24c$n1}P?p*gezK26QaXoBLeShHUW~YzYdO|bX<86@4 ze~a@gpX=M_66`PbLh@(8F`t%S`XNsALc;jjZh5hG(JSp%x7IFtrQOztwToV9xAko8 zqF36_xi~805WUj=(@eYQm3B0{yuDZRyo?6yPfkS9@*;kT^Z8vZG{pH`7pQDii8MzI z_CD8l%JnO3B#!ZjpGrLR!*~SO#N(LD1M`!I|2fTb zUhUS-ejF$3h`)hj2gH`p|ohMRDEO=;Df;EyxUjxs zwsRh)#NI7&HpLdtwAj1YPMo#xRXf{hr(eo_V!ymW{S!y~ezC7P1Ig$50mWGyY$xt6 zv3IK8?M(o|%&-30V_Aa)YU&^xD z*=~L*KPz_6OC0T=Q+uQ4g*e&|iv1S0Q@6ZBWj(v^UGq6$`(%%lDy#a&!=|iucw}82$OZ_pKmi*AbcLc?0&NKr}s#U>hFG_)Ajdx(S7}~ zo#Xz3*ca8`7scMicH*r5X4KAh+Ub|_0kQl16G!`Rirv@WZz;~|fbGORDE4mkdET#xy^HPUm-4G>XS?~Od_?S? zmpIygTkTeV#L@mcV!ws$)UEzF|E#})lf}fI<9#sjb0e4+)ZawLz6I^9Ka<;4H%VUb z`TAQA{jJoW-qS6rzu$%5bp0I`-Pa%6Iqu&R`=a{$eX)13oj9w%uc@8ww9_x;5wZLH z6G!{k#qR6x4-{v0z;@#PQ0(36$Ir*C*t^(nJd}T=cD7TeU&?QY-N!*3?SHIx|Ja(zm$KfcD9>eN}el`Z_i5{?Z?z^^+z1-e=hc0*iPN*58I8aKc36D z|JgaSoT)S0{|;sC=*Rl&QMofC$qPPTf7~bQslUsB<8ynyiS?fw1uZ3)i<94^%(-iN z_^Uie9~FBS+c{1M*Z!E=*-kqf*za+%`#ciI_9w*Nt?fQvPl~;Z?ZmO2^0?aBPF?ca z6n{(X-am0{UjfN;6x*rC%`(nq#HYsjZR)9W#a{^iAnnaKY>)@|$mzNGHr3twxfbUb ze!r0U%ldsv{hop3IpHtq59epv(|(+!)bk$B#;!e5-QR-{ z&$yeH5I63_! zU!eW6^Z(aq<$OyWHu2cXyhf_~&mDRGCO=OktfSC;YyX)1_?&V#`;q@b?RN>Z^nCvf zbn@%I=;uv!KX3Hc4*sXvA9=QY=mqFJC()kHFY#9c|BToV>b;ZqulfT2R|5Ym@M-@) zflmL=sUNnd*M-wl>w>&1;ipZ7F)LZ;(6lZofuu3upzag0a&RN|o@#v{1OdpPsNy5RTf-e_M) z&ok;`H^)JL4K@1NypV@@C-BeZfqpzM@VO)P&=ebf8GcFEk$#T4D1UzkT(x`9tVjGw1JeE&-AaXWQ9$NnC&+WjW+$ZIFt*?$`(&sp3DD6weWIbY&$N_w2VCAk1H zA6@M4U7?aEG06c^KZrzepIWbZv3x7e^00gz3=Oz{Th9jEzvUq=#C@CkY5Ng$)(P_t zX7@f!`QLCzo>eZ+LNbR|@)3`5`RDO<&^u8NeQ-=X!oiRHziNIiJPRV;Q-2dy_Rr#E zUo0f2YT#sD;d5pLTFsxM1#i3K!S@d{xPFb#aH|z}YYp5riW{kcTcf!9Yv9%@?q~*= z%?sy?`8yTBxq`rWI~Dh84IK9y`rCkct(HIY*Q2<>8aUQ7{T-=++pf45Yv6V&t_AZ{ zEk1O|x@b-M6t}eoZdh^CHEONxoKo-aSh`5_-;85lcw=ICK&>m}lGLh&_oCkjC-)|Ww)>}QQSXgaNO^K@XuwZ;&Yx2_~k-8XQ;=~vNC0(%Fci^93|&J zE!jUG=vU3(nD!r6Y5I`r5Y8Xx8+j}r0wHDp)LmowC@By0P7YB{4NU`=lnvkK>Umk& zq}fgK?|v}OS@mbUp*W2Hq~bw8Kt9k&kvuSxo`d764f6CLP(GvZP?HL$$ z;~BWajca^tY2)JG;h~#+TF)AO9Z}{pfSPd;o#aPhX9B<^Qu{ zKYi|3_y>kvzA&u1{M@a6^jtuGH{ZiU19vcvsc7I18&9r|@!X^S^!!lfdgZ)wUK${W zAvwP%bv{aCQ+Na><^Hh&7xzT}w40X*(&m}{YUSfz<<;{@c^V6ae)mrF4m$tS{W9;p z10&S$=pA$OH8i-#@%B!POMR;zK`w=#f6s#Rq34`5zwk@;&vQNd3IFZ|dG)-Q;+>jw^Qm=r zzQ@bwI(hZ{S)QI4booPCotzw!{b%oVuiGb)R#*3Xh9XRjJTL0mulaW`$g>ss=Q=f> ze!u_s$~gCo?{)M02K8Ide!;(AK|VbPV}G7-aa;Z(3~(vykbh@_{*GGw=5MfH_L)+B z`z!wr1pS=M`WYH?bsa%2B`*HG2KswB>ko-#9__i_hxT;dY(1Fd-&3F;J(pMVHMKN9 z{CfxVqv!TA(hNN*->Up3jn^c+_^y_!VYUA~a^eyc;`%)d$VZ<61y zCQo0TJeUp_zx6l2Ce3b=-=n7ga-IH>-bLr1dd9);O_OJ~PM*p-tDHyb;oUyh&lN*(vQbHv18R5Cv9tClyDmN%39J~H`wl+XNzB)5`~ulBk$ z|HF0quhjEf8_!IgeD<8GcRWWlU&rh8Us*SAZal_!s!qQBCE0HWheq7~c2_jgpFX$A zJ;$=LNvi{s-_Y^tJxF<9N%qMRyr6KtOJjT7yw`ePGk>S>3!m5PatgiG3t+k6`bG6 zvFm+MG&RKhAkEHy|Fq=All?cc zeN$)0os@g~C!Kxo)Qy{{cXr5gqrYRyou5a0N1Xg*hur^7A^_qJ^vZks_~?}Dw{KX^ zzn*V&+&zDWM$}KosH-2G!z7M@;ST!2^Tpjy9O&5O{B*#Pv-e6J40P;sd`NFM?eFRk t{!tvfsP|56a`^}SK0D`gf5Wj2Kl%H9f?v2FuWT0StZ2t}grCMk{|}6P6f6J$ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptcjfh3v b/bsp2/Designflow/sim/post/work/@_opt/voptcjfh3v new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDo6%lMM=H^a?6C)}SznOwwtjN@h8 zAKaD6ZDBs%5Z`t^`ls5wwo3AKUrBF1_pPv}PcLgku}d>Q$}}xo0edc35;j=XUY`kgNl|Pf5-{*C*PK?;BB!pQiYI z^7(?p{S51wj=$N6Ckp)Y8vkJ<{>_2$A2H(JX2e7G9R80Q@rA(nhm811iRZq9&ll%8 zw%@4BUl#Yn$Bp>=C7$CXhd8xt=O}Yd9RCR;{zHNB`;7R{2F8EVh<`LN{!>Q$_X6Yp z#EAd9!1(<}{7(bpA2#Ao2gZNeh(8wtM$at^_5Wv#_{#$04;b+sf$^U;;$IgS|2ZT6 zt%32MH{!Pk#y?`jmjdJe)QG^b$pb`Jm!1%8k@y`Xuf6a(L3me*y=l|aqOV#ZT|i)arej)}sLz2qAbIF%CogrUU)BF9^Xq z8tAtY4aM==EcIC_c9qyyi$!<1ar#R+Uv|m(WIV?w&)Ga*Uy9$vyHVoU&OBG@d0oom z^E&U#d0uBe&+8a&nJ-4UEzBq0o8-9z9dY>BIQt{iuiE+;dOrSpBR=$eeB6i+Js#{Y{E|8!vdzZ&s5Tu}1))^<}1_c`tNZ$|vZf$`56@vjYx|92z4KQR8M zM*Qx;`2R5CKNT2%(un^~VEoUF_#a6;=e>pQ2YznE|7T$QFO2xJa51gx!$SPe8u6C~ z#{ZWQe|=#5FOB%n{Vm`7Rh$2B2;~2j5&zb}`2RNIdjjMC$A}*cjDOCEF9pUwZ^Yjd z7{3Y&wT`oe_EYam;4S1Ax*t7(2{D9sHc;P*K);4S-qt|Bu0X%(zaUP2h09sQb*Vvd?5Z_n9#6hx^3auup_c{N!$X8GZvl z{yrbm@V}J-+&7}RGdE(N>EBZ}GRgcrUjXoY=ifWd&-d>U=jZ$Pg7fqJd%pSk{=MD& zeE%M9e*St_@A>)uJ=y&HR@MjYYrQ9v@_66P&tY)i&3x{=`T2)dyeDHm+q2&HVJ}A% z--lfe3%vV?!k<^*Kjddwo_rtX&kv1xeJ@5omY;BZb7q#v?t$R%Ce$u*k zH189Q{_?jx*w2J8O7x)-p{ z`_?($I-gtTZtFa4os+HeuXV1q&a2ir)H+{U=SJ&1Xr1${^P6=pv(8)AIm$X8S?3<> zJY$_xtn-I;uCUGv);YipYy9FkKL_L7ZJ035uRuDv&tjVUEWMxO=f|GFb228+rS89w z?e<|;(qca_0E^Gt0XA~`u#!tR=KGv&T3YnwZS)6z)CP;V3w(ZKVae_NkIsJAS z_3iNS*#q7`<~``r72ZdDc^mzSw-%PXKEJ{{BYrHW-yH^Tr;pDb^8PXJ5tpv;KIY5Y z=uf@n{j^FHm;72cab>c%Sj* zZS*JJZ^4q+=T~@7iXY4A_fCU%y^qhH^8PVz&fOgF?;eBqb;8@4;$4&CT_t$*C*BRh>+>tT?c&FB`rT{rb_s81ig!bb zcb(wTpLnkqUY}p#T`zttr(fCNy-|3(QoNf|yf+CR{fYMm;r00y-i_kNa{9f?;JrzB z`F=6E4)&#ZHwzy9iFdQ``uqy-R`Fvw{oZZx-XgpMDc(|wwF-n#^k{=|Ee@cR4;Z(00UPCx7WN4E;^REl>`iue73M}OjR0yJ(S`-lHz?#@aRvx-w|G)U*SD0ek`ZoMXe7{Y`U%0MKXD&0@P1G5o=o9AmBKqFc=RXUg9hGa!8@M9 zdnScpY{hW`Ud;I5oD*C%c zKmDn{;Nv>P&wb8<{le%i48smC&;eg5ZV>#=y2$t`OP%XxDSUG!4 z>cP+FRKp*jAMp3UV!X!q1A@=;5d75!{-EIRPvK7qKFdS!uQKr8F8Bvi_y+`^*x63K4*M# zz;gVgpEG^FSssFawV{7R@MZmo+rJt99RDm2!5{U0*>1E0<9>iNpSwr$jq&yKaV$^P3)5Rw{A&&Talw~;VvN5L>n!!NJOuwb z1HUBrvM-DArv;zoA^5K}@FxWSU~1g*y#)2MJOqE8fxk=eWuF!6KPvbv55fPH%&%PU zxX#^y-xxRM=lG;NUN_H&Ki5s>gKyadu&c0cGM{)`-MZG8*LCwXMtvsX&GE{1PtN1| zc>|Uw>tl`A4F>+5f}b9*`Z)!bhv2`~z<-C}Tk8bNL-21f@ZTx;n^NQHgv^6155ey; z@b40Q*+<6XX;#)DmWSZK-oU?G@SlWD_U{S7XL$(zjRyWbg8y_1zd_oEzuCZlm*C4jJnr9V_;WsDc?kY32L8JRU(O9N z{;1%yJOuxB20k~UoF8Q0ALGvmKFdS!-)P`-e@gs4srDb0`IO~Jd}}@1XyD&3`00Is zevXFaA^199bDrh=>I{Cp2me8T{5cq=fp;tS)!dKcG0SYgJpCfCFMqVj_dBxjvuFvb zJ!gGpF8AU7ocV(Mv%X#O$0bg1CBGs682ou&^tK_tS^T|i$QLE;ZA1Q3Ze3_vs-MeI ze*Iidi?pNU)3|!j{u<-epCA3-ljXTV$|b)c{~2U(f25!9N#nn`20qAKeOS*VzR$1V z>*s{h_%Eq}@6W6A^~*Un)~}y8O5>j|_&Mlf|4RKMxkt$R^LNwI_o4vjxfMRYF=`k8 zDOijTJ~r;>efW*>Q=mW2chT?rbO-a{7e8-*;PYEq&ioI+R>Ob5m&f?iKCVE2;y>uq z9n4SSqgt+i$%Fj(T!&W{gCcpeiC2XhdkBVhjGdFS!cA*C4ud80C?5(f7bKF`ag#>_0ymFKkw5W z%%^_pX8Szi^IKWY{4c^*!=LfxG5(i)T!H??|FTbaFh7Y;9?qZSVLL2>O^zSNF<-~e zdZT?V1rYQO*P4I60=#Pa4|<+h|5uS_`_P~Izvj~&%%^_k`MS?`sq*o z-|^{I=2QQ7VXNUB@#Qhj<46;S{>0Jx60cf)>7T5x-h;L-_3P_a86TSFJ|nR&S$-i> zQ7(5I+%t>t?{KgbwSF0o|AQ@-6gJUDb5cPs{N?%P++*>Sntpb<2Kap6*%rQ8(8w>RzAH z&GMw~m(hO#-Iw|DE%Z}e)pXNO%ke+UTk(s!&!SDV_XQ2)%MM9@xqe1E`YZTRH;SF^ zjVawMPwKv${?JYTYUAYPzI+S)s7w9Q)|g-78?(-dj_; zS)SB=1^pM$y~>wwq2EHf>6g@fB~sK4`Z>BUHgx|D{F1syQ@UB6)ZI@11$4Lh@-6gR zNH_hGx>qAb-5jsUakA3T{RI4|yAzh}T~6s{c~bY)^j|>tRla-+{T9+qzohOpNKy9- zX%pl7GDG+G;78r-VX1pMrJLnR-5p3Tr286QzJ-1Z>84*&_gbW=`yASY?p8zh_u)s~ zU9i->FQuF1N!{1de}VR9zoC2!{T9+qzohQ#kfLtx8_tGqP8?i!en1O-e6Hc|{vH>t z{<}_Jb9x){hf&J)gZ@dquSB{Tdba|Y>&H^0`8`)O2mBlIhXBm`l+U?z)6%q`pJyBL zCu;b$2wuygh4EI1Uv~|^R`Hvv;m7CE)uV==f1VohCu{hv7ra)q*Fx>$$4f(=?X{5K zM#0-#!>?QXW^4Fu6~6{-T#~%(=j3==ht$~^Pt1)ni_5f2#J2xG+F{`z5j!S!NNlgz zqF5M=)5T?uPt>n`z>mA~$Y}pqE|V|!mIg;?hkJ&c?d#8sEJB)btfwEp70djb)R(qz ziBHS8FRQNY60eqV#N)W3j;BP&_?^QA@()zuUkv|R{Qbf&&r>k}GCyw1WBoe@$v%sP{rE9zBlIOSFhd9<>`=^vj7bFei#?=5U+i8R7 z9G@8Kp^oB2$+cI{;4uEFmV9}llo_q3zjlZ&nJ>!PKbGAqI_?r3;CDKPddGK?KLZ=l z;)l;et#%m@ewl~LI!<-m@I8_~U&-|1WxqqKD&(&x6i)+Alk#e|p4fyXics&z+VR#81bY&YRmL ze-@TJyM=FLq9o5*&k*Y|f(eoqZm_k-`;h3D^(vm9R3C9TpPYgv?_74+jS zKR*{SQ9J(O%wRpw`>^oKI#||mt@Dqzr?#8s4?+*0ze%y$e)@c9J8C~@zFLI2`JgrO z^?F9?RUGy6Sn1ADH$F44^*k>lQXg5b%Z1`j*B=~jY)7@^Pp(t^UJBFlKDi88ndCe} z|75zax75q_?#+~X_4!HSTRi+83VFKxej=D>Tb(@o-U)dI>f|ZZ$;0oNkY}n+p1wMH z_`MSH90=s;Efn4UB?GJDXA$DHU(~W+iK~|1BVl?rl&3i2#z9|Zq^@zm?~Rb>L?F-j z8Pr1pne?GLs5{s-~peRoZKtaEkY z^Lrk|AISUps8&1aI-0?HTF?06_d3Wk70%<_t%QAR`J#H`xoSC59BF~3~h7kIyUmOimO&})!rxg z8wEd$g5>HbgPctqM_}rv%(`g)+{k{&io^inMPmrfQkVn`5)tTa0 zJ>!7is~`_QrxQ}2$&uk*eq0als;556GaATKz=G*`V9$hSI*@1FxX;l3SF8Q6R_ikp z$Wt5~cH^L@=PGv|U3*mq|I{d3-e7Q6@@X2%G}E5?O!0kGpxecevp2Mte(6PX?Cbsx;c? z?knQ+O^?=RWWv=WGcrM*wBNQsze1p2UkyLKS4pprs!R80fN|&YZK?Gq;pgukYWOAg zN3MM=-pV}M{rv}+j=pi20Mb5*zUaDn2_ZMpUy(6Z7 zj1Q88>^IWS5pg)r`}dQJ%fG|o{Xa3< zBhP=q>B2G5-*4gqkNiEuBaWwUN59iIIwJSiJN$iX0>_OjT+jLSwx{59?*ssG`T^kZ z0FX>)kniT3iXO#r^EhDY)#2Y0703K~QQYY)s^M@^4S#-=_X4O!pBuLoeix7H82_Bq z&+qCvjERQz1ifod(7X8@^sYTX@6%`i_Z$q2xb}+I4SnAw?=c`FtR68=pC4zvb@u1H z_(FZ{7{`zM`j4GyJB+*bKsTHrf4^&YbVGgPP}T!&Z#?(1k1)oK`0Kd`%f9PZEOSox zyyqo_uj_Cv{}>+u99KJKUxndR{dtP#Rsa0pKGwYtjOTyRiDBmY3Hyw~ocTL-?up|d zg#2RwoHKv-7F|2_;zD)~4lYou`s2cr`RcFhB6RdJKG802o~$*`^6%1fo|V6IpPXl1 zKNJi7PDe4`m-+p8|CnF5((|hJBc{_GZhlRlkL3Kqd7kgXd2dC2ECbH+^CwKhsNE`Q z%s(#wxY&KE^o*nrr}B>`)B2t)`M!$xdHg;B*Rz(hy{+Zf8tB(4e#)n~`kqSP*Y%>l zTz`}Ao479W`xDgFB{+TJr~dkWOVj!ui|xnv2mE|1Gn3iKK8bayY+jhr|9gqHvgQA$$QSp9=~rK85(zS dy9WBu&iOKqx%kQ5dqniR6xtud5kE_&{}&JUF6saP literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptcrk4he b/bsp2/Designflow/sim/post/work/@_opt/voptcrk4he new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA*3(o9VJB=K7u$sV&5QHLXwRcj|Z0&6tr|HDfk!^ z6nu;f&j7=tBMj`W_Q047wv!b3>(;x!-P>EAyxXXWqq?BKn%JJ>!v$8G)!2>hEPalC zrA~B1?97M#V1OD0cM8;WD2h5LaxwmPLx`;fMSBC*MqfcKxIP5KkDtwXQuI^eihjDq z9L&Fp^O`x&nKOYxkh3c84aXgE+!au>?>gjdvi*cr4~r7HY8XVQnJQ`j0<^~UV%(~{ zZ#i$XR_(jYLXWs!Li-n>oABN-@9GlIKO^rw^Y)i`#Tj|W%!>_AXCdy_QzA6;vb{#% zJ}~FeGRNPH^N~5vmN~^{oKMVo$s8p_Xyz8@exK*xD2x%z<@Vg@XO2JSco1Rc?=->g;A`qfA>YKO#g7sPM|+H&qlEi zi*sCuImh)2&NtR2abiEB`%#TG-D|nfe6MS|-(o$ayq@RSnAhzJ8}EaE?7h?NZM(AH zq#t8HF5-cR#skIY z@Pw7Da87tH!7q`=ZMQ4i2R%7$w>$9Q%BdTmC4h$mU+%h*oK9uwM1gcW-I<)S*wV4x z?rbL8cIUU3Yj>sFt-MIctA}2W^T!KPx~lRbL9ezAUNh-J9+MM%WC=L<*P#exV|wZC SR?#FB-NERY#62CtPl#Uz6S8gq literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptcvchba b/bsp2/Designflow/sim/post/work/@_opt/voptcvchba new file mode 100644 index 0000000000000000000000000000000000000000..9e241a35f74eadfcf4505362269951d771e6a0ac GIT binary patch literal 13693 zcmd5@JCht&6>dqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptcvkr3m b/bsp2/Designflow/sim/post/work/@_opt/voptcvkr3m new file mode 100644 index 0000000000000000000000000000000000000000..10a84314940791587953f26dde862069e3edf8a1 GIT binary patch literal 26888 zcmb`P4U}D1Rmb0bd3l*+(rM@iO+SEvv@|VEX6Q$nLV;;15+syR0t9I?Gs#RdL+7iR zNt!^g0}WcG+K5HVmj)>%V1cr%TD5A>s%2TVDq`27Xch~lDy~(rYS7a0|2t>z`|jL% zC-0^0tkvwEeg6Bu_t|HkkNfVtxwLj+C95nsxN2FYQk5K#TqOC0N~Kbhl&CB=S(n_Y z_7cgeWLB+h{aS`yb%jKwTFq9~WIs38mC;^S&90N6KU*UCaHW?0i8|EZGp8T)v(di( z)S`f^8@^uC|6E(G)ptt@zpO*@M{^x{fACkS))z}Jp1QY*t5WHzFE#mTN$mS1i}rLE z?c6>%kUcy#Nw=RW**pFfC7zW3LkWAvS&SoP|7gO#-SKOZ=Z)XvXT0tB?@ib#F-w4m>-4<+K7O~mDV z?Z)-qL|iKqah+pv-JOW*fka%+*KS-lCgSRjarH`Q2`|cynm^yCTpBLl2f?#0%hKm( zEa7jp3{*F3%q}}-{%ErvT^eqIKOZkR{JX#Lgue@fEBafh1?~HE(VyYk_BWC6M>KPezAiLO&aWNpr&;Bc?9zbi4CjE(u{w3ec=B~(aXODn=l49oiyw)3^Wk}!OvJff z_-1k9rx@pz0oNJE(=5)ZM4ZmwZk$tzIQtTD)=F_+V{xKy7U!WvoPEKrBcJzH`MfC+ z=LX~PdGdJ==VxKwHwIkX7n{ZTa3W6UZ#SR25^-)y#7O}a=Y6xqiN0B!PbT7Y{&wS> zPQ}fa?tBWwSUpCE|4c zcH`Wgh;t+nC-;WpypLI&=$pkkm59^%+l_NiBF?EqoZ%i-nD-fr6MeHd4<_Pt{&wTM zIT7dHM4X+{7xTH_;zZvp&ifK^I)A%y?oGrw8~iuw-}fc%X~j4X1YBnweX}?pOT;-F z?AqTy-=B!{w#c(4oRhkDo}{+os~#)(t=l<=^t=c*@7yz<`Vtie_uD%oZx4JLS9Z|a zhRgOR;<+p0*05jbT+X_Xif5%Zbl*?fiN|>IcI+no-gCc5s%f`f_t3tSE(o`)0h*g9MgkMZR3JZ1jjBc3O%ZMf`1iFl4hTprJ9 zY7@^BCm4_MJ=3T2?#dQauYc=C8oGym`r z4&+~c)dd>4dVjQ(-x1jEfCm#o&7m-K!7>D~ed-X>DmzMmmL@)odC+OdJa{pJF zfB1_237qpnBFMgy|1;6c|KSt#Z#=pG4dx#{{NJ9)|HhL4v&{daC+OdJa{rsnKYaK< z+jx%|?;og59gP@{esF(idhTsst<>rVBzf+Y2y(eD@~k(R&C~CXJoUwPu7iI@xN{|o z&%eK}Hh!st)br1$bh*WPAWxuZ~;g%JpClKUS%2V;-~uw!TRJD*yTW5!%Z0bv|z_u)5xl#P!%& zKPEra#}7@q{{Kqtr>z~=UuV8f`QR4&R6V>n#*^2>>O?(&qaGN~9U4z?pz*vU+UMh; z&3OEL(R5eVYdopviSB4$y-kwOx%kwLedf;4*5|-Mb}hR-_V;v4630s=mB$xHeP`TTx2v>SdOkNrL1;S2BaAlua+U}v4?(esrz_u zw6AtVeC{{iryG8k8y|T1IIr*<^$ov%@1`GI>fU{^`Sp9V?;G*n-0*vj`2`Oj=No>b zzTvmqaP)(FsU-WR-&cJdR`8aps>x=k2z6~Y5Ym5&(e5w2C<;LgtOOG$!FB|b~GCuI| zJ=hWAnkeUQOE_m5?=!D2wbz@!k*Kc^**K&Q`=y#eUT^w=QorM#mVf4POYHA~m$=N1 z@(y`3`@C)b8t+$wedh_E$8xWq+SV)ZQ#ki&D~{{@b1Tl(&4qK{&v))StE$ScgmNw?|wZyI1JfE$&d_8UL%*hG!=B=R6-ao>*6%^;myP>z^_n z?20^B8qfX`&q3pf_0(C9b+@$sxba|DZn z_a6qxJ;LulYK9-LL+j7JrvKrdS=Mh5TKC&Jb-{Da{gUL7`{Jq9Y|!-CQT_hP({ml! z>r8*Xq@HuKBc|_DTX|pPdHIgo@LVOy`o!*Ry;tbxV?`FG~`aMEEJ^BbBF3LX)S1 z@>k5$HPVwO(`N&gk2jd!^VDbhACsOuc|Cic-Y7kJ!mgMn@Z^c}gy$F^zvqeh!@v9K zHa~BY9zS01?&rs)m!CIU{b#-|-yHQ-_=^7F!9V*6{;?;Y?w>pt{RINR!XPYId z8}#4?B%e#&Yzce|*VlE@lec_*JrncxcGLTO9x**}k+(Kkj?V6U3&6F9L@3sZ!u3h z)Fw~Zk*6_9@`S!wp4KiEUgwwZSAx;brh;8Z{ri&4H~sz|jCzdvj{4_Af7i102_EhX z)ZgO?o~Uo|T$AA0YdlXUc%r_+b8UiWzws>Bf^0UfsBiFWN$|`Xk39!0$WPQac>F%% zd5rCj`fwOe*D|jc?~m<9zn?eWAKQ(7Kli*pwj2Eq20x8?jO|9h?|*I|+l_vihkfX^ zA#YvF7NgL;G>A&&v`+m`R;z7Yjv}jVJ;`L3NiGJ9^n1R2lB%B-AMXfqd_`=FHP+o> z(-Q}E=z5;#o|#+9b{zC4OV)JX{et0ZruY7f^gq=7MoH$`{N(qPFDC4wpT_68_+gy) z8lG_%<61OI0z-un|g)IpKQ&Tr?*KMy-qxW(f6%|!gI z#{F9fyI%2Xo$@h37~gLvaNQ-`DQdq&pXU)5d3wO?eB5Zs*9}PRW4;a^QJecBdW}1u zSNL9}@%>K1f2;BRZo;lSzTZpWy5(PW#_=8ECZ3gw$DTvSH7}j>=0DDR9{(A_Ge3@3 zUCzVrgjacZYzg<><@L|0O&%z8@z&{QpZ4}W);~gjHCxBMM)j;0o-w}I?&zUkcG;HL zUw=m9E8fS6tFT@xzkl5EcjV8l!A$>KL9cCG2CK8~Ix)^hwIcmkqCBhrGf< z9xs+G*4O&TdS0wQL(0#)yx;PZ zog+Q@`s#7#qcNY%2YLOC1io9n#rZe|xbXJ*|9E--yCmQn^Zg>V*J!@&y~+aol_mTt zwHFCLCCR!_Sj;1Xnl>B&(YJ=Pu^X>I^wrs z2j2@?*!3xZxw)kocHm!V_;MX_PgLBu+VgXMj#@iEM=unP_ggPZ@a!(}_&I7k`8mo# z$GG`^M6+?9uKwb<2h{$c_P=mIY8>aau=BiQcZ=+ZoAKLwzXkJtwR(&5&OL;A-y_Mq zv+uGlR+r}e^}()V4LIgK@-)^3Jot^c#=NhUmhpGD7>9i>m<hEd z(d^_SF_YbyE$n=p0p8@F0qG{h*B$zFUrzA(`txr3@V9$6>gsK3b5s6Tk{8*z>LTPN*X#c%KZ7wpULQ-9I# zhLT_Uul82iVNaZYEj;@{d4JlkUh1*c{=~U-s`&mR z!SjIyvo{r+LUCPh61Pl<7Op8Wjy zXT$lpV5M$8B+2~yd9f(!eV@oIN=iY71Gk*Wp>^&~D%-@G4i{mckJwNZ?A{_hTHxoQRRpLqIZj< z{-MPCe(% zcuw3a|Hb{6dk6b3IL(LgH1=P3h$G?}`|mrXr7jjF?t^}B^mCeh{dDCEJNPoQ^ZcV_ z93MeevopV&@b-_`2~p-!0s7 zjq6VnJU>_BNv*%@h2#0+;RMgmmv~a^uU|Ow{B`5;IHeBxD%TC~X|!IpVMm_-yoH_T z2|Lyeabx#qE$n>Wumk@WhIc($=JO8ZapseL=JP$0tS9mh-$Mz$gX%5v`FiyFpx^o6 z`K!b@d_8+UsUz@9tS*J$1h9I`$Xbs_C66_p~-{4=lYJ?e7?O|QgQXt z&*!fnmsDQXnLg{Lt@-$bB=-Iu&F#T!yuI{e|4Hx#d-90=r=otr?{|Qg{Tliuvrk7{ z?!S+=?0-eFnSb#3ryu{n3clcf18vzKiu&BY(irsM@lQYgXC#>?))n$Do3FUume#r7 zP#4eFe7!j_U!Rd5jbqh)lDx0@HA%*QZ*1qkXTg5Q{L#<&_r`WzVTbq+Tm0~8eDy6s z|NO=Ojzs*Ql^^0iB1!yrOA`Nsv7N_1q&D%>PyG91JAA*E{2#IS;UoTm(Et3!-=B#8 zbMiyH{$APZeJuFz?4_T0XJb3OA0=My%faYJG#Mx0lqF(j^@XBwvMzUTw7cSAh zJ|JT7al?s!4~Xvx^op3@H~XU5mvJkCzN0?U0=Lp|hZDH40qT3q-G+Olgd4Q@)UBVc z`fB}4d{4E&U0}H7%15(tkaxZ>)oZwmTHw|jZgUGD zxSH~Hyzveg?$Q>x5yK6&z)czMKnvVn!`it%Uc|Sv;Roi-4627k3u5UNFR(|30@82Df9zO8p^>e1y&pQo&uKNA^ zckB~C?3h0fWt_~y{>}TL;(V2Hq6xV3Tj0nGeqY-H$GQdg?gUQh)N?_X-CsKD`&!`a zo?8EK3!L2(>vtq@a;u-X59oQX{)q&R_{j5WNpPP@;9!G~^$qSTEpWUC0{2h}w?+F5 z^>Cs3@w>rrUvGh9-NM%j$GU9?H_#?-B!T;a^wj%VlB}C^Os=>3{icoQi1gGu_;S7T z9N_s-T0%Z}-$VT0D&>RoMTiB@0YRg|a2lTB{^=3q=nXT0e`t6tjDKHcY|zJBZG-hZZO$I0JqgWv8wIsfEv|2*FQsmYkvp`F9{t4O+^cIJt{yM`aTH>Led4DW=0_*wel z@226$?p?W`DJ2Nr$^IQ-9>%v%TK!Mv_1{iC@b}K(xBFVoziW7B;GgK<74oxla`#Ng zlceXVo%!JJl)-QJyqtf?=C|QzD6E&!$>-*ezc+@zn)W63Gq0~kzJ_jM9Miiefl2EIJCWm@p$|$J${#bj~o6Y#$Poa`kVPt zJ=i^E{{6}MT{^$Z51F5%ZTczH!vcTptRud!&A9Zi6!xK3;~KhYI-ENb`F=1Q_L+9` z$oI7Ix4K<_`To!!&ZnuN%IJyg6MXL(f1Au-YQ9{rd&2@h%&*UvOP?>7?q_eCe)2l+ z9}V{u4QtBly`6RJ{_bkiUw(gVtmAMG)3Kxc)LV{^?|X;(Z`0q+qw!qN{TA-6j4yuP z(U6`;mmf8M_Ib$cp3!(tj8$gB{nwvocFBPG@#majYs16-dq|Rd!6{4ZoK+kA@$49< z+jW~=MSeNwbd3!6BVF%8-gMoY*UsZyHLBmStfdtK$?OMIS*7I|b!&DWpU?a8 z#$C)`uh~D>{PjJ@@o##T;~#jAet8aM-h6#|9>%Q>d_G<8^WpQvd{8gFR!7{=;Pdk};pMRfs-*4QmSscZBK4d)Z*QM8q^E?|roNGsHy!Vy-++^eR&%HcO zuS<`^$L0H~=V_nWd%xp7Ugs<4|4EC7-;W{x(=+jNw3*Sp;rt(*-WQ(Zc8tV(&t#=P z+`G3AkA&y4J@(vGxGx90{G8i47Vb+kJ4V7iP}j9^E;jBrdt(3KSomCIY;$o37iatDViax|6`tTgL@ZQ^|UHbmo*0^TIrvk2!k1$@>=i|2L zm#JODVLbhN;&^rsSH?JxZywwgKiAw_(RZ@z#pjX0U%of#-aTL6KN`;c{=unme;gZ) z_wb4&_mt6zn74`~?S4%d`5YXZig_IA4flhJB>iKPlYyrq8SE>Q;Xc|kITh@B2FHRN z-ZgkRJZpPukPd;8<(C6nXR z!EVn;yocug#wSBP4UZX5kAEI*aqJlBfk*e6@I11&XKmo=5mC_hPlxB#9X)ydU4j0x vp6GvkbRyJa|J2$rKXSi^d05KEQ>oqZZT%1H<#fGJMh30cx0aKBmK^+l;8Yn@ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptd0d7g5 b/bsp2/Designflow/sim/post/work/@_opt/voptd0d7g5 new file mode 100644 index 0000000000000000000000000000000000000000..a85d2e2feb40942eb5fb1fa28d8b7d7454a55c96 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDo6%lMM=H^a?6C)}SznOwwtjN@h8 zAKaD6ZDBs%5Z`t^`ls5wwo3AKUrBF1_pPv}PcLgku}d>Q$}}xo0edc35;j=XUY`kgNl|Pf5-{*C*PK?;BB!pQiYI z^7(?p{S51wj=$N6Ckp)Y8vkJ<{>_2$A2H(JX2e7G9R80Q@rA(nhm811iRZq9&ll%8 zw%@4BUl#Yn$Bp>=C7$CXhd8xt=O}Yd9RCR;{zHNB`;7R{2F8EVh<`LN{!>Q$_X6Yp z#EAd9!1(<}{7(bpA2#Ao2gZNeh(8wtM$at^_5Wv#_{#$04;b+sf$^U;;$IgS|2ZT6 zt%32MH{!Pk#y?`jmjdJe)QG^b$pb`Jm!1%8k@y`Xuf6a(L3me*y=l|aqOV#ZT|i)arej)}sLz2qAbIF%CogrUU)BF9^Xq z8tAtY4aM==EcIC_c9qyyi$!<1ar#R+Uv|m(WIV?w&)Ga*Uy9$vyHVoU&OBG@d0oom z^E&U#d0uBe&+8a&nJ-4UEzBq0o8-9z9dY>BIQt{iuiE+;dOrSpBR=$eeB6i+Js#{Y{E|8!vdzZ&s5Tu}1))^<}1_c`tNZ$|vZf$`56@vjYx|92z4KQR8M zM*Qx;`2R5CKNT2%(un^~VEoUF_#a6;=e>pQ2YznE|7T$QFO2xJa51gx!$SPe8u6C~ z#{ZWQe|=#5FOB%n{Vm`7Rh$2B2;~2j5&zb}`2RNIdjjMC$A}*cjDOCEF9pUwZ^Yjd z7{3Y&wT`oe_EYam;4S1Ax*t7(2{D9sHc;P*K);4S-qt|Bu0X%(zaUP2h09sQb*Vvd?5Z_n9#6hx^3auup_c{N!$X8GZvl z{yrbm@V}J-+&7}RGdE(N>EBZ}GRgcrUjXoY=ifWd&-d>U=jZ$Pg7fqJd%pSk{=MD& zeE%M9e*St_@A>)uJ=y&HR@MjYYrQ9v@_66P&tY)i&3x{=`T2)dyeDHm+q2&HVJ}A% z--lfe3%vV?!k<^*Kjddwo_rtX&kv1xeJ@5omY;BZb7q#v?t$R%Ce$u*k zH189Q{_?jx*w2J8O7x)-p{ z`_?($I-gtTZtFa4os+HeuXV1q&a2ir)H+{U=SJ&1Xr1${^P6=pv(8)AIm$X8S?3<> zJY$_xtn-I;uCUGv);YipYy9FkKL_L7ZJ035uRuDv&tjVUEWMxO=f|GFb228+rS89w z?e<|;(qca_0E^Gt0XA~`u#!tR=KGv&T3YnwZS)6z)CP;V3w(ZKVae_NkIsJAS z_3iNS*#q7`<~``r72ZdDc^mzSw-%PXKEJ{{BYrHW-yH^Tr;pDb^8PXJ5tpv;KIY5Y z=uf@n{j^FHm;72cab>c%Sj* zZS*JJZ^4q+=T~@7iXY4A_fCU%y^qhH^8PVz&fOgF?;eBqb;8@4;$4&CT_t$*C*BRh>+>tT?c&FB`rT{rb_s81ig!bb zcb(wTpLnkqUY}p#T`zttr(fCNy-|3(QoNf|yf+CR{fYMm;r00y-i_kNa{9f?;JrzB z`F=6E4)&#ZHwzy9iFdQ``uqy-R`Fvw{oZZx-XgpMDc(|wwF-n#^k{=|Ee@cR4;Z(00UPCx7WN4E;^REl>`iue73M}OjR0yJ(S`-lHz?#@aRvx-w|G)U*SD0ek`ZoMXe7{Y`U%0MKXD&0@P1G5o=o9AmBKqFc=RXUg9hGa!8@M9 zdnScpY{hW`Ud;I5oD*C%c zKmDn{;Nv>P&wb8<{le%i48smC&;eg5ZV>#=y2$t`OP%XxDSUG!4 z>cP+FRKp*jAMp3UV!X!q1A@=;5d75!{-EIRPvK7qKFdS!uQKr8F8Bvi_y+`^*x63K4*M# zz;gVgpEG^FSssFawV{7R@MZmo+rJt99RDm2!5{U0*>1E0<9>iNpSwr$jq&yKaV$^P3)5Rw{A&&Talw~;VvN5L>n!!NJOuwb z1HUBrvM-DArv;zoA^5K}@FxWSU~1g*y#)2MJOqE8fxk=eWuF!6KPvbv55fPH%&%PU zxX#^y-xxRM=lG;NUN_H&Ki5s>gKyadu&c0cGM{)`-MZG8*LCwXMtvsX&GE{1PtN1| zc>|Uw>tl`A4F>+5f}b9*`Z)!bhv2`~z<-C}Tk8bNL-21f@ZTx;n^NQHgv^6155ey; z@b40Q*+<6XX;#)DmWSZK-oU?G@SlWD_U{S7XL$(zjRyWbg8y_1zd_oEzuCZlm*C4jJnr9V_;WsDc?kY32L8JRU(O9N z{;1%yJOuxB20k~UoF8Q0ALGvmKFdS!-)P`-e@gs4srDb0`IO~Jd}}@1XyD&3`00Is zevXFaA^199bDrh=>I{Cp2me8T{5cq=fp;tS)!dKcG0SYgJpCfCFMqVj_dBxjvuFvb zJ!gGpF8AU7ocV(Mv%X#O$0bg1CBGs682ou&^tK_tS^T|i$QLE;ZA1Q3Ze3_vs-MeI ze*Iidi?pNU)3|!j{u<-epCA3-ljXTV$|b)c{~2U(f25!9N#nn`20qAKeOS*VzR$1V z>*s{h_%Eq}@6W6A^~*Un)~}y8O5>j|_&Mlf|4RKMxkt$R^LNwI_o4vjxfMRYF=`k8 zDOijTJ~r;>efW*>Q=mW2chT?rbO-a{7e8-*;PYEq&ioI+R>Ob5m&f?iKCVE2;y>uq z9n4SSqgt+i$%Fj(T!&W{gCcpeiC2XhdkBVhjGdFS!cA*C4ud80C?5(f7bKF`ag#>_0ymFKkw5W z%%^_pX8Szi^IKWY{4c^*!=LfxG5(i)T!H??|FTbaFh7Y;9?qZSVLL2>O^zSNF<-~e zdZT?V1rYQO*P4I60=#Pa4|<+h|5uS_`_P~Izvj~&%%^_k`MS?`sq*o z-|^{I=2QQ7VXNUB@#Qhj<46;S{>0Jx60cf)>7T5x-h;L-_3P_a86TSFJ|nR&S$-i> zQ7(5I+%t>t?{KgbwSF0o|AQ@-6gJUDb5cPs{N?%P++*>Sntpb<2Kap6*%rQ8(8w>RzAH z&GMw~m(hO#-Iw|DE%Z}e)pXNO%ke+UTk(s!&!SDV_XQ2)%MM9@xqe1E`YZTRH;SF^ zjVawMPwKv${?JYTYUAYPzI+S)s7w9Q)|g-78?(-dj_; zS)SB=1^pM$y~>wwq2EHf>6g@fB~sK4`Z>BUHgx|D{F1syQ@UB6)ZI@11$4Lh@-6gR zNH_hGx>qAb-5jsUakA3T{RI4|yAzh}T~6s{c~bY)^j|>tRla-+{T9+qzohOpNKy9- zX%pl7GDG+G;78r-VX1pMrJLnR-5p3Tr286QzJ-1Z>84*&_gbW=`yASY?p8zh_u)s~ zU9i->FQuF1N!{1de}VR9zoC2!{T9+qzohQ#kfLtx8_tGqP8?i!en1O-e6Hc|{vH>t z{<}_Jb9x){hf&J)gZ@dquSB{Tdba|Y>&H^0`8`)O2mBlIhXBm`l+U?z)6%q`pJyBL zCu;b$2wuygh4EI1Uv~|^R`Hvv;m7CE)uV==f1VohCu{hv7ra)q*Fx>$$4f(=?X{5K zM#0-#!>?QXW^4Fu6~6{-T#~%(=j3==ht$~^Pt1)ni_5f2#J2xG+F{`z5j!S!NNlgz zqF5M=)5T?uPt>n`z>mA~$Y}pqE|V|!mIg;?hkJ&c?d#8sEJB)btfwEp70djb)R(qz ziBHS8FRQNY60eqV#N)W3j;BP&_?^QA@()zuUkv|R{Qbf&&r>k}GCyw1WBoe@$v%sP{rE9zBlIOSFhd9<>`=^vj7bFei#?=5U+i8R7 z9G@8Kp^oB2$+cI{;4uEFmV9}llo_q3zjlZ&nJ>!PKbGAqI_?r3;CDKPddGK?KLZ=l z;)l;et#%m@ewl~LI!<-m@I8_~U&-|1WxqqKD&(&x6i)+Alk#e|p4fyXics&z+VR#81bY&YRmL ze-@TJyM=FLq9o5*&k*Y|f(eoqZm_k-`;h3D^(vm9R3C9TpPYgv?_74+jS zKR*{SQ9J(O%wRpw`>^oKI#||mt@Dqzr?#8s4?+*0ze%y$e)@c9J8C~@zFLI2`JgrO z^?F9?RUGy6Sn1ADH$F44^*k>lQXg5b%Z1`j*B=~jY)7@^Pp(t^UJBFlKDi88ndCe} z|75zax75q_?#+~X_4!HSTRi+83VFKxej=D>Tb(@o-U)dI>f|ZZ$;0oNkY}n+p1wMH z_`MSH90=s;Efn4UB?GJDXA$DHU(~W+iK~|1BVl?rl&3i2#z9|Zq^@zm?~Rb>L?F-j z8Pr1pne?GLs5{s-~peRoZKtaEkY z^Lrk|AISUps8&1aI-0?HTF?06_d3Wk70%<_t%QAR`J#H`xoSC59BF~3~h7kIyUmOimO&})!rxg z8wEd$g5>HbgPctqM_}rv%(`g)+{k{&io^inMPmrfQkVn`5)tTa0 zJ>!7is~`_QrxQ}2$&uk*eq0als;556GaATKz=G*`V9$hSI*@1FxX;l3SF8Q6R_ikp z$Wt5~cH^L@=PGv|U3*mq|I{d3-e7Q6@@X2%G}E5?O!0kGpxecevp2Mte(6PX?Cbsx;c? z?knQ+O^?=RWWv=WGcrM*wBNQsze1p2UkyLKS4pprs!R80fN|&YZK?Gq;pgukYWOAg zN3MM=-pV}M{rv}+j=pi20Mb5*zUaDn2_ZMpUy(6Z7 zj1Q88>^IWS5pg)r`}dQJ%fG|o{Xa3< zBhP=q>B2G5-*4gqkNiEuBaWwUN59iIIwJSiJN$iX0>_OjT+jLSwx{59?*ssG`T^kZ z0FX>)kniT3iXO#r^EhDY)#2Y0703K~QQYY)s^M@^4S#-=_X4O!pBuLoeix7H82_Bq z&+qCvjERQz1ifod(7X8@^sYTX@6%`i_Z$q2xb}+I4SnAw?=c`FtR68=pC4zvb@u1H z_(FZ{7{`zM`j4GyJB+*bKsTHrf4^&YbVGgPP}T!&Z#?(1k1)oK`0Kd`%f9PZEOSox zyyqo_uj_Cv{}>+u99KJKUxndR{dtP#Rsa0pKGwYtjOTyRiDBmY3Hyw~ocTL-?up|d zg#2RwoHKv-7F|2_;zD)~4lYou`s2cr`RcFhB6RdJKG802o~$*`^6%1fo|V6IpPXl1 zKNJi7PDe4`m-+p8|CnF5((|hJBc{_GZhlRlkL3Kqd7kgXd2dC2ECbH+^CwKhsNE`Q z%s(#wxY&KE^o*nrr}B>`)B2t)`M!$xdHg;B*Rz(hy{+Zf8tB(4e#)n~`kqSP*Y%>l zTz`}Ao479W`xDgFB{+TJr~dkWOVj!ui|xnv2mE|1Gn3iKK8bayY+jhr|9gqHvgQA$$QSp9=~rK85(zS dy9WBu&iOKqx%kQ5dqniR6xtud5kE_&{}&JUF6saP literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptd4g40i b/bsp2/Designflow/sim/post/work/@_opt/voptd4g40i new file mode 100644 index 0000000000000000000000000000000000000000..22c9fd48a565c2ba0d31018da1d62626539bb29d GIT binary patch literal 3228 zcmciD{Xf%t9|!R1MuiTsB$8FQDpI+vsC^4fZaR*daZ1CCpT@;x>?Go(}c2a zcGPfEr`&bp9ibM6R8npd9hxI4h3?M#dOWT_A=eMD?T61#&&TWa`FtO}4bfB=2KB4! z<4>Km@Mzjf+if~Nfs&83u+)6z;R=I}U#d9YUR6kM9cp9wug>(aZMmaAJbS3pseeWF z$_z@a4afR4yC?iAjbuDunJREi(bVOA?5YYip3XBK_}lWF@s<-4;s=T+ci&GqVjgDT z)3Yh%P}c?h6R~-1=O=x(DbM1Jbq#lOY+Okj%@tfvt>(P@e!a6^4vN|7((O5$Ny+<0 ziS@UWpmr4=zkDW?kE=AqWk%nDn%%=5v7WE`CA+WwGg`!lYX% zZ&QX(o!y$3nDAczXvrT@+m=nw>UOo&8!#M|(uU)oFO^TE3(2%M{;c|wRpJ+s&l#g9 zss+~~+6c2$1!!!m0{WLRP?g9)vfL5a3(O%$-3j_;U2`e2gYTz zO&Cv`EoIfDi-*sr)MPw3Rln;|;)@HfkB&?^7F_tV|KF}x)A|hG%iM<9jmza0YfH(q zD(17I@7am7?>jQ~Hck;->k1~!gi6qGR|36x093ulK$5l-*rA6Y=iolH6`*Y~+OQLO zJlb;6wgzpMXj?R1wsr{Kz}n~>MfRPnE?J$TjCS-}kZnJx|JxF2P@y5|J%vl$VcwGk z_|LAh- z$kBHJepCyTX97^Ujc98^+c4TP(H4fbQnby--t2H!t1v%olkJrO9wC9WXp;caw4`Zi zSuUGGBJRIFaHrwe2D{FHN7g;3lh^s5-#?dIclwMxy5S}HOy>z!-2N@%>+8NV7F8V= z=qkJjX0r-Jy@!CF#s^i%6_5z6fbB6Ia-Qq}{y#!=VOL!2N{(HTm!Jzf6i-6eUUZ!w z4_8Z_e-Mk75T@-sb=gzDvp2auU3tDn^!9$2% z^W|j~W@Ni6Bi3RCO-yd?U_|yR1?gfX!QB29L`lbi?ivLug$N{%nZQC#)P+$##2eYK_%d&1ICe%55cV@}lTemTq>mIPAA$j3b3R1U~bG zM9+jc5V>9i`ok5VdNL0r|JVRK%K>tDCcrO=tfdN}A4@O1MkCp_}v)_7h2W17wT;5Vzh_lyOPgkL4e}a>$o&US;Vz z=!wIuc#I=+vIRbSSwzn@DTvHw0A14uRK6e3lYyS?==lph_UJK2&kpq9_Q8(YS_uKycH4e5m0i!Dra>{-wAqOBx_6&6B~2FTpr1$#rfiNU z=c^a74zyUNxs4cvH0E&*beTxqgb|VD)KQ|dBQV}pL%(R@{ZY#q4P^!)omQL!f|*jc zwK0+9#SKKKQaj#uabeNI!#6Bvc+bak6FlF8=fz>=d7h>z`C3!`X4iTT?r!Xq%0>lh znl}k&U#tA{2X=JSWN@bH~2^*O&b zdtvCjN?A;MwWeca?H2p2mbaEsgE_O)rTmx#{^NU3J^rI^?Vj~X+|0Ye>MfWn5*sB3 zGWL{pzJ`DyEzOsH&_+)zBE=7C&#-pXvMX!rEAM*9kT|a+<~9j46|eV?#5k8ul!So rSu>L%s*mYg@mKvK;k&82?pm+Hn*CA__r7!WPPCzK*3WlD+^lvhwtB0<3)kRk;LzW3%muj8}HIx$!H^Nino-+OOn-p&*|Yc2oief z<<7EM9r|uJAWVY{cB@0RzqoA73HYI?{fgORmiJKjRCksis((l9A@7qO?T~mnO~1wQ_&Xd|+?g+~UEw_=yzR6ZGp}jJC&a(i0{-m@&w1h5gCoSF@hDEl zby>zmllp#(^M$Yq@w_KIS95)y?^fXNkRPe>cQl^ybvDbdf$-fFzGvY$%`5qT64(OL z{N9n@!%mu!j@R+o@5IY^UJOv@nZ~J}BJqvS^ZTNEB)l0m3i0+5x550GXXoAZBWmxs z9iFeC(PUjWiS7E9wgJwgzc2cCkLxpk$8$Na5rj!UhA?3OVZv0~5Y~JbSwA0$?sF$) zedlp=JlM9ZpZ!m9UlIL=>bGLfZ^LbP6c^IY@j{;06VlH9AiXaAj$ga~xGH)`nvE+=*l*3B zL4fn@!%u|o<|JRkvvnpcR$o6Ao;#B~L5J710nX#sXTtZ#Bp(t>dDQ2+u6IRU?}`V) zv%E5quXH}1&xPlV@F2~G{5TK)XxSQIPeY`g^M$l~x(>-s`4yF4 z@k`OUSWpMk!T#%bsIV`A?@3Z_oQbgx#(fO&MP9x~s z=TX=02hEeB=1K7z;s32TzpX=Elgu;k--?b|wd?yq>npE&CZ0#)7RU zMA9&!>QP5NY$@Bi8_ci4^!WTLhJafuo32m+$f-ecaJkft%+5q+!gP5njAf9sz;<+F^^X8-V zkmL3i!qGGV9VQ|Z@sCT+lde&2dT zKW;C`kDrA{^Du24kI(b3V&9Uuimu~7W!&UEzal(u2)-jYYrgLZPnvb@dw;lR&o8mQ zFNS=6&VnvK*GA7fH{|;d+%NKdhyl`jRo1ut(TsZpeJ{-ICf@sDK+og+_v!EFBc9Q{ zpx#@|xHnSXbI1~zE9y8 z!g-5(_Fgz^ClmJp_FSCz%YBeZ1IiE5%=}(v^W8Ba-(R|zAky`s#Lj1{yiRQcr1>eu z3IAU5BF3QW)#&%Na_L>dp4a96URqnDcDZDq8(t~p^K;vc7%!FV{a+UjklmhZ-_zrE z%H#Sid;T5iwPSw%1d;HR+Pr>n4zqsvtrGFz`NH=Td!;qzDS?RlUAqqaQlIsZ-Yx3i zELr_F4qnuDlQqsi6bQK&zoQ)C@6@%ea@~1;d&?PY zt^4@0;S8$V)Z;sYT4UR(Yy{3=;AETCvQw>;_nm=2+1aR6Yy107E%0)yO2w&OvQ`Xi zRVSUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptdfdxd4 b/bsp2/Designflow/sim/post/work/@_opt/voptdfdxd4 new file mode 100644 index 0000000000000000000000000000000000000000..75d55a621a3e861c50a22f8bdbb36c8508972ead GIT binary patch literal 408 zcmd7OK@NgI35n&X<7{4j)9K=$+0l!B zdye+eF6_Q?@`RJT0OI(s%5Wc<4pFp&t=H0WUdY3o)MGw{GPhhv+n6fhX7*JFYYEu% jIwC(SMIQQqfAh5=+s{x0FPG%@&d`hXbkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptdqbzt8 b/bsp2/Designflow/sim/post/work/@_opt/voptdqbzt8 new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAzy|NQ-fg69EE9Nk_lQUw*M6n zER6DC7+AG{aPS&Hp`c~J0s*_gMM5UP%fvju*U2fs@6#i|pXV3Ae~(|lzh3XaKmD%2 zKe`RTKQwE=KPZO4KM;JtKVYc9KY$>>KR^kxc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%v$)_wq*76(dCIsQNyX5w$O9V=5_-%~(-j1|=teObQ1; z*;G})^6BEhrIVekZx0RLT$aCTNc_r_(6~t}rgVXthBfuCh~6h2QT^R~;=1X$gf*ow z0%u7enOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8{1AVF!6!w_9y$6@Gz z&V!}_i3Ul5u8vrP;S zL+jD|kde7qHN&09BX3 zp9+9`%b>5e)}4wh?R#7pnz!R{bT5yfs2)aXe+arjV6fVIG}RimD0bj15v++AVi-tp zgmBoPNTKLyzjxdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B z*BW9uydl?)mbOom+@)gpZMFp{RA&}^cq zFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLS zMvf0i%v@v8*!h;=@v~ikqbKV?2M?yePF@|r-TX_?B1FceEM;iU+pc~mo z-=ipPN~rRh)DQ!7>0wW(l7qz%WkMYR literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptdsdzk0 b/bsp2/Designflow/sim/post/work/@_opt/voptdsdzk0 new file mode 100644 index 0000000000000000000000000000000000000000..14185634cd1d352f422518fd728008d134356b61 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDX#Z-$$DPPjjbGP#KP7{|-F zKe#KC+roUjA-?T;^iQ>UZI$HfzLMU2?pt9`pI+98VwYxqlxbSF0`^=yCl|p&-!@HO z?DMlszcd@=zYNQH`a021f9P`073XLA)9*s5&+X#>Az24_pOTz^u1~Zd-#4NdKTYxb zi^Fe@s|b0PaE+af$^U;;$IgS|2ZT6 zt%32MH{!Pk#y?`jmjdJe)QG^b$pb`Jm!1%8k@y`Xuf6a(L3me*y=l|aqOV#ZT|i)arej)}sLz2qAbIF%CogrUL!AF9^Xq z8tAtY4aM==EcIC_c9qyyC+f-b;VJzcAv@!o{?%4-4@>Ys6m~82?{J z{PltHzck`Q_qTlSS8e{kA&~!9M*Ld?=)N#e--$rKhCtrdK)u8|gOrYN=#z#p1P6hVw zWtb1SFWe{oy3c$>(%%)U`$gSnZk2r|-~rIUc-7{VZDd2-Y;114XpP8);+&B#-7{GC2iCoSb>6qm@z(j= zI(J*=Y3rP9oqw%!t#w|t&Y{-%(mFR<=Rxb7XPw`ybD4GCvd&S~`N%r=Smzn*oMN3n ztaF8RUa-yqZdl_N$N4!J=WfG1JEyOI|B zfdN>2-VU&l+lQ50x-sA9Y}3-BFK?qi@S`?Z#9iR?8zcAmPweYP#gFCm8#j2{eSCJ( z`^UVyUAn@1moIOlKk-(>lGo=~c+29)a{84F-m83kc8~XudH1?>h4=lwyp8_EyBe0f zKEJ{{C4MZYpY=VjH9kJO&-=%`2VAsiAD^A} z{xR=Cm#*+W;>+9UPrS9Tu}UY}p##k9lur;X+GyUXDH zZ6BX)@cuFHa+j{~E)zWZ6Yn*`>+>tT&Em&$`rU2tZV=v<6z|Fu?|Fhpf8xDXczu3_ zcZK+|oPPHhyss19))eoW6z?j*qd)O(5MG~O;cXW`mecQEgSSg~J5#(HQoQQ~kN(7a zz3}?{3h#RHV>$iG2Jel++m+(ol;XWf@aRvxHwdrKukdaZKbF()T?X$>!prxI$#t+V z#k*PX=uf$iaWAMIJc&Agm2UEO{2p;{3_cr16 z`4!$7@nbpt-fQr73-6&6?~xSmV}eJ2;{A^B`uqy-Vew-*{Vsy#J>3U{U-z5HcKgcT zrNzE-*2l&3^ih|t%+pT@F8zu7fPwdWg7;(!@2M2tF~Orh@g6krHVfYI6y7r_yc2>) zf8tr+!?{iHPNwiqrSP5=Jo+c`a*R*BPv0VV&!zBkXlVXjl|GcS{Sn0Zs*V0hJT&+m zydJ@8@cwas`0u$?@RkW4{gZeNDZH(Mw>*XCzqeAsYY{y96R%g|tb0)@=i}`3zZ90| zeC8v5*?F*?xEEzU&-r?9c}ngnXHhfWlh^v3&^APKeCp?X^xWe==Tp(&E&AzC{RJP_ zA%5<27VJ0XqddRP^;hLScm?wD{e<}Y0KXQU_1@>Qzj>eA?|JTnaX;Jf8~3zXmM8CX zZ%3NrqYWL$`!+f!$JY*~vr)%x=hqn5qYDR2wjaw`pGB~IPlReQp2N!7V^R-(KBpS~ z0R4c!7Z&3+#vc%TmWSZ4Ht+`p|3C^~-&?Uf1pg`n|LuZ*Foi!Y`dJ==e~p2^Q}CDj z@lt6Y8NVzK!S68ei-Ny0g}+DeSssGF*1#VU{52{3X~AcC2)=%f5AJiuCkHIYPx?92 z=bPmr_*WbHM+9HikGTDt;m`5U@(}z{@0aaHJ237CNb|YtG!fZ>n8Jwx7Dp{jd@)+Ut`o~65brIZ1?0muAetxd9ps% zc->&&-zoU%@v5IwV0j4sYYqH&2)?yWusj6+1_S?{g1;#>o=(U-$np^UE(8BA!Iynx zJf3D{9b$P1{_73=y9NJA*ku2n5PX)0;NNKA-y`@>r|=u3eOMlX{{{p9UcrAhh2JXp zEDyoI$-plQelFF&U4qZ@5d51B{C5ey?8D>!oq|8-BbJBY-(ujuTkz%F5aW*uKFdS! zUuWQRBg*+f_Wd#bjNr381pkc&KKG}@-C$F8}gq)2KPt$`JOcXi)-M6%+-hWOyc|e3ch|$D2@M; z8uV`KgLd80J``GTK=KK8HFKazWdygz?8EqyNvaGqP?^Bbdf@!tcB@xjN& z{k#vqF@6g4$N4V$eV^`NKK$b6?GJo@E6bVx0oZEz5BTyJf6B)d=ui9yeY%7BNqkhx z^)GplAD;`6WIgkYW4`vUe(oyWJ{JbI&j*25P5&Qyo>>20@}qs|PyK)7)9&0!{mo3H zAO6_qx3Zl1ABL@l{}EpvC<;?#gY&HBDUmoLs$;TDwPy8?YbO-a3_~haINglStBG}~kVI1>y{H!UKX|@mjssC#}-NAh7SDvr?{8pAT{~NH?@E`T%F+SHN;?tk_ z-}LDY<|pyBeaORhNVgB;n6K@lpUX@?UoQ)6pKk%Ln*MKlo>>23q^Y0&)c+lyZe>38 ze;2kI&JkZ8<2;Tuap+GRtuOJa)tCOs`szJs>r%hIZk6$&Y3?%;`;z4sA{FIwx4}KL z2>%WTOEGSmWqlvgc|r1{zhF7epDnQX`z!esXLFyjEWgL4o0hU1dgAlvMfAtM1_tB0 z^7rLAKDhp`fJONh`l&AZK{j>dlYUx`qU9Ik*COa{q)q6SeZxH6e@Q&(=KBrmZcpiE zc~bXE`Y)jS5?{WBeyXdQZu)6C{%856_(k1p*Q9RQkId6O3qR`S`bFLAQ@UB6)crF0 zFQEG}U%rKYs;ioA`e`}-XL&1rQTJK2iT1vrfqdB^=`YvMNJoDKKk7!Y)4egJo8?K} zm(w4*>0fP}yxf;>p&xarUwWL-FRA+#NKrSo#dCD8Fm(Sl{HS{cEZci)N;k`sy04)B z0=ifE@-6gRNH_hGy01ivx>A!&PHebGlehcZQUsCsK zq^O(YH91aJ8oHl=A9Z)avc1bG-7HV)zMB3E=)TIAZ=v5py6KnHy#^`jej#mQd|zhh z{vQ0Odp#_5Po;FTJgK__>4kJ(9(ZsJjc6y7#Aa zvplK$TKX^0-t0G&Z=v5py6KnHeH~KN&3(h!(9Ma1>&_2op^wis{N3N1$4J zL;f&Ixqi?;srQvgH$(4M0CW9ViZs9HispcSL;eted7tt*mu^~`_Ve>>L;ge!zZSu3 zS+p?T3i0c%;nymDdusUcd35!t;pd;HhWyDIe(MFV745Z9yZG_akY{@>pskh6XLnUO_EGmiE2KPoyKh=^iPn0sF_4L;c(IxXmS^LMb`$WfGq67R+$58M1PV#49BU=3Md8pMc z1Hv!!P+7;RjvKy5(&sBVULFzt(UCh`zl`-vxcX;c>){_1{zHPN_11n-J>#OIKcR>9 z(|&nM;tPYru01Bk``tLnz}BPZ?V?BK(YQbA)wA5sb7kmhXTS775N#g>>A1}Y^v_Pw zBlGnc>$$0-XZ8O@Pf_%6T=99(`A+*~hxAX6SZz0*C-u40@`Cv3c++`vo8-^Jl4rN@ zjZBo}IqMl>Jw`A=(!ve4_IMu>{jy%g^ONc$4(F3ou;hJAcqe;CaJPbf+~w!zA|`6b zKb#q?=XoC%epv_0I<9s8(e~7K)BHi`;qx~sR@+aX4{b;72hCTDFgG8xM!sIpNWF@q zejY2`IqJq|2DYB(Wkl*D>vg$M-0Av*_!xb+b{tLx$6_c+Ki9mq2{yvy&KO1@vTAJl3;sO9%H zh(8;MkA1Y;m-dbq+&VR!DGcho$$f|Ns8t@dW#Ky!$k*4u%kdS*{5VitwTi2j-^XBm znqj%l*6M%YyLEqLZ0`PA@zpB6T7Lh6Jnexzg^{6cZe7Plo>p!UpFnTe8) zuaI?_-*V40B*=3#kf(3^c6na5$InYhefWI{@|+Z&bib$5w{PF(&TE)9 zcP96b+W%^`|JCw)669&d1p=R&G;TVL@Ou^H z;pcQh>N7bqyvvX4;a&CAM|nmAc?wuC9S`i8@Jt2rj2rhE+W%^`|J7=JW&(MNgTrnd z^z>Zi&ZBFu%HW@R#{ES)|7s(Djgi0B$iKFFe&=c<-{9@M#_(6({(_rNhqn3ov^X@5 z@sux@28aA}yrVF{bbn!|yGI%s&Wy9(L*srP9?t9-;dsTka&d8fVZgN)!dyPe-TF2F zAmWW@3OgBhIXXn=cg_=su#j;a552(K!QpW?5BCli9NuVe$?3_!a$J>0``mp+e7@$dmTl7U)+9^y{nPr}rx9^-*={{tPhgT)r)}{v`bT{X-4E#Qw;&kHuS= zM|)iVVELZ2zAA5}eaBos?2!Fxx_n#D!sUe;<$XrEwx8Z_V%)j)Mfv0Qg9G~=@^)~X zCdz%g8RhBrvUn2h<<4ukZguw>kTbl{c+~xh>e2SpahNXG`s)4w!`SUZG(N5`9IB0T zlEx&if^pEjDl92sI z`Z*#F=Xw8ra&h?=xOZk+@zwI*HzJRG-x2RK#@v3QG&t${J&|_x=*5c{)~hhkQ*^e! z=xhd-_1-n;+o9M~bn{mRmifhgnXfWq?p`^$9`Sx$*Q1Tge0@vf{jUEfMtkJ>FF0K| zCi?qLT;P$vXL!W%^zG<(`bJ0O{(6VMZ%yF1afRzSzuxu~obH_fAWlC393B9Y=?wDS zd{fb*IBp&XOuah%d!pi)UoVO~okcYqE~??rkMdps)#!8Mw!-h?aUJ8Illu8xJ%=&T zu%4iI?Fo7}pM&1D2k3no4d9-Gff3hU@w%b!yW~9vWQ5ft#_99pthdhooEKlHuN~v~ zabN$jGi`@)*B7Mtzr0{he zuH_%&BY@*-r|hdRoT@)h@x1DvAKb^f_kr>JFFG;ITt8u-QJ6D-r_Mcb9E6a641jay z@7|(or(Rsh&cVS2YE^$+crsu8bzOvxUdAWd#m$qo=2`w-dd{=*ckYw(tm}tjq2K8! z#``kAAMYRY>sESR)qccuy2H({>GP4CUpUY6eK_x}$d6^fS$_V6X&ALzC5`#Vk@<6j$F<>HE4~)R*gT@_iH6 zMSg#Ry1E3XPyEzh-*0JJ-(#`;`2K*OZ)JS9#NRIQ+r@4ZyHhMohV3;eaRU;kJgR#_ z{QHf#$uo@GbB1yIBrd%#h{rEB0(|b~jo03id!B|eC3lW(8yR)$ds}bO+5WNKwS3;L zY;U`rcA|I8um9s~uVlKt&99HWZR2kJ9_lGNyRFSXCu8!SbF#_gXD7Zl?D6%P#at~-|LbNE6KuQ#_6GRtFTp%R};3^!65}EhL zE0mP!*utOR?3>xypKHAmC*}T9L_GKbW*{J%m?susAMq0Opz}I)pcj8`^lqpywC%uA z_r1FTIPXd@6Lkvyw7udR2KZQx^TqJ2(_dXYv>43m(I8q3ups@gnf}C=I;Rr7XMOxl z*_S`)gBpF@T7RfVzegXy(a4|<^Qe*ceq@4RKG!jbTyO(U|8xqU1}AyLj4V;Xw9j2v zNt^%5uzudC6itXrd`p7klR>Ud|OXO)Z?(2lQGm! dRc(2%k8|8}(WLjVyTgIt9of0?yt)f5_rJ0$P!RwC literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptdt3gj7 b/bsp2/Designflow/sim/post/work/@_opt/voptdt3gj7 new file mode 100644 index 0000000000000000000000000000000000000000..9e241a35f74eadfcf4505362269951d771e6a0ac GIT binary patch literal 13693 zcmd5@JCht&6>dqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptdw747w b/bsp2/Designflow/sim/post/work/@_opt/voptdw747w new file mode 100644 index 0000000000000000000000000000000000000000..a63b312d1d604515b003a1e7d216908a35b7f801 GIT binary patch literal 1587 zcmV-32F&>ZaLNwcNUm0RjowJER)`zP)!ra(BpPuexp)jid;%G{PL}8ZKZtvb>>C7_zOD1pmi>kEv%Eb8h1&7E21mZ`_6MSG-uZBu2ve55ZsZ=b#Pwp-EeQwQ z*wYHyJU`zEvhvs{7>Ntfkdy`v3WrQ+-~|K>7eU?v4YDkh$(@@Bp12l@_oWUcUeM`c zsPI}S6s}73o$00001tZV`a#?3(}p007dy5oqiT#6nLBIf#-H&?%UY z5bXJRk2~}L00024LlLDrwYdNQ002mv5z4h8UXbl56s_!h2-d5Qo4004uh5o39?nHW~BV;74%C8`!WqCYbL z0002wGZ7X);rg;L`H?dx6mb|I5MvV=T{cN&8q8HoQ%i9wW7+KpZX#eWD$PChljzvn@U!j^lbN9K@&Hd?16&xW}rtfM_95iI9gJWO>+@ zAk}Qr#tA8B!w2MELL#~(Fj*sElZZAX{b^s2*~qkyB^vrQvm-Mzq%$TkJAu421r$*y z9}s5~BOf+tVJPkwYjv&lzPOX$5&J1kuuB#y(qx}pP}(aWq#05yiXcN9EhV+PK`y8o z0;r&mB{z3)FD3@`a4IYhcjMb6E2&I>*(~9f{CzOn-c$ZDBjmI|#sp4*$QCl#Z5+=t zr}63}<5c`R~ zyCs}iJd>EGn=>7{PN6;F6_Zt%iLTgg(C7m`);>}GaP_EE00000!CHN}9{>OV=Z5la z{oDwZ&hhFW0000bzhB@00002Q6wFRQ=Q7}T3MBo_&_9|D0Lnm9p`Zs2PkRZs0dxmU zK};VU<_ogneVW`s>c|hBK&#Op2n$1l%r{ne3p|8FNZw%}v>6Q=(?aGj><#AJLom;_ z@wpj9)0)Vx6zCQmfKmz=X#so>ER4bgl_}er`r+S+f{q z^X-B9YyRw=uieEQo|%lgjr1|*KaRSJr5Myah^?iG%G@wu;C!>UCVd7qPQvY%O;OGF zi)Jo*zs#bI@;?%Lo8Rj2bSV%y&6>X+!U9am%77Qyz$uf(0tk-6d&vKPsFUfekH#g3 zAkYr)xdw4AkZ1QmP)T!QeUBTnT>{FiyI^q;MyXaUIPZL!&J4vPm9$9ucNu**a%1-C0Yd85)XR2zNNlQbAzwHxW?WO!mTdBDucNQ7Wyw>a@+%jH4a z`N>vbpSJKH_FylV$;3UoIjGzF?GOwlGQJXaH6TKNmvRsjQaX1VdyOL9nNqft6$t*M zAC2Hv67C|`2kFNYfsr9Byv1Y11O#i3gAIob66;3^1W8Vt3WyftOOBvD(sQziLoiX}-ZC>LTVqa}IMEIjjLq+FBB{cpJN73F l#n4a{q0E==H{j-cy#J*m`*gH6_G>3$3daq)J%s}bhMEcG*?Ir~ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptdwk554 b/bsp2/Designflow/sim/post/work/@_opt/voptdwk554 new file mode 100644 index 0000000000000000000000000000000000000000..b0bf4861bb0f77ef8ac0139e599109bd0a779276 GIT binary patch literal 1236 zcmV;_1S|W~?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRG8U0fB z-48@zR>yc&BMT|)23E$|AGn_}(S_b33XlOFO%WqTyNlzUki-qMgNWS8yoOI@_SqPU ztj6wC8O@F8a@uQxc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dNqfkF&~ zL&Zu+$I9c8nv}z#iKV1q@}nRr>Pu45MFUmh%SI-I*UcVC@7qg}(YuzRGUMt3C5Bl<3QYRQRM@Z3>F^ie zlc9I)18dn{gkBeF`E8q&vYYBHb2;4A9kg-kxYSjYG3oheBa(&DNhk^-0>sk-M93>d z$j~0h(BWp#;e&BBd3sF#Kwebd0o7evihVeV(?p<4m?1wn9WTdaoY)eqV_?! z1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<) zR*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBv zzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM z0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt z9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?) zmbOom+@)gpZMFp{RA&}^cqFK>ksAND+C zeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve_g%v@p6 z*!hy+@v~KcqbK`72M>n8PF`KW-TcYG`?_?B1FceEM;iU+pc~mo-=ipPN~rRh y)DQ!7>0wW(l7qz%WkwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ zqyJr*+!p5J4e@Q)qkpQ+YpWz*_m%YKbKeSk`t-6!6uUI@qfFDX6|m>xIk^ZH`nGBM zVxOO7`lZ<@|7BRt)7Oc9`a_p{t~fu_pMDogeQp>356L>f`;_GTbA6)y_`VUv_-TsY zC!a4k+|RI{>G+$Cc%r~Rukjx?;@=z?{}ChpZALs~&*A^55nl+5f5?cRlz8qt_jLAy zVZ`4O82_jdza=pKF(ZCBF#elH{M~`^-!kGK2#o)>5&x0E_`^p0!-4VNG2&+e5=uyGHzx!1yCZ{PzRnA2;HU1;)=}XU*sQ7uYXw|GvgJA8hjHmiRv466^zcUOAcI zNxuKq`xxEt!rkqA1t&g_Z}zxt#N&CqEA_sQ`_3fp4@Kudpx>TAzv)1~0ckJQrIz)h zPSv+3&~G}>Py0#v)TVix(SJH`Q;+4>E`EBCrdIFMv>pw(KnTIxh;bO=Hx=l|eL)D` z(LleIXef@?W~t9gv8%+sTCASCe<|n7E*YPU=lEnf&)1jYH}P(iILL70ThHrK9-r5F zU(WM7^Lbv!aLar#%57mj@!llQ9q5R|$Hv(o0l3=w7zA#YVi9o-GK;G6szpg;Psla{fXrSLrpx-FQM@aup1@`Y{ zm=C!x+$a9J&wNDE-xUj5KG%8OXKs~!CgZu!gmFLIC*FpABK^5fd>MWNKmI-+)9}BQ z0o*sDxidFnpXuLIHZtjW_yU0EJOAEse!hQ?I6vRN7o4B(-}BAS_wViI=ll0?^Yhoc zde6`I@5$!px3WHHU+X=Yl*jvSeh!2CZsv2}&Cfry;yoGj*`D>j4|_SH_&)4%Sm519 z6#l#d{~w7W!vHYZ*V{5$!v)*r6@2#x&QPz7V>-~}SUdVdiW4*_* z-p^R?U99&h)_W4`{fG5l!+Kv~y@#;gFIevltoH%dJ->B-Z{5pV_w76{SKB98_v6;R zw{@Rw-BVll&(^)Nbzf}V16%jI*1fHDA8XySTKA{cy{L8HY29O5_mkGWqj{fb^sjaQ z$9c}pZ}`2?J;f?t9?zHjd=}?R=5xN}`tx$EKg=hdbsu5fGg$Wr*1dpr-nY*2*7@8z zcU$La>zr(zf30(^bzZg3q1O4*IyYM9LF=4no!_i;nRVW>&QaF+$U65}=NapqVx2#% zbA@$Yu+9N)SmPJR`8gQpZo`Ceeg)FWeHPQ)XX*VMKR@;ao|7?oE_MHfY_|`)k{0`c z0a$$A4zQ8ihm~BqG2iEG)6$|ZZ=*l(qc&K?UEuQ@Blr1F?CVCwkLC0mH+b89e0I|N z$Gp2;y25*xFK?qi@m9l<*XLJw%i_m!`jrgct9*QRkN1yx_que2_x--SjsC>D8kW31 zzrs5uek`Y-^*ygOK0dq8`^UToT)M*hkS}keKk=@CC9lt~@a`8smeX&SQQr<9pPlyp zG4DZ_uJAtM%iHKrytT08_4yUv8S!H|{q8V$JAHiikoS*ykGOP&_c34IMt|a63rk*~ zU*SD0ek`Zoq``ZgkI&9}|Csklm#*+W;mh0TPrO&clGo=~c#n!7%jtKg!Mo1KXODUR znD=RyuJAtP%iHKryjQ`J*XLJwkBcA6>GuwU_j(_nJ>mUh-e+C9!uyObZ=*l)ehZeo zKEJ|yQv6s>zjqqE>wSFol=qK$bM8L5!uy;rZ=*l)UJXlLpI_m{w8QzQjpg*a%i#TO zAD?aT{xR=zm#*+G6FmA8?=`~f^DDf~;>U9O-EHu05Z;y)@5&VKd4flO;=NXQeSU>^ zh4`_Ye)kx>uM^(Z6z`f8?<&EgKk;r5UY}p#Z5Kb5)9+q`w@Y|CQ@k5eyz2yy{=|E| z@cR4;?|SiLIsM88?~TISmEzr$;=M`m=uf;i2(Qnt@NN`8mecQD2JcP6%lC`Pb+9kR zyIJt)PrRFj*XLJww~8Oj>Gy7f_ZHzDNb#0ZyhXvIKk?ouygt9eJ1TxGr{8@B??&OB zO!4kc@!lnP^e5h%gxBX+c+29)a{5`{Ke|$iaYw&gp@1Yd$kreM^f=7Si{f_YZ{0i@3@nbptE`sGf-3NqU_nXLe`^w*? z#lCXZ$HnvXQJ1dF(@zL4{fYa4f%kiY_hbt1sTAHZ!J|L%9yIVa3*PY*-ZLq@6M{#7 z;#uFrxlQm+rtnUs@SYVs`X}*nj8D8z-y(R=rSNiSX#QQ5K9sZl5ybhbjs8hIH2566 z9>Hty{&9c!@3~a)mI)sHlXwj&ysd(_JcZ}Kw^G4t5j^@6uUF!%dr>LpgRm)+~YszQ_^J74JipHMSLHr<1@iIzg!uaazZRYK-siHvd7s9}s+&hv2U^@COC|Knh>qTd_O@|0)Cj?Sg+Wg+DF&SssFaje);Y@R$4X zQfVI3Jcds(=#{^&2*%)6xAII`!y)eC1#lP0T9~XStC&u_2vCdLI%R}(5Gw@4-FZ;3> ze@gIK9)kZ$1AjvB52nT~-%C(G%R}(j8Th*dU-ntC{-c7=@(}!A$^6Roj_ceV_>FO6 zevVJd<8||V_;cN4KKPbh0J{q7Ci986)vardd0jVOW7KC7-W;!N_vAdTpEqE6vOd;$ z-C*F~DfsE}s-II}c?kY%4g7ZqzO_!UJOuv+1OJ_ZzbQ4IPRKmS@(}zk1OG0;mwjYB zo@QkoVtEMu>ka(71^-FdWdEKJe3pmc-)P|9Blu6J@EfFkSRR7^1_S?I!GAV|-zxYl z55d35z%L7aF4ezXg3t00{F@E@cL~1i!{h#)f7td+;Ch$De~?8hE#IU(NkE9<$5_%+oLO`tnDce7_?bKZ};2+H=-t=5inI z&zUdCKkM5ie_Y}OSMnS3kHMekMQpf?XNLj{rS=VJz1U`q+IeF@}EHl_ec8qo;3c8Yv6;-)ra*=;`{sxzJ5+9jsKDw z`2M^)U%#AVWBvMhqcr~cf}evv_OH}Gl6!=_KYuqZeJ=`do?GGb8>4pd-vf*B!NpYC8j{Nm^B4}5+r%bEWH*lPF>`0^Nk%EuMxPy7dcx`X*id{oQz zFL{t3p9_&>J@brXzV@$v?ke3r7Y4S^2Z2{j{~vmuSpQz~qkZU4{eR@s?%YZJ%}k>o z{@CZYvYh!JhOLJG5nmqTf7Hhn=uiBIe7b}ANqlV|@~|Bi!6wHiN>6HT)T09^-$>#}(*L{4e`-2lJEozANA!iKG!AU)1Ua? z^yv=fC-Jp?$isF>w-4i(ukE9s%S=CCFAHp+Zvn5G{%?DpSpQ+9sh|GT{~e!hWj^(P z7q%MC5nmqTJdQMR=uaH2FY&6?m;TB6>OE-dQop`#mGPlz?lThmlI0g773Ffb!9BAG z{|*OBF>ab=eIL?!LGq)&U^&j8EwK3eEBO^?bDy#-zsIGUma-gr;`8T4^vAvi2IIQ& z_vJZ0xc;wzMfn!`sV@3KHg)8aep-&A=so{WAJ5 zp!+gkzJ-3OtD0{5X*vF9c`JTV_gS=w_P(HjeAyxCFW1jVM}GxB>PE5Cy)mVmxz~E%aMRH~o^juSANvK|e?L#fI*`fnQShXi7KBle*jKzku#GU%rKY3+bj`Quk`4 zsGH+8IZjp@x}Sg_b$7zDy~`=xEKlmbn*IyuzRH(xq2EHf>6g^K1}W-(A#GxOUuNk3 z9{i|#JuG!krF64Ask;N|g>+xz%eT;PA>H&#>RyW!b)Q3<(A{e2{yzMuy9<`O_osBT zJgNIy`Y+Jl>^GEeq2EHf>6g@f9a7ZIeZ$$%&548S&JSpzkIyyy-QVM))qmINYff)N z{xC|pe$YRu_mxODL+@4qbNyI~G{5JH=74`g{t$q9pYl1EZd#i5^Yd&&{zMJG7Qt&- zv@qTZ@$0VP*D8K{YWVSaboHp==bxvB{K*=A>jkeB?X^(5`0>(^XL~K=w^8u+)$r>U zzu6joTg9&d8mR${EOjVi@#s^<#`I`U*^Ycd8~iOAo<5jzCC&hz2i(5 zdi(lmA*+uT&C-{JWj)xQwRCM4UGn^v`w++aYyXr|>4KzT+_)NmWjk#Uo#PWjJ=9U0 zD7p6P863tx)sinylrp3B^w$p2CG$mD`^U2TM8{pC1N=_MQ1AFo@@HTpTKw>NsMRh5 z!Y}hsS;wi48@@-<=PNm09ufZ0kvm+!jP*>o`e$J4;U5(KLxQLE)_zev)}!a`qDSV@xIgOEv)s>fW$0;Vzw|&5Z65^bxXlOj&rZ=J z^Yt0)xv8RO_5VdrQS@+J@p;hsPWxqt^iPjiZ8x1K^|{mXg81op(|L27ltD_MleCr!VR|ecpnn|vR=jWlj%)jCThn& zoEfa=c^?*jSqIBHu66#=_SAOM{6Xm9^EWA0+fSblZAa|~%~y*sHy^Y{zFyBry^5oL z9xL5B>c(dVww~u@MCv2!b-7U7>H34?jqRwG{K<8S-%DXy-Y1tKE0dgO=$}mY^_F_s z-o2SpuRcFXe2a(QLm^L>-%kYdY^#%p-#a1CK%G2=I(hg#6Y}h-lc%pv9)7QcJkx%;GbkVoEk*W~FR?uCD4p49$OtNo#t-~S-Kyzj1wk9Dpte16Y^_yc)A zAJu9nT}LxmPwN?9{9Xrn_Js4e^$|R)>*3+|ILI>{$TK*+%kP^?zF)K-)M`Jd<@Ys+ zKO2aTeYD$`_Kp|aIyIar4C=heeTVX>RUWlv;X4t?*Vn(x@fFAXI8a=*imR62$6$S$ zVY$xM>VM$7b$?`R?*3Zw)hfPPe*c0z?SVXnk)dsFUB^bAR&muTuG;$qf1}`MQIK4> z=$A~RU);G4@N>>}imz7j)$)54tcQI5pyQc}4?y3Ro~55A2!nOa=0c8}}L7|7x}W)oOia0(pvq!)_e( z^jzi6qie6q;GcTN{Y5(eY9oJ*k-yf+zqWdQ=V~M0;O)G|@K@gcf}2l=w)y$AI5dv& zlrNVChx~KAqcFg9e_^M)M;aNZBc*VGKadCcOz_k~`Tt3R(`ZfR{ z;*DntI~jL5Iz;Dp&J%~QkZ~Ljy};YS;c+()_YM~v-e_;h>B+!yT$M)q+#O0X_bTc2QFZD53^49ozAd%>B>epSLk+*g{>ZhD#ao$2 zdtCou`JS`BDsQEI$6P<`ko{`9d|S`L<%JsMeMY&qpWbg`+`08d`Q!G31N$BFc5s{~ z%6+>T<>~gacoOa9&TF`Cb@v*OGrZ7v)cuO;(e~7Fm@e1)>iz)3*zH3!KCUkus*Q7$ z>o}=ep02OOqdI$Kd{30x{rr%6?ke?|TRu-GHaf|Ev2^HuNb5Ua$Lbn7=9bTE4{U@& zbm)GmG&t(+Lwg{E?cIxu6c?Y)-)7|FqN1ulE*9qGLn!fa-0;E}&)c*ODa?dW&GR{Px6b~Y7hkBa9pm_M zU;nW)ZHIB!9_WTMfOF>W z-lA)#UR=n|!NCP;RexM~GGG05U4)Ka#wXgv&6Bm}S^iym&a?7&?vwMZ>xW{Y-{~mE z`!c^D?;rE)R(f95e#CUT!_BYh^O2liIM4HaIPa~oCEr)^K9Aog;Cj|_wzswXS_Az$#ZUPZSKm|V`?_A#m+NoxeG}J3 zet&|xx&)_B{M29HZ)sZJW3m1C{(zrvWqh~9-!Adn#cmV3Q!Gq|?KLTJ0}`h^s(V8G z`;EBCGmP7FhH?8OF1;^^$1gSleD3Cr*WQwQo`y0dcaCiv8FlM>TW`_X{;}S*eBQ2X zZ@Zm#qIb-%|Kn?~WV*f0uaCWL<8J*P>M1(At<66tWAdJJvd8ZmM~22-+^&H>v~#|U WV=jJj_Z|`bE`|2TaKz7&ss9Bo?k_R` literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopte1t80m b/bsp2/Designflow/sim/post/work/@_opt/vopte1t80m new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD zaer`ECbxz8ctd>K_2{2!^V%xO*L@|u`P{d{o<6;-5ydXe{3z43Yz6GOcup>Yg}!Z? zzS!qynSNm_mYQz@;;~z5OCncWy4nAL; z=h%LuE`M3v4<9$;@0WOvlN{pIvYn&MIdS|ajQ9@)#_u=cKN}eTNhAKz!1zxY@!t!K z{}Utr?*iiw81X+1jDOgOKOGqVX(Rqz3>ZDPEY$y>G2$-^jGs2*I|AcBYs9}UF#dB! z{96O#KX1fu4~&1rh%W`k|EUpwUts(fjQ9@*#(&X>KM)u{W5j{#BryJn5&!+b_{WX-V}bFr*je*A{{{97+`q3e&IgxvL zc#`kG^*%=TyKr~=UcrgaZ$R2hb*W|j zs8jXr3G|x|^wWM)KDBAyX7r!V+tg$EwTqwLqp8*VG_6MiE)YWSHewux_)P`+abFOE zcQnv%B^rw3wOQ)3QtT?RuNJH4?qACJvP;G%<2gQg&gS|0Qv4>~jS>eLZhY%`UCQJ0 zI&pbkXFkvC7;c#_M!7A_C*GUnxdR<>_}Do6BLG)hA4AW_e{aNxo{x_k@uBDAe=y=h z&&U60#D|`b|H+8A&d1%ZKP%_s9~<#orQh-R;-|@dE|wPVJRdK)K*rBcjQHJw@&9bZ zKO7i;!iax7F#cbR_@@Ko|J8`k;ewLScdhpOHzWSy!1!m3_}2!;|GN?29~l2rBYt;a z{C^nnp9+jWX~cggF#cyo{EsA_>&rs-13x$7|1&WD7e@S9xR}=UVIlr!jrdCgz#y@AomjdITH{$OJj9-O? zTF2Q!`>FRO@D}n5-H)CK-4_PxI}zyD5Xjpa=+_nKHx;;V9S!uG3G^Gq_z3CWslfid z4D%uPh5N)`_nD7K`nzI5%jY_;`^>Gf&tyFJnK15$`^4L@PozKhi7&%%;K$$RV;cUq zGJyL=G@)p)%0?y~4_^TAeCOXg&d>Mn5$EUo_k#2D{d>Oo`To7#{Cxi&ZhroH zSMT}x{yo|J{8rWn?Q6X!lk#}q&Cg+Q-_3mPyZQNtR=g);KHIb2_hBzb6yJwk4hy{d zh{B&&;6LPNS)P0!=Fbm}d3`TNKbD`Ab8M~mVAlIB>%EorKFWH}WW7JK-V0gpd#v|3 z*83Ujy^Hle#d=R-z5lS@Ygq3qtoIPs`vvR0f%QJXy63m<@2z`z>%N`mwet2 z_qOh{t$S+g{@J=$w(g6qdtmE+*Sfd0?qjWcR_p%Mx)-(XJFR<6>weO@cQo%4jsCUn z|2WUN`3=7px~Ev>%j5ZypU>ia$$ZY2Tz_7U^@sVyv+g6Tdj{+Nz`7T(&imFm-a4OK z=WgpfZJm>?^RIQTwa%;7In+8|TIWXVJZPQstn-_7F0;;C);Y>LA6e%f>pWwfQ>^oc zb*`|^3)VTn4Qu@3I6nvD+-;aJ&aXf^xzA#n`z*bm>KrH|G1CZCYCNZ#(dHNvX9`gP%?-7@-@IL0t+vrccYhlUj z^DDfE#gFCmn>2W@^YPhP?;rC%>CzS6CwzGu{fYNVSn~S(3hz$iqGCIsM*Y@LuoZvnRZN%=@fMS9qWCGw{9cfF6#p7Q=NZ_eE(S9qWE+>tTn07e-w6UCicNx6D z?c=iz-aqDD?$Q=D;Js0JyHdQHQoJ__9{q{;2I2Mj72b{F$8!3;%iz69c=>)YxeoTF zcsC0k{fT$8@cR4;?^f|+IsM*k@ZKW411a88inl0u^e5gMh1cg-ct^#L<@CGH;N2*^ zlPTWaDc-vTkN(7alkocd3U68bSWZ9d`$xA5@17Lz-W2cq1&{v336?T-%Y~1FU5Nx#ru%p(Vuv45ni8P;oUEOET`Xl4Bod2?{tdyV2bwwXj2ZeRJk zwAfe9`nY(WKI+nydHM;#r9W{WFz|j)@SaTJJ(a>cCV2EG-h&3-X2Cn2!h0r#cS7*! zPdw{;IJXJj$rRqH6yCFfNB<;Vj`4~2>01QvxfEUw4b8u+(uZ=kKY}=4wb4I`hX$X6 z*CTih-aqaS|2>xq-ZH_Xe-f`Dg|}7kmZ$Li_f{%+ErLgX;`K_LbuTLAe4L&Bm%{R# z&wRu$I}f%K_oB?_IbZKBPsu&yENaGk@>-u0+J_G3Bgvj~>&iBK)Zb67chOzOeU=TyTV zpdaw}!eYF}_ydB^@(}#h2L7PnA4uWrdn=ZQ;9q6nzg_STrtqglKg&b#uQBj<3jT6G zUMlS)__B|T z$J4B=Lo5%$f4zZ!x8Oeso9y2cg3t00{2LAYdj$XK6n=xW56eUF-(cY1EBMc*@LL6+ zkNEuL^(gmzCXsF5qy@1;J?wp=l+!VdsFQ{D)TAJllazpw$Z@9U+~lW0R0>d%R}&W zzUDm3`PCWxdJq1C{`hk+Oat#$?yI>U$77b+fO+~wUSIxblkazA<7d$lRC~_)%v|on z{WGv{XNrqx|~0oEB+E z$)|Dkp#3$*t3N;bzbDIcgOp2tL;f?!;QmNI-;>6FaSeQsx%#l4NqnDQ!Pn0TrSV@< z1K*!l=j)eqY^+~DZ{ejPKWjXUd09y_J0bd^DPx-h4{fYmePj@gsiH~Zz z{v{9c<8vXBtY@Ba%-8HkB|6YJkgezXt$ssE3B+MPS8znN+D z!yo(nR+cmW!?4xxKjO<{{Ezy$0{w~qkWY6oKZ&pHLmsxnBG}~kWE}IgeL7_vr`zYE z!1nnV@T%$mxaW!We*$T?5B;fszfX5CpZb?EjrRGZ&u`r?`3GRD;XmxlWBgAeO?>(j zpY=n!gZW8(Z6ESfYahlX+h?88K9>Zx&ouC=>HnUDY3ipx^?%-{JD5-X)XnyJ z#OJrNocUjbt%g72%VYd6`M3i8iT`Dv?qGfrpFEsD$-{P71e+W`jAOozpY=xjTnZrQ z9j-P1dGok9^R<2SbD8Pq>t%uM^DW?2)BkPH6YD>WH1*S;`oH7Tt<0zX z@4{BYIpWJ>oX3$S4*iLv^(9`l`qDpHU%dxyUFz4@tuj6|&3#5)+tPjyw(O+PKi|17^0zo?t-n$#`(k$Jjj;YZzEzo>hCN;k`sx?e{B z1$1BL%eT-^byd?%KP|`qEN{gx>OPA$(cTv{kS{wV{pI=@>FBTEN8KoPx;LhDvplK$ za{5Cz{i}_Wm;3T9^rJ5IOOF%!C3U|7DeA_yc#iHBhVH+HA9b&QWqWT;>1KIS_Z9SC zK=&$NzJ-1Z>84*&_mxOdH|Xc+zSz+HH}Fg99!=?Hc~W;f{TI;P=F7LxZz0|EOX^;Y z6m@gFCdbK2L-!N#qwY>vws$$Do8?K}SJQt1-BC` z--91@uZN}Xsg!P(Cv|rqy^!u}eEAmoEu@=%N!@FaqV98O6S`Xs-QR~Fb$7v1_x_Y_ zmM3*zOaBGhoBf9JE%aMRH~o^juS1Huxo2*AMz9^}Z76X6W4tV6Goak>>YY(H!t^$R7eQ?^8bK(oIX#etw>9$e*a;*CKc= zix$RPA%5L8{946tPYpjlkFFjy{QUFOkUv?&Z@u8PqP-Ss7e8Ja@@%h#{5A^Sz8Zer z;x}8vZ>#tkQi#&}|Glv!M+T_U#q|IrQ$|A^Qzu|r~e#TLcFV4N;4 zb9|zHhB}@S9piTn7sx+Qg?};pYw`CBzdTRD{LB2fEsyo@7$pCA$+t&Op?93= zLT_I`EoAl4qFMT~u&f8$vzD&yqD!9Nav$PYf9;=ADqWB?j2l-2uxzIdqH}y=sE0a= z6D8MPJ%hver&{vmiBe{?p8nb)x@5j6YyVhwpXj(tbb#OK80sD0N&XCMM2jCj54GB5 zK=@@ID(g7aal`jW`g|qF%Ok=+I&z2Wm$9A+SN{xbJ^X{he@O7O-r6s!XIymjC-ks> z+AmK@d|`0dwa3JGzZ)kR*n0H5UG&I28uv%NdY1cnt_(fx?3W%0qV0nq9k=;_{@E#d zWWGLQJvUYKtp2~~DT*GBD?Sf8-)X<>kpAfrtL>)qq&|0AUJySWZ#r*oll)m&^6VDA zk%^K#XFWr##|S1!TDZa19`8eYozTQ$V z+q*YY>ec5biEr`ndnn}T^81Nko^5sV@OvlZ8K{$|P$v(+XF{Gmb@KGp$;0oJkY_rO zr?*ga`uEjXi{I-Y&z^7|w?2Yrbv-=%9tU}*19=9Aclmu&$@h!)gIetewfw#Y z@n-|^v5$89(%$icTc?IIg+ZM+x$jUOwaTNmEPN*d`TF{IIlkhU9|wx7R&mwx`xvZG zGc4EHTKx}vx9*RO&D~!szFNgs%kN*1r#+CTFfz2wt?Ssx(<-i7#Z`Ns;BOTCEDDnA z7X6ZG^ou*!0e;T8PVv<$zFK~-g7uKkKa^|n6tGcp&pT{AmhPXP<2CG4j_M`PWv@?_6!<8@!#@82-xJUvTs3&^AAx7Kg?$ zp7Q0=;E;cgcN7Mg?l0_g_edkdnQ_*8Xxz`k!|5%eST0pM;;kf2iS?*dMv}v3M)< zXpie3EZ=k1SLLm=@0ja{9kO3dmv8G?xV%uKyw51t_S5@Kj61i!D1Y33aA3bf-VTn_ zM7eJ_qdeVS7EhwR+<6Vxt?pg}a)uWgkGfw`J=&f+4%6jYU)>*I7`uIl#>e%AL$z^^ zavdjC%hUC>cvNSvjPHq3yPqFY&t0V+bIa%H#6~CCFP0A74{3ep>sVbw$K3LH?SYL@ zhz{K^l?F%MeP|Dau)TY6k>cXh`P+l<6!0HvsC`- zbUqrEIQ?V(-X{ag@i~qKjQOkE+ugi?Wuu39To*YH>w2k{`P^Tq<@b)5{xLpC60+Y& zKS#vjJn!F6E-wE9_s&c!zFPkKM&yz2JK}xDnA=a31}9y=C(^DSy?F7$dKCtGiq7^I zoz1|q-n#~UI~040ZvM)^GQZd_^HpZd-76>8Bi@hedbDwwuWxC*-}V2*XpcPq1*Z$g zM1Q}D3q11o439XTz8(Ee-{^?kU+?hutqB}Au5dl)*V~?g)4dY_#OVis!vjDvok6~v zZz_5e$Iat_saJ=8PgET9>qT*=v#5r{MK%2SQQixn8hviuR`^{!u4DXjQa`_|=P)K3 z))VxuJwfm0bI`l?0KHG60o-#iFyh)PUN`i8m%PV-jIesdIDLMc_14*+^WqEjwPPGV z?(08xrtL89+5_EihW!1m-O&y8jYC-vw7v1%%Ra&wH{!479xVH=U$M+N-SeK86uz#* zwftjz1aMsKlzkP3Q}yR5o>%?zgZo(bJ}{pDMJI-t>nH3p3UlV~)VU{)gAnqM0dUUz z-CK0+)Qbz*IXJjLt?G{pPv)z?u8Yvo%lJgQxOuYHJj=gJ&v{n<&V6#8b^TB*^gA8J zcwgrC)M4Wo9eq%r@v{NrNx zr_wW$KAg%wnoR3^vgG?J-skcA1YFNr&i1yJUu&RWr}!zK;_7=UeP7p$`f~kEzHj2X z$nQ^3SC`=QiJ$uG`z=lDdn~pe-yiVvt&H!M_}e9ZyVz}FcZ!9{u)QWFZb0IcM|Dq# zf4>nod4_R&&MX}?%pG!-=)z07>@W^GWEZly)QNZ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptefd6ch b/bsp2/Designflow/sim/post/work/@_opt/voptefd6ch new file mode 100644 index 0000000000000000000000000000000000000000..8a9e002b85638a251fd3fbcb7b476256b68f5268 GIT binary patch literal 462 zcmZQ9IK?NvN&Me@Q6TXn{zTkgr*DTC85kH?4gd*mAO-`F2*Uv&hKPW;Y+x}qAS-a` z&BRl0cQfit_v+eq{M_R8`vF6A+Vjf(g|GHowHx2nzZ(o z`5XTJ^}0Tl=g`C)_h^nkPT7vf-6H<^{I*d#bM7XSr`n>jGt!MNW{Y0MP3-7O((Yg? zdd>0Mh?zV;r^K^-)nF|l!OxvI^eD+u?cfGCAJ3%7d TcI`9u0QV4{d6&dD9Ekw{6-3mv literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopteg5a2k b/bsp2/Designflow/sim/post/work/@_opt/vopteg5a2k new file mode 100644 index 0000000000000000000000000000000000000000..458a37fa2ff745ec878c85e7e0b0a96d35d8cdcb GIT binary patch literal 762743 zcmeFadtfEWRo{Qd-W`N(20;ucU_c~mBEZ1aV`en##mHViWV~L>_S(qnS*|o1c{H(B z(#~kc+Ta-d022|Mpa2fy5&sl|K_mnOF+>DH1X+T@D@sC$LVyTjf|7tE9!V6yA$7XH zAE|DSdir^B+^767`4@HF@q$|Iqkq=1c*b3mPshm{lQ$== z-1)}bb(1r-x$Dny(wWuHy7H(8Y4`p59?*49+WP8^IjhGtXYRSG$NZvvWVD_atDX_n z^Bi}5TzkyU?%(LmSI_6Fp1HL3^yRDPFR7m8l=WQ88MhwQv!1q|oN@a+)w7+po}6*} zeATm`vYsnByAS8~SfC90>MvYx4%PtVx+naDX_zfkp!q^$3D!TP>P z^(~~V@8;y~0FP!GAue4zrky_i#o@<KQX=^@B;VnV-C2vgYI&lkT6*D~KD??)$jjr|XV$>GJ<-V>;xI z$;O1$H+ySl-sI$s+I$wA?^nHpsq2Nm(zpM)DcV0EKgKfqksOEnQ`CQ6^)IKc|1f`p z`GV{IAP-!(r^@FGs&6xOeTVs+tGZ!dLy^z+@@>52{Vn7l@EA2}$!&QDC6-_(Ei zc2hp}BDs&er22j05Z`7s-9(m#F;R)a75vRQ}6UeyuZY{x#?Q&H3>VUu)y@%-7TK z;+Lx4?$q@*=X>6Ihg9!y>UwVm?Hiw;4Dn_9otfhMa@9ANy1w~O06- zU*33rx$5iwbE(HgFdxnv&r#JkoUy*V@qC-=n@eBcl;w-th6(T{@3?v}71{VC#*W?gqhejH@=<1o+T_wCZmXC_pC z&!^n9IV#KG1h}LtNxX&^&gFsLiInX`nR&y?>540e7Nl? ztpjTlS@LK`^&VuccYZdQ54r6rhdbf(oHY9@v#PKA(=v{aqxuTX6XsO^aLW2G-@X>a z*G&(?=NLD_`AXx~Ot4Oye|PwNqp#7tZx`K1Ys^e81pU;g2mZh3;WxbAu3zznw>)O@ za_udTWx=;8J}MQ*N)zx9dYxS#?7Gp*BawNdwLu1c{uR@^{;q?N#}m1 ze7-6FySmcl^JRCCCh$-DChwV;ebTP4%-#y(dbxJ%a34L*ymMalPo=Hj9kj9fCfz|B zlh8gLTLqHfMop`0ms|EQt)O!Eq z@BG`%&-xP@k8gP_9LK%&5LcW8SJLqL)AE0@&Hne^;eVg}@5|)>Uy=XYt@l5`eU~47 zS@Pp6*EF@}uvL_zoz(1DWFcZuvjfdjI=1{`#}T_pi#o_162>6yN?k;(I~y zy^txs?~(uet@nRG@g2w#->;H?L!Z&|{5>!K&S&v&QT{Eq-oK{)KYvI6532tMGxh)f zC;vBF?|(2~aQJm0OMJgt{&l{n<^A6j-wV|{206=zT&sOZ2b0h^1uF>Ezj>uitnW?@%?M^Z?P=@ zZp{RK96c|I?psa=>#IwR{t(Zc%rf4VWH__&y5e7sNoZItET zt(jE$)|WBg{)YTKXrG_q_?^6QIDXUYGyP5ZIr!P7jf)_jy$R#DKVv+}Ne8p1m34`M1c=viv+6=S}uTo+0R3|>YMiU-mmNZR{P@yLK=Vmw*2Y&oO1Kcn`bqTgTv<>y}|tD z=2K4w@Bb`}=i7(;R{1|xmj7}68}E03^KSU`!ry70Kd;E|^^^1aj(xo}et(<%K4`Vy z{b4@1$6>+#bfa-Cl=U#Log2ZpVw@qlkNkG&4gH0Z@;fd+~h?((kZ^ADfj#yxL}_xp}-j^^h%F6Hmzx-Tk zv!7Y{eN}#Lwa?F7>x;iDKReuq2ujY&W`1=O^)$TyUGitR)&95+P2k({HuL_oAaQzSLc#?51#|3 zITyMie}~%cZ@zP^KPG>d+U@UQ9`^?Gis-z#FC~Bf6Zya0ZvT6A&cn$p=RAH~{&s&s zoAdc7-p8*~QjW);kpENd_P;mZIp=>We>d9g?@_#idBz?2opRpvlk&gzk~Zf{?|I?h z`Aqr!&*bk&yZt?icff0?|-#On4_&NEzT$;b(etU1y`^|bjG(9iec9MB_n)8`IFTZzA%?za+ocPuOp_4VB67e=YIaR{94TFSKPUunD2I^ zeSiDk$e)e!{fVBRYI;AYtoNh;t^D6_v;SqiAN|YZXqNndThWzmtE< zt@p1fKgxPP`d8%tcI*8Q_Fvrj+Dz|9|9kn@-QV*5Z;EeO??>;*|FPElpX>eT{~-U? zTkl`4_oIJR{_U6LAMd#x@j?U#cz;myTSpYkZ__+?_>c0pKG5ELE$e;mUz7g}t@l6I z``o`S|F&B1UlSgb^*;A+$p4P>Ezgf!?<4*v`8V2n|C-`k*8AqW@_(iE{^xq%{5R#_ zUhDm9if>u(oBx*l@43+O{LS^g`G1yw3uXD2nlJR6#=Rhzg)crVe>d9gZ^rkye_MXm zE|%2quL;k=UT9no_eY(~61V>%e}>BPCw4xN?|ru4ArH&<@1~vC;QNp9kY4%@#m;7zD-zlG${+|4)U21Xvr#uh-5&1J%mOmQb=M%<_3sS^M`7 z<>ypce#Z50JbvG?-xTK$Hm{hO2;W0KoL|KIADmxv!#~saM*k;y zT$cZde)mPbPY|AWb#AzGugTxn|6kG@dTB}d9OrL;Bm7?T;ron@;JFU(H;(H)`mFK( z-|~N{)&8e^U+_Tw?3Crt(R=f^Eq@Pxw?^j}_&wUJ@6G=o`PunU>HQwrZ!Gt{`9GH5 zBdzy4cpmNY@$h>YX`Yw-iTqnB%Rk{)U&1`a9rMgIPx({wv@Ad4#(m23OCOa#U0+gC zKE{4GeJ1!_1@{?;@H-vu!!9pXtr=)0_G;{9CtxmA{*+2eie`EYkU zHP!n__sRc`FD)rw661X5_e9gg`F{C1T9%*L;~ea_M9*=$*Q!#*`KcgX*qp?2l-ozE-M#QEdp=R#S2W{>l+=kDF> zU#a5!Jo&#-mj8+QeCIiWG;w~u{OovnN%@dH&c~kr?n{XqFOdJkW%-{NXT8_ZIC`%k zO`JbLey)_|r{Y|1Ob6@v8U0?Ddn^*n>)d0JV1NJmweXzDfa7hOU%Q{>IDGz<#_x0T zd*_7wp4EE*Zo2HoUGhH4C(7UMSCpI2Y2!Sr_sP@x+bMsiO7_>KMfjaO_k%~lx{BW+ zJ^ZfK;e7Pi_g&oUfT?iqljQ$;S^g*bt@kb(N53DDCXYW^e%2n&m>03%x6--#i;ZAE zs4+Pce1GuD^yIX~dwy2)I461DoW{>TCqIWu^Ycouo_5=-0WP?0zW^89XH2k$fxYv)3yZ>HOnz(T9e+5)k2LxIS@LuE)hC_r$A7oY ze}6Ac+&^3GSt_eNDdX;@nVI6=EkAe5@-uh7-|=0-V4u<9%;EQ#(!~99)Sm9wl$1v) zwP#cyW_i;!Mx1nd8T<;kJ>Z%+LH1-HxAwLJ-(nl?i_Na_I#e&vs_ktQsRHs z=Z&8)KljS=GxNC3_dSI#kl#IDR#LvCjBnO+ATN=hb8YrB>$&+al%MNa{Y=<#>Ib?+v7xPkoX6+i#P9S@);ESpL;tS5iJsHG*?AE^NWR2mv!7Yl(HF?a()_#;&QIT+?RPXUl6S99 znRoAb^JA9RZ+iJ-uQfT^cy%Bjd+b$jvg^jfZ+exjP3HvC;>b(oU+1GK z^Ns$Di{p`hVZY8y9QJFP=VuSepOLcs;rvD1IpDrK-!o0quU{fRm&)?JAChl z^9bp1`Q_weR)1s1@!@+((f20!zCoA=x8@JWcN*M&h5Xzu%TLC4;yH=tbKWi;=Cgbs z?P2-dIg&AdP2V!ScQk#N$7!C+yi$ITo{--zJu=O^UnPH6%kwwu{#@|h zg$sAE-uCk(&3iVlmj8Pv=YOs|d5!!Yd}B%ZeZ;q=;>WQ3TukZb)#;~$d6J_6zK@V8 zA788bHdEG@_xSo!OACW&Rt@4NO;>7;0uD+w@?GvWqiPy{T?N<3+@VhgQ%D=8Rx2T_q zYbmw2Q6-An23#PmA@UYNT+6Ugf`;kk?JZsBa>WkzyN@|NVhjZ&vnPPwLuu!udmO?pBd{zDf05xpgy$ z@6_yc;D60YJO4}j?-mbRPY{pq!|z-3a~6kN^Nk?hlh>{X=O5?w-6I#KVE^BRX)`S$7()s_p3i%zxAlx-2G{JNPqt5QF(>?(aNPC ze_7?;(T{pxCU5^)KHB>z^keOsP6K_gX^`=b5V^o=-Ne2K($cc^+E3GHtk0ckMP@vi4gZe_SBXEq<5Exq1D` zz^|**(}A3u3ghgar%u`UzW$E+px=6(os~m*ak5)_@F_gyZU=eO;iU1?Gtv5=3i;zG zkKPgX!&A4yc1}DU_(6H|s^^9z{K$RyeL;V3NOB*Xb+>D_-v4+f&98m=H9Z%`W&Y{8 zp#GYZmIqfSrvp5BdSX8C&n<&OzZ&897JDx?g7^ArPMW@zf46;RH=POMa!c|vzdRgI zL++Y>J5Jt=@Y7A|?^pd!TK#Sk*;KzPHmHw!pVIYfQr0^jS8t!{buvx8mLHbavnlJn zJ~I(KPkN``H>x>l^&GalSM@td-0!xJL;Ecc%#Ux&>PKxN7+;6=*Hyoh)NlQk6o11l ztN&l5tlw={h5YLRVdHb$1;WNTe$+3?4=3^CZs$jc!;|vk4>J2vo3Q!OVf}-u-$|=K zsr`nl*8a~PO_%q~ldq1?Yd%{WZ>s-->UWa%Tlq zfWPoHJYo1};|2US|157=e|<#p!4CZ9+Fu7*d*J!n+rqrc!ngi>xU-;rx9ssVt>5*W zc0)PsMr3!ld5-l5^PDm1=Z))JPCplO+AZg_Ta{hfxU5S*Z(KHW`njFcZa1ghzUS__fEVm1 zMbCHC8{zo_CvE-^tX|`-J?)>pzPDS>6 z?^cej`zYsYRL%{zk!kI`={7RM_J-^2iSckhGrIqKRqdf&%#XO9(BJW!`O^2`_pNXp zJFRttn}%s$wKiw-NXh|Mi38V^%Y5o*Rjw;9m=8WVelyqytT}1@L)?kWyFCA_|IfH< zTW9~K%9(Q;mllT`ZsXEq%}FZjvdP^Zp$1CC{jze&9ay_=Si+)K6aGH}{dwEa30^owR(oieD;^_L!cnvP*pP4&6$y-f98QhoC&>$^EU8|-gft<8>Wemi$Qr0^j)7}BE-ZbsKpn5-& zvR?L)uGHq6;_Q!`H1+nW-cS9Cl=(aT&LE!DKd$<@o`_su)%AF!e;m%~d2aap*|`zm zCG#$%-S_8TKktaxu3ss>jPCPHPlVrdnyyU-`<%D0hx={Qjp+c_8sp))+$U@EvzDK+ z^S55j-<-630@vX!_~mZ%H^TwuZ+}=TFuy*KGk`?n|F`!_U~mQ`TJTmH-Y%*pLJxKXZiM>1?{_K zPygd**7*(dn!3uP-ON)cpZIXUjQNYj<9_wWkm{%3$a9OkKYtmOUJ^gO%-PxaOgrC5 zD(_2FUfTIYQh8bD2}$K;<^QDevhsUUd0F{8sl2SboK#*`zD+7GD~~b{V_uz9{($mv zXe=FH!edBh-o$6WB|cjgE+(GOJv#pvoGUo|J(dgND<|{vmA~Kcezgx=0I!kO4xg{q zGU{(gKQCWx$-dwDVLXdpQR)61#2dcaksW+P`{MX&Px_P#9%Bz~!vj{I&o>7J?K@nB zMfk2u_V5XQM)`_%f&cW2gZ&`Btc!^k^PVgEyAwg2hqYesQN6?m{=yIH<9_M9ruy-h zcA1||ye7S*abNM;-Pg5A@vC^PSp0JE+JL{Gk<>r-{K@8RLvBDD-VC|xyX|AZXGo{s z#A|3(HI7wWIe1TFYx{UmPwh2*1`Mdf-3O+UxV*hVyuZhPB+IKhtDa2#4hd=PM<13f2#=Ra%Wt8a7@cEi^=oH*pQ zh+dR$tlTDElRoQ#DBf}H`(?brzT%eb`(3%#J|y}`tJmfqhwC)i!B4a+mfxgLd+-Z; z@SC_=eNFsU(7wYJT!`OfPk!KMl;4O0JV5(+Zpyx5kIJLnBAfN4IW1IH>4ls9j^U)eE!*z9ry~4#qrOM^obvF z<$Sn)Fd`cOW|_f^z9k`~~;@dVRj@aKvK$gP-W-<(n>*N4?QF;2-ztx3c)A zNA=TBem?koGbFtv{5b7=Q{nm1c=CDB#=l)B^>0=@2cMBvkB`%D6i#2(xGaFveZl!Z zeNUco!#HK!Fpi4i^cTAV(%|%PL=W6ST0DH59+iGtoSu?C?LF_xvwmd%i~ClOkDm*& z1NRvJaX7ssed0%4lW=+^r`?+Dh!gF=U+@zAwf6Zqy&-%2L@zH+Z>c=$jm820xKF;7 zh0{B#pMHwN>3!)XjpGWZi{apDpLh3Zyr2DTJ|Ag?Y08R(b!5f!r1MR@+Z*&iO)8O=4L=W6S8ou~Ay&?Uy zIK3r(Z~}ZznosY@4%}n>$Kmvz^uZzUFA1j)a@ut`$1J|YiT2?ycnSVm9DSVbl0AN+ zmlvmdR37z4W=&XqErm|r99zCX|Y zVd1xXT_hN<1@Jp~f5_qY`F7ycIe|@UL4<5 zd9*j`4{_ms**Lzh`suGY9Pe;{u)KJ-f_}>%J13!^gu8;qN(x+bh z@W;8YKOE5q_nE&_j@9Gi|ETQ1Z+98QArAkiq)&W^YZCr0{p06>|GaWrde3&eukbYNXB)4_J+EXQjWj)fKK)0+)87^U7r@ht+PA*g zj`{TW&ZWcC&4?a2inMt6__!^7a4-o^ccsrh2t2~IUyqNc`?5=mr?scT_GZP?&YX7L zIqmAQD+^DDRNhJ9>4@r2!qX|~Re0LG4|1>VFMrx|!}foz#&-cc9Tc7pwgXSU)D74) z^V89Y9{7fg;^~z1)8grZ^rJXhcLf{2ao_6k@pMUcY4LPL`dRUGEvMZ^PP;AHIT5tK z==^j?<((9s?y3GHJgqqgKNj{Q?zQ=8pT=d{ePHILNX9ktLE-6(ls5(N^pf!OlHn=q zBJ$7`AB->7_uve8V*c3vB;Vcn_sUP~DbMt5oyB`Gdl5Zw5^4JW{PRHiY4Nhd0ho;w z@R4$n+_!psyzG)4?V}xW>-iq(XT{6GoOZ)G?M7use-_2dDV68CCCCqV8A!?}Pq@!| zp{#Rl3#y;~iRRf^=a0P?vn;)8{@L33XP?GVT6|0Dk6x8m*8A~q6JCBuIFg8$!*70@ z;o_qYHy$?mhPOQWSb&pq@s3&Vr7-ycoN7sDSbFYR|llghiG^3r}Ul<%CmpI35zB>%q6d6nPeCYpxtL+<*x zeQS3+xbMmj&e`!j+a&*OzH9LqPFX(d4y4s<_Q+ZB=_`eU8Tj@F8&-<{q!TeZ~f@==bZGC#(%}1N8{i)Tuq8!#h=CEmz6)0`Xeh}CY4t{9ca^eI0x zzZJ9}E@(e0`zr3zx|N-?HTbw zBEKCycg(v_S_(h@@n6lxj~(yHrr#y~y!_ZBd-xG)^E=CLuA}@oD7&=$I4u3V{5V?B zeyX7Tg6vzxk4q~5r1)`F^(XP;hV+u~i3k6=gFk_RqMaw<7PNyxReyXdu^Ze zXW>WjP3!qF|Nf}`z9ajiU5nZHt|z1Zp!DmFT#gWS#$sV{n1g`rRB#d>F4Fg zg@X1=1?^X4-zt7wQ~4*wkDIDLi63{QmxNChKNiFHiXV%`?_T4_Ul%`q_^U#`DS;ou z?~=!#vnh056@Gm1tF!Ura7O)6>F4FgDcMK)u&);8C->cD6F)AwV`&RMemdZaVe%w|4N&I*qy^0^h@o+}t;-!)=PWe0PN%5=rvEoO6f12~BNbnf> zhvLWkG(OwFkEQIdKK`}Y_;D(u{(|)L^5c^1`(2>@{Zx1nNk4GDW<_>s`EgD9dHHdp zp#4@s`yJW0iXZn>{z>s;?Y+%;8h5^?OL~voSF71R36lJPFRJxpF?_H1u~__0`}#5K zdykAWWOTe4PTT%oS?_&xxbbb}Js?~vfp5d#ZH!-!2J2OK-lw<7d7p3kx@H`MZ;%!@ z|GkgZjQZ=+zv{{{zT_MY=OMXdsV51XC8U%M^4w0yfO{dc%>d_S>= zXX4JY?iaML{k5OK3H7*bp_YN4Qcmnd=bBjZ;y{#+W1v`d-wRUu0@i6$j=ntUKZ{q z^6e3i2Hz!^AD^GJ_bnUe8<$PioV4$XgDc<+_y&%GbMS)sWBkbe?vE@r^C{(-p8uVf zt%x4DkEGm<=Y{3%NIx%+?#Z6=X-D+h>h*c_Kz6j3xWv5|(((1hc&w|SeNRFALD|#q z@clIx7sJW4{K@Yh539VB;>}UjPrpU;@vLi?y$3QUJ@6h$K7jkxI`!_jMck0l_?69@ zWxuykHZKjh02rPOjg>R+u;)-#UfS>Jz#mBZ1L=O$At>)#gmZ~Jl6(#|Y26llpTMo# z28yiPzU!|y^9(qSwD$Syw)Kqqo6^tAH`}t0o;!`M-{=SSNq1$JmT&f@PrKnY?7>}d z-0JhccT@X@VtmzE(7s#tty;I$RX%ZGeFnb~FYaG=@iCrTkc97uCw>x7?jwos{q8@; zE5oWkiGRkV2W}#*Jb&F$@z3evpXxotV*PR2-_v-V_;Fe|+Xj9t<($n=zb_j z4~cvmx34*%eN89J*w_5+zuC;EQJmuY)dvwhcn4|u=dVjUzA-E}FOPP~KI=NOM|Np> zbWr-VgLM=3@CWN6Yp1`?94=@-TF`z<_N@ExGw;6Ug36=atRE?#_;A1Mb>))kpLKCI zoQvk;*&xr(Ev`xr{6kv*RO`yq%bO>?ugQKPl6*marEvNg;azT=?iEfuSq4u3jpcMW zy&BO2*O1muAE(!)pBJY$WuFzNw`B*;GT!6XJG;`S9pDi5;1&31?euYazo32XZ-w#C zTYsnQ!BPCoi__gIk9LE{luvxPUp7wHRX_a}httE-1D}!BKNU__IBj|0uWPslpOJRo z@&);~h11g-*ST@JUpVb#8906Zo6_O*a6}JWL0UU~oF0|_Q|`XS3qAHp-l_X$=kF^` z$*y02uS;SFPDJe%WCy-7&g1ZTN&5Ijx!8kK;GWgzE`?Z4h8?wKl_~2(0pJ^9( z2XFE`C22p4I1fKR%#$tEOMJj<{GdMWmyP4Qs-OO)UFN6%-Q@%6f!|2lbH@G0@~(Q0 zb3C3|oYL&ulzomM>vMnb7D@jiKP23Jq4FU&?jHWGOmFZ#{F_fbdCSggEsQt9_jM;8 z56^EoSq3iu`ZqV@GB|~__W5|bpHaW|En)fKL3G@54L(?XhJXByOsDMo+GA?^l3NcV-F62e^#H5(?bRAM+(}H$(}gU-m;z>%&C0hFz?!L{c%H* zcyYgMJYG`$v?~seSEUCIBdxzIKh8*2czn9>xO%Q$tUpfsbM>DSKYqXXqXqmJypP)` znIAv;{%ri%`M0y_cS}DnKh|ZRl^=&>2fu-TYQ-{j`U z{ziBnyDr(d#&z&JYL8#8P2~N3XZu}A-uwBCm25m$&!|5n{k*(5B74>)QOQ0ZdBS<@ zG1;Z%#X0Hc<;BH<_R9tBS7qNSUR+oCC&i0fsy~Srcclk@Ajywv-58GdAr}x^_gh?! zlf(^adj7h*?0vjy-FSRI&_DD8GTI-fofrR?c=30?HO#-@2`$XKQHf%$sRoCxmNU=Jb?G+WS5rr7Nt)+;8*Oy`?&qm<%0IB z1?|^m&;BKTMxSd%d4u-3pD7CPkM~|TRUYkTAC&Tm5BJO7AKg~{QGczU{pVGC(gP2W zqU$aD9H z@q2;tKLd|Me}B5^_o{mvH=nZSb5Gt{7!SU$Q1brcr+jBK&w#f`YoE^_JsI@}rJt8? zhGh@WAT3{Qe#kZb0N;$t4m<_7;`Se>q))rSQ|!TAaNO$ipU*86v|lP{zao2hnD&?r<+~7%uV&-N zsf_vy($C9}OR|sSMef(!Kc630WS5p7*QB49A2$lxZxyuPk$tQ9aZlx+6hGGfZgZZ- z@ne_tz;$HJ{j>b2){kKvhg>{-KD7Aw*TfBJfA`~8@ndtmvc~UTK&Hy-(*@oGjxU%X`1Ong62mZqBi+MD*YZ zq_xxM$u;Tc<-HBrgXi#E^qTmxZ@MKrcniFY+c({jKJCcLdwT`#4+`3Md@$@kp7X)a zdC#$Qsl1coxgOO|zeV%$Y>;Q?7Kfwe$uJe?7fm5efOjG zyW}(ue){Jq>(YaFkf!JFqgBu8?v8iF4H=DJwGUY=ey4pO zGV3`{@&p;p7sG2Ce`Wp7?t3fh+bR0K9BCm4t@ZCB6{ApL# z`nzNguiMds%jV5N)la`g^YLtuXXh42q{sM0k`HH;7Zq<7!~L>( zvs$;^y+7FZLz2(Pe;^*27w#PL$i!^$KEeEr*=bvEHEzuW>$CZH&j;(UYuBd3-))?j z4ZZ_5Ge3DF(7Sp4S|Ce)ukF^~Psb}u5j}7aY3=rTWkvdVd1X!ZtjDM)dQH6958RL) zyZ}DM@yeF;DIeU#9()C_tv-K!wo}l4ub};b?7@Bf%*!hs|KNnzW2&Eii{q8L^xzXD z`B3pn*pEXlAjdubu{fo94pcU;oc8y(%U(YXxH#LqcWA7f{^(PAX@4J*ae;K^P5TvD z*JJP*`8)coSImFYU(>$Sd%r&& zFRn!N;1Q&i>+|B8^z-uKhU_g*&0i$vMUYmnzuwxC-L&k$*En9>kv{Dp-qZ-t3POKk^R0xR12{vw4OaPA-4WE%vB> z`YnzZhor}NM_PH74;3$#gToashWKN7WjGX-xf?&sftuG8}3%|^rhl=0cc-o^!2 z&e_ECEBz|J=LgdDH++V~U*xa5foT3;7A}>>Ymx8ngCpPyI0CK|<~7A54o42pe?;`) z0i?yHiPxkLuHpBL(<488{SE2EU)WugzF$uhkI4?4q#dz5CVk>ZTyLo!@Yh`i{mVLX zFQ?ss?C_ud#9#Qsuh(BscKl$;yruG}HyQ{0<39aX8gHq7`e{aSw|M){*M_7AULZ}+ z$BomzPgwE7(RgRv`;vY|M*DX_{hgKJ2-G!T1EX7@v&SJbYl|iFMAK)HsW}(s{ps^V=MRN>$~Z^T?`Pb9tX-#_A0CpQAHAGzUP?QW(e}Pn3&>ja+WIb7siJ~#<(f|KB8 z9-g*&6FmL?A8zJpaNXk9bp9iv2VWvh-{9hXAZ@+wBe@puIeH-(Sb|dmpASASk4TU4jI=y6TsTwj!aS{_)IKJA4MjK3{k;?`xW zvIAGampC3+m;SuUC9X+4u$j|tTXw{W_Tevh48B_&eID4AJ$|B>mk0J$9`(*g;(&kL zC*R8Af!dGUJ>T50a(Q%au}ga31JdH-<3z;+#cCVCwh5#U_<3mZ!`}0 z$9?jxEFRcW{q$4ZdSF+2;6KvhQ}Mw4i}O!}<95i!!^Vjd$4cUcG(A6l6%QOAH{!{2 zd?fKh&Wi{BRP&b7c;KRVz{z&-!0-LzbUaYoXx0PQkQNu82RfynmIu0}f5DYwc?}*V z?F-dq2d;uIaqEF0>4S6NYZ4EPJnD_c0spvPHV=%de)=hz zZ*Eux`$BWl1HX_KpNa>n^?>EUaqA%R1R2eje&tVD`$8Xb32XWIKH*SlJTNF8aIzgd z@K=949S{4~$0iz%``xi_Zg7(of3+3(^ON*dI#b zfhF02tKdr<53EQZoC9BzcwjB3-G=Ol6Yaxa@EClzIQl%WC42ltFE0=5s66V8#sUAh zUp5cysebw?jt6Q#8RjMUkF@wyJW%m~<-uv-fqn77i4W>&T~t5&_1O65{f!aXfv4a~9R80BF z*4pLc@mxXsMcIR|;Cd4OEXxjk{L9M&t16H7M*Sf!+%KC4)>S|K6~_Zx(gXjI)-TT{ z9(YLpp4Rs{F3G>@x%27Zm2)2#=J$s+4=RsWTKnE0yz-IFbi7jgsb)QJ8R^opiB~$M z4?loQK7RN-)GhtN&n|iPZXTfc2m(MFB1?|UV4_?C) zNxU*AJM{4{FRv`BJlY%eXI%Zjefn=f_ZyPLjef>2?jxOg5XbwSwDYCQs-OPy^TK~0 zVNH7Q3DU}|c;)`ZvfdM{c;$FLu{<+8BJYsV{Oeafmi7GNCE}H5HbdMkk5~GG-wU{0 z>u>3L<(GcCnJ=Q_o9EZN5j}7jX>s;>Vqf~;4Y=f&@9Wq8dFTiFtXq=yt2$)|et}bQ zywWXw@DiL(!u5JiyP=$RBeKIk@H#J#jH$en;*mMkPyhIN;Pc3m^ccTL%dck>k5o8) zx^VhY;q-S4m&(KGKH+ppI)AJKb<;*GR8 z`#4?O3d>E4)1A`Kx}V-HyR5|G4}3;iepNVK z;k4yJ9-RIa;q+CF}Vfxh&$IYB>k*-Jd)FHET`R^?C8%)*Ko_jYm%JTqrKz- zad=vKUC$5uZBgZ&6do_D{;0p!pZ>XyHR*xFNYktE`1Injja%!VwEM>ND>B-@W&iHw zr*?$?JtMp;503|h$Ac}MH-F%ln(>$MZgI2m!}+`Qh#okKG<_eZH>D5GUUz>tobk)| z^|z&;bsu_HcHk2@5Qo$I($9+1wSN`HDXU#)PP=Z|m4(xFm3LA&J*4_)kI4fcr$?p7 z_(fWNRXBZmae6@gGc*>ClOcC~-2B$v4(`*hNW1U%Z&uvrJO`5UkQap0^BVu9;dEc~ z`!_SLLTfj9&iH*&_mk$$k8Y>Kuy$e3wbf(&#=Lo1 zc4_f=Rr>fHjSqOmJk{#+-?LdSXum1@thl}{JNg&@^3J1oRUYk)`a@i}51*Fxp3T1M zCvVAPi?@%@9sfGaPsT0M^!)LA+Rt-T?{5~vXWQ4b{{F}l<>38E^9Om1jOKIM>xMUo zj~>vtOXj0jIM>cDzV^}Ay~^a98Z(n77v>wcOwRN+=1tCCyEbidvN17h^483Jpm(Ej z^SWJMo1VX5@^Wqdg8j}UUQ7Du0lrZVa;NdKxU$M`3TgIvQ) zR-f_1sP>_jWDj2<@egTsK98-)E-jC(NuToY3wv-Kp1{xNxc}IDjvEE-w+hG>4`K|VEoAZ>sH$Q!T>yjQgfHb{| z-^zQB^g+e*k&^i1w4cwkbI+DP_sZ{0_N%=owQt9`MLKty#&2EMW&Pgdg!ueL!nro` zdBF3RF4tzCo0HFtFL`eBgTLI2lkgeR;^_1FW=8#O>F4G1UD-$9Bd~lke)9eF`Fvk? z@G<-rw@+C6cVQeT4}QY_rs|91^Ui|y-39IIvTqfi52<|OFt2#Di_b?@Km8cT=X25n z2awL)COoLttHsuX6`vQ2U)k&F^UCiY2XG(#vyR0fcWwCS#IcfbfiyjTzFRh5KFxlt zaJk)l+1q#7wJ+cL_c<<(@a4|0WaG=djQR)C&&!t`|3383`p^2`_AyLyjikTX$L*3G zd z;$-8CxFMtQtN5~5{O&cr{6_KRcZv_%&X?!Q;mg{8$i|nQ8TGrRpO-J|vWLfz7d5WA zW<0}}L$XWDmm`sSvaT=33fj*Vv|p5ctN3zR<)0K^uBrYczTA`^IFBShD!wdd{Za8{ z#h3p2m2*Bw#vAg3;>%}t!u)GHUk;YTmp%V68($7))E}09UcMZaeUu;jT}N1d#qs5o z?9%e(g7hgbD_<@Zv|lM`zb5-u@#TifKPkT4R{cqQxhFk%63Mu&__E?lALlJE(yXTq zf9|E{t7Y%U{CC$wk?v<-=v^=jFrIg7)hL?KfrLDn8s+ z`6tDPd#XQ)4{N^><}*BqBtI%XtoX3v!{q&RTW=VimUZ5!Y<}GqzrIkokh~s^;n&CW zuPg1GBhM|b{-?u75suMf!Ppa8361yxZD` z9Mm<^>h;%?8?sBwgIm(49pfq=d-#C3T7CX|m^%gS_X^q{$e#Sb&%8X?@mpa&&~EaG z@`(@k$*;2B$Lv!5N$bbD^x!|F^^fJl8OiFrPB?xnE_rz2v_F3=`#j8m@@8l(-8_Ql za7c@@fRqU^wN@Z?=8$BDo%!-?Sc7Nrlafp@p053ZV>U(aezyLH*& z|G4VGU+~wj*XNy0+2bdAd2xSR4VSU1=oH({ycYAcHkc4KMtq&r4J5)e@Qr9`<*aOS?xMyhySz- zf5A)e*W&2obhqsB6TQ4RT~~S38*LB%aldSw9#Z}EQ#9Ys26=RDaa4MYU!=vy`oF^I zbht&_kkR$Kmv<^uZzUFA1mDbJ}gnjyTai`~@$;UyGxU)7!GgPxSKQ^sdUI-e?@~ zkNaig^uFq+pW<-3ZiZr@Ondf;55?u z<=KSS_uBVjPy0N*?0xSK3jcpuc$$d+Z+-LI4Cfzxxbd*bH@xN1#{%4+nOrdGKFc~| z@>1jWO_NX0Oa$j%Cfos+;O~<+rUQAYq3^LaO8Fk^ul)CHIKP)s|3LbA&$D#=Zs^}d zH~x($!11UAAJ`x7k{vh??!@s#kMt=QJj5Q{1c$9YpC<+j+7B1BAC*1)OM5xrbVc!N zNb+}Zn07v`zdO*|{0LrJei-hs zUaEbhSq~mTn!dkY>Xbfs4PN@?`}*Ax{eD-TNgo^s z-;>rWBRTEHa@x(w4*%fgyz8Sym3LD7wygT;pBeS9^{3BoYtjR!kf!%+;k5 zDtrC$8^Y6Pgii(V^rEdR9G+fm2cCY_|7ga^Xr66Yx$;^~g`v*PJqPP>Dgb{+d+zm$ciT`KRS@U%zu&$>J@o{Z+%*&u(; zEe=T!97S4wRd{-Of3K%c{ZrQYm^04{`}?xSPXRm~6rK*Y15ZEg_tW8NJ)#G`AuZp1 zJROpLT09+*eiTRRu3Q^`+_!psJROr=T0EVTepWnP%xSlr({5FEW#Q?%$~!4M-BkTa zc)BA!a1=?upHcsx_H*X<+WfR^JbgfT`bXl|0(g2!czVh36dorJ8DH#kf-~TW<(=)* z?m2(#yY@f$2kG!~GolAhBCT8>FSn(i7B6?D4?a>ZlKWPVkC*$hqkY67ZarW7!?3+s z@v<|gU3X5qy6kSa_8MOlUC$4xyqhX7+J5qc`>YqrI`2KA`sp9?*80<5&reAYoJ5*l zHUBJc{@JH^rkyX+56EbL^s2le_h2Q>?`3d~1W9?whlQ8#)A&xr%krKl2Io&M*Ba-` z_}DNjQR`G&-*-aN%pp%Z#aWrQAxkBkGdkew79z_eaZ!Ium_jG zIjhfqp14uaeygDUj_lzxa15R;`aE$@kM0VMfx z#{I`|`n1nyPy2b4)BaxPp!)d(C7qY7o+pO*YvagpI4a>ur2XB-8S-u7%NGep+Q*mW zd{6D&|En2);0dI))91}M@gXiFlxb?|)q#ke|d-x%a zCwB|l?-#VM{qL|JTg8)|DxWyOhwb9Y9@S4j#_{Bk^x#J%`BCv?m?uLnAjjdI#mVjy zH>BP7*MSvJ7K`5n#q%R2@wh!NwEj7*zneHKo_wWnE|Di+(+Iwob3xzBVZ1Z`!5!8C zQM|T%weO^{-}W^J*?4XxqyC!o;U)Y5C%Fcn%|BabjcPw|L-y>q*}DF?b)nf=Kf`ld zvV*6H&%0!A`o`aed-k229qGfH_=P?A9>;Tg1?>+C+IRe)*797J$|nx=ANfYSxL@{q zu&(;)NAlkK(ciBdmLB+wG(8`utMyMOM~|<=Evv5 z-=#R;xNOgxYEGWX`Mk++AO3s)A2;(~6jwO!v=-5WCy<6O{(5jj`gwV8OZJo>mGB|B z2Jh|24&DMU<9Kf`QV%$eJ$N6-dj|#WJN_h$Kkds}f0yjxA^gmH&a_A6(Qf#R^6`)R zW%Jyi>ZjkL`FJ+SvvZ3h(u3cSFALF}<=ZfL6a8*=q4)XVnXmrSW}X4> zkS^>^{IQ%-e^vT<`DR`A@Jdv&?lM39b=Icr;0tgoj&HW5PrJcW?7>}d-0Jh6yX_XV z-!Eui`)F&|S)D4MIKVUQ;-4PXPd~=-&ye)s9VGct@lTj1LoOiIe&YR$mKSOEPcJ$> z!qZqWs7;{lI!`S9b6lxEjZg`;mIG@?-7K!Z_k*R{PF^_T92)KbQ8hA6@iZ zN?qlj6h98De%cksk7Lq<7m?)08TX%ReOCNCv1PB%E~#J2dhfC9_1?Y4k0-qzW!xg8 z{vOUvIw(x=?4{5w+6 zeypJVoa|f0--{~$r1*P9^^>P@{Jkzc#yyh!sQ5b_-VrxsG=3F-AHUACIHg%Hm%aac z+Rw}Uy7=)Y#5cM5v0u*-oh*a@KKh(yK8xZC&k;MH+pGsqAg$khp6r(XQ_2VQSVz56 z_s!1cA&0yrJDV?B9>H(ea~-uCl3iN98<9TcX63uFg7$L-?H6Uw{wn*<(dUP>i+*Ju z(olSp_>wpeKR?9HWz~C9Jh-O%lX!4bdf+#b_EbE0I(aZFFR-78q<@irEZqGy;aqOq z?Gx@gSqARD|Gs8CWqg|7{`bGuB6{Ez()4}Y-H<-xobk`|7_J%jX78U{+>*Vm|9$)g zcadi2|D588^uSZ3=~+IUk$jot_gyXrXTC(`?UYpBLn`m1 zC6)J5mG|N&%4u)e>x;7Y^UB8QPjTbG@@HP-IuWOn&qsvczdzb%ik^=Mes9V>|LHIC z{O3()n{gaGLAtbP!soG!`g79Hi|3272e+dVoHsvxJYSX_cm*!RJ^xviKJ5mtum{)T z@O-_X{boV?ZP~+%w70D1Kf5ZQIDq5r!uJE!PrIV?jk7_Xo?GnvxGt z_v-miIG?gSwRu%klE+B7SCW5tho_{a9UjgRh?^MhHxbHzAAM#r1sx5cll^9)1c+lPdEiF|vs z&TKxaX>4)4rkf z7{5sJq2kTc$(yHr-|+418){r9;&k%9VX!agelG24J9p-OF0D2DhA(Kwaqt9b2=f_SM^^YA&Ua4hbD?VA@c8{g%UherlE=tsKA-k|!>8Cc)HrSf zKbEs^crF`1E@sqUmVRD-T$Me173D{+$(O@@L)oR}$4%+y<;U%U_PYh`_hsKIeyn|B zm?tO2k6o%ii686I14oeLN45Sro$H^9A5RxQ+WwO5AC>i;55ws)_YJ>}eM8}1BHtdZ zGn@AfxyHN?A!nb>}OUt(h($CAc9iJ4& zF|U1BLHi!rw~B8ERsKow?TG46;@c_d!Lvy6qvG3&Z>#5Y$?MzG&bQye{;zO5k#BF! z1m7X{_IK0rZr%2S%Xt6T@@~()mtgN5|K=xWmlx}@ho_>F_ng5!cyUN}@EUj;_k46j`tUCGU=JU}@#0uP`?-Sl zi?VMOFD|Qm;?QnhTvPq@V;nDTN)J9nk{=Z>R=im8V*7dVXT^)ZAil}Xi~Wu3wm!Vw zs0X}QZ#1sibzRrv{f(BaA3x{QvhiL$qyCWe^YY|~?7@HbZ=(_%geS*j2hV}8aXdLE z{k%N6SkQjCp#7@si4*NDYyG&c@=uBA{ak@}uI(iYF_cOyi+;4Keaog5uH=mvf_AeU~v%&XeprGoY=1?|^l zPdvcoves)GDxWyefAAUc;(poR1KU>pv@34CwkJJ!21$OLasRRNb=CL4ik+h@`+H#3 zxz=L+QTBTu{Te4dKTyv6TACrxN)w`MU;5zZZMa_|kpv?P8Jdy?y&>TgLu zFaPbx9=_xI-&`ZXH`a%HvP;W<2hz{WKOKLeAb%CK?~y(0HP*3tztc9T@=l8HhE;zO z-;GHRomo%eJ< zU>f}0{eY>--bVPlrtbB9w_bGLmvaNl;>oxKZ+MOr-B+`5_#CIt^Tg%9n2m2%GwQEP zKQG^G${t=x%QxGyOUpOA($C8``vvW54;168PT99=z16MqPl|sARX=%7-djF5eJ50U z@D9@SY=5QVpNfB;jr>C%Bcu6z+V>+T#E+MSlZpJud-kzBc{6xl;+pvIa;>*v`;PD( zJjl5Qo}Wy)z*+ypkIc*YJNn@0nmZR{@7aIPi?i|MMn?TD>F4Fi9ogGD-r9$RFOlTU z;krzAX?gNM`gwV><8zDgTvtK+9@*2s@Co_AdzbvaDSwA=h!fw@ws_imr#s4%L6v_} zJUOEJqkfy8K2J_b4?IDdUd5C5FIL}MJ$^rtJV8eD<)ZTEUilpgJ11e|WoWFNc~!s4 zE9)GOt((exuK1PW@pp>{5_vq4zr*((FW2e;e}l8&7d#F=!|&iQ>)8MHmzw#Ra$NHR z+~apG4kCK+7}E6p@1}S3gyrVtHN zkk4d~KSNb|Fa&twN)f{U?y7O980V-K!_$5vkxpB1zpEoeU_dvKrja_#%=$7w-! zw2!Lv zeOlL#-zT2_ka(*+o({h!ce&Qr(tY61eMvTc9?YmeEPd7+;5)ow{q6JrsPwJhZM{p#|zSjci^L2swa-mmU7yy$PWMEJ^Y0q{d#@=Uz0uYpndEk z6y4|DQ2F=~jSK#ApMEThr?*uf;f(U)w4Xn%&UY5$g|gR!Wj}8m zP#zAA-5dL;KO-Le(=Q15B#{Rb_ff-hK|BWq55NcT8vFyFz*F{7AN-d* zU#jw|=b6XzCwYR5=1bYUSvJp=y^s29;-wdAJSFl{>V4Gy;QZ?4T7OH|XJ1rr<}Yv@ zY5nW-(ojbI5$VG_;GK_4e)(h4=R6L0nY2EelU-WgT9iIG3_d5V&z5uAt;&wL(k}dk zFW?`GyT3kLmpyR=-@CRw}^7nPB z_1W?GZE>=F4&sK4#?PKhSUf-QL^-@_E~Ua&B>nfkxA!V z7G*~}iAUV}Y&lX-G)~}s9Pg|av|lf1zbSkA8$a`|&$d+_?S{`NpZIXUY@XXy{q$Qj zAI}DPc5d-Ndf)-l^6=TjbJhCnc)YiMJP95ydwtfYd_1k^Tt4v=!twGVji*FjO1(ZC z(E4nkrR%c`1I_#eParLid|n#Ps6Q%w))nBLk4t{}QxScemslRdTQ+aBYbOGn;(X?U z?9%eqlJvo0@HuIHwvy9sO?Jc;9>8Dt0{*e~`RlU{*%L?bo%u=8_1Tun$B$@S@R$4a zV_EC7UDZ#!{QU6OX9v=QuaM-!8RbQ_K06vGj2B-LH)J$^)%vVh{05YVLu2KvZw&`+ zUUpj7XI~&5e1XPiA`d36&zkoq!2|FCyaxZkC-9W@*_T~t<~Pc*eD~L9qY*uD8fp4X zJSF|S>$3&fQ@%aFwecCfwtB7K;khN*(N5wKw?12m)Dw*pcpt|*YX$8$3fgbUp8m$q zyz8?al}EebGs-7E+%KEw_EbOp7R|@AL7tsktX&NA7JNpM56>2!tJY`5c%*C|E_;1e zHqZ45@6xXKc>e&&_&~lwy!3#^T_P`~UZ0)U`s{p5*Jm#qZ00Zc0cq{@d1)l0{+RSx zSAcguF8SrpMf7=&mb5-wlwDfhT9!UI3_d5V&sKBVt;>$M!UOmVU%)>WcYl4hDSP4w zzB4~5x<1=h`S=ly3;uGSek^N!wx{}Om!BX0`mA>8V}rM<^Nq!Lt8AXJ`EjuRaT8tp z{>PBJ_SduSvgw-n64L(e?`xOMTm35kw64#-LOl3FjpIZfOkAHe?@xjU-~)IK{((>6 zDeJR0J=n}|lw$3}5pIvC_ z`s~#YHS-rdfwX+_d1*AG{*?4tSAcguF8SpzNT2;g@baSb!{1*tzkJ?Wl3iNfT9H0D z3_d5V&(?CFkyH49vc_+np2dbZbBfqVmeZK4b(vJ+PyF`9Uy-vHRb=t+2uG1bJ%En`38TIF+&-#M-y^l-& zI&D$fIT z<>yBeZ@v6ugSV=6S~1?L)@jA!SN1yX8S&r)8pnw|n7B@B-lqf)zz6Ue{9~O1{nZXW8O`UipQHUp@zO&Y_ldledVMyi_1R#s zK7&`lWAG}DpJ+Ec_10H5;{y1PG<~0crZVa;NT2lsxQ5@+@|Pm|{Z7I5(Xr>+?0kM& zkzHDTT9ZC_OWcyyVH-K^wq!?K!F&9L7vLFdpU;OovL_DoH~n069k!?P@go`+{N+CV zSQ4{xOLrjq@HM;;Ey;S+bw9nU(mkxny~-qZ~V-=uIp5Jv>Se;eB#6X zvU#&x_0wF4FO4cXiL%>2f$s5C$Q_28E5((>Al^eGqI#vXi!FRVU)eYRK7{-B_J z$7{oWY}NX(OXU*>_>6obUfeI6=jy7TJSXq1AAFu0mL7P3G(8`;s{PC3@!sNOFjenox#uxm%^<~-k zcPFF%p7itb?}6-DPa@$-&c}ccOV11UPdZ)~whR6wK5^^HF6mP)e1bi^6UVk5 z+7HXVRs1`u@`(dHOTG~=?w8HKbE=uOy6UH0et!7t!!7B-b4c>x*}``fpL}fdiQ$&*Q@*J1L&qQ2j|fw=F%!FOqzCw(wl_9z`*p zJMHgLoHWm|{z5W7kWY)3{%9n^OB1s*b`EC##_Y67caA1FKQsUCSH8)vU;gEdH=BIp zTV5Vqzp61aY1a$$jaw#Xdc*Uew;Pi|IpbHRgTGJD&-UBjFV|-KpJRH?5nI17-oY{E z?NK~Fss;t*ZW5-;wv{x0jii%r!}ev|Lk5B|DsM|$uW()6lxHP!i1 z%L9LZjcf7*Y4`nnvF9RoeOkZUQueu;ve#|jD1Q9#o6_>*mFDxO_3(V@Q%~MnFy0$) zguFP=7!UL&9xvy)UHvWD_;4tr{)qJR^5K~5Z9Zgq9F;u3BY)w;IoYMG>4`EW<|C-LFF^uRSF`Ef?LR-JP>o);`m zHqM9}G8(^XpS4*0?zM9+9~2+nr}25Xk6C!V82Ky9oe^vC-+qTN%3TD zv^h`X){R}#1K*M4N5zxX`Ic(km^=?Me7%>RNB)?2{6)gKHt_fb?fYIRvhVx%-`0$a z@E6i>&)@gm$f&<1{k;6WBYW1f_!WJ>5`2cg_hgrrzYnBO`K;TphqvO^xgC#(am;Jq zRnWdi_O0UYL6uJ&SkJa=ojaoXnTN&k_muSDZ6x_o@pr}F6@Mr5ciH>C|4RHgFPu&0 z$F%#t6OV^HIPrKM9t0Oy&;I1wv+?0}M*Uss=jFqF*~3G9t^tNrBAt8`LMg7eZ8RlknCHEd@tQj( z6nsbL-4o%tp<7SRgwHwM2Y2l6^U^!~KF=M`M|%VP-bOK=gdczFiERA1pHaW|6=C^# z`LR>>11`|kFYNb5CH(+DcFQg;Kh~w6mmh};+K&{pACrBn_;F6T$LU; zha^8LeysTMID98hkkNdp`0;pNv^ZHj;YB3zL;i{Q@%zOeMfvgO^=knS)*IzK_xz(q zGY>}R-@ND1G1jaHUm&f${{Cv0^z-sxkL=+)>WNC?4F3(vE-n8JOP_MbU4hoG*n|75 zQ>{M#oa|^p`>BHV3$kbb5H`Ph|ZYuZ+UU7~PoSAa*vVP+| z5Ack?qtATb#=G&(+VjJF|NZf7Jk@a}n|_z{bMu<)Ezhi-NPAz&u94O*f8TRZcJLDT z7`N{^EdAWPR?vQ`p#6gE>1WzYUKD+Ae@W$^6wj@ye%ckCcb#?pZ@&w*AwBpFNq$s3 zSMgjPp1ar9b+(UYcsevzPQLW3yt2MiQ1)}m&lj&hBR)*z^#nd=-RWrD#WDN)nTA*X zdE1AsHuG*2|5$g9MD*Y@q?PNhJIAD-m!IckPx*1{PpjAG=SA72<>%!{Jx6z_Q-Q+*SQae0(51co<1ORD4_x zzTRu^pWJKf&Xfqy=4 z`sL3_pYC+v|E=Q{=*CS3xB{z7I&XF zH)T&eXkS_D%59a8AJMqrFZb!kvUqGy_0ujtKm6|s)UJj33V$IjUX~Bf79P9Tc%oXj zh4^K8nrGeHulzo(>$WGvi!abPPUOXu>o!M&rL5Z?n`-8{D88_6n~Lax*GTI>pRX3A zpLgB1BzwwFyKY;N9sB`q#_`=+q#p1bdvHIFe>Mu*ZxyuPkv%+(pLy49dn%81!)ugJ ze7IjW-yNua`YoD|XM;RDx7d08V}tLi@4_9A`-UgR2h3xTcHf`R*z*;;{=gIEtW)o` zb=$o7=_ML>iTspx-R2Iq*#2V8$#$&U-uRcB`3(L*TK@PvHI-3+LHfCQP4@VM}Vf6)i(Z8 z{ZW4{zW#cx^PLrc+3&YmTzou#sjgpK5}sG<$zuFkHXoM#9k>DI%f0d(@EgR}4+v*U z=j%T4wUh1O>u;OR#@Fi^^*5!@{vhiWc*O9>pAT$HpZECTP1~or+dk{A?BG}MKaQXG zr61*|q!qr4xOu5hM zXwZ%8;^$*NG}Fv;QG8*abt|F=UL&nve7@R|e%|%yp6tPOB=sT52j0s#kRAL1ZpQIl z$86Ys$^*}_2lwOnr>mfSPeJ=Z*|Uzs&%FDr!zzzT#~Z~;j^oN@m#-aGAlSM9SFc1=c%oX`a9BxkH9}4r~LBwqz`U#PKM`(ar>$VvV(`f!&n}B zD&P96E2mwL?1(Gv!(aFVKC-y`>$XAJ6Gz%t*1Bz2<>Lo@)h-?zQ~k8d&kujywje$D z3u*DH)@}DM&X=^`S~gGEzKiwGz4E&j18VQkSUJx#%jU(h-)s0)@#5DChZA`*<+{z$ zU@7aiU%1iCb5VR@-L@Oi1Fw;;TbuZBU;261ZMB=BU+@9-M6a!08$YbuI%NlcfSYlA z*Dd{M`PhT|ar{#+Xg^fYenj^05q{=fw~eVh+TCuxn^XPtTQncf26=XFaY=gc9FlxE zqr9lrZN=6__u4*c+0O&Z=DR-Sb=vpyS+5}(AIRSqKYh3GI+35UuG$ZP6 z-^^#=I?~$hud8-5>hDXR^+wXVt#+%K$9V3Rv~KH^9Xtdc#`2i-qj;V4yse(oZb){- z6<)?)_yaz&xclq25!n+*+E>=PZA|6kM>H<@%YFK>tmkbDs-Jen@z}ET;4h@bt6H~J z>o&^+|2Y=dvl0D_ab6g{> zUdu1mZ9TGsKfuj6z8j3x1D<0K?#J=Za6$Xgg7#CgFKgYlpz>%pyhi!Nhx=vo-ID63 z-=g_=HpsJci>uNDXOQH>8RbQ_ZYze@)w-=%{IagI$YW$QpUZyU_JH{5Cxq{b{FHUw zc0ublC)=@Z`^|-BK7%Ka7Ehn24l?R@JRO$LdIS9Pamp{hOZu$Wz|V`$kGq|d?2#Qj z1RlonnDoJA@H%PTHk{LLRCdIbcHuAl5yzWTvL}wTudH?3g38B_Xk74@`}AX3>$YXp zPrLm5@SnG>NssZ4jE?)$&SUo)PuTdid1v*!?Rb79kCD-Q?pORy>$+`7y!avUL+QLY zC|-2ZcoBR6FTii`5WE6kEuO|7d(R8k= z_sz~cyBDH-CU$y(SCt%;&^XS_Qa9)(a%M{@42k<@go`+ z{N+CVSQhWCsean!=ZDXGo6-XxkmSP|dnVlIX>(0)EotZV87be)XiuAlX$vWkLllpzj`0E4Ls{M_0zWGoA*Jxz`5#ZAO6&3 zjz>K8BudwY3Gw5E_$lzzf99z^zcNQWo;UT9@yQS0Pg_Tq82^xtZs5oLOw0A~*F{J3 z|2s&gQ-9NRKCX^ZpJ=&1XfHyiZoz&!s8i4Te1EIm+JDLCe%}6fiJtfQFZn$4`kteU z=Zx)LIl8*nrxR8D-rt4&Yyao}&Y1W8pa0wcE};MOf0xbc`_Et4zrN$>RPa48zE!Ua z&2<>{?K8hJp1w6>`nTb)>RT6hb3Y|~Ka@H|%Xy-{^?{>4u}(%^Cl2Ayc&y*Vv(8gD z%y{AFOvVZE(}egr@L63qE)kzRP}d$Sz1v`X&STVdqt_4CGj)!Z>m%1Yx4xac(c1jw z_j8l!aoW#+ru`g_xqe9VI`7rc&-Z_Y<8@wE`gyN+tm zo8CVS)(LrdtaY=5@i~uN_h#P1_gky*W4)*4`p9)N*G=Rf9P|_t%&np9q>%ukE_c?;K>K|gZ)v`&mqf*|9I(Q4de5?!s{cf zi%s~kzR}usF8>_zT3s;w#L8ovpXi=~He)yyN=(&5uJD??rt~T^H|y zF8Wrz&uZ2`@3VgY*TmDkSxo;0{8_IL>E6onxAl*&{bjK4-*|nv0nSzTcJPm@dxftJ z_=<}w0jKI-1$gpEeMn39YKZ@M>0T4#^Sq*TuLD2o9WB?xUzh8_{66KiI&9`IjxHbf z`;>pvbnlm;d#^%WJ*az*&&&8Sm&)@pZ+*?RI%n4x{=eYT@?*WGHS08dowkO*dOvgv zJnK5gv0s~U!_S*~gW!Bz^{xni#*cg5RZfVnCdAi)=lyWrw^g5$X(Ha^rFU(Ne@O3o z@T1Pray|TY(YyRPnQQgU%wHV6OZz%BZT(DJ@6!H%!9RpPy$<#Epgv{vIp3wb($TYb zeBHHr#=1^x*4H&U71MtUe^uAKe-`9t=FzP4DlGG(HF<`0tq7c}u9e}>cyV>Dnh;-4 zh;IT~#O`T2i`Iz@XH(v`qIH;SB*LD8KdZ}F3J@WPObZ{5bzwp{1zN&*I;91|P zgSKUznt(!DYKsCTqn54rB;x);_b zvo6eQt`k~&y&U)FThhM1``C{ObnmUG?`i3j|Mf4a=+ryE;aZ)t`(WOG+**FD=d@;? z!`F4*Hzw1kBJjL!qUCtBTwm0uGH|Z?RE0m|aU9}Vr+Ht`j2HethI&GLGaPBWBfz`uX<%>D_+x@E&*W;%Q^=yKrtF z=gLW4x6JQ0^ZAhVH(je+tlzX|orLvh8`Iw#Ce$zBnGY@NAg#$We4nul9P10g7tdS9~vysfu<11o6oO_4TpR-8shRc}3~&3VzgETCR^=cXQp%b@%Xn-L!S`9_ZvF-yG=8 zqdIx^q5XB}!~Pe&nCnXavULAFFR$tx?Y}4H;_3S?nD@^eUEcehKRU7Zs~!d48&f?x zU)^7q-hXt-*i%PmUS{mQ-kF!(gCBK~mg_#($6Oy-FT$4Vgw|d!$Nh7_w69A~_ym}GTKE4E*yVdb$s4WB zU)p+mKlJt%>~~#nUxx2ndzpN_Y3|!nPru|}T&t&co#N+{UCWQUMr-&B-w*D?U)9ke z@QiO;>M7@q*O_DBTy=D6jT2W#=Lzx4g!nb^?4zjn>i`l-?LM~aw>h7%UNmF+ zx8bkqOBZ7Z-X8_4g2`89y&f>b63Rk zHJ9*x*S<~T^S;yH9#1E`G5!1SS9Nj-yseLXZLZ_5r;}sgTy=5^e^n>v3GvH>_%-lZ z>EssiA1|FOyy4n)YTqZ|by*pH)JNJ}Cj%Y&zLMF&uQP! zO#3=CZQXq{bobe)OWEu09eB>>j#PB_^>4hko>6yb&HRS1PbV?`XYf~bcL6-}p*8)Y zS(mh2hrIu}0?t);H`X|DuTOUg@rCaQ=7HxIcl;9YS?O*C@gFbUtz-N{*QYJ`u|Cmq zedO1t>0JNix|>WVkNf}8-{x-^bH5<1|0hXXcYhYTdlq%~ub^t%>DlOMXuCKYihOZaRx-hS~PH64*lHdQmaX-P&L21b!?QcR4 z--vpimLA@ovL62KIG!GEWBPmF6~tHdun0V_E2&q!&r3_*sfT6YT=lRDf5wk{y;o0& zZzjaIfzL`0yNLgI>EQt5AJW4y{8-m$xju3|%=IwW!^8TY_VwYPKo8#n{Wz?L!T))@ z>|XCEjo%^sgMSrI=XNpu3*Vhg=SslydXKthTh=k^Tm?8+ovXoL)wxDOd@CWo1AJP~ zmG%(tanm)7e^A%pM?ItEddPJx*R{Wny2k4#TCQi>TR%3q@A(eY<9om2#rK%!L%;C3 z_k51At)qXqxA)xh{QdXQ96j#~pKsoO?mf@H+t{NQeBR!E#pmeXKV$wcYV+h^eZBQf z@pNPv(|-+r^?GRwysaDdYw}AS@xCWGFIOEY!e7;qazcDHA-)cLR@X~S#DBbWrGxPg z=}I4d)Dv2+k6c%BUHR*%D_qC4_IghHxft_YzWIEv-cDz|+=h5*ecqS$b2}$^zQ^?c z8cXOK-UvNBe7zZb9+}ql<|lq%ygs28)4u_KwNGdPZ`Os`-*$h%*Z$%Cx@Moy0nW8g z=)qs@69x(KqlEYg@DG8fr2oe_L%heUFIZswLw&&-eypFgTn~9)kWQV?pWC_d=WJYe zwD$Uo``iw%Gie!*_5^g}DOmrH>PFP}&s@EDVt<|4x%^6FFP;hhkMYXsEBo)IIlApA z_}-Z_`2Tp{n*Yx*W@uL(T$;tbBmer?Xn^jizy+Q9L=$DCk3w?_XIeO$Ms2T z{LMaWApX;SAlP?|OUt;l=Mca5#qr{wa*Y3S#2+GloPGKv|9pBlpL-m~JCE^Z7?1o! z*$Lvm$}xTi@mGj{qx~PAk2gBTzaR0pR{k#aBFxuY9mo4Jj90rin1AvVcf3a&$9n+d z6%vm3lpl0k-xn}m8RK!Ep2L1Q56j;Z;CXX@bG`ETq58MNdOGEp--F1nh5Wd`IlkGa zrfw8&4d&-w$G8^}cZj%;WxX`(u!ekJ<(Tg!ha+#a52-m{qc1#vTJwIG zhi}9e5;JJaUAEeKS74KbN&P=ycK@fZM|K%|6*|ePmsy{cJvANKxj5$~Uh zc>m6b_wTy?`?V7h??;SVyFJ36*`AP?EZVT&Yr%q|9zg* zM?9ZPSNG@PUAk(uhpwEy;kX~FqeuJs@zdMStDoL}J=naRK6+>$ z_q<yYojyc;4R{~%~#Yo zA-?wY+D~Wy`%<27!}DaX$0$Cz4(;PS-!swcfa~SD>pZkhu6x`*->7ju{5+}=^B(NG zt5>c){^-2;1MJsJ)w>#=m#92^w=37%x7^=XubeoL$F;{ljE@s;dH8NY9`|?mI&O; z@qBCIT|PQGX!AaeuROFrk1O~0_Vw)ak8}3?xqW`!OPBdNSf?L~vtLKPTm^N^4`A|tzz<;TIX{50M}C0tYtMgwzaBn3 z&c1F7dFU6O0oO%2( z{F!(>K8n8_oc}{A5104r$Damq{BwKW{b`7|4%xSWZ-1YoZ-`ho@aJ`oFZ26(_2q4! z_lNBlD?WAikpH9ceSle44?sU7_tT?wG}yzpgZ z`H7hEAMyiUQ?E~7*z-b$glK2P&VV7c=UHn0v$SkB3S?a^GbVu zd4HPst9f6Yp9k_jIzJyVJebcj`CRi0-V*2&@&4@#&*&Si@ehCRP%EZ?2mZW&&wTiK zAz$-;z8TNt*TQqxJ>Z!SKQG{OO6K`HUKbf%SZe7O$p15fLY{uV=Kfb51c=)H}b{z z)Bat}EylO=HuDhvT)OaM!FqlXKF_5!erA0<0XF}<;zRp}`zLJ48?DV>{&__*{*L?S z6{fzL{nGFYrpxb_P*-WWUTE+A*dSgR`#7!+CV4(LtaqRP7P5Bc}mUSF4}U(D;D zPwD%BTxUV(m`!ag~uAACOd;fUvRPbPalcYu6unFafi`<45bb%Xsk>j&$r zIWM!XD}JA9^!0AUA)a-g*7%$2uonDVG5tI6kE=@qjMoF6`OxybXic7G9nF9n0LSy@ z`9iEFpfD5WfIE?dz}&_*@}AdE~k?JcM;(3qSHj-Qv0= zuY5mkJ@bB2-xsF7&-~{C%)TfgPTN0!^FFOPU$f3=<369eLHy@9#*h1aF5}K3{U1h0(qmg`Fp&t2bdSFonP9|=icTIVAjoX{lELT_v6SLE%`HjvN_NE z`CM&(kiWRk;c^~m?fHoNye;F<+VSE(SIaoGc04}U>iYaF9xD(Lq(4y`?2+~m?$ z>_5jgpHK30>^I;%cwNl<6SRi!@aNdmnErG4pY`Jy{j{&2`I^`LX1ws{*h}D<5AR>_ zbL^9dYjCDM^KJ)^NYHFvbDxxUc~c$0LM4uh3}tuKOL-B<{1}XOo%T7Pab)` zypNXjbL=YOlLy}ac&zS=G%!BTE9(AD8-A=8v|Jxgz&go4$JTV5{NfeSWTE zT6;aG-PgzMo2i4e%#ZdvKQ^e(S5Rl;>R?)*V}IYz1a+Eqg!P)%<;iMR!DhjeO& z=a^UUS9#rtdG179;ooWNrv#iUFBSN!ywt=z8zQbH;yS>&^3sF9%F6(F-d`~L+}tnm zKla`~uRg+Y^F0!#zR)kqmf^?x!~Vkm_-Y?-f_QwN^@@EB`!c>C^}GS!XT9>n@1JY% zZ>R0YnPD9E3$(`1)G_uAraqe2&wOccAFl4dHs|S^>srR4HGbiEufXdUq!iEaXyRW= zDW2iW#Cv^8@r+KGcyCWBp1FTx;=MbicxE4&c+YC3GrwPkcrSE}M}4RD;ro1Oe-%1^ zFY50@C$iD`ANe`0PS1f;>&r4Bel6y`6>;9%G@gpUxz_D6{8e77VxDyo*A#JW;9Pm> z!e8a3FXlNEabpoT1#mcUcDP5lY${2Is8()lgoWv%nx&j~XVrIZj~ z0iJof=3NtU4H4G@&b4lL;IH!96Z0I1xRHpP0O!if4E`!F3o*}?h}(#`9dNF^6n-J$ zJ_J5W=PNj#md@7@FKeA|U>xc@E$eHp^SRDn@4gM!39Y?e(!QR5;>QKo_wRxZpU>#N z{bw(<>(nN2YTa!o#COHK`yy^A;>N(a*6k_$RbJ;}o=Xw87I9nPTzT<+F`1qffsfl4 zmPK4u#MOaw<)sOKb-lEKkJ9-rj;E#beZFzUJ5S`Stv9xSqcYI{zxv{qq^!xBr!QXu7ZlPOUH7gm~{iXn7Y!Tv^0b zfpe|fb@;2iHpM*KBCadq`oOvJGK9a%%UH~FD&poMZV8+#FKhU#yljDw(s}QfgMF5k z&KD6cYn?A+9O^r5uJgh5cm5pS_3vYFozU9rCGGq6FNV&)4SINAM)&QX{wtbZR)ACM zOD!S3A?Do@aUBuY1J1Q>58$uzIui4oh`5=ETL9t>oro(ewS83r&Xt!6 z{8e6Rz(?tP1IN?S`4-}3t@9m>!+K3?*X{hi{q^H??MmwrP0P|SNQ;-(^Q4xDS;8w)YeZC zI9FcE@K<@Mih0&WTvNogfpg`h3xAcDKJZaGKg990bbgF@S?l~1<4~VySzq(}_W6DL z<8a^pHPHEcP=7o8eZ!+JekbtS-?QcO=Jx-~cm0KJ&c}YA|3Ci&zoymc8E|TSStP`- z#Jo2mZYSajzaH@GTDME^S9z@fANP5)nuu$NxE64(yma8N^3oIY9EiA)h?@ZC%F7J? zDlZG*qjY|S<7w&q2Jy1i`5nfgzSHJ9pWnB?p1zxP;d1{X?fdrM1)YB*^u_-fm*97d zQ`Y%+|AwaX-hT>kYJDjt#Fv4Ot7laaR~KtQDOyRHc zG8gk)inz6i+XCmxi}#xe_aX36I$y@|v~<3Tcv*;=b^bW%{J(|HKLQ=T z_wu=i&F@~HyBK_b___0sK7W5@|2yIL<9FNc^{VFg#p7PzoAn?5-tgzGH2rS@r`F?6 zLVQomdm!RQB5nelYdxRAU*&Zn=D8Aa8xgky&Xt$KZza>Y67X^R#fpfliMR%EuDrD1 zudbI4@KO5T!|}BAe}H&d>;DMjQ1@wb{m=FPIO+dyK>weOdUyl<$M@qNoiKgC(Y@Y@ zH1z)s|5?-jDR64tnJ2_A#k|)dZY$!v-wyb7t>;DftGt$hkE?T45my&+P2gO4X~SRT zr7Pyy7jZ)oHwMm?mnr;JUgp3@>HiYP)6)Mn;$^M>TZ}{9r_J?0*ZL>Q zLH|!e|4*i&|L=LHrvHWCNq9Y$5MKd4uFlm&TtmdQfODS$6JT3jNAzs${-@rK3ecD|AbNxR~`u`D6 z3+CgU(BT{CKkxtF2K~P+4gG)N?`itq22QQV-GunQnD7^#2s}|5O_K|J}c@>HiEkweBnu;#Xqc8xgk?afSa9Pv=VT zS9z@fA6MsUBCa9gTEMyLTnGLtFFi5OfruN4xCwBsyv*RQ^0ELvO8-|lo|gV^5HD-} z-(ei;K5ef5x&9v~{r^np|7)Qy4*GvP^#ArW^#6T-py_|{kpQRGopM5a75KP1R~KBw~o9lnB|Hn!HUkd$y1Ul@X|93$D??^-cU;JM+{qF#$)}3BL{6Nfm zB;qC_ZU&sI&Mn}t^12f9+=#fHh%5ZJcsf^tzsgGm__+OIO~f@sTnjkY_0oaA%1aOU zDE%Mccv|{DLcFZ?e}ZwS`?R_K=lXw~^#2vm|L35N-a!BP{QsTM|2xyr{~z3F`acIw ztvkzv__dh#R>XOKr14Y)&b6MG;ji*q74xi%xTc6}1Lw+17yc?QeKF6Wh#QNzDR8d5 z%;B%{vIIU#|JOL4mi})MFKhkx{(G=rsr$6K{^$CCob>qhwgR5Q1@wb{m=FPIO+fQK>y!`e$_$$?}Gl{ zm4^O*@tsrinE8@KWp{<`HaIUHiqwm8Q$4UQx3;O>?=#s1cpGZmnpYm=^*UG@Db*GvTUl;Rkinz9j>jLLm&-?IK zc^!&*jz!#5#La&^3sF9%F6)wDE%Mdcv|{DLA ze}-|W`?R_K=lXw~^#7wiKA4Xeq5j@L|M~rY`}_a5rKJCF{hwO>UIM4qopnO|R?OS` z3+;T1BCZUaYdx>RU*)wf=Ghc+Z4uW6&Xt!w{8e6tVxD6WHx+Sn;9PlG!e8ZO4SbaT zZ*e>={r7gk{>xhbix`KxPn+w1uK&kL|33x#|0d|!*`v;7^SS;jFP=Htf4+b9iu^hM zO`*^E3xBEUUI{q0zEl$8YhvCF5!VuN9pGH+b`Sn4uLCj9k%*g!xEXM+ye#0a^0E^1 z+=#fHh%5ZBU_ZL@Qi8wAO9l8Sov-0|S~}lAysUM;g>k6ww7JgbI)9vW{<+Zk_oFX8 zd-QN7I^TSsrt@v!)cVp*i0_Me4@KNq#7%*7t=n_>tGq77Jl7&_E8@KO$J4VS{8e7c zz{mYQpQ?zfi?}9muIr@@f0dUm@KHM7$MLjueu#Kk>--qwP~T~DozHdtIO+TsL+9^C z9liJL(V6{q{DrFz8hi2ROz=HE7q6T%uOB+Pbk*1^N7eoH{=HuH=qCTQ@?8Hdq3iwc z{ead7%z#tt&mtjyCFZ>maXS%L_^Wt&SAxIFYX$hYdRG&14H4G@&QH{{2mvtYo!#LD`+Pn|Q`+(!r2V6xT@LK4LdmnHD zeZYxS`hdUspwube6Y}0>rXi$z6yL?y{n73rig0;=UUgh@K<^5i+K)3+*rg- zfpg_$4u6%GrI_bh#BD{K_k>_yx$;tkzsgG)_^3XhisNbZ0d>U7x({e#9O^%9-UsA; zz;WsWz6pK6BhX>@KHwz!fRm~80bg`ePI1$XeZX^2N8S5?+t3HxmP#M+!?$RCz#KTW{wx#X*J9pV5$8Qo zk6=w0R$p_W{SL5BMGQ0q;WJ>fQ(3jy~Y_RQiA~{RpiO z=mMwKpMFC8P|SNQ;-(^Q4xDRUU&3GIbuH$(6>;8^we?d3&Xt!k{8e76VxDyo*A#JW z;9Pm>!e8a34}4S~FvRh+`hYRwW!(o%F%I>gHtz%SKHxa@0e^u$;BM4k_dehb^Z|FI z(g*zbM{0F^0i0TYRtfPNG4Gv-D?CNxsRW#BU9Z4j<+Uc}*${Cp5!V6Em6sm;RbB>S zo+A-A5pgr%TzOf*U*%;5d{iH>!SS^EfF0sx-3JstD%h{of7-kc$oqif)CYXb-wNjA zjnF0cKHyID0e7a-2R!wun!c5RQ|nJPA-*o=-4tH#LYz90yx*YzJkBX>qg9T zC*lepqphD3aIU;m;IHyh6Z33{xR!|P0O!g}5B@4I1K^|jfDw+T)dx%vFY7*FhH zw0R$p_W{SL4|qQMfES_uy7vKhp%1t#l|JC7KUS;bOW@S{vrdTLig|kYlPJO@;`hYh<&-^~%g89C{`!Al`>-?EZS1<0rKk(c```4GQ zUfJ7fO1}^Ew2#;HumYS~cWMdo4KeSQi0g>B9&oPpd;ouy*O8d#M8wTR+yXdPURLl| zdD)10?nGSSZ)y9g1e_}`75J;X)PRrD{|1hyrT;C&%Ub_C7>Bw~o9lnB|Hn!HUkUwx zKl)Wy{XdbC{$F^yR;T*FsdZ;X>Hph4L96>K;MBUaNr>Nxc^Ce+cD^MMR{_qop4Z^7^4bvdY>Bvzi0c98 z%F6)$Dla23&xweeiMR!DuDq<^ukx}1K1%<0IG&dN7yeGL|FYKq62_tK)8_i0>;G}m z{~v<>zZrVws{gm8r2o%&hNg#A;MBTPPl#`ddACJeSH$&!bFJq?_^Z5*#XP4XZZ6`M zz`63WhQG?oR?O3TrnWzdBCZUaD=$^}tGv{KkJA4pj;E#nZN$r3|GOB6x=)+yf3E+> zN&kNV`hN@RldJxpN=g5({9UcS4S-YY&L|;%BIZ34aSIW*0?xIbZ{V-;x)bv(JWE># zB@tHv&Xt!M{8e5WVxBD#*Aa0&;9Pkbz+dHM1bmeKPjEaf{huLT*80D|IMjXGT>o?Z zKTi7p`_TV;QMX<7|Mryh{~cwm?yrGU>&`YI-uru6-bE2t7I9VJTx#HOaIU-z;ji*C7W15nxVea10_V!h8vZITTi~Pg-}~Q!eU_H~7ZERO{V!u2>OO6* z|GEAjC;fjP^#3i;Ls$L3BPIR+q)*gzv<947cNz)tEivzoi0g^C0dTJMd<1`$*NK?t zOvEij+zL2XUN-PodD)417CuSaA0-i20nU||8vIpW8o)>Ce+$Rc(*F+PWv%}`j6>b0 z&GkRm|Kp_pAOG}VKAwX5GaZ3@m2F|sfZ{e@< z>izH9d=^DqS;SR=bLFKDf0dV}m}gtWbwyksI9FbV@K<>m10SXTQyfoA|L2I8wf-+L z4t1Y4*Z*AqkCXm?I`sc6>b|S~*HY5|U;h+MFE+rbb!V3lU#Mt#mqc7e#MOXvt>+E+ ztGu?vJUb$;C*lUcx$-iCzsk!*%yTB<79ws1oGULI_^Z6^fREDu!lwrNEG_*nAzs${ zU%@!kecD|AbNxR~`u{o5|F=R9&mLVqxBtGMqcfL`y>Rux{rCU)FRz-{7q6Uq$k-$Q zi-3&veES67Uh)^VIj^g*S75sl@B43iPxSpaPxibIe6Y~}w7;+EX&pGV{xlQf+hX2b z5!V-SL*QKN`WXHyuTwG4xrkeexHWLDylmmG^5XpiZJifITv^0bfpg`h4u6%GCh$>x zKpV%?>I1rnmvtY|$2in~T2udS@$26cU`^dLub=tSpdQx!*GY8Z6^QqOl;W8>Zt}a7 zQaqyOyuYR^x7e~OU zb!Ub0W!=s7KOH^H_5a5GB6XjZ>yP%IK>shG?)#qu zbd26#e&xlz?nhn!ANgMdyuT0N+cfS2{LcTO>Bbf~wf=aY9*nEvi@=+8X!>^E58>-` zc%OU^aNnaW;;JI94xDRUZ^B>Yr7h;!6>)tLHw4a=mofZRUZ!H6a}l=`ackgQdD+5W z<;AN8`!K2xDB^fpeLxxUvhD+_7>D{#%X*Oa0eK&Az56{}C$#o@N&7y)x1kSs8}!t< z5AeSbc;5&3HjO^u_7hqk&;U-YKdprLj+l2(#0^B;2sqceK7qf=>rBjZA>vjdZUdYv zFFW|FycAAq>%1i5Dk821oGUL4_^Z6MfRE|}IyjzIAJ9X*towig#$i3Dwd;ERdBBaY zXUQ9_&0l^$;Kuy%J^(HGqy16z0nb2vbM6EDF9zQC0lrP65BP@LwE8#(POU%Fg!s9b z_fo{IMcfuR*ShYV3g$!QwFo@#i#XpW5ph)!R|n3OmnQsGUfN=wT@lw8aYNu-c^SiB z2dps;^^2DEH@_c{-w!wr_XBG0&ce8;H0OaIU;e;IHyB z6Z2e%xRr?80O!if4*n`Hg*$_N7}W=qa6GL(pn`Z=_W?DGL;a`C`+)rU!0YM1Sr_Jg zt`k~&y`=rQz#pOycn9>jNghsr6@;5Wf)fUWvGkh}!|@TGtDokxcJOz{l0QiioR;xCU^pytLr2 zuD6buXHUcpMBE5CS6(LYS9zHMAJqpea6GL(V1;;D_W>J>L;a#<{mnlY$Uhgj-hBbC z6Iy${q;~UGIu{_C?%K#EpS-w11a;KtXp0W*5yt@d{4}KAmTx(&>H~T>o>m_)K)kH`fDy)_e$lf2=Jx~g`vJ${e!%CV z4|q1}p>rSLf06LM5AbaoeZY4-SL*|&z^V0To)Etj^InU%t%&pfuYh0Ix?Y68%4-?; zxO!I=adi>b1kRP0HvCmyx?-Mv5jPZZW8hqQnZjS?We$8)AF#ynwEBQG;$__jY%vb? zpEmCU^5+Dvr~hVMJRZ*po<|?>YUqn|A8;4?0Npd~gfruN4xCwBsyv*RQ^0E-~T#2}ih}!|@%1hz-3HKrJQGGxK z$J6QqYKWJ0AJD)!)PLH%56Js~b1kRP0 zHvCmyy1+;E0eu`#s}C3=Ueb;3H~at72xCQT}{L_L|h9vSH0`NU*)AI<~a~?BM~rXi$z6yL?y{n73rig0;=UUgh@K<^5i+K)3+*rg-fpg_$4u6%GrI_bh z#BD{K_o8@xV-fx;FJ<7P`hY5qr_~445ijdLpowv)|Fn4@koN({sSo%S^Z}1Rhn@R? zl)q2#C7-MH0UhAf`qN8@ABcI6MBGHg&46>&y9NAJURPqC8xgk?afQ!|r*|dztGrZz zkJ~raL|j9}wSaS7FCF-+y!3#N>H`Kio>m_)LcFZ|fCft%&oQ8c#*wTpWfHjV%)dy@5FY7+Q`+{J|b03iM_X$4n3pIT!0jJiVN)_p(=<52%;^FAQ& z1CCQ4@J#dp??T_|+y|umeS%+dkJbltfm7>GKOuf7<~Nukz9dKB^BG;&@tpz!>qe?gOS6hx$*O z_W^kyaGd&pJJAQ+jr!}{2c-Ocf}{0fknu zU#b7Jc^{DX0mrEi_yY6+Z-g#6_W>z?pWsLRBTe7Rz^V18nh;+X^KOc`wutKj=UUhM z@K<>qig}Jj+*HKPfpg_$34fKBwV3Bt#Cb2%_Eix$S6<5SS9z%dAJqrcaXhU)pow@{ z_W^B;L;a`C`+&R;I8J@Q1LyX zTGvDImztPoL&UX2Tn9K;UV89Xc^Lp7)d!4lJgq)p zf_Pc?0W*w4{in_QfV>YlPJO`FpbvNv>hIa3%jeAZ30^tsTsHQV7tb6Sd*;&Bi~IM_ zJ+yy)>FO2FyuRcwXmdX1_p*$=0^5yv-+$Y?)%V*xIrx2vw|L-KRD6?-sxQ<-aeK|Gv=m`T(vIT6?{u{d>dT3H^T)^z1|W z|L|j?|4(acdRPHYtvj`Z_=cEwOT=|VTn{+cdOm=^%IiqXb0XqqB5nbkD=#bftGsN) zJa;0la9Z0}CE#3nslZ?5r3QSI{x@(uE&XpHUe@~G!8okrw01que`ol{zmrSeXl?$? z_ivbf>g_L0r=NHb@!p+MJhP8Xe$Q&9lV95U|C7-F_oH7ud(_!q-=Dj9`aW}gf8pHG zC1d@|{Yy9dR=M7Pl7IfZ-am7nR+oCfsdZ+M5I++0o`|@ah+6>XTEAEDS9#rtdG179 z;f%I^O2E1DQh~q9OHItPA>vvht^=GaFFp9HybOSk()$sPr=|B3#LHUmXBdY%MQiI- zuJ^g#hp+p&PH64*lD6Le8ub1i)L;MSgEH0oe|=V~*9+j(IEkj<)sLJm6tN` zQTkrR@wD{4j(Az?dlTbO*J*Qo&!685>$zDE;n!RzwDx*Q`+ELKe><3u_d-ox><^nHMMS?l`<j?9qLx>icUS(DZ!_oLX0=3Gs6=@1=-ai?}UtuJzix zkW9ylz{l0GvWTmSxH@pIyfopjuD7ysY)VhH&v>SJVF{aBAIYC&YKfy!#?wfkKid|J%~g|3AK>>A!b1nNAfG;>*Ct)w!yOtBbfMaIW>d4S%(scf~yW zB5o++#=yDqGKIg&%UsNJDdN^5ZVQ|%FW$om_aX36`d`NJwDiA4i?4bWAq5mh-(EsOsxu*Xu;M98DNr>-> zc@IR~NW@KmbFJqy_^Z4w#5`9bZX@D$z`62L=q1y+67X^R#fpfliMR%EuDrD1udbI4 z@KO5T!|}BAe}H&d>;DMjP`7AVck}E2{QCbmT>t+R^#9qYhYtFG0{VX<4gG(^D>eO} z0;krUc|!bB%zG{3wj$2^e*%78>v<9WDz9bWh#MMPy6F661+VEF->56&wMch!t zje&FJWeR_lmpSlJ`oF~SwDfNkE?Sv5!VoLE#O@1c?bS#J@1Km4n*8Y z#7%&6=SswFMBENIS6&MLB;h^;K1%;9IG&dN*AOpj{cm6#)^S?9p6B=f zZ+!onywTeH<@f(@%pdRj(~>{hKZE|i6FThc|HDS#AFKcWd*N4T`riglt;gMj_`aC; zP{fTz+!Q$1dOnB0%Ii|hb1mYwBF_8DVBNX$QiQ+COBwjM{bE(b)kRzrI9FcU@K<^1 z0w1OSeH>3q|A&Z|wf>JW4t0x`bvM8NpWpw#-hBYB6Iy${q<#JWk$)$+A9D(I)ItAK z{r|u3?rZu#15T|wi-h=LRWw;@ZHu*7Gj> zRbKmIo%9to6T% zaj09gth@R3e}4Uc9IpRA8~XnUbl5@vQ~m$H7k`bW{~h4ey3& zxdr@HURPqC8xgk?afPpqr*kFvtGrZzkJ~TSL|j9}wSaS7FCF-+y!3#N(*FUDr=|ZR z#LHU$Cm4siPn+w1{`}wdblsdZ|WdVPcmz9|3M#SwzT;c13{piX|3H~ZC z72u=vzlP&!>3;+9vey3=#-Z-h=K7!O|8dg)Z-D;43;n8t{-^r?e_#AsP5-;VsdcBH z5I+?29*elCh?@iFTF;m8S9x8Fd2U6V_YKft%&o!N#m&qoNN6q!(ZjKD&|=iaZM4|2F{h2F8ozq z`eL3#5jPfbQ{Y^AnZsY@WeI$g-mh^yExq3&Uer1w7yy?-Ng z>Fm*!OGf9xc^t*L*v_;oUWzCVAy|9ZO5bwX>e zm$d)C|F?O6A3E&c-{0%|C13E3-k&=)AGNYF+Lo#P`L#hazq);-;9{f_3N0OA-Dm zFJ<84_Kj5$R~K*N7>fQ&OKp$`-l|JBmzg6o4 zX27ZSXOR%U67$}OxSfbA{EK*cSAxIFYX$hYdRG&14H4G@&QH{{2mvtYo!#LD`T3;6O`vJZ#zCInZAnO z^Fzz^NBhyw2-f3kp)c-zz)AE0CsXMI{_5MbKA<=XaBBT2C&X8QkE?fe5!V!PZQxw% zdKdmGuYEDkp@*=mTy`r4RU`Z`b;O4sdGy=_SMu z#Joo$ZX)7lz`5$(0{$wmD>2WFh}(&{!W-i0T?zgwFBRb9_Kh_W*AQ_n;9S>B2mUHA zJ>aAIfB}xD)d!3aFY7*Ff^n$-w0R#8Tz}^G1Fo;%Tqm^ldP)0pf#;zQcn<2QdmnHL zeZZ+y`hXvPqt*w^fm7?xG9i8~=Dihh-gjs`6@hcD>t*<>yjI0L>msfx;@ZHu^3sLB z%1d9&b134*B5n$tD=%~StGq0MkLm-~IG$D?utmJA`vC7>2K$xuoYt=E`SStS*F(dT zxvx#$Xl?%T`vEuRkDmw7l0VunK_Bos=#qOMa69^d+f(TSZv9S8-%7x#^{0{$Ula3g zh`5%B>j39k*L(0+c^!y(jzru<#La+n_=B#O7K^CsQ@3< z2h?yptv;ZEcv<%WEsR6`qGkQfKNrY97f_yyO#6Pom!l7O7y4HBKHv`Y0e2jw@&5u} z`dwNd&;?GdKmCOGp_unr#7#xq95~myzJ$NZ>srinE8@I=rLCVLaIUfQ(3g+Ab}RQiCIPqcc~15T|!gM|2znD<1)%|zS+ zIM=$qg1^e^M$B_3;tJodt)CKbuDn#>ukun8^K6K?mWb;B=gLbD{wgm6;G_D05ss(T z2TTwz>pozHaj5^ac^{DX0mrEi_&xLiFGBrw?*mRG{@tLP{pUdWeS$yp16mzl0;krW zbwd1B%-j1x?R<+Ot_+-OU9ZAl<+U#6*%WbY5!VIIm6tyJRbGZ-o?{U=6>)RmTzOf- zU*%;Dd{iH>#qqTI0PoGg{>!=#C}JGyKW*LzI41~eZZTbXEFMK#J?XL?gO6w zLz*sDfK%&FEg`-k=G_u;9TC?9&b6)&;IHyJ67!shxS5Ds0O!if3jQiD8!^wFh%5ZC zwy#RSx$;thzsgGu_^3Xhf#YfQ0WHMKx)11J9O^%9-UsA;z;WsWKJJ;pe7qlhYm7eN z}kI<6z&5q{fJht`oO96XP6K_7W1BpxVea10_R%S*YH<)-HLg7Q*9j- zMO+y;S6-^{S9z(6c{W8{Tf}vNbLFKEf0dUZ@KJrh7{}A<1Ez?VbssRtIMjdIybs9x zfaBB$d>ZNxc^Cd)?R-ljt^%BEU9Z7k z<+UN^*%EOb5!VCGm6rkhRbED7o)Zx_6LAaRTzOf+U*%;3d{iH>!|}BGfWp5H_FvY0 zKndee|7r6+AnyZ?Qy=gG^Z{>%p2g?`PN5Gtl|~=%thZ>oSOre4KlOz8rkHnI#C1hn zA2`>#K7_x@>sZWlD&poMZV8+#FKhU#ylllhy&u!|M^VI;fpg`h3V)TCI`C0_KoiH) z>I2${mvtY|#W>V|+Pn|Q`+(!r2b@M9a0}{Fj6UFY^Z~c0(Fc6_k85>q0GwKXMhWo~ zG4Gj(TZp(7aISTI1Amp*otS6gC$x1?5^)vaTzRR%U*)AC=GhW)9TC?9&Xtz|{8e5? zz(@4~6C6*g511id)_uSN<52%;^FAQ&1CCQ4@Q=|4+>5#$qYt+n~3ZHjreMO;_J^?`HcWe9(jm$8`VRK(3i+!8of zUe@qedD#LV)dzV0CfH|b^#Mi1%eoIJV;t%~ZQcjueZX<*1HKh~z+0e)G5UZz(Ffd_ zMj!Ag|5nq}8gOd;X(YtA#JoEqt|#IKz`54-5&TtNCt{v65w{R=E8tvt*}z}rWhdrY zc&oNQN+PZToGULi_^Z4$fRE|}S~#9oAJ9R(towi-#-aYx=6yik2OOt9;78C0JO%YB zMjuc^A5cr95BSQT((2q0IJN$a6XK_0-g6PR6me_dTVAwq;4bt5ccsw>{N~SSy0HOHtv|bj_`+PvyCmW&BCZCUYh7=^U*)wW=GhT( zJrOqm&Xt!D{8e5iVxBV*w-9kF;9Pmxz+dHM2Ygf?Q25zkpQY6Yln^iLKA?hesQGGaeGxYV&b6+O;ji*K z74w{nxTT0&1Lw-i7XB(P-p^_4yeQ(zBCZOYD=&5UtGqOUkLm;3IG$D?&_%qg`+z>i zq5jk6eL&s^9H&0u1Ly;uhWZwx4@mX<1Yh$utv-%`Q|r$pA$}(2y%2FL5w`)(wXW~r zuku>>d2K#RBCaChYQVYj(ty9pOH0hNBjS1@ZUCGsFC+M?yi9

            H}sto>m{QK)kH` zfEC7}{?q1tK;8!&r#|56e>a$q3#j`s`hZlwPw@BNuIa`WIJN$GzYvV8;)}pv^f$Jd z*GsT`eGad=?*~uzybpY^&@YR)s)(xt=UUgB@KLMZ8NX#WQu%ocHTfif8JriTC!D;ys9X?@lS6*+(Y7XSLFq-!DVF z7dpm!_syPnlRrMK58vlQdjfsH+n}d0`hZlwPw>uP)cSx1aBBT&CB%2cyn7;UAmT>A zxz_aw{8e6OVx9{Tw-Rw1;9Pmx!C&R2@b9&CUJ`K?5my7wm6rzmRbE=aNA&?698aqc z=pkO#eZTx9-`FKOQoIEOyq z3UuOre?XHL>$kZdWL}&5R>od|^^Nx=|8)!Zx!>_0G#y`yxUGouep$=22%KwOEQ{k* zMOyHQrzzsvBCZ?ry!sL2JcN30?vtDPe;Ss2`7ihPoB8|kL&Ra<&%Th>_&?E) zGlOo7WBO0wf7Xu^&WF0h{LbLG885s~=fKmCeJAtdYlAcQ@%cIK5;*d~&wJ1NekPA2 zSe`falRUi=$4eg>^gV0DqaSgM@5=WUafs)6(E7t(!?(8#_NA+T5&kOQW#Gvd`L$o0 zJi~lffg>OESNX0ZUQ)iBh~vs%JEngZ{wm*n;BWK!H0zSzC0w6Y z@Hd~chWX_AJb?GjIN|lX0nU!k*W3p-ZU-FCKWg2R51wbzc_{p9P!|}N8d){jBcb(^kb-uUz z0Zlz+9prkq=c@%=+3%LE9?zF?)1K!t#yK?4HOr5@)7tag zfWPZJx2*H!{2toR9pK{5b5Fz#fa825o#&Bt-i({}JWnvrp?RKLew<%gd!Co@cb(@o z{He#p@pX8d@P6I`7k8e$Ur%{oB3|bE65|}2ubSmY{%Gy_YQUeobDc%?Q!UG%^Td70 zIA)yie06|}J6}B!HxO|n;JELS?&k^OWxYOT80XOXSXzEu7qs^JSi_(5dT4!Y;m>-_ z^XBXDIN|l-{YJ1aO!C&neu!SyM z*Yz>5&X?5kMmAz{KNM}3jZnCx32SFff*-&+xu11D6&5Rm4xqe*zXv?mL)1R7`5yq675^i| zPs;xkaa{SI$Mj#qU*&%dJl8qrfzJi8hb%6|!QT=}oW^sm8R<-Y+u z`KPt(k7xBmod18EC&oMt`@H;m~&hQG@96nML@;X0=^d4~C(1DDl0Um|`|{x^u@%Kt8=f8nMZc& z*|t%2rUG16{MQgaDgRBxA^(hL)>F97v@JjG4_f0N-X~r7tLwfGeB5zsmC(__#c8fg=w|dG_9!j&2qa=Mc|j%a8oh z+B{d`ANRS-I&iELtRKA3NPRNnh3j_{xI_FB&-KK0!26en>JP`|^RI1;%Xs9GdAP3k z9^w#BUTJN92QmFe@aOrG2j1`JYo5OuFZ_Jv1o)Hw`{sIy{_Z~&A0s~fiI2g!oDMpCS(VXFPL0;l5^W`Eh^H8vpPW0ZPeEr%(9OB74tKL9Q({zr(Pl>aH>kblNA=W~tDT7Ilgw8sA$orS;3`x0gDv%6A=j=0i)~dHrki-2{&F!tq8hneL^{%P%b zSiqn4g6k)|-@#lW8?b3WzG8#b^8)^^^SrXom%4dqo;Se7o#&m1D?F02ULs!B`?-Q~4$X7j^5gu{ z+Vk9mzw11=;m`Tyc^|6VUEt!*b6>;_f#beQx}V30$Ma>}wD5Rd1}xM|OG4dWb|=ceVy`K7hz zxeb5UdG1>Nyl-`=ZufzUJ6}T)HwKRLk#wG?h?n&|&oR!Sd0tt5oL^ddo;UD!o#&l( zzO3Je=DF}+#eFH_D!`>R&o#u$dY&5?=g>U2EkDjLtv%0O_`A+?AO4(Q;ttjAA#ic` z^BB0a=4*<0SP-Qe}V?Z1P6T%9faH*sGAm)3k$5HIWb zs$rZ%^VPKcI8U@T|84lY&R5s+=RP}BNBh9V-CsiyHx_YI;L=(jbHvMfeJnA~q4lw` z{J1V??e(#Pzw7!aY=V7Bf7gDh1YF$pQ4w)95!V1Nt@Y7DysXzp2jd)CAAQS@>w?x^ zA4B+az7N&=G5lFKc;1Kfc?w+I^)VN5OA)sQF0J*kMLhDvxa669B)wni{ZX)Q53P@q z<;QhFYp;(A{9V^a4gPWafd+8ogFGF&U)mCJ9TC?9j`=0MjvF9e*6U-0aSpAIspZFY zL2Iv%Is9GM$I|k5)t5DJao5LI#CiW+>racorL{iFh?n*HsA8N$>!V@$ab3{b>!SsK z*Y(k{&X+oKs6Xui7k7OOMBGTkO@K>leasM#*JqqR#&>;=XMs4xGoG2x@aL*4%a8L; zYtR1%{;u=CgFov6;}XwxV8#hQ2Uz%HZNHX8Tt&pyfaClm)sF_^WnCXy80XOX=vsc9 zKU#Zz^x@C>WnUCtf8o#RhwzWvUyOl^TOXz(ZZ6`Mz@@c5)`&+wIe(1rS|7HELpQ6d{_68bL*O|dw9Jpzo~JQzoKKz~c}e4q;X^nsQdanh4 zbsjpv^F9(SpWCH1S%EkE*4YtO?R{#?h0uG5$B=Q<~j zufyYnd0zt;_d0DW;=FCJPt%&`BI0E|&t;5rXr619A9<&>=eYrY&ex%NZdvC`U18r# z9_;^O}U;RIbGUD-k88_{Du40@+^W3of z$UCh)&n@`7&U42)U(WBL{oDgC?tBeI+z2?%N78woSm({SY0vWv;~bjjrRB%@rM2gI z4S&~p-ol@HOdMZ_#|iIe@7?0Q1dhBWov$+DvCkx*jL-Xp+_zER$5TZd;(6Y*HqZ5# z{!RF+{c9U|UcWIf+uHTB3moT_=SNx!x6cRhZ> z*A;V%ALbp7ANF5b{@f?5i{#m!hc$3<>*7|#dG8VTCvcp{#QPKRvR)rmjB{vxG%UYE z>!SsK&g-G|(SbkplIP9W9M4`KJ>cT5kAa9AiMR=HX|0bL;*lrDCC}s|Y5%;yIEU89 z+VbOk(VBS+*MlwmUDt>A-e6zye7P=IKlr}AK8nDR5At-?cf3*mTo!Rv5myI}`6aC% zO~hk8=KL`}b&R}4>3bV-h-W;*b67vRmLKPx*7%3#zYl-c`5(fc^Ub)leBX={?zhIk z#hw4Dh?|SJC2*Xdr1QTyP=8m!$n&9r2U$-$ESbN8V{|{yQ=Kd+=BJ9{|sMXc>>M?R_}{E-U^gh@X`IIpVnT zzl`a>hClgYJ!ZY)Yw~1x41cfp7I@Y_eoju_X>I8UpOpU!;xIqP zGv^c5pPJ>z^QJZaVf|^qU*)|8JmcGz^I^sf>rn?d*5RaezK3|^g*-7n=acIwO6LcN zL%e-HHh-g-{uB7Ce9wRc^(;EM9onOO0?(djvfn#6k%D1-*_9g3YRDEYX=6P~m@Oxhm&6hb(qbvL!lOo1t zJo3mqTy>y=IK(ra8818!HOr6u(;ENqJT&0X^W^!v_Bk!!`Md$=!?tGJYxEX4@(?v2 z_W4pL`MV`0{N8mB@yH8tjPJ_x0C9*XZ?ralqnQ2^_^W)+fVcVOYtDns_X4;>>zC`C z=TAOu-c9`Q?~ShzpZ>(h<$sGf#FKYgn}6>wW9lvZRsPGslW+TeIp4SWuL749|8>Mq z%6|)S$Uozm^9k3Pj^)Ssp|$6!2Y;3K0q`gNz~=rSdA6+?H(X~%z;PWWtuqtEBQNBM z@m=f83~`7jZ?rali!`kd2V7R`yzsw*{hpNnGUB-MUybQshrh~y z6L{(|dAF^--rK-s#eWy^lkz`699RBFG5sg-SNWd-Prf-1wzc_R0GAd2E5uLA{}ypv z`S;!zQ)l6?@?Qp?^^o?IPq?Z7z%L3qs{*axUBe}AbwK*=ZNFV|1zfk8vZK(Tj0qf>yT}2{=N4H`;<7I zUs~(Gi1Z(9w3e@ z-=moR6Zn%S)(O}92s7Y0|FqMi_L z{>#8qU%Ae0Yx7?PE-U`)h@X`I7UH<_--+qpgTKoE0C<~kzK*)y8UdFT{}aSd%Ksd3 zT=`$d^k2hY<$nu2`R08zzNWR;zxP+cK259M7ZEQh-(|#c<+~cwzYc$u?iO<8C4j@r-Bu!u73f`H^Q@=Q})q8~9VtdH#p?*$z1JLcR{| zv%(X^{Rte;i~Mo@^Zujyd36Qx$rI!Ayf_Z|iP~p%#37#X%)Ey8S<~|4{L|X&pbdX@ zpLKy}eA+{OL?1ZvkaV975sy4FF4rm7Q__7l#yFe@o-eJAJ>1p$r} z+aW&p1>^I)I1c%V+GoXEg8fQ7=atr;-*QajbiSgsk z?*?&*XFS7ScpvR7Kh8g`Jx_&OgZ-)QqZ06p@4AmFz>$Zf`>2L^^|(l60Mq5I-sZQ^a9@*E_H9b?7{% z{}TQx|7+m6&S{w^`vjZ+EpS=!?>#A<>(CP7xbk0#>0g7t%6|iR@=Z(L`P$~c1zcAA zcMw16`tKu-EC0io{$q=WLpnaS{HfdIk=EvW4qRLvUy8W3l_&X2tG;a!Kk57y3U2*y z$@1g6rZs$r>tF@`>b|N0&-k>QZ(4hv8^BR#lj?X2@sje~K^(3Fo)4|fUoWQr0RAf9 zBjA}2b%gx!waxbgIPN>1Us~&YhWJVOUm_0k<9X8B{I6sBZ{e@tcj;IiU>fcQ!IA0v({|I?WMbNH+L zFM;Pe=f1SBD{TJPz-7h%7V(qvUwHDhI?MB;wd+qQrhf(gD*rX$S?^E!37P+|V}9HR zHvbLavf{sm_(}QiB980&@5l5X!e8Zo4E!nZ&iZ3poBt_rS@Azd{G|M^5QqFTo;jcJ z{i2QK$Mr{R{KI|b4*n|dg^vvOC3)sJwl(91?_ZRFORLYUARg}rF)pu%c-@lp^TZm) z;XGXLJj47pWBRw@ug*socz)hN%jaZh?fK{fm(@NPB0kqG`QtpX-{t&8-4~xA4)Kg< z&L_MNW|rTfd0N0<<$VP_$BEhxHt!qY$OH95eI9d%c;u0B)7}S#r^M6aa!mg!{J9>P ze|Wyb`>YOsu6N?>e#qv(2^{MK`8xEudRxSGt@GkK=K4>1-?oqVERs9~E<7!e5<_D)7vQmO4Xg@(k;L9XPHlo*!Rxer#M5xU6|^V;tti^P;tR@5S^V zz@K%B>xSoV#~;C;*K<7oL-lO}9M>b)>7n{I6LAacyvQHxPSX0eLVWVX_&hI;Lw=&_ z+ZJ(%XFS7uxR3Cj8gD-p;jiweGVoj%v|M+zCeQGGsscwIxPR0>qKR&{KNAdjz6%@oBafJCaRu|fFm#D>(D-%h`5<`UgVGK zKj}VOAU=6we4ZD_;rfW$XKTbEp7G4QhWFXl^5gu|+Uvmk=wM%}`>Y5&^XEABYcpurZTWHjY3+5eg}=Jbykf9V z8K3jZ*R*Eb@IEU7M;?;yvohk5N5)NipH(pq=Yi)(YxCQP>ED9C>pttipZkmFf2eQl z0T*|l4Mg0?Ixq6a^`CT~O%R{^g7LWyI1c%V+GlgbA)fO}YtQd8rvKXFf$Nib+wr&X z=lI-Tw0z&-!u!knm|$PVo!_E}D+9-MOa91v()q0-K6zsNxbxdU9OB6zt<8TcrhfHCr|cHSRI9^ZL?7#RPN#M60yEd26$spmo0<-DH= zcUkBCspOBH|K4|p{qt=S7;hz>&Oa4i=d9~;KbG^)guATy=aOH2sJ_zYwLVv4f44uD zI==oW1LLd3oV0&ESIg&JE%Ex##X8>??MEY=&PC_-qTlT;5nj)Q)>&RJ_qi2L&w1?ch)(k9Ty##F zU-$DxKI$cp@~TJI<^0U|hWTz!;_18-;q`xiQh%>$f4VN`ZH3c)QGGg>*mIsr{@D57 zlswv>>d|#M|2u*4k0qYY|2^S#jynJ4x}5*}!s&U8J?|e#zSwzxD0y_=ns4lX_qp=X zB2M>1*X6!^Eb(;CPlQ*$>Z+qfA%Sz|7*f)Kf3C3 z{i45jdsVp0n*Ubv$Id^KJUV~PH_mM_o%q|A`1j4nK6mHc z3wK%P-2A{xde2H8-}zq+jNeK;o&OEtmvh%^{l2oC|4rd8YyNj6f9&~plE-)cWng?I z@pS&R@JnCnfA6I0a{i5Qmo@)Z^2g5qiRAH}|I@(uE3ZYqXNA`}>feLWYh9P~zq;U5 zpFWpke`jtbf9(7-$)o)(&)KMJ_wNbif$@dJ)AR3y*K@A@>GMa|<@`(GbbnOe%bI^B z`D5q*q2$s2RG+TP`F|7`|FOi=`9Bd}&%Mrjxi07bR5<-T68n4S$`8Jz_pRjdo%hwi z_^rg#dEXFzIp5{=a^5$EyR7qmNAky>cPDv#=U)cKR}xR>UkkrK3^?TfC$J-_k`Eyojxb@ykGSDJ`wJ+&byWTvGcznd3@)8Gcf*+#MATs zSa_YkuFLoB@_F-~a4+k;zc2Y>=ly}?@tyaFf$<+nJe~K)!t0!u=UtyW%XvQ$?y}DN zQ^_AY|Ggicp9jA4PXgnu#MAkw3tsnOxi06Q3HP$jd%n=Ee;+`7M}+Wr6O zC?wBv-}G9~*HVvzTnV`fa!okGvm*JVDVuHAn=X<(feavkLQKwaiX&j0=UqJ7?j zTmm^OobP_660iHZJnz0f$Y8%YCFCl|)q%PiSf_OftM#K-!eh5dGr>mg?n=sV$jeUQL97IG=%vOrxq ztW!YFL9PtcRY`oT4{F$N1GyG*9prl9e0^Yk0{RVd3FNGBzPeIaCxcuLxgt=PllWL4 zl(63lay8@{$hE@xo|g{R=^2 z=cR#lTF7;f>jQO}pMrjaTmm^OobP_65+Cb>4ECEtu7I3_Tq&IITq{_ohFk-=Hc(dw z>-3N_KMj2+obP@m5+CaW3;RtWmq9LvTp^tATpg@aLau^b9jL2;by~=Ekn00=nV*^c z7VCpO$)n#3G@o8y`27HK7IG=%GU0rEki$9!ys&6mnUht{m1WAm<=g2I{ILKGp{{?6-kj3%L$*y>PxhFjt}9AeTVS3g@dUg>^E> z<&Y}^bvcQT^+5^ytsqxJu7O-DobP$*V4WUv=I5dBg!9#vz&aLkDde(1UAe@^`k;XQ zI>?ofs~}ek=X+inSf_b&5E0y?IA7rrK9C8KZ9OO#jeCJxh zIyK}P$hCpGI#{QNocRUlJK=oyE0OqEA6VFL3b_n&IphlAeCO(5of2{t`5N|UZDB(`oixAkh73WA(si~>w_HDDIn({R|e{;V4WIr4dmKD zU7f`1xy9cPV87;<;Cl~p3FNGBzCK7{oeXk0_FF-&hFk-=Ryg1D(!n}C$Kt>w^OJ>mXM`u7X@GobP#QV4W6n9pw5zU1kOS2Dt=sRyg1NN+mwl2N~=)hg<-?>(>P7S#Ra&4fl4%X=*XZE4*g!A36MB-z8U}3*0b$rkit3{w_Bh+d!^`TnD*cIA0%_ zL+CfiC6Kei`RYnxoeXk00`}`5S3<6WTrHgMd1+vs7IGcr`aoS~3;hPU1aek5-~CD@KGp{r z>^Fy80XYY`QaInaRS|z}7IGcr`aoUgmuJ7l`e0A;==TE6r`H#LKY*NtTnf2NIA0&+uucIv z2e~p(R|V_TkZT~<2I}f0Ue7K5egOM5zXIQTkV_zEh4b}63hQK$%OO_;>TuMZMf$3iZJTo$M+hjj|bImnfPx+;l}^+65$Z6Mb| zu7g}JoUaeeuR*^-E`gjC&R16o>tvA2Ay)+IauOfwgA(>zL9T{e1G!c>-}BPJIz8mf zuS4Gn=c_A$bu8pk$Yp`La*2=iK>_=9kSifqL9Q0g_q;T)P7ApXa($pK^Bd4_kV_zE zh4bC7RN`ZOkimX)$Q6)tkSm4roofZ_)R1c+*9Pk9V4WUvCWXEe&Ue2OiI4Sxh5e?G z%OICSt`N?5t`62IAy+}J4%F4aIxXZn$n}A`%x}(qi}k^t znQ*>7$YGrVat?B3psotmsUg=ut_{@HNxYt0{QUs-Ykmv9_aK)*&I;%2gA~@uAeTd~ z2-M|Zof2{tz3hQK$%OO_;>T(hv>w^;ZTS2adTm!jQ zIN$Tq!8$$U%(r2IAlC-!>R_E7a_0A-?}YQ+ zuSDWwePCg~DdaN9<&Z0c^PQ`MbxO!pkgEfAHLy+#xejuDpe}P`_FJqE_9TyfFVK8? zec|^5$XUpxkjsSg^+68n6p(X}D+6^^uucuR26Annu1@0h+~V&CuwV20@Vy7Q1aek5 zUmv8fP6oLgaz&sn2kVrOs~}eg>S`oD)(0)@w}V^{Ir9h5cf$GlAc1u(7 zr+}P;Tp6gVlK5C3)Ue+MaxLUK$o0bc`oR1l^c&<7$XVfhb)~RQ2Duz^MW8Mx@v%NA zVZRmRYREN^YlZVYFCDDYL(cpW^qp|Nx)NB&LN0||7N{$i_*fqluwMtc5^@#fYT^Fy80XYY`QaInaRKI#{QKTm`v0P*(%%w2(du z)(3l%N524hratY+DaK1iBVVw+eIpm5!T@KbMAy+}J4%F31e5?;z*l!299&+Z-pznn9^+5vb zSjeT2%K~-fuucIv2e~p(S0(YWKB!^84dhzLb&%_Y^YwxGbLcn7C6Kei`RYnxoeXk0 zW)@dQv zL9P$fW&V2hTdWWEB#(YC(0qD*;r9c`S;(c3%Y^gwK@RH_kaLhL19erfP7S#Ra&4fl zPU7|4;_nBrU-LKcy$87ja#lEBAEdBO2Duz^MW8MR>y(hIAXf+KY9v0^2QBQkgIo_e za})YbIA0$mu#SaX3b`y$R}SkGkaLhL19eprAM1k}_S-$Kt>w^OJ>mXM`u7X@GobP#QV4W6n9pw5zUFM6>Z;(qMXNB|KuT(r2IAlC-!>R_E7a^~-$?}YQ+uSDWwePCg~DdaN9<&Z0c^PQ`MbxO!p zkgEfAHLy+#xejuDpf2;J*>ABv*poc^y+HHn^@ZOLAZH<$LM{`|*9SSQQ$Wr^t_;*w z!8$eM8pySQx;ly1bBn(pz<$j?!1o^H63AKMe0`9@IvM10$Q6OQ9IR79u7X@0sH>6q zSRb^o-wtv;7r+}P;Tp6gVlK5C3)Ue+MaxLUK$o0bc z`oQGSZ;(qMXNB|CmBKn1dGZP)&~Xb*Fmm?Tm`vWIN$Tqz&b7DI>_~by38%;H^?QBv%>lAS1R$b zKFDCdIphk+Imng5`OdY1b!x~pkZS{Vb+AqkIdcMiC!FtoB@!R&0}K02A(ufehg>0? z?_3?MQ$ntSTpg&ZfpuEQb&%@=b(z!IZ?QhulRWypK=bMKh2IY#XCaqDE)&kz2RW=$ zK+Zv~4AfP@IyK}P$hCpGI*HeFi@zVhe$5$t??EnsoE6U32Pv$RK`w_}5va?-Iwj;P z$klx~dx}3zv`k;jUR*y(hIAXf+KY9v0^2QBQkgIo_ea}WAXIA0$mu#SaX3b`y$R}SkGkaLhL19epr zAM1k}_S-^E><&Y}^bvcQT^+5^ytsqxJu7O-D zobP$*V4WUv=05bDaK5?{SjR#xgE0_3K9~7`(2e}e*736B+e9ub*>$H&TAlC=# zG6nP-W)@dQvL9P$fWgg6ai}k^tnQ*>7$YGrVat?B3psotmsUg=ut_{@HNxYt0{QUs-YaYV)9^?|pS>b$r zkit3{Iu>#%_=9kSifqL9Q0g_q;T) zP7ApXa($pK^L6Mq$R&`o!ujr3D)F&C$Y8%Yw>$H&TAlC=#GXFIDE!GEn zl1IN6Xg?of zs~}ek=X+inSf_lAS1R$bKFDCdIphk+Imng5`OdY1b!x~p zkZS{Vb+AqkIr9zZJK=oyE0OqEA6VFL3b_n&IphlAeCO(5of2{t+BWts(a&&^})EV%=+Nd!@9h`f5H9r1@{jwxWBpJ{^14pk1n{sz2N@! zPu|~*x~y4m7P_YUgWhxR4|>nNKj=O8{-F2V`-9$d?+<#OxdwN z{XJS4`x)8a&+eT2&kXxLp6lKf1W(^rl8@Bg{t9{=0?9)3~Wd!BLcOPtozybp%DpBn1kll53u{e3rFnokdZe)V&6 zUmr-G(?$HF#r26?4~zNCz~2=9L*c(Fe|}rmTe5yd)?qQ@{=6sLN5W|x?OVU=e&X*V z2=_7Mo`p5v8&|G)QF<6iTOyDD*7PwVTPUbMd-NncyZ6S}`o zg}))Z@BUsLj6I(Bo5K0-uX1-F_ZV{T3HP-x9}nmARd?;q{d?nj_VC)Raee#2t>bZh z>)Pp?<9d2__w8{VE>Fkx?2Xm*d+XY*hr@I0Rkwfb3)AoHhi`uQt?~Ny-TPmk;)~+J zv_5=u%70DPx9=W58t=b(|E+7|dhh<}#NXApp|SD)>tDNbe_YM5eri~+-7hBY+VS0K zJ$rCG{VsUjUi<18_xACF>Hgafk6s_I?X|P1-{Yb1czy4UyVH8>+QTXC!SSQ%`tchl zQ=NOo{i*-6w;nwlInQ;C*~~Eq`#UkGbDmoob0{$yxRU)1xtT z=y@Y^-tNq2eKzMgmVP!e=j%K3IatjxCz?m*e0^s=2M2SlDcx90=6t*}pM%3G&zYW$ zjm-Jh&U}UwHty-!T5HLiZ|%(IU}_{eJJg}a0!YP~a|!+FP6b~^n-&>(Rf^=iE{qQiO1R#x>N z&9hz|?9AwB_Snkm0FLHiuQoeFI+{(kvO0mIdD^SZ&XiV@y^_^RkI0dX`*3GWL)!5; zu2$3bjg8B^4|nFYn*BP=PUdtZ13!8`r-Os}kZe|Zl8$8J$IpY-r*pZ@z8;fd2c|jg z9?3JwwEt`b^TdZ|?(=8S**t>HzCN&qXXTh_f9K)2KAKItSx*KZ)NSX9?;k$TG;Gy0 zg3Wq5F=Nm?@uB>)a_g05(&xx_p7{RB^Pq#%$*{?0e&&ps#)+?vx2Gq=yX0him+aqu z9=|y|I7=R_#+@DhU1ofIIz7<$lYKiKkJa(nv(q^3)bZ&w;3;5ibO>0lrBMl(4&xLs zHaQ09X3hcg18NGG8$3I>{;e6d+b`NL4Z%~)xJ5&fjUF4RGQ_MjW*Yt&bNdr{_MgQ_ zw}*O0pXXUWi;;egHlN6I@GNFLd_%3R8sd4L&9j)X=OOCW)*k%-=^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

              ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

              YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopteqs6ri b/bsp2/Designflow/sim/post/work/@_opt/vopteqs6ri new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptewhzez b/bsp2/Designflow/sim/post/work/@_opt/voptewhzez new file mode 100644 index 0000000000000000000000000000000000000000..d9ae35f68fc84815f2d3e5dc92816164a81e84b4 GIT binary patch literal 4488 zcmdUzJ98XW5QVQ^mVktZ0r3_XD3f?s6oo`)-U1Rwl(J>CGv1xj?94I`Y2~8I8>)y1 z6%s0R5h>sY_$MeJa6sUIfOk2epij?fYJJPY$_oh!{O#@T(|x;V?(D4JSe$d;t~mXh zb4z1bj&>vRFPt&|z3M;0e2e*qQR5n=$`t zLw?I=^Fe=@;|(GDZ8zv_t~O6!cbU34Eni=B=f*{uUjXC5AOgcqXC2(wbn6R3=rPwT z6ZPzRg5QXLave6rT@8`~c_Wt;^FrHa6H#|w@*m2DLBC(xzMWf#-u!RM2h@KR2Df+} z=R-Kg`2cYaz{g3P7p$KoSIMWyr^#nXoF~NJFQ23C1@cAm0{IGgzj^;J@z1iq=gBql zCGusm>H3=;VYt3_AB^N6<9;x|Pq|H;J%1*3hYdQ5w>*BmqbWMm`?_OKXK_}?=<80V z=q!(~yV;<#_@;WNQ*@^H>)oc#{FdLRue&ovXZd{H%2IvZ&2M%5wXa*9QFn2Q&g%O0 zHfPjrc{6}uQ!@eci5n_I!*l@&8Rz`qO-bwe<#$fi387(Sviu1 z@SM8&FY~pz56gGTd=H8p&$my6^6C29HOKFe?~?D4?~@;pACg<-N94y7YxJMUdXf>> zJu>k8Yh0&fMGnXv((>e7grP6v7VUOF*_=;l|Bk#xeoxAKVu1IzG9Gonpv(qf zXBc$BT^DrmUKU1I_py%iCfN{`R^%!271?8~oSgepi3}9$8iz z*Uu8o(@l#~>j)?7R7s)lwfkY&hyNaNJ=l}D)IR!ytlpQ)LiXeN3teZa>v6w#`$t)- z`w(&;I;Wyn+q?a!o>$?z)Q=FKrCr@$)ZNwooDlk9l_c<2Lzf^v&4!ikH>vx-=Bgp~ zX>nn;U)L8xzb_X8@nM$h{Qh1CsrKoFh)Z0m{r1TbS&%tdk$dJZbG;wRth0kUaq4!k z&!j5VJ_w3k*qsn{iX_(lBNyxa;`@^<)9dPl+J9bOkE&bh{*##3SqOiS)OEU9x`#R) z`C$V_y(rhZLXFFNs?VdMo?q!I>~rFGkoxz6ME&wRrNUfeF(6MRhB`jfd5&Bd>HQJ+ zV)#qfi?L4PRQ;Va(|m(qsB;)~$_#Oxw#$&Gh!TzKmUTWk9vVLk>ie{CWgq#P>h&$+QtM`E&4COQc}*Y6!9%?RIe5s^%7!|ZmJAH`C=WKkOYPR@U=`$QuZoRJ zShrjC`3+iy)){mX^Qv#)K&uX)={Gsu6Y6P?R}g3YC)P$eXZoyr6~6`Yq{5X*7M)9)}4nx_Oh0>{P9_PU)Oj4_IUi(Q@Lct zic=-F&76AtIeiH=##zo_j=(TLghCZ27UT^Yg>cZR^Me#<;q!ALnFtj+CdJ z`PD__dm*x6W$h_ho#l~DlIWfGJze~}x4*IjHbmU3D6q#ua=VSW($2w=N zc5j_p@F{9<@0HcJMY@koRb|@euxYVVVnn8Di$q_}d{olPGhb&Kw6$X>WQX8xRxER= zjt|c?JcTyrjz7^{e2j2^2^SSwH;OdJ%u%X*9y{fHC8hP~`fj`GE%R%&J^t|K|+Dcs=Lz@4$ja+Yig zp)MRqRh^j=$W)=`@iwR$)7~+E5tYAOB~oytD1BfJ&F*UKsFnHEkU7LjlQZ?~zCLI< zeeUhT0WH%q(Qc#KrB^h%EOd7!8L-MHuo;S%?6@gpk@%kHHA>+DGj^$+yr8;{!R&ln z$cs;Fq_HB32|uri(9ItZiCHO0GZ)ohH*aEkSOv@NlAnHkZ|s4&w>MnZGJUsvx6zp~S2X0->h81|VwGQO zWGKEi;-=90VWYw(1KUp6uEI6}wlLVDVe|L_ZCu#&V2g$=8#Ytev|$T^Ee|%gpV$@% z+YHza!IlNvSlIkwI|f_$&$@3NrRy1MZNma}*DshWqt^I<=G9w9Vlw|G&Xc3bi$GUV zC;7d>a&#sxh^)0DYuAvM|7zBv;aX@D;vib5 zuhToR{zj&M&oqscvkN)96z2&uT}H7&c(WN12g|u32}{J96&Vz6(@3`XONfASGoR_R zU>q-A-+{(b>>_-Z7(#Dj5(z(!B+}QC07)-NOGrhB_@P72!KDqCEnJ0g4ZwvCU@jT1 z4mk+d0=Uk@)dSaAxD?=Wf~y6tHmNHdE-qYC;o1w=Ex3%~GK5P_>QaEq39jdG?T5=6 zt}?i4;d(7~org;YE(f?C!1W5QD7f~(b!Irav8u|+X`RP5pV@)t29*YRQ7!Kal6dEf zx6sB_WD}33d1Ua*Xi?2mYJ-_YDfi1uW3kGtY|2K8DZ7WQB{&<$W-4y|gXeNkjn-yQ zC;a*WLVtgNNY1&D#4*JT-t@q~Xoh|ql!i6lOpB(e^ZfZuINnrj>OEgAb}4O=5@MX)`CtrWKV z*th=S+BfM;^L4Zeaq}KKtJfp?S>~XFMC10ea~#vp@NE6TO? z2iUXTh6*UBMNFUHmh$3n%%rjAn-jj?EJBypClXr?l30WJEWvzEc#M4Yp*K#WH*jYa zi_sgn@3KROdyYxx^AbyMhtJB!l-%_L*+y@37HOO|)ZzT5zDsz+JBPKo!;vx1RgPO{ zdO^%M!l!(6w`Pm`2LzOCxMsqo0@uc6gx^CYbkQ^-QBp^5xWe@Xu0wEH!PN`bUAUCs zLN|V&`Memeez>l}r4N?^TuyMcz||&o&4sH5E)Tc{;kpHvFw@Hps2RZ(Hp&;?RJy93 zXnD;HZ2S1KfD!7b#oa5HBpxeyMcI^afIYB1OptT(9Mdq!oTqkf7LBDcgYY+K68gK9 zL~^E{B+3_%0J~b8#iuxnop7bYH3=>cxL(7B4iU%==UwqX-_dX_g{uUv0=RnN62YYg z*GRZd{cJ92*IT=U1)5ITw#8`Ik$8jj?!3r0to-=5kjBgk3QrhTVBW(-aHak;0!*-Ue#i+2C-Ls z?A3SaLut>?#`j96te;}MRl;Sj;_YK%9-j1ddKQ%0GH~9rt~!^ZsD@2;_&2l z%H7~pwpoU)z*w!2Y3Mb;Q;RuEV>vA${1!bz-)BN3mP<)u;VAUsCY-@CoWWwazQUCS z*Ic;9;0*fW3=Zq}iu5~d7F-gz65!enR}x$txP)-Aq%H%vis1@{>l$3M;G)3g16RD% z_Fhc4_#7e=BBU4Mu!G(;Eb zp$p~Fg?8w|T4@(Q_KbPyD-Uvf)97J!}qc`f&8}FsPu@$}1g5GdLZ%jmQ z^rAQJqBoS#8`eK^Z~U)(HGa!iGxGHi`Kpo07x&C?;@oSP@2$gq<$7AI>(EY-eR_&* z`DwM_{*?k|$+SLR)yOO~}|FGFF3(nIU6?(z7^# zjO8F>RAg*CGPV^NJBWWXuB@!~4x^yx$~C-)|D(`u_I~Dl#@5 z85@m^IU-|C$k-qz^4GiWwG-8Rmc)_6#%ZGiKQJt*l1d7KVYk8@Kq* zM9i>0%&>gSFudKU;qAuj6=qlzX4oFgurojK3~NBfiZH{TA!DV;*fV6T6&Y(q#x^5k zQ-79>sj5D#`t-=k+g{h!{)x<_Dcvh}cnb?o-H|EYGoSV_+e~!T(xu_UNDD4AwMlGT zzl~zplg3s%>nQM2s9+v)dc$*h9!qQ6??d?3k%V5hi%4qklEl}_Bp_uKN!#@m@1vK| z8*`8^CFIKsy@9S^TcS6r(Hr&Xjn@BmZ~S9g4HE?>HcSGTw!jn#lO9Y3Fljf#r1FPLIra)#*zOsz0kEyq4Rg~=196EL~K^fyd-Fd4yQ_@nJpYyC=ZSE|aG zF4YaTNn5&Kaw3KMgulwVvC<9V7j(--vkA@n#po%RvGx!%IUcjf>2|2J&MzuAw4 zvrP(e)?{5T)-ND#R|AcE^+h?Eib~To)~?gk+Rq!27kx-59xC`ixm#>vxZ_B`BW9Xv z>%Q=_QC4>~ZHmpT;*x{+|8dB-WW?GN<5#x(`u-Jr;%MbYzx6He6MDM}@66i*7hg{17TBB^t;A#eNY zNm^D1iO@Mo^22>Iod0Si=D+xb9j)1u>k%^3Xz;SU#w~3pj&WFrkeVCg6S2{N##Czw zGmZ<$r4A_>jlbir;kme#6ZK21&}JIVCqE>Y*5Y_C%y`!9Txv|D(Re2Z4bPThP86?L zXtScuEB|7wer#r}!iLG^PThfzT7;s0o~-h1nw9I^%rjBG&BaL^1@(*TPTeR~EjXZi zx5zFvtGq6B(v!E>cJaDxnkrR;Ra&-O=qr4*@zctU{$rG9(kon5UYT@lIKDLL9eXq< zJXB5Ll}*%^#lV4Kn>sNR*u?zl9SFPO5|of&egrJcC7uF{$A;a{4elU%J{AKba^ z^M&}MmsDAs)tLOD2;RE8xwK9*Qxb2YPgt{6^PdNgD|7IUw6$5(Q(ZatQpa;`SwUo& zEpyfN@^;~hTdSHUz45mt5y{nLa&YIrLNCM@TvlZjPhj#N26ZmZerR~$or0RJ$_uaR T4M$@--dQ`vrQd7u9NYA7DRHoG literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptf2xrff b/bsp2/Designflow/sim/post/work/@_opt/voptf2xrff new file mode 100644 index 0000000000000000000000000000000000000000..fcd75d6c174ab33dc0a632a5bea88c574596a65f GIT binary patch literal 1356 zcmV-S1+)6o?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRGyu;BMT+$23FqLAGohE(S^Pu3Xs8SFeTDp_tC99J*|hzcKIH2Vm``;0~)MO zM?v=7&ceL--Ngy8`^!Thx7YUoF7LlU9G(xrczjR5vAFKQBQcG@2O?^~PXvU(-$;1C z|IwhpzvD5$KZi5GKTa3GKiq!6KlqKnKd@84KOq0VU1`$5Ele~u_#WuCCB`Hy3(Of9 zR@g&u?C{Q@n4!i1aRbFbqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;jPQx)_?=4zqHjFlPCS!;XX^Ommc!v$+z1cP&G`PNdDvh8_j zWYzYNOE_X+*D#iV?jc$M$wIJ!E(Whc9ZcKAdf5NSweY0SE1?147Xn-C3oL+Ml+dMW z*>V1q@}nSm>rO&}L=l$$%b|(m~-Vs*lmp#fwtn%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBT zR9Gj_>F@^Nlc81Y18bdLgkGO&`E84ovYX0yYd)5MMCYkM$&LZQ(p`0ds<-Qb#QP9J z$+xY<(k~Lok$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV z4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?# zZ;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X( z5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQS zjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM& z@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*z zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsA zRlZ0f1LrLSMve_g%v@p6*!hy+@v~KcqbK`72M>n8PF`KW-TcYG`?_?B1FceE zM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkUArV>_17h@WMuecC z%t#q%x}y_I^yRGyT#BMUR^23GRfAGr51(S;5o3Xq{d6E9^D>x)J$HsT_*`e(CKczx(94R%Tt zTAJ%<^mK=isHuKn5fiO|VrD8pg^UEiN?Ccp)$*di>!mfomkT?JCB}X%3(Tz; zR@f_W?C>6-n4x9>aRY@wqDE4sYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%vbT$Z0|Nc@VF(74GfrgVXthBfuCh~6h2QT^R~;=1X$gf*ow0%u7e znOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8`NHBM;J)*m0@?SH$3o4+JT zcRxRo`hR+%Nqjj1)41_O<}s?sj3W=wSqE0&^G@{a!?oUC1mhTL`4)tfvTf8UaoViW zUN@2A{VuMAyB!WlH+yQ4diT(wNo{Nb)0$gE=5_wajH{>6Sr-T3^KMq`!}Xe81Y?kD z`4*LwvTgQgWYy`AOE_F$*D&gU?jfQ9$wEMZE(T3P9ZVU-df0o&weYOaE1?kJ7Xo^K zZ$!L6pU5Do5Ttj&zvw}Gi)1XeRuzpb?P^mPnw9-q%G%7E)D2R(J5l~(jV&La+gn);jNI_R* zzpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG z*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_R zi1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+! z-KEsARlZ0f1LrLSMve?f%v@m5*!hs)@v~8YqbKt~2M?COPF{V$-TaBb`?*8Fw=?&^ zFDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B z1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%Wk^LLG&3K0bK-8r6_Z)m5v^4X?)Xl9R!G@kGKbygTQ}| ztBwKUJT3ktG93#ZNxEutCV~n^rmxBOtOc3|sau6VnDdJnX90Z7ChBz*c zb$=fOh+~d8pUXjjI2MQtxEutCV~M!mD#r?@osg9!%&a#Ah+~boKNf^_jUqrC8<=Pz z7KGJ7fH<}=(E(VH6=#ieLx4CNVWI=EAgm4o#Ib{k4#I-4o*M$hv4@F%0Sm(FAV3`a z+ta~V5Y}@;fH;mY(IHq6RtEv%Y=Vj2h6Q0gHw1{|1QWd-3&QFkK%C7m(L1mptPTRi z*#Z+CiUnbH5Fie7g$;v4>pBP!hq>sAxEutCoi%2~n#Y{5J5&|LzMo62~3!7qK9$-!%fnVQvXxI7FN^J`V!K!7IK_G!#Dc@q)qJ z#$@MhTW<@BMqCb7D>D|HTawKaK}ovT z)@H?lwnVXHzUId1Ka$dw(du1qY84Ut_wx>3SQ0BLTP%WJ7X{gu=EuL$6k2VliMy)4 zRWf$iVQwU&B8LCTh&XFF@z5E`k>#fMt8Y6cADxV;((oVqdCmN|-2FJYV_!k`qid$m zLI-oDVJ{siESnPAyTWr1dtKgp#ko{<{`(Z;%n+65xv7`^uHQW_kN#X$k?4QDYhbcw zB768o{LP^)H;dw_sM(WaKcq{dvj#^y7b@+F4$0i>OoOab`;2DI+MGh;RNv-3`p9vp zYpJ>ZjEwg%(dLQnc)R+U^JRfjm7uch|HkCCsm9J?k+*v0uWHTq?@I2M>owFFG{*aU z{6NGL?mgChiT8z~Th(rO*j?q<_0+@9e_Jlk*Y@nm=7_6iWtEz|cY9734DLU$X zu2?8Hw@(+0&ZXsi^)*c8o9+$3lyRb7hLgkHF_@%B|3O7Lgu~m67O;RUs zOR0a~#7v|8;=skq2bW6&#r1}ZL;2!8{o!NP)7GVis!~14+x()lRFq|=L{nqXc4s+~ L`t-A(oBI9*;ZK!D literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptfsh4rq b/bsp2/Designflow/sim/post/work/@_opt/voptfsh4rq new file mode 100644 index 0000000000000000000000000000000000000000..159ea53eee73e5d9477a8c5078d7e12fad3e9fbf GIT binary patch literal 6285 zcmajj2~WKt*-Z;EF%HvMW(*?SGBx6l2_^T1kd*qbi9EsbEO`3k)^Z z?g$Tx8Xg?rpDu;N>U9Jl?McCU0-C@^M+!C&04oOgBHlSfG6BtCV^<1NIFQPLG!CS5 zU?T@IIIxKWnHueU0Li)fCIOOj^(_J<=jz)8 zz{>mQ>bnFq2Vg_b)s-B$$ASACc))=w4peiXh64{dP|JZw9H`^KV*(`C%_kgq%7MQ) z@SFqn9BAOc3l6;Gz$*^C=D^1(U)+Eh!e>G|gdb7^1H30|@pcG5b-E#=w?p`= z(+#zRXSEru>+bGgtr50F9aeMz@7}bF(h8o!V1D{tbO1(li_#jNBR>Rqc@*e?OiFz62_^o>h zEg%pD3Fu1-__aKO77&Pn1oR^Xr0<>65Qu^Vc#r~q^It~ifIt)^z>^g4PXiiSKp+Yd z;6(~fP>7)g1fn1T{Yk+Y3bC|+Kolfk04caYfsUwgRTS`AE&gT$D+a0_dSG6swCN2) z>R}}63Paz~X(13%3HX>4`apq>sBu*kKAe`?n-pxJ@ZK2#fhb6T4=G5l#t1|~0(?oq z4d$?vz7GgQK?3|pL2}20KolhRT*FDh9p(^D=YT*IBwz$7^o7C#T0kHQ65vk?{h+Xr z77&Pn1dJpF4=6061q7lX0i#GkauPGqPYefsq*Xc_=>qBb( z$tfB;-!QrIg5%_;`Yr2Se4VP);TJ4sMtc}uypcFn(QndN=e#T)H7vrX&*fpMHSx2b zRaIon`e5r&hp5_3&YgPH%%%v_enq7IMmprRmx%S(8)uRA~J(a7Y_U;<#gvOe|(vpxn@W3V~5(L zpX{pRrbbk+nizHBmU(tv?^3_r%{OIb%u{^*9v!VOOZOdGJTqY3W|MQ1#vW_E-J#Mr zpunS~<1=@=$bh>>X=5zv=REN{I&JQmh(y;Za~AmTudyh1KDlyr^tFMJ_l=DX6;_q) zZC4k%vqN>IU#-3J^waYfLgxk9ctxL!zY>0~{gsugHK+QgfjpR7vw3g3WY^%F*LOyj z#>BQg9Z?o@Z=73F+?1J`vTc`ZM_j*r_I0ZP@eeQUA5)d{Vr$yPH%%H{aq&oAW9^%A zx8sAgPQeR&3l|T{@8;OvG%+@6->%V1vTG~yELV=Q3=VUjc+Ggv`trs{y(e5PycX^o zn`b@laQ->Z29qtWpXDbSWvdRm(XOk_SEc?34MR7)ObXvVVQ~24m|wjzx-U#B4V!po z@T3C=gAD8Ecn$yjjN7)`rcFJcTNWkQM+V+-G2LHY5wO#wd&6RxTyS$PpX64yrlJkm^Kb+t_6YIfwf ejpscxlT(uld|y848*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptg0bzeb b/bsp2/Designflow/sim/post/work/@_opt/voptg0bzeb new file mode 100644 index 0000000000000000000000000000000000000000..fa176ef0a2da43b281d5979f6d48cfc85bfb0b08 GIT binary patch literal 1821 zcmaizc}P@29LHyNXD!cdHLqQTw6e`q$sE$ zJLxtnEE5Z|6TvPi5zNR|txeY>Esy&B-jjVzE;Q`Uo8Nan^Zm{n=F#{UHn(F77c@=2 z3%%Fd`^$1`VuA=X+9QV!N5oy|<-H)tgrQX%wZ$nxVnzuk17ARMf=Qo&V>6faGA}bVmK{K$VcgDTHAuIg9|H6H4Q@33KBdQ~+=a zVeTAY3jn8xfD~ck97b~vcHqD%gkg#IMrd>-JX-iojsZZrLG}QA<$%3Aa~hV;qDlIT1CKKEmbCS(q*D+2#bkR%mha7A ziXip5pG_8fjWg>byi;QRSB+R^TUQ?VOZ~wy#5grCslI52GOkQDf7jDb54E$iZms#^ zH)Pg6v^GlTQk%Y~S@$c?*ZO%-X8yYVCnav0@8O!p6Q{jY(-en&-zSz|-@duhM?H|0 zw%w`P`^u&lI_cgQ-?5f~H^sp_`bvM_%drd(xSx@*akZbv)!I!TPn}IYS(Y47 z;eIotjj0MsTkRsoO)2T}uu+~@XFsTLI`sGqtqfbxV;2%1?2~vScX{jDE=8fMIz^}1 z$_jF$eY>?`(RXNM=iK!D2U2fbGC$Ffb69&MvDo6>zN(_Q?4+91A6NQIQo9we7T>J9 zpx5u-S!hrhqWb*Puc3EZ#DYCV(ECog_35488P1W z-IrZqR`*L*BWq9W29^rhA2^T& zs+lHy6>}Z9YNkpsl?=roYFYXKl=7rNXr(#8kP0KfVO2K3gX%oMN0kY{&uT-!-<9^j z|7*R#zn2QYKW|0AKc4!)KYXRYKe#%;KQKMOKOiE%U1?~*ElfZ)_#ObZCC2nD3(UC~ zR@eh@?C?&Yn4!i1aRbFbqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;^c7uSzc!Pq(u|`+O zBhA>*OqR0X1i0XUNic{&(;!R0<^kTojYH(DKd=7Y{MJ}%2z>;UP`cD9u6U@?&1IM3 z+l!)v_g5E4ukTpURnxNIHZtIVEhLFR8wg3jc2L^Dt>Emef9dbud|G;H2xLK&P>5bS ztrM=o&Z6nW-35Wj`%84t{G03GWTR4mi3aRIQ%!@w=GsocjrGN>Kf}G={0`n~2+awU zP}Emef9dbu zd|G;H2xLK&P>5b=uNl6O%&7Tb*%6_D@?$hW?#pYyK?732!$$4E$IXGj&)ZAD-}ld~ zo5!i%v$)_wq*76(dCIsQNyX5w$O9V=5_-%~(-j1|=teObQ1; z*;G})^6BEhrIVekZx0RLT$aCTNc_r_(6~t}rgVXthBfuCh~6h2QT^R~;=1X$gf*ow z0%u7enOYYBa`k6Gq^gv_2o+(#QEHm3hx`KGjB%i9=ri?{kS8`NHBM;J)*l$+?SH(4 zo4+bZcRwSM`hP;9NqkEJ)3_5v<}q%_j3bB8SqDbo^G?+4!?m7X1ml=$`4)tfvTf98 zWYw*ZOE}bE*D(5k?jeE!$wB~uE(Xs+9ZVaV1q@}nSm>rO&}L5e)}4wh?R#7pnz!R{bT5yfs2)aXe+arjV6fVIG}Rim zD0bj15v++AVi-tpgmBoPNTKLyzjxdS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_R zi1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RZbE3loy4QSd&w8Tx6)t0FXivRABwNQe^eI0 zzvy?sKar=vKVlcGTkII$HA$2R_XwT!9P)#zd8FtRvtZ|FCOH(A&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+! z-KEsARlZ0f1LrLSMve?f%v@m5*!hs)@v~8YqbKt~2M?COPF{V$-TaBb`?*8Fw=?&^ zFDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B z1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%WkUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptgezgmn b/bsp2/Designflow/sim/post/work/@_opt/voptgezgmn new file mode 100644 index 0000000000000000000000000000000000000000..9e241a35f74eadfcf4505362269951d771e6a0ac GIT binary patch literal 13693 zcmd5@JCht&6>dqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptghyhn8 b/bsp2/Designflow/sim/post/work/@_opt/voptghyhn8 new file mode 100644 index 0000000000000000000000000000000000000000..fb40d8cb8ea2ad13747e506df07692962fee35ee GIT binary patch literal 884 zcmV-)1B?6taLNw%8b*dY^%_Qo@?OKp4as{AS>9_HJEI`pRlTperK<8ZBM{7rVc?k5D&23b`UdVBo5K0L`pn?|!V|m|&3b0)2*bC^73;aIM>MjZ9 z@4@W_R^5rl4Ag0*jtvs)ud{tM---#atfkln8H;nG4sa&sbPp#_`S2M4v&>l8J%w9X z>}e=$x*QPk%Ehfp$qt7Erg4A?1xA^JhX#0gIGsn>%FEDN#;6fHjU~Yn2onH1QN)>O zQ906(6MYO%)d#LsV^t`d6skT>(X4AIQ>4u1S923RG&4;)4jaegEG=!(00000#|9yNyuk#F8j-skUAQ-v9Xn!B zFatyc-9|cNqy7N^001WXJF^%d1E0iog#MIhPiG< zBZ*e*Xd{7-`Sz2tBvvUIl_bzmhz|+#>%e^|y}9R!e2YZ5Zb_Sz0Od%~ji!_*uA$K~ zRI!m{-6YMq*wRYhIS^d%hpBF-Mg*KUF)8y+8Yt2k6&G@Fded_wOKr<|QoF?!O{#A8 zsYKnY^j?>|Pk2&9o%r{BpOS|U(w-$)qnAyYn-?yxEC9-^oq}lB=Egdr_ zpfG7g%F9+VXIK(b-N`+rC=R~&uk67~F<8`vQ|q*145)+}+@C+zs8gjW>op0EA#N>M z!6n$mQAdBd0zbzeBJ2;2DH`(titDjMNbqr$36DCDtVeNSgVS!7Jz0v;%I&5hYW31O z)~~Z$`0+*6Pl!Qj^Gn1sucIWNmEPL@l?SpS!d`)$>hukZb2fo$kxrUtyGh`f--994 z!3&xF%573I4Y;Sa>!CC`*}CbewZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx zp4fh)E`M3v4<9$;@0WOvlN{pIvYn&MIdS|ajQ9@)#_u=cKN}eTNhAKz!1zxY@!t!K z{}Utr?*iiw81X+1jDOgOKOGqVX(Rqz3>ZDPEY$y>G2$-^jGs2*I|AcBYs9}UF#dB! z{96O#KX1fu4~&1rh%W`k|EUpwUts(fjQ9@*#(&X>KM)u{W5j{#BryJn5&!+b_{WX-V}bFr*je*A{{{97+`q3e&IgxvL zc#`kG^*%=TyKr~=UcrgaZ$R2hb*W|j zs8jXr3G|x|^wWM)KDBAyX7r!V+tg$EwTqwLqp8*VG_6MiE)YWSHewux_)P`+abFOE zcQnv%B^rw3wOQ)3QtT?RuNI4C(~Z+#%K5TO#wX)BK6%dO`TA1)Cfrx({ z*Lh#g^E&f+UdM3Dd@;&xVLtKRB+nh_$j`^d*&m^P)z-(*^YPys@uBDA<3@bw`S>4< z_|Wt5KN|6&=i`4e;;r*>x9iW!`S{01{8s6AJihp8a-WN(g*(s3OD>S{^AjU}cVPTK z8}SbZ#-A|a9}kTG7bE`Z!1#YP;&Zs5w$Og+eF?mU{6hDmCqnmyf%;Aa`ZWadwg&oj1^P_|?psF#{bmCFMln7@`gba@ ze=oy)$bI2H@z;IkBa;5ESlut`K69(=Ga1i)CXD;xKJhl}6Co2nx!Ycb-@uQ*&&M?U zZ)E`YjcD%7jo4@U_mquHGC$8306gFM_m1=P{d>gu`To7&{CxkOZ+^aiZ#O^RzlWQj zzuwh*e!hQCHb1|W^+Eeu@5!V*-gonJ7~FR=pZjip{-G7`$(YaftoMD`%Mr!*VVA=K z?>?gN=N0%5`B|1H--r40Lt|dwi_wqeC*>Sl>phtDe#?4qWxbEG-ZNS6kF57X*83jo zJ&yH$#(M8!y-%^;lUVORtoIt$`wHtlg!O*GdT(I853ug}t^0fHUf#NI=Xtr>KEb*l zx9+{I`)uo;+PZ(X?v<_kV(T8*y5F_#ZLRxQ>z>uRKeg^ft@}>v9@Dy?wC)|v`$VIE zt@}UDb8dda?}hFuR{8RHzU1e#IA1cK^Cj1xmt*~5KJl#k2eb|+> z*bfZA;`4TZjod!0^zxc77e!GnNcKG=0 zwD*sB54v=P_Yq&-Mt|b1g(a`gukg-@AIs@?hr!$F(Uk8XMA}Z{fYNm zu;lgm72cEL$8!3;)8Jk2%;=Mt5eSU>^qxi9$e(y4PZxUX73LgE5_eSCM`4!$#@nbpt?lX8d z3h!i!cXx{SF2SQe@!ll7KEJ|S7C)BL&-(t+t-`w}#k)7f`+mWrKk>Nn=e*(bE4)+U z$8!4JZ`5~_@a{|T9!T*%BzW{E-dlv%=T~_5iyzDB_a1}yt-?E<;ysw+eMIo+PrSDY zug|aW&WIn&>GxiPw_A7*rFf5|cpnoy`V;SWgxBX+cn^yo%jtI!Ebr+)ApE-DM7G;k z{w^){m9suBo~MtxbY-4?LU8F%+y@N2-xItiQ+Q9M@Qw){{fYOWfwx)kj;HXRN#UIk zJo*#Q`X0`0f_E~7cPfSVtl-fpPvW7$ z=iv1SUW50K`@?_FrGmFi@aUh!Ye?a36};ssJpa9w3SNug(Vuv|5@+3uN;w~Ar~jp} zJm)hX@ypJG?Zmw(^LftKd&^UDPdSU4@t(Za=Y+N)n&VSH=cDHy|2dzE{%+Avf9fyz zxDN4ipR-`UF(2jmb*{fE_rWWWkMAeM-v{`$=&biXm;KHA+IXS*|FrAG$c00euxE@_NV6y#K&iX8Z<$EGji}4&*&K{F`@bfv< z@CWDz{JpRkuQC3B;Iljgf3<->DEJ3b`1;<8e(^`^flZc?f=ofnOB-l_~r^g3t00{Iv%Dkl?RL;ZF-b%R}(>b9`{0Gd?+BIeya5 znLghv55d3M&_5#hvVO$v-wc0_f0l>fk9xmsH`;-5KR}w#U8ljz=aTi3btdNJbHsR- zlUMNoTlKknm4QDd__EH%`1<)cmM80l>8&dMwFdsU;LAQS#@~o_mik#9f`6TXUlM%T zm&N!~g3t00{8t+I6M}y*HE#J{g8Eq=g1^qd-zE66&x-XQ6?~S5;QvbISFU$l=kCC7 zj2rWFd{Q2-o9Dxy>n8KTx9kGgRaiHfPrR*eU2Dwiy7?NTK9lg~cxAgM=W+eK0n3y1 zvBv8L1OHCJPmfpqoC3>3@Ly}-zeDh?b%Ny~_%|5%?-cw^squ6|=0TQ+;CC7LcL~1i zBjfQjE9(%;L-1d3;NLCyPr@eq_k`fHJOuwn1OFbue>#QVAnn8Q5d1e7`1cC_vnl*m z!Do30{!IpcS@3hI{_PTcmWSZqY~a63@MRw!_wN+^IUlh+1pgKT|J{Nw=Y|-6RPb3I zg8w=LpBqum53=u%@n-~|wVrJ>@b4G=^gcj8N5k?E ze4Vd3&vJfs2EX2e|DZqq91PRIyOsND?#J<%Wj0`*ev#LgKicH`9ohI%ijq&QwkN)q;^4uWhlHZX33^KSs($Dv#@n2j6A7rjRtY;G6=U4Fcb3$qS zm(;-b=hgZ8J*Xpg+!c(eL|o2lL?GruWuzfxVylVRY(DTIl_mUs&Lx1Z3BcFEXPU>%F z8vXFcKEIXa%>OWKHT;kG@)-Z4KCVE2;y>im9n4SSYx|Ig?XU>fi6v9n7cxWlW=eKI!vY_e=f(*lPF>`|=q7(?}Da z{={efknUi95?|YgJk{EVamn^sXSB~Hf$cL5ylVPC>v>}RpF^7Z=}-Ni_vsGiQ$KaH zeID`ott@B$7h$X6&-n5f|4TluK!4(Y*{3_0pTs8*=TGvm9TveR#}DI}uj6OE(LR>~ z2zrNW%|BlOUN!v(Jx{Fvt4Onb=uiD$^XU%eQ@`?j-RHNmocZ5?t%m=oFOTuLE)k#p z#Q&yGcQ8MRukAw~wnMso7{`2VAN^cr`uTcUVEcRvc-8cO+w;Ww4wR^4^4BQk=U0kzYwV?m%9z_ znML?_I9Q5t(=6-zkj@K|AN>W(asF(9#ou4auQ;3glx6unF5R@0<HbUNK{wxTPb{)*&`tkp z{TI-^%9n4U-$J_Sm(+bFQq&FlIl3=4bpH+flDbDzx>=so-A?}nbhr8PE%aMRH~o^j zS0hE;9Iwf7veMA~1pKJG6PE2=PU&WOQuo#LUqJU&zI+S)7Sc_>r0z9HQTGdJ6XW|b zL-+UKN8RgTse3A=o8?K}9Y`;v`x;-qg?r0(mGqHgXR&W3JI99(yPKns0*uHobGQOfm${z<*BM7kMzw*r{!$5N#EJy$db{2TIz0L=T8&$)Ed(zKtSXB+Y-YWTGX zUdy6|@m7dmcMZQ*@!M0wkI$p4M-4y!JT>G`*6>>|c&%u!h1$iBmxessYazdlg14`R zU$^+p*6`aZeht{TBzf7-$?>!fsk1Sjm>XplmuZ)XZU29?!@@rzc1-M$*j}+ku`n2? zi_09Js9$;7kGt~7X#ZF)lP~v{21jUzdxo6t>(7iVLYi@`rysu+%lw?wm$q+-Ps_M3 ztFG-5uaPh{B+psT5bH6536d6Wu(ikgkm#57DxRNIA8|OJoPs6qW5PSxGlIJn^y4l+KNm4k zJO1I!U_HCRC%J~OcOJTD_sA6c)=A6y5$M1FPd_5#qI9)UscRtCrs*VR|-{r#RxqL0@L1u5rNcjgaR=AkX+2)`#B{ zA&;S54HUM2l3^7cTIe(b9LeKdmh9e z$ou)IRy*lBn!$Qn&-mi^I>@spoX4$?;8|S{55LDjp6NiI!Qowg-&FGbqWz#&`#~+g zuR;9TKz!_@-M+MUyx`WU;Y?vr=S}WAlt-=ds4WZMi9o)-{#}l*IOfNJ;;L0#wfsH? z>(dO&b+%Uj1K+LtBV%*-*NU%J@zwJC7vyOVYCfOo_To3Q6r#{Lv8puoXI`Qyd(2 z*MOYig~p@qS5%L-r;fvPxz<9FqSWr^htzXdsmI*%c{;JtN%o7SL-#{k-}yRL*U&Myd|rEC zBNU=T_e-V0QFkBO10ihhURh^XwFJRf|As*L7&cnK1s%1X+7i#&vBc^|h50ZrJ zH`31$aX8QW_mhjuzrejS(~7T_|Gp7<sC0|D4p%@9H^> ziH7wAy=za$wNZzUx;kb58fX=Ou-& z>u@dq7#{%~S36~2h2d2Fd5Y&%|NP)S*1Zpm=YP?OVdnY?`;5Yz`8##)iQ^!I{9^!| zGk^CMT|4#SLUs-gE>NrbaXh}bo4Sl(JpSDtToT_@6vOgmA`YJoM&A>6bt=M zM={=)`TcnRm|wTj^Q!hErqdm6eodc`Up6|nXZ$*AA1J3gECrrbr-70C!KQ8~c z*!`*WjHC~z@{cCd`kpNLzKZvG{5}EKvzD{Ht>xDm=+`NJ%BQ&co=V@>^`gF9f0OT< zxGwVh6V%luIDO)${`!7P)A}BZ?Z@{A{Cq3pyCwd1iQg`Eo7kOVVKQv5Nr@YfIOS2@ z6XM@*#7&-I+@3Rx+b419eL*~au@T^NH*dW5mfZ6+lqtD$Y}?4FTi@Gyi_Z3s^{(af zc4d3p?X(lUV}AV~Uwb9f?QMR2>}?x&>-SJk(b;Wn{y7V+45C!0gaZK9H&zGosen=kV` zjwbW1Cz473_8}q)df0{FuNbH0c+101yN;Efe>lfg&(Vt9`Z zJDKzR{`%jKXv99HpWn?Szh0`a(_*dyGk9Jv3f8A8CTk}}4|S|V!+cpcftJz)1?{Ff zq&iwho8T7oX#JM@a-Z&@^r^4-{Gryx6aBKYlE&@&NLTynlFnmTCNU5#Fi^5~Q+nQb Z><@F};eT}d`5mLi9#XE6a}U_)?GGNLM~46a literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptgt0jww b/bsp2/Designflow/sim/post/work/@_opt/voptgt0jww new file mode 100644 index 0000000000000000000000000000000000000000..7131b8030944241a8505ea665c997aca3b18df9e GIT binary patch literal 9692 zcmdVgdsNJ8-v;nP=tOD|q9mGcd${)p?oZKTahcsD&aKm04j)=B zPT+Z0b%+2ygyANr7#HWU~4km5LXKrktywG#_2x1Uhvx@B(KXLzjt&X{A_ zOE-m47xkxU%*qaCsZn$J9vY_fcdYr6vRA7lqn#-#AJ~JlI~v+*6n-*d4RX^*n0t3! zAF!S=_jWp52W*VTzXk75O3C1UNuI)kN&6^Iojah2#v z7M9hk@O^w{kEpGzso1=Uw6Ey%)RY#UB~?0^4%t<)URldSf{tsZjfDe zt%0fh#)Owb?}LpBn*wY*VY>?3c-SIfi-pbWJGAj&(}yhyf)?1G{#8W$dL)uY#fpVgD6eqYdQh4U%WtQ^ZdHl7v#?V_#1Bt*$Kp0xSMCO-7 zM2G1l$nz0N@2Mn_7eA7`$tlR1AF_4_SwsJEkS`$xS=)fDH6v@+keB~x)?(pWWEbWn zaWl~EnNW8lGq8KQcFLJW++E7^#F=YHvcveZnUM#|cwvc4rIX7uD7?nu9O>sUA?0Qs z%YWfmeu9A$ovqwK1Z(36qlHCef_NfgtRq3P9+IAzh7Q?=4mk_gRJiQnDuAmWE_48E z=}>jZ0k{^zbq=m>xX!>e8ZH;On&4`YyY|7wgKHXGd*QkTmnmFEaB0e2qv3La>jhl< z;j)FR6s{V$-pF0&;L?T539bily@o3qu03#_8j5bLtaNd4^V;e^JJ`yw!Z0_w>3x0@ z|6I`)`q=U;;?+2h4169XseVeWx1g2qKEE=Rsu^cdHc-qt-5ed^nRpIM+2?ou+5?*O z7DomV)b$g_`xqiS>q$iFML3I%IE!UCi^VvLFJVJpOE<#S4jVi}dlsJ-df54e@&ZO~ zGgC-&$+#c>;;ni1{xmD9ZG@^uNsbq*YEDbbfqie7sSzu9e+24F6PKK%1TNUlN!C9v z3{_HKW!Q81n$=h6?APOn;CKjO_=|`vVIL8(50ju@?Mb?O3-&D;`(_JU18jw`J%gI#L+2(AXW z{(>tPE;G0m!9|B_$`9(|!9|6u4z8DQ<-j!)E-knee&GEIhHD;NwQzlb>j+#7xZcA> ze#HHf&u0(%PM_Jzfy*bXO@6!mRp{ZQxQqaMJogd2 zTJ!T#<`Dtq<3?MKw69-C$%1PZTxxJ_SVjchRKk!!~dZ`kwVcu&^;+nI*8hT3CGmUF$T%*3DD z7O`tT(3!NL$-Lf`$EA}4x+%Ql*&Hcnl8_Sb&GPrY#!ra0ptDyi5kVyrU0;B%Z@`{m zKFctl6CYsDN?~h-Ee$q%*uKe~N%FrO!|Xezm$y(!CjFKu0NX=>hlod|Qi-8rW0 z#??;DIpat19$&d6UAsS)(z0?hM^OAw==S<GyL7>@a4SXCx8yTq2B%bBJuRDiPtn z8+0KR-xNgEV3@!%ttR5MAh1rb18`AI1FxRD8OPUuZmq*VGEw@uW|F*(i zwV6cVF_|#l ztt7Hjbws3;Pl6n3a2B89EVjdS8m@_OdBODtE_8@+#8BQ9|NR{emnB@qaOK0*1D6CY zO}K``mHMN(aGh8un*~3*0mkh2%xB}&_LAWl$WenFhnaltF4zmidGA!ICD=iZx7Ci|H{L+=4 zoFk6pnXw<0uVF5lq`>PuFP0i#O`(i8*vbhQohv-%bF07Gv z;TCjZE4t7DU8sgG{DdydK^K~!3n$6Da2dLgiY}avF4RO9x}gjE(1lUxLig{Y3ysi) z`shL>bfE*futwg6ThN8A=t2i{;g99|r#B+d8wb!Ej_8d#^u~L6Z}^}$n$R1b=#2^J zjUM#IUG#N&Mi6rZMY ztp8puyniL1RXn|yUpYLN-m*%K2+HjU zjEzFZoRP6cWNZK#t478wkg*@k_0J3o#|(?b40FN^dxjbI2{Y`v54*v>iD{_i$t$`u z0W+)@Gb|4?3~x7@c)Rg^jTsh=8MX&A?9_KW!|IW-Ld>vd$XE$7_6!+oM#h?vvCYWX zv>zp78X6BP|9)iS=cs4z_(Wmil&%#!{KWaGcNB{DETBKkvXET0UR(cRIE}|jYm}PS zZKW7>r*kyVI17D8m$MGKyydTbafsfs-=7FMB=jpqM!Z~S9g4HE?>4opIrw!jnxlRixOFim|9lP^ps zV6uU!7N$m+I$+9xY54bNvV&^OblV_;>SbFQ-&lI!M864x0GlUe!2`v978~F)c z?R56bVb3A3V1e9$3$vnJtc}?L+ ziD0uTVSMo;vfMNxvU0$^q7e6r&$w5dM?dJnqydu)O!)nrgWu1G?q2b~Ogb<*V2=u6 z8h|MarsXhs!ejzd-;ZSKZ*=k-8{uY`Wg!8*IBy@?EB~jTri!hEXBeIP~MAWBGg0jYw z^jV%HQoWVrG4WgLM=JJdI`&Bm`{a&&`ivewh#p6$(?8-j*IQWluKa)h|Ay`OH~X=0 zwn={Wn#YgBZ`vNjt|7<2! z^S4DDt=^O46*kLc;F6N|t*I_t(}*@PH7CwLa)TkArP&l=8XuHH9aJ$HcW0xv_mXCA z^!!6&yXkcQys#X4lk>d@Q{&k=)VL^WvbO{20Bvg$`+#%WA_XKIy!+i{E9} zSfLTB*0kk(Z^5Gtf3MsSsIEGTQSPqx+N{IlxMk8i&M5BbcrWotp9czS4ph?X9S1Un zf0R8x-MwsdLu6Sh)n|IXB&4xW)zZIgy?M%$PLsf{^hpWg$dI2StMAu37>-f{$?Z@0L+s$%ZNwii`TE#1Ft$IH3ZJ<4g zOs*o6Lfiipem)`pk_NkIJWKE}qX?_vwdK|!3!O5bqVK|!1&IVgxx1Sc(!DSC1CuqZ>UArV>_17h@WMuecC z%t#q%x}y_I^yRGNVhS6=g;b%18NE^V z-H$?HR>yT#BMUR^23GRfAGr51(S;5o3Xq|0MJ^gYkd01T#f_O*l^*w7hxp0E@SbzV zp-l(O1KVEMNA}I|&#cR!-x=os|8t%|zNbvUJP*mhIbPzxGyIgmC%9R_4>04vUm&)? z{{TF|zd;DVKf^%4KgRLEKg_ehKiC(*Kk#S3KcJVtU1xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1(0%A zL3I<5!cH5^y$PY{@19H^CQ$$*}e z(m~;9tBS#p#Eu4F$z5N7()-8(s{4?E#bf3|%ZEV3)=$XD?cdALoB!Y7cfaTC%U1bb z6eU+`*_s=a@^$NY>*CdbL|!gH$@~t$(z$Das(;pj#A|Cp$(LHh(r^68<)5R_i+>N` zSHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V(xVGAqF)in4BO18TNjPyK0^}tEM2N>k z$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?! z1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<) zR*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBv zzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM z0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt z9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?) zmbOom+@)gpZMFp{RA&}^cqFK>ksAND+C zeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b%v@y9 z*!h^?@v}jIqbJiq2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesUKe4*N zKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh y)DQ!7>0wW(l7qz%WkbkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptgy7qd4 b/bsp2/Designflow/sim/post/work/@_opt/voptgy7qd4 new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD(M{(n>Vkml6>7)(wlg#u%}NiYecb2Ge62SEn5M5E}oN%V4-iD zrZ4vSS*Bl_jq+cH|<81WwpjNfm>e>O1wlScfbf$^U*;=dOd|0hQL z-v!1WFyen282_*le>yP!(?qcLc_N)`)*yVEpHd__qee zf8L1S9vJ_K5nl?7|5GFWzQFh|81WwrjQ^q$e;_b^#)$uFVEmVi_#=VwUpC_ZHZcAx zM*Q)>_=86LPXpt>YQ#Sm82>dR{w!>0L!SSy8}a7{#`FANZT-F?F#gYs_;rEt-!S5D z352VEkbt{^7v*?-=njf$@KC#6KDs z|6L>gNMQUCBmVn=@sAtv#{%PLv9soL{tN6ExPM<`oDVknb4z?5a0&K-Jg=Ng@Fd@V z>wS#wcj4~#-GURJ$2WW2HsbL--h~aO&O5~8zB7sYL(w@9=(i`(Z#vL#K-x=nsb&4B zQ}yi$^qUU!(|%GuwQ1gF^j}E(wTqwLqp8*VG_6MiE)YWSHewux_)P`+abFOEcQnv% zB^rw3wOQ)3QtT?RuNI48;Ku1M<$T#Ci{2P408Cv~cJ7c*zAaetu%a?+%RrXCwaM!1xnJ z{NsV~|6;^H9T@+wMtlwzlzhHxwco!P@fQciKV!tdHZcC*jrjh+_@5f_y949@!-)S> zVEjoV{yTy3KQrQgB=KB_7P=q!xe@=Lf$_gE;?Kgxw5|^e@jq+CUm6(yUq<}(f$_gI z;zReheD7Cn{=XrR|5rx*TLa_&+lcQ8jQ<}aelRfpIU~Ll82`Kxe@|fiDlF7G&KBB_ zzAu5dkYDJ2^hD^sFi_u#K);4S-qt|Bu0X%3zaU70dk}p6k5sGq=h+Zhrou74ONI&-SeMeb~zp#rI*C!vgOnDu_kdT(XDkFwq~S?`am_d?eD9_u}h^?t^B z?_#}AvEGwd??0^f8rJ&?>pg_^e!+TgV7(8p?)k0zd+T1_x^L%sx!OL#x*xahy{-Ff z>z>-Wf41(Gt@~o@9@x6yweD@L`&jFq)w(~m?nSNpPU{}ix}UV}9nJehqkpaYKhAS* ze#7sD?kQIJ@_4@F=d(CpGN1D$*PoYT{b4@wtosP-p2505u-=V&%dGR3b&j&mN7lK=I?q_=6zlw9ohz*K zf^`mX!y3Oh&d*^DB@}?z5QYK1=WC`1!FX@SKdvbE*3;WV?OXm9*Fo48Y>^ zc7ToCKCI-@jrl%jo0b-Rc^mzKAGN_E?gF3R7`e}XVqZ5Zek`ZoxWU`*FyzlqrZS*JJ)v)CC`4!$N z@nbpttnYcP@$uPx-aqC&;L;V|hkSV({fT!CEO~u?g?GRBv7COpjQV!?`0TXzk9iNe zbcOd3U*1N4;;n@xug|aW&WIn&>34_0+v(%8hrEBxd&H$HypQ?vHu@9qT3GV>{0i@3 z@nbptCJo-}e0+A+`^UUbx^#v2318kuf8xComb^Z{!h2NwSWdq?4c>J=K6}jj$GlIw zbcOdRU*1N4;=Kx%ygt9edtCfjPQP~;yx05q>!_4yUv zlj6s6`n}WOUGL+wr@Vj6n{)Tc72fB3c^mzS_i9-3`uqwnrX9{dZ7iqXT?X%O`}k~w z_m6p(yL5$jnc&f%c&`y&pI_l^7C)BL?{0&4gYdSbcvq%)&l5cQ6YsUc>+>tTE5wiG z^t;F4eVy>Org+z+cvlG?{fT#j@cR4;Z@c)hoPPHjyj{ZEnd04$;$0_r^e5iyh1cg- zc-M;`%js7(cyAQmt`zU46z@%fM}OkIL3n+Bg?FR)v7CPIGI(ziUcO&Uu7iCk-pztX zf8yONygt9eyH)&HPQP~>ytfGNK#I4N;w=gu{fYNR;r00y-cj*mIsNW4csB~~WQuop ziuW$Tqd)Q9B)mSq!dn(UmebGr{?V<%yC=oFH^uvY!J|L%xbf$_;qxoJQ{u;R`rU8T zca!k$OYt5^@jfJY^e5h1gxBX+c=wAR%jx$XgZHh%JDuV^nBsjz@aRvxw+XM$ukg-@ zAIs_YUW2z=cn_s`kED1X6FmA8?{|dP=T~?SiyzDBcM&Y_={_L*y5B^$+gJWBE%ueO zJ}#c8kGgbao_<1b=}+7T47}eHyeCt5Po?mV2_F54_n?8dS@4dh@SaKGoe(_w6VLh{ z&TWEsGKF_4h4-xB(LafoV|?O$`WC@^E`^svL-X&d^r4*Xk08!hZS+s#p~2_i^$1>r z_mBI-f6t|Yw@mQppTuiO;cXSXy_E`Hi{R0pc)b#5-HS>&A7`ikrLa8bGavEG z&V%j5y(sf}&ewa(Q*uu^i<N0wjrA1Q$OdU=N|t#pNjr&(NBNsFZj3)@pGTE zV81aR<@t54zbf~^E0B-xC&b?e__gS)_db{X&HLPb&vPG)``M1)xTnpsJb9mcJJK8< zZRj}Ox6wH{zIHI3jXHKazs9&8T{vK}{aDWWEP~~GB2b)( zxqFp?KPLFH&c^uq`8bv*>xJp9D*m+w{&y{k#Foll8I2>jne= zPQg!)SN)s<%R}&AYv8{_@U3-%wE%;BuCj0k<;Iljg|3(A<9>IS)h2J3U!}1XPHyHT$3jVVx{8qtdc?kYZ z27X!abE*FA5`312;NNWEzf16CA0GGb6#O|Ku{;F-76bp?f-mQW7=KjoSssG_Is=~@ zQO*yt?~n0k1fS(0_-{1uxj!ZT-ccV4i-F*Ox!qRSFM8XM-z@&#Hsp(v_O>DaDYq^(E!EHED8GI#r$yRP@@ZT> zXn&3I>d%k<@5%DqAmx(ZkpB!axIfa*_oVS(Tmv6uu0E`165r=n@bz;-Y5bSe!1w3X z`TFG?8|&B48>R8j7yKObv45rhk=!HX{rS6T>3dOt^V|xb-x#%v{~lP34?Z^T=Y9B% z@l&8b&Uex8`*a8M;TJz|f8g_5SzQX9^R<8Vb64s1xiGMOJ_x*O`v1`L#QOJ=AMHbb>i;93cIQs&Z)O_(@W(#C zmF3L;Fl;sakNEN!|D!&xK!4&tGruOuzfxT zylVPC?s;PUpFo=JLx1Yu@6#R3r~YM3qkTT<^IP{z{sGu(_z(N?82{5q6QBOXXZ?`w zV15!`+lM^W+J|w;_E~4N&n1EFGY!0I`akP=V*Q^(n)>Na{h#;g4(3xob+dgQ@%gPR zXZ{yqtKrZ1@)-Y1KCVE2;(ytvJD8uuClBXO@~|Bi!6wHKvwi4K{a^Fx4(3z8@_gOrx3Zl1-+--#|EMpI@wqM$pZ>)ErcZY; zKZ&pHLmsw6x_ubOd~F~7TxR!sVJAb4epsm_;)y1 zigD8{>-&(-3z8rG1`0_3EQ(e_`(@)FsKg%!0FY0EyCUwhxWS;I>_)$04FX~>O(#`Uu?w8Sj0o|AR z@-6gJUDb5cPs{N?%Ukh_y3e9bwD$!KF z|7zpp<-U9i{isX*(&L1FN!_nNin_5ao}+t(q5H4lN8Kx6+1^`Ix>=soeFgm&(7no+ zZ=v5py6KnHeI-)V4f;8{FE(`l4g8Y2M^n04p48n={{?in`SLCFTSzzklDbzTMco{) z$#JsM(ESAbsJj!E?OjgkW_eQg)%0IL_f@`p3;h<-O~0h>HAqqS3uzPM`!YlK_uxm} z>tU&TDy5s{N!=YtFQoe#U%rKY3+bj`QukVD_xIsP-CeNMy+5U!>yV;u?i^KmR;6UU;C$&N*5#z==p3II>Y9}+yRxAu$b85bS>2|cWz_RCWe zUl<&A?J+Ul@5V_6wjMoi7dz?CGTUxJJ~aWyA|}~EQx-|^H}N5 zQ8zv_u=PAIBT^q(ugitvPS+nCZ)``kmqHk7A0;>JN=W~8oh!0(Na=R_dS_!-uR-xDE^yyq_0 z8rS1zSRZ~bggo-TyCzTna4-BT^Q88NTI~pC{_w2G@%an;@@_!|X3i-P33MZaVk z{o>AbfS+@&Q+&0Gua@7dU_IpX59L}s1#Fbu^A20jIOg{#$TJmKALVJ!Oq6tdg{;f` z-UNAO>f~8nCl9|TL7t<5Jbl}@%k#25eqKWA!|y|o=cMqY`#qh$efu_dUcV=GKc^E? zpUIKoU4C2-@2aOh$}<|sQ^11hcwo9CqWNr{^kn z9$kA?2LIGE?l02$R~z|jjQq7m{9fbj=`wKhWJ<`Z>?@o|0OP;H!}T*pb( z@^pPI9@W_^<9nji?&pWpb62Uy-12!kvC&EPi={*NLt5YYI#$=vF}HkPdtf6JqC@vf zrNL2mAKC*UZ0}xNq`3HW{x%~Y7Zp|gaj`HbA3}+bjy))B_ua^J55qaeMj(DFj=Jpe%!AaNeiL|RnFJ8Q`UWI|4qO<))XEU&@ z_pU+T4#l3Lo4+!!%rExKe3cn<_sYrji1*{V9&KFa>suP{cl|#x+9S_@!Rf*=(cf?4 z0+0MX!y}HTZ%4n=H##Es*E{@uYXZlOD_qa{^|q(rbngTJaryz^@BolZXOQpan~EOA zaq~D}>eb=j6BWn&dQsfzEUMvfQ4N27l=lLtMxPtE6@C|w>lpu>)X(qgIgE*h^#r|Z zPtd#h9Q3X|K=0FN0QVdWjJWoS*A0E&CGRmHBdi`VPM;rVy><5Iy!b+W?HI?8`}&Wa zX*-O&_CPnBA%DMXcXUI2<51QEZErmHvX3yvjri-i2g|mqdYGCt8RZl0_)&+_lmbDovIbDx}NT|X2H{Z2kDRx6<>f_9Ld#9d3S2pO57H!g-$W!+CE-ek=pd^7AK5!>HXVY0N(^|G3!wsq~Db z52x~vCe!+!Ecw2Q_j&w20oSvZv%Rh5*Ba>8DSpbQxcZ(--`Dk`zFdEk@0++T^7|9i z)g?H6;-~)leoNE(9*gbA_XqrZE91K*{&tDqE_R#Ponm1!Y_Ca)8<05VQQZ^b-*3cC zo?+acGmP6Oap`?QJbtke;Bz-`y!Mvd^E8wxxpQpW$f#T2+j@)6_K)?h<@0uBd)w`_ z6TM@8{U2X@CDZL~etqn18+YsXP*2g>ZEgNJ8I$*%lRbXlI5ITu;&u)6p`G((9CPuL SyZ4CbcPX?#h9iEKO#Lq~#xCvv literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopth35kwn b/bsp2/Designflow/sim/post/work/@_opt/vopth35kwn new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopth6kbqj b/bsp2/Designflow/sim/post/work/@_opt/vopth6kbqj new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAQ#;{!!292Q4auxDyU7-+gPz`U zv_ap<3H0;UYhgOLXe&dZp);96H@UPRa~F~&&1g$+y8NVdnaUFI2xcr1l`@Tsm7N|O za%D2A)uXe_Gsmb!c903mm2LshDE|moR+H-GREp1o`J6Z3>Y?Y4PfxSSvb1U3;+~@+ z9Ik6Y(@agTu_H*F?pPzam|K~M;s2q93wPsAxuFfxRH79yZPoMecCg3%%d3Py%FC>SjgKMP4vAWn8j zfr7DA8WfBS8Bj1he+74PP`XN{p+{1Q2S0GwA|4NW$XXg>i#{A7_w&YVHQv& z4c|xd6+h%-2Q=lf-okZZz(J{<5sGtL%(hS!9oKn{8b$_c127>-&$40*h?$n9hm^3P zCqP9T=p$(#B;;FHw0XPT`}LIox?hSR>gMn;5pS$x!|9x2e;WvXr00an!lf%VMx8ac z*8H;X0eq1@Iz-M-j?c6=oRi~8qdUO>fpV%nk|Z`tDvZ#LfjLu;vw&Qw&iRjcJ%U)? zpZgSGZtc@dL8E@EIJpK^2TadA=PztEh<2@{LW=s<9W<6kM zg$!(ofWdj<`$sNx<8$pfS|)6WZT_JVYem_s!^}ZLDY}$M56sTp!WUI{JIYZby+mKj z#K4Ml=}DFwy8GWXm*0!l6?KMLs_b+T7XvFL-yBem*tGR`C)0PXFNttcCUbq>q1`&D z3s##V0#ws5Y1;xh2WR--&0A|P)QH^+?`7PXLWWYbp+REIMDjkg_vnKWrabt8~M{A`>g^u}W#tZc&c&=2-kK?`HgW5w53l=tZU6{P%?`JHb%tHk#7((O`5{MB49N{^Bm zbSUx+$w(!NETWhHAiulLuTe;XoP{(%QgLFlf{*Uen10}V!-Pz6KlXl6#h)~GVShb63&2G{2qi{mC zC-zaZ=)^?drR8|)0hfg*>zTpjmzph`0%sdsw6}_s$3C0o{kr7cGq$2 z@Tx4F_4mdDO-;UzmRt6NR}oN>oA57i8S6QfpmudOqfE7x6j7yL(+j`5CjAXEqgeimcwFdQY?p@z`|-h~K2(XLcb7W@8NZPhpZoR&;7m&)^x_ zaE+?MRa26AGy6lVm&x*PNyCjw9F@9x@kNh2aa8pu?M6+?lODBP<@IX~VKc6Ai+zc8 zGHqIT!(+mgNW?Q{iYXB?g?zqc{Ngy5v$IjTXKK^;cjkGQ>_49JVztKPt!FS8pL$%J zVXsCbgs+SLmRp22w!oN_2zT*;G)QfScr}@fCXCYkdyBqKYCABcxn1IvB#F`=sfaJd j+UPGaAGid*vcg*|UR-u*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

                ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

                YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopthgmyy6 b/bsp2/Designflow/sim/post/work/@_opt/vopthgmyy6 new file mode 100644 index 0000000000000000000000000000000000000000..9d3073f6c0bb4b34ea75a1a81f820ded58612e9c GIT binary patch literal 1172 zcmV;F1Z(@#?_vv)#IHn=qwxoU#IHm+lK7P}XEK*o?@$GLJ$xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dQrfkF+0 zL&Zo)$I9oCnv~0-iKV1q@}nRv^GQh69aUNCxE2JIF>P9CBbvg|NjQWd0_4vEM2Hha$WU&`(BX&B;e$uu zgGblwBd3^N#Kx3rd0m{8vijsJV({CV4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PM zdNHa*wIUtJDg|NC6-tZXYt&7Em*~?#Z;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TW zbdw*TsAgwrfB5)7U{GIsG!+rHDE9X(5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQ zD2R(J5l~(jV&La+gn);jNI_R*zpIQSjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS z$Cz*k6RT95xXKX2g&@7gOP#OG*LoM&@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-* zD$)PnSEutYDuX^A6oBeIJPE_Ri1u*zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{ zRbzJj+tN6A+7qK0{ZX#;Hodl=A zdr2O^x6(|&FXhR=ABy9^e^idZzvxH6Kat14KVsLcTkM$MHA$2R_XwT!9P)#zd8FtR zvtZ|FCOH(A&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7 zi@;}d%X=3+bASEDQ#Uru=h)+!-KEsARlZ0f1LrLSMve$b%v@y9*!h^?@v}jIqbJiq z2M^Z3PG0@M-TaBb`?*8Fw=?&^FDJgh9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%D zRkF{^ChG!9m@V_?B1FceEM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz% mWk+Joixdmj5L`djcP<~HCs|C45viG{mJckBJ&_dTkTZ&J;)D5p;#_eC`m+hE;=T=RjwQ=Wzg-a#% zbIg=_^y$>4XeFYX$0NI!{z0;qXc6PZVPwqwWU|qSO}?mHA$hLz2;=2g!q^{57>;7X zsEHzs&O?MDSxXp7U-CAXRFGMv<`#cXY0H^jIKxc6R8PmF#(~$~*&s>&y+vuquvqHU zPj1q{^D%WRt4<8jUmDXOkL&s@cD{Hc`1w%g`&2{Q&_5cAhaKOVsx-CkTzpT^XxGcD zy_=@w|GjTd_m$PRqy~>IHI-UsajAoo zet^I-DwUdbJBUnLbd>PtMo9~7>!p)M%~C6Wad7gva$3`ob)61RHqEQj^LgDK`8hrL z`VB|koO$JvDTbev_6*OZZrT}1S=qr-qjxLSGL1J*@Sx5%?s3oD5M_L{@Al}U*^4)X z(--umY0k(FVQbKH1)iGb)O+?^Y3Zv~QWa;K+6T_S%=Y@$Dy5%H*aN)u;g-Ig*ZY@G zn|-UGPuH?Uy34G}`if3F!(d0UF{gAKm!*2qfuBki%I^AJqZPzjaf=<4MNg_(?6!^q zL1KD6#fdH=!rTVJw0S_}R>eeY@rne`OeX2$DH0u2PV!R934{NhFl32@LE{q!H-#`n zeuTmPlQ0B^gh5#l2C>3Y#`3}{!g`KX_H}jO?;}{jSV>qrvCd#=VFh8uNL{4{()p!z zYJ$z1XAZBfs4CyMf$g&*Z1_&)Y1j80jGcYU^SZ9(`=z_gPK~;vGkmSV4!Z$P>9u;6 z>RU5@D%A@c9X2J{cEENOwsEjU!WIXc&v$6!!)6Fu9Bf&zS;D3VTPSR~uzCH!wh-8+ z!*&3+%dm}xEeN)wutoi-`_@{#jqh!&kWd6})cXO6(_=4h(fJctNQgoLT>N96v=M0|)M z!CsF@dUpkhzW9;kO-e=9{E@ZW$eIbVhJ1-=$l7{jtqEDXhP?b+vla)}0=sZ0sk@PW z_xRcynL%AsbyCkP;O$hMBgu3d!3h`4WJT{U<%cINmQ5kT()qP zz*Pm;TZQW!T>5Z1!Sw*HH*oEPYd2h{2BRA*DqLLLeYOP546!jTH_qMH_*Z_i;9Sur zYD`%c@oAVt`ah49Rz9WISuu+FpI@2FG)%K->uHwUF0QWVOahm!y7_m3+kP#o*^x>)BbJ#r45(T54>L?aLs|M8m=#J9fpeu*I#gvA924F z^VyTyv3aIy(9-d4Detzu3Oke>pApD&)lQQ%`TKI@tuI-t)_L-=ndRiWis>z z?z`NG!JcD^`Mh|!ztbmmb6U>2zAUqj?1effP4sy`YwwiY@XzLKY;|VM@fgmpwmdIm z9Tw6)dfReky?r8D7F;vn(tvCI5+dxP6Q*=3k*jH=H$33_4A%j;R>0K_*B!Xj;6gWk zoB6y5u3osV!es=P3S2I5HNw@baLtCR5-uOO`r*0>mpNP}aA_%Ao^W--^*dZc;j)FR z1gX5Nln9cZagZjN0?z&ieQPcdCvVGIT%Iwt7zbp69{H^gV zJEZyJt9(|3vo3$n@MPI&`5W4Xq*!j>wn$O-@w04`P#b~PSyPImF`WoKClTiRl|+83 zmWY+}Nw7l|&f-&?#WuK3!!-deAGqGag$@x7AI!VrzrLg4vWDwET={Ty!zG1F3$9^s zo%qpQimtbHiwv=xyk(Qw&clg1nchsEPH&ThcQuVuwoI2bM)@>fENYR}-JL-DSP;#X zl^hY#s-4*Z?>YpDCyr2@-N8h7^)O*h4?-Ubku5)D3vV7t%WwuCW3Q^PSN+&4A@=H< z^r52XXX1OMZOB&D#1%=G{ED`Xihp=K(B)ZZT4Uci-{$(jCf1C#8~FuGrpTgFT4;B| z(zsR`_9Anw0=9`?pFk`A48?I-OoWYwg!zjFkuSF<;(`(A!wootB{+jcaD9R6GF-Fa z8ig|$h%-2--z$pmFjKhXa3#UD4X$LkcyLMJ;wW6ka23H70oOISOyQ!z6#!SF!sQBA z6I`)y*~3){mmIDnxPla}0k|&0WeV3fnaltB4zmfgF)rLHFDVr#71aa>ed$b3$&p0! zEjW+L*02^#RN{A>m&i=7rqae4ZQ%y0W7eL}|KkTD-*4DUB@@qUw{c)!7KDgS}{1|1ojhK!9w z#+;F{24t)s8LLFbtdOxE%=OOH~aCs+idvTCz-Wx!KwlRcRvXjWG?hx@?brPJqilp!Sg7?u&=#5#( zmm2crhu%O}aF?Suo}f2s(Hl+w?%w#vv>GNFOk9{mFl~Y<1|~z8@?p|@4wD~D$6;Cl zQ#DKtFtx*!0n@PW&twPFIG6%qItEh!Oh;iF3R8??pA;qzOsO!fg6TO-4`C{WsqOpR zCuf+nVDf`09wt|qUc%G_(~70or>8La!t@(VUNF6eDHkR)m`uL6eQK&*>F+_;7}c)n zX`j5Q^A#^f@{8oBAzqx*#tE$I`+xF{RUgZ4zW};br zzn><;p%Vzx)`!T8+i`!-!2Nv~?z!Q(=jLHn;eCd`23f*=mxlZ9;J&LVvh>xX_tkR` zo?duvDLq&|dwRAcvaYvi8$V*)Zkf`3I&E3S5boVqp`v>SuCwp2)fZH}-cB_ixk!W? z)d};9KauCA5wVQ}?iGc&SA533;yn7n047bCTwucQ=Un`LK6v+v|7FsJ$pL#*2va{y zSuiby$qObkn0kLCQ(uFV|CmU3yEOYL`Vo~sZ_j@7XD0o(1!FY>jU$x%wmwebxY~SN zzNk*N3TVXR4}A)miI4Nq4VcXl~pAiEJSM1MNkhDwQ>UE<2_%7Y|Or;G!Hu)Zcn+D=xn0}dtAG7tFDi(=ZV1k>_f*Yekt5_ zLG7^M-r?ia{SBK)#iwj?VIYtc_`8sjLv5tmE1q=ptS9N0TS>J3agrAmnBn^0O~hvI z*2p83yK{WPXPEV0Qr5Yt=fX3OY?aV+;sc`B8&hnp#z^yo;2ipZn%UUf-a5XEnt1!> z9+cQkr2_K8bErn=yOHLmGjr(iF=k_3oOFB}i+KA4MH0JZ)qZ&w4jLWIJgDM1vDBqA z`okJkUaa(&P!^%=}E4~;h#?ViW1lizbk@=hoCNJeaaptNRx1y$$RpDFsI z^!e$oB`WpNr6=f{r{+sT8w%B}14`Ffrq*H*q9P0{}_9_gdqZ2OzLOl*{1&f?ABx&OS5`D6nMv%Eu`xbG|;*>sf!CvrgPkq}{_fmwt%|k5E0w&3@1Wa(%Hs zzN5PLl{X)!!n-wWjhH{}B(cPkWPQd~(v$dF{ekGVJ86sShl4T7#6Q0u7FGAtS=~1K z$9e3=8wqmx1_?5zB*@qz!D2b0`Z95USM{Gd=JHyI8~W0pq46TG$TJZ2z$aC=J*zA6 zB)yU3lhQ0mX_od-nui=OrCG}nQMi6S9?;Ly!qSzYPJe%z8g zQ@Ap|DBO%;d|_cX0XJa6*uK*mwKH4H&pCZ*#I8DCY^{nL!@*!Az|aQb;!bw8ffmHwt- zeJJs+sP4Jrc6_DMuQaShzs$PD_j~j!5d8{VRo&O2JKP=LD0TPKt^Co}i#64G$LPeg zK=Hh!X(aPp$9yIDn`JUQnG6tqv_n%sZK{BqX|zxbD|f?^C1KrW+3PCs-d; zFCr{+U#TlU?+;|%G5vFMV_oi_kD9lJ>RdKDll~zgj_1Y!<*liDZ&|%bKi%A5y>${~ zq~YgXzeDyzKTy37jNY`Lj2?9q?hhgSKUDsIfegw zBFps4Mdka$3|~*iQ{0XQh&LpU&nn*smT$bj_C2S3f1TmmU;8d8-^a>F!!F{yU8egP zk7FR#OW^bBcbXmyc%8xXAYaFGLlH4=XuQP5{DB_`e&7qLvshAxhI?G>cklzj4}4K| zUI^5oX&~dDrh$7-h>{F?yg!5v5IVr0sa`iw59=xo=TUKffFB5c;7h8r6RLytG#Zr3 zAKwS~x$a(|4h?^~kC%<-W!1SKsF>dkvj|j_*v)5GT)j*x`=0NUuX*6hK-W1mxUp!Y;XBen6-H+~G zx4{oYzJS+MXGeADHNl?i8r$9!uk|4Ze+ul#7I5674% ztxTTt+fg<|zl5x3udCiCfqL;H>itUfp7^4hKfbt7kNZ!u&-i|=dd~#v&Dy zE!FJ?>f*gk=s0;p`F8^OaUY^^Wd71HX=9z>=SR#ZAm_jMzAsk2dx3hmPwZE3UG+W+ z)H_S<<@x+IMU)Q;`4v0!`}lm<%eKeI16?1VuO5CD%i6=gtlybAc>^zSUi`4L__*p2 zJhY$SXXCJCpB>ug)4_G0zpvivgU6?1?QJKmT= z=HB)7s;7S0Q@`S=Uv>4jGWUMEM<3$3{aL>w&mqXyYxE26YksQutl~?GuPFXp@wy^G z#P1Cs&(Dm{8>fvXxtUdh8`juU8=EaY8ShVYny>81k4qJx3tw;Op zxPv{eqip?s_0|qNJ{@as%b_~(^XY&G2z!))w%(R84(90~ZtD*npzk{T=jp&72wsc6 z>!_cn13uWJY|(e!mp8;2$}`Und^R3myL|QYbl_)otRKp-N7;wg?y&M^^$#m=RtNDN zbl$8E@@DG~x?EhZ`Tf+80^|L`-_8EuD^$<>7sUN#Ez|aJSN&t2dcS}?YW-gw)-IZ>>>5^Sx^w| zME?-Yu6layD`n(+Ny}(I+J*fb>Vae~=S{JHJ4+qH@gNWIhy7LMXFuMrzpHUAs-Ly@ z<)NYW%=3B7!!>Om@^(erc~Ozu&HHEcYqw|M6W`AFV} z?%dJ3^UrT(a(-sQ zKYRXF-X~1$_CBYIb5XN)lXInp$DaRm=ar`ZV~M+PPSg0C^OSm?X!`dEXlF~lhs~TD z_uD`8eETHM$=^N9=*amKoR@xxy*=0M;+y3aJNhiU=Q*@J;x*@|U0$i*rJw0orko?7 z*G7`xPA`-3kaQ7;I|o$wZZ6|+GS0|>$746JOM{`bYbovtrlYvddgrT4HyO9$k<@RE>*u*#U2^B&@09)0o zY*k;KTD8fl?W_dEYFVt(sntfc(%cY52V%9tR*mMws<}*7ZD%DQR;y%1cFnbk9p#~t zQvmd1xj{eH_%~{K-dN#cn|=@t{UFu6xynB-@Q-@6!WQh#-h7$u*p)fhkqOy@ZP}?w WwoR9+>95i(PAdK9uHIRVqW=Rp9K0w1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopthsenw0 b/bsp2/Designflow/sim/post/work/@_opt/vopthsenw0 new file mode 100644 index 0000000000000000000000000000000000000000..c709f980fdf6297f7695e46a586e8d6d5f8215f4 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx z&$0bRUH-DTA3kox-!JhTCppBaWjjZibK>|<81WwpjNfO(e>O1wlScfbf$^U*;=dOd z|0hQL-v!3+H{yR982_*le>yP!(?I3H~C=a%?B;1cWud0si0 z;7Pv!*83RU@50^fdj%&xk8k$4ZN%ewyesv-kNeIf?hi%hK%n1Lpx=Q&zX544)uop8 zqfXT~73g;$&`UpBC;sA1}E;#?McT_}zi=|7^rR z92kGXh<`jV{$Gsvrvu~v)rimGf|Ad-wwqeG&uPDZGvY4}jDN<6e{Ep=zZ>!Wf$={z z;&%te|A!I(slfP?M*MdI<9}wv|48DwzASV<@N*;nKLg``VZ@(>i)mdS7UF-_h`%&2 z{=ba)>jUF|X~c)_Z~5M@+Wdb*Apft7__qee|F;p}6Bz$LM*Lu4{BuTpDKP$dBmSPi z_*Gb_b(}4%8tWx5_?~@!V&^xF7BlZ^J$jGVznU?Pd54 z{P_EPOvC?H25{d<+#9ja^zSJfnRGmS0l@Q}fA2Uy-@iwkpYPub&d>Mn`R3>Q_jdF1 z{d>6i`RiT1=jZ$PWb^Y|Ss%2o^`1=1<9#;KQ!j`y%_yiep1e{wcdkS@3*Y?R@VC{>phe8{>XYS zWWDdP-s4#BXRP-w*83FeJ&E=H!+Nh_y|1v|Ls;(@toH`i`vB{n-@3oI?&YofcAl53 z?Gvo~aqHgOy3e-msjd5G>t5NqFShQ1t@~Z;-qyO0weDH1`%~*))VlAq?lGwIaQ8?E!8bM=oqMeF zjCD@2&L7sf!a6Tl=KwdX@r&d99E@|fVZu1S0_o&Fi)rq&^nQ+?AA17N$(THsy8lA9 z+lO6Ai~Ya=EIw}s*vRd}N-o`)?{l_kY0;Or(I5Cx8!X~3@cE6A`}`;Nb)(|Pa{7%M zyzM?dJL&yn-rX);;l0b3x6z+?t6|CO^DDe%@nbptN(S#$K0Z6;{bSxeE?wb$zb|j2 zKk=@HC9lt~@J@>#%jsu*&ufj3&+hg9G4FntuJAtO%iHKrylY^|>+>tT`^1ms^xI|B zx5LM04|xBW_n=ExcpvfQZS*JJT3GV>{0i@k__3URcNn~#K0bTM`^UUTT)M*hm@jXm zKk=@GC9lt~@E#UFmeX(2;JwbrXJ@^C%=@HES9qWB+>tTN5zlj^t;pG zUFYMo$Gm^c`?O0}c%Sm+ZS*JJt6<6N^DDf^#gFCmdxyb$y^qhH@cuFHvo2lXea4r! z(Vuv~1xsF^U*SC|ek`ZoI}P6TK0bTO`^UUFcb{D0ea@G+(Vuv)h9$4hukd2p;r!Fa za{Aq6@cy=s&o+4fn0L8LS9q5R9{q{;8sYW%72am?V>$iqHh4D(Z%c}IWs3Ja!J|L% zUMsvlzrwph{8&!Edko&!32$qPcTI|SmEh5zcsB^I&#&;diyzDBcdx^z4)=5er1FAM&a#B@oq}--XwVRC*B)`*XLJwH;Nz2>Gv*!_a@=x z`^Drs*q7qnEO_)M-p#`6^DDet#gFCmd$+-Ri|`JlcuOhXqTtb=cyAP5pI_k}6+f2K z?>>Wfqwr3qcz36G?-D%v6YovJ>+>tTW$|M<{jBdF-736ODc(IP-uDY0{fWnoKj#gf zU*Vk=KbF()extsdgm-U>cYliaA;F_R@!lf5KEJ}dPyAR;zxNotZx!AHDc*xA-bVzF z{=|Em@cR4;?~M4doPO^$c)Nx7P>T0RiuWlXyACC*G%T5xnP8csVpQ|E@|O%Gv%1;(XOc z|0Et7d=6fZ;5B&vxIg^&Tq=0W1dsknyoMCsR>51I!t>u-so=E;9{q{eD{S5x?v_*iPJwGN0#sy|+9i_ms1!8Slw!eNJc_qB%bGb3S_R@t^al=b118&#<*d&lSiUDhwHVJ~EXOm9{3uQl+;1z+}wG5$uZv((S>5d7;5 z{F30yzAVO{7JQb6;J?zqpAh_msd3Bq64cM~5d3up{w~3neO9dhsNl0a1pikuzjD3f zI(G+tW89dZ)uTsN5yzGWA{uEM&>eBy0&>sn)8*Ui@$^_he>$1B@CIgjh- z4OpJ6k2PL582EPzetNv>=M-2Tg8y0r{~dyFtrIK{!N0-4f2ZJYN{y!zG7qvm1i#C` zzf16C9~qCQSy_iz9)kaR1OINpe-bv?zb6Ert2@NY8k%YvUv^>3HpvpfXaBb(vFf(jsM~r_#kujVLg-hKEHym zpA$;szoZ7fKd;W$FXz};zkc2*jeox2=b(@MEA@}$9wG0~-%U&3ivpbIR`~qJs9pT0 zU@<=U*tnnf;Wx%lf&Mt(MZfRU9n6Pc{Ji~v&u?Wp^FIJv4gUdO9^+5@xB~r&|DaEI zFh7ZpYPtR;5Ax%4A(E_To^j0A{?*T2rQ7Gi!1nnd@T%$mL(dcI-$Q=15B;hCk9^vl zJE^~!Y4pP%`}|gxGylV|)$l*!%VYeH`nUr9iT{vKcQ8MRukAw~w!HoOriS>U1X|@mjsehkOcQBv&mobg@`J~Tp-6#3`VXNUk?8{^P zPa{oy`V*h^L%M_cNqlV|@>FXd#wFWlozXs*1h&rs;8oNASudQ zjrO?|K+ro}YySBP@T%!Q=y_uOUqzbjLx1Z3nooBypZb;O>ps7g<;?#EY&HBxeR+(} zb&2@&C;m5mx`X*id~F}{upQFv!#L(^`{?H~)6dt-0^8?Xz^kVJ+ny)Ze;8@%r$6<7 z$ERDFPyOG8t%h^Nm&Z7dBTXFo6G!VyylVBOf3m)M58AraudiEWd}x~cjKscV`GrVD zx!i4V&n&{f!@*LFn`T+xhjd<${OB)Oj`L>=EdKsVe#P0`r!31)xpdP~mP1c`{=A6( z*w?^dTvz_SJjVyu{}r$(-$FmtML)=XzZAcyo9&v^E&Gvqx@X}>-CVz@dwohb z%agiaM*jtLU*^lV&`)($(@j4u$NwyE#V_hUi#E~T7c`JBJ0$((`Wflyui!`BD0aFx zrgXDBsrz#JLpS}ajgy!A@-6hEF7-=~6Z$1}zXB=h#tDqp^ZehcZQUsCs#NKrTF=jguJ(ET^?OX?m?>1KIScRT$T(B0{dt9{o z?>c?W>21g#Mk&`1`X}|i66t2>-3nl?A4`$u_gv8&@NdW;0x<7WKIhU+OVfUSo^8mV zsNvTlcrA+-##58j~agdd1}a?tl_s_@LJJc3$=?MFAaIN*Ft_9 z1#fQ+zi#oHt>L#-{2H)vN%FFvljCU}QfFg4F*nLAF4Ha%+y4J(hlPJc?3magvAtr8 zVqq{&7neCcQNQv5KkmvSqy1yKOupP(8XTb=?iq5nuRk-g2x-Q#o__pREc0_xU)sJU zJ}u+Eth%;KyjsQ)kK=|qo)R77cMccGKTw5#G5l-s_Y1!~Pr>}l{J1TT_3s!Y|9Hu_ zM^B-5oasVuUq3Bm_0ghP`m(UB2ivojuI-{rp5JmG;#hy}pHeDakTi@NR|BwYrwyWW zd}64FI*Jn|*Iqq?!}zCK^5uzAX0)FE+9A4Rz9?(|Saz@IxJz_^-{~0Y9p6d*3~WS; zA3hJY+GRlaWgaT)IMs2(_elDDCCAGn!aq84hwGQIo(Wg~3~W98gTjAE@U-6AFREu; zbo3|muzuPvPf2`XaM-oS#CX3OCmGm!^t@g4$UGYNN4zvwB79*!$M4?5pzzwD6y=@F~#rt_pecUoQ$KOJv6Z*G(P zSy=My7QT^*l00WUL#)RLCP-Si!PXw{L!w{St9X7=eZ=8>atfBbj|uN&&j{{T(2u+P z{9MFD?f8c?gY`V`!@@7?U|Gkt&Oh3o+HRUZ2t9oMCdF#|>GPrOsQsY%Y7yqlvw6an#Rar8`I6_{_l8^Sq2mePq2Z7m7Pwe{j699o3RQxlZwWDNM`z=O>A8@$h>nb@K3gC*&EZlc!K855H$Zo~b%{`s(E2 z_e#ifAdsiGP;~p346KfyMTpmaQOkZMu3CPNgz4E(p5llb2Ys25y2b&&H$t8hfjr}9 zSRZ~*ggo+|yIgBrkDp!et>mbimIFDN&!Lzy^9)6F5JO=`K28Va~eN)Nzi}r(B z?FY5|z6SAU1M#tscKg!a@q$~YhBJjhoj1AfP#(3)qqZ!3Cj$BU`gb|L;+P)?imO&} z)$;ootWPs6*V$VA4}7=okBrUTUn{;^#aGMkUy!Fgkf$&*w9T#S*vQi=u3E)ad!OKM z6#Og-lIs@zl4%l7zr38@di4?&)j!jtazbo%z~ z+uV5#)8@|P{!#m1t@giKeoult&A33|bCbqRr*T~N`#RWq#sR-SL7w(N9$o)eXNqI> zj01kJf;{}3PDp(wM}~L#aXq}Np86=yXdq7k3#Q|NJrkbkK%Q~qK12Iot@giKt~!}?Bg2_-)_Z8&&%?u+9U~mC7*{SX&MyqO_ClD; zN4Ze?&jg%;ex{(?JYSy8CZ_1(rBN% zuZYh#JzAfU30IHI$OL)Pe%k{53W0uoHT?8mCA~hXF5RC2#+}QzrPiN>pTB>o;g{GS zx%RPmEAwcN>mMxNbJkbot+el$>xUh(Urm>9>sh$GP@}xhDA)GW`%R2Hx4tNU+v* z@_FrnjZlaV-7l2}N8NpB4}`G2dvTHC;?w!tjC@>FRQ1Qj!kl~vB|eTDemp6@s?g{_1o-8kRWyWB%SJ1IzI_js=YQtJ~Y%yntn+hj?5UIS=c4sh0WNU#R8xj+p*2 zK1dR>-$*}4#Nj;e-%lWA@b|3=95=3TJ?Gcko`Tc869B~N2Y|x^ zKr)>{zMF3LRPon|cb1*RC+ACf+^nI7S$AFBmdc-(=ew_8z*`M>` z3-z^Q96#>sKX#_=Fz(s|-EfBd{jS~74fTyfSr4?m@!ZQk!WcK=ujd{t`>tQH%sJij zo|hE9uEVwbV|)Z~T@x~;=I_+GCys*< z@{a*<&ivh5bnVoO3)wk1xInGyj|)%ctG}*`(9z5IM7y|overDyze~?~R{qX?a-Mbl zP%QL29mRNG=J(_MV}9LA&#T&xm`-=N`89n$lJg7adA<+ly%qVf3^>crpD+!hcB`Z@ z|G50)V)v!eGm<`>%0HS+>wB`~`zqe&@%sc^&sxs*ww7OOpkJr>DWBr%dn$cj*Nggc z{Y}1a;=0K1Pf%Bv;Pi=~`s@2GP3wCswjbXg@bj&V@0R%6C4RfuZDMzdg~_nJCM9k_ z;*>{qPl$iN5jS~;aZ_g)w^!oQ`+|7s`y|?aKDH+i53y$Nc&~zV=F{+uQv5*xNSl*6*R7qO;rD{BtrU?>Q%X{JwEyXxzo^ c8t6ki=gTUtx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopti46q3s b/bsp2/Designflow/sim/post/work/@_opt/vopti46q3s new file mode 100644 index 0000000000000000000000000000000000000000..97abfb898bea6d6659b8876dc3c10023a76ea171 GIT binary patch literal 36904 zcmcg#ZFF2$b)7dJkA>wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDX#Z-$$DPPjjbGP#KP7{|-F zKe#KC+roUjA-?T;^iQ>UZI$HfzLMU2?pt9`pI+98VwYxqlxbSF0`^=yCl|p&-!@HO z?DMlszcd@=zYNQH`a021f9P`073XLA)9*s5&+X#>Az24_pOTz^u1~Zd-#4NdKTYxb zi^Fe@s|b0PaE+af$^U;;$IgS|2ZT6 zt%32MH{!Pk#y?`jmjdJe)QG^b$pb`Jm!1%8k@y`Xuf6a(L3me*y=l|aqOV#ZT|i)arej)}sLz2qAbIF%CogrUL!AF9^Xq z8tAtY4aM==EcIC_c9qyyC+f-b;V4=_|Wt5KN<1X`MBHlXXSkSV#{Y{E|8!vdzZ&s5Tu}1)uGN13X2f3{82^kB|JuO#e>dX$1LJ>c#P1G_ z{|_VnQ-Sd(jri{b#{bNS|B=LVeOc&!;O9pCe+I_?!iYZ$7t^{vEX4n;5r1i5{C^qo z*9XS`(ufb;-}1d*wfX;sK>lAD@ox=`|8FC{CoukhjQGL8_~(rHQegb^M*Ka2@vE>< z>o{9zKlQ!@-a>w%`_U7j`@%qdCj$K%0(n~l{kj7ErULh^qk(=ifqtVHA0hoa71+O* zVLs%(aG&_=KJyVte^;#T7j>VxRrZ;T=ROn0{cxXn8}^BiiJ#nUFT-!($KU5;8veHm zUWDe(+=zXqe^1%SBU=jZ$Pg7fqJd%pSk{=MD&eE%M9e*St_ z@A>)uJ=y&HR@MjYYrQ9v@_66P&tY)i&3x{=`T2)dyeDHm+q2&HVJ}A%--lfe3%vV? z!k<^*Kjddwo_rtX&kv1xeJ@5omY;BZb7q#v?t$R%Ce$u*kH189Q{_?jx*w2J8O7x)-p{`_?($I-gtT zZtFa4os+HeuXV1q&a2ir)H+{U=SJ&1Xr1${^P6=pv(8)AIm$X8S?3<>JY$_xtn-I; zuCUGv);YipYy9FkKL_L7ZJ035uRuDv&tjVUEWMxO=f|GFb228+rS89w&3QPwk{0`c z0a$$A4zQ8ihm~BqG2iEG)6$|ZZ=*l(qc&K?UEuQ@Blr1F;EjqO%jq|6@V5K-?4Xh4(IB-bR1ot%fD9&#&;7#gFCmD;d03`S|P}?;rE-b?FN4`+a#E{fT!qEO~u? zg?CE)SWZ9ddtPgNe0HDrk9iNcbcOdJU*1N4;#~tvUY}p#-7kJDr{6B4z8yY3JMH~r z-h(b(;eEuHx6z+?YhlUj^DDeF;>U9O-C^){`uOZ2?;rCXap?;0W4^qN{=~Z$mb^Z{ z!h2Z!SWdr5gZDZgpPlvoG4GQuUEzJgm$%WMc&~&dug|aW9u+^9)9+4$cb$*V9`pV& z@6#?_;eE=Nx6z+?uYx77&#&+v7eAKM?;Qs3^*%m(!u!X(&$@Jl_ZeT_Mt|b{7A$#v zeuej>__3UR?=*PV`}piB?;rE#Fv0M9-+eB>Y3Xymylp)!@m>u}UY}p##k9lur;X+G zyUXDHZ6BX)@cuFHa+j{~E)zWZ6Yn*`>+>tT&Em&$`rU2tZV=v<6z|Fu?|Fhpf8xDX zczu3_cZK+|oPPHhyss19))eoW6z?j*qd)O(5MG~O;cXW`mecQEgSSg~J5#(HQoQQ~ zkN(7az3}?{3h#RHV>$iG2Jel++m+(ol;XWf@aRvxHwdrKukdaZKbF()T?X$>!prxI z$#t+V#k*PX=uf$iaWAMIJc&Agm2UEO{2p;{3 z_cr16`4!$7@nbpt-fQr73-6&6?~xSmV}eJ2;{A^B`uqy-Vew-*{Vsy#J>3U{U-z5H zcKgcTrNzE-*2l&3^ih|t%+pT@F8zu7fPwdWg7;(!@2M2tF~Orh@g6krHVfYI6y7r_ zyc2>)f8tr+!?{iHPNwiqrSP5=Jo+c`a*R*BPv0VV&!zBkXz1kniXgXc(m#oZ2A_l1 zBX|woKkg6zJ(o&eZ>56QB6##CUa!Pi_o7nH$JyzBDJ;+V z%t!pP^I$u1FUowL^Yz~Hl-yI!qGr4&uk|^hZHVUh)X(|oxyOIbr=q`G^wXdE3qGzx z{M_d(*l)~7d48SiugZP!3gqMa3Gw#_G3Bgvj~>&iBK)Zb67chOzOeU=TyTV zpdaw}!eYF}_ydB^@(}#h2L7PnA4uWrdn=ZQ;9q6nzg_STrtqglKg&b#uQBj<3jT6G zUMlS)__B|T z$J4B=Lo5%$f4zZ!x8Oeso9y2cg3t00{2LAYdj$XK6n=xW56eUF-(cY1EBMc*@LL6+ zkNEuL^(gmzCXsF5qy@1;J?wp=l+!VdsFQ{D)TAJllazpw$Z@9U+~lW0R0>d%R}&W zzUDm3`PCWxdJq1C{`hk+Oat#$?yI>U$77b+fO+~wUSIxblkazA<7d$lRC~_)%v|on z{WGv{XNrqx|~0oEB+E z$)|Dkp#3$*t3N;bzbDIcgOp2tL;f?!NPfO2jsM~r_#kujVLg-hKEHympA$;szoZ7f zKd;W$FXz};zkc2*jeox2=b(@MEA@}$9wG0~-%U&3ivpbIR`~qJs9pT`z+!yxv2j1| z!*7hA0{wBmi+GFI6aOKf?qGfrU)zT~Y==d#$??fJ=4<udQjrO?|K+ro} zYySBP@T%!Q=y_uOUqzbjLx1Z3nooBypZb;O>ps7g<;?#EY&HBxeR+(}b&2@&C;m5m zx`X*id~F}{upQFv!#L(^`{?H~)6dt-0^8?Xz^kVJ+ny)Ze;8@%r$6<7$ERDFPyOG8 zt%h^Nm&Z7dBTXFo6G!VyylVBOf3m)M58AraudiEWd}x~cjKscV`GrVDx!i4V&n&{f z!@*LFn`T+xhjd<${OB)Oj`L>=EdKsVe#P0`r!33wap|U|EQg->{CN@mv9E!_xUT$t zd5#aR|0`fozJ-3Oi++$z9r>i6mZNC-#rU-dx*KT|x@F%mPxoIE54!n&gSy*Ox>=so zy^{V5=)T04Z=s*+s-~NMT8{r&ekp!YH`_I-TlORKbkD+%y19N)_xhA>mM3+;jQ$Jg zzRZ_zp`Yrirkj3Rj{jNSieJ=y7Hy)vFK8fNc1Zfm^)u4ZU%`*MQS5YYOzCEMQupQb zhi>{;8z(RK{0a!NPLle({_{{p(N^5t9Tw~%i7C3UYsin?D&n;74h8M?m* zKk8l&OWji`-7HV)?m&7W-Pic?E%aMRH~o^j*CIvT=g=l}w;H;?4?pVef~D^LDcvkj z>b{o#3$!=;4dq+tw~%i7C3Rni6m@goa5i*v;^4aT16t_ga}9s@_qb^F-*x($)7y|g zj8d*2^iS%2CDP5%yA{A(Kb9iR@42Em;NOrx1Yq8$e9ontmZtsuJll{zQNyoA@LCov zjJHDkx@-8gir=0ZetaHXJ!<&*=cyrovWDM!!D~f(Ez~Z4yfoz5UJLnc6uf;k{JO<& zwuawU@oT`wCCSTvPL8K_NS%%G#M~&exJAU0mk) zME%Ose%zHuM*GKdnS8moG&n*#+%x2CUw>v~5z>rfJ^lEtSmx)XzO;Qyd|JkRS#@of zc(sfp9>)!JJS95D?;I|Wf1nEgV))nM?-zb~o`U(8`Egqw>)$a*{_&D;kDfyBIMapR zzJ6NB>Z3)o^krdL54LA5UE4*MJip~W#IgR`Kc!T&;IM0tiSd3nPBO6d=y|*7k$E)kk9zei_w!sCdfM49JrG3O2SGY+^8x*{Q}oDu zea3ojs_0q$f6-GEJsekj9(2Cbe%T@Y(<4^fP3K8{?zFrhemdTC-rOenv#{jZEqo&r zC3((zhFFggOpvs2gRMQ@heW@uSMmI$`iR5%*E3SD;;5g; zN_URB@tJ|G=Xn{C`p9}+E);jV{@{3HJE|pra-HJ$Qka(a$z{mOBH3%&rcHH;^FsD$kXNb6Tv*&>g3_~PRKJ*Cr_bH9)8b+JbUWo>8q26-zy={bRbV} zq3HH68CV@Zix98zehoyslfUuPkUyfq~j}O zUFP>D$TL$X&+0mP_&o{o91Y~@+rC|%m+kTM5>g+2AA&q5g(uzb>GbW}x4H8grp=wn z{iF83TJ3+e{GJ4PnsI@^=O&GtPUE=j_jR!Kj01juf;{bkJi7j`&J@S$83+7c1$p>6 zosjxWjtuYe<9c{kJ@rwZ(LkO87EH$jdnPI}JFhYPmAAj(=F_2Vem*S@jbl9J z%ca2~{~Yfq3^3ha*y-+(Mus!vtoP8kpNEGtJ4QHOF|J%(oL?Al?S(Lxk8-!Z4FHIE zFTWQ}h*AF{nznU)J*0XSVp+gO8m?R2y$0kAFEk!?zoL4yJ#`$W%eB6`Kfo|{`w)$f>kEf!;~eEW zPO6rt>ud3-&R!Yc6Qy=PKct?!N&8t5AnDzavs+8QZ4hjzfjBX9Wni5e2^q$zma~9 zh{Ji_zn@%O{sr!xnO1zY{P&H>Bj0z#`;0NSpC}DZx_(cjT|Ij7;)V4p4D=M8?Jqi; zfn~jS4f=K{_7vUxm4Rh`v0vt^%$U1ZPOeA1AJ_G0<1%00(s;k?|B2BadHxGd7mkVk zeiIjX7wT)rIDXvM zf9y=#VcfL`y5S7@`(3-E8|oW}vL0xAQ_FcbXnRB}5JufMIU59J= z$M^`~xY{ZEDh#LU&r>|F`sWAtvF?3fJpYSM3^UhH*k=^x%-^YVPaFp!p`(}aiFR@GWUYCYf0v%~to)t(#RWF`e#k^K1HiBl;#C4J1 zpP;TT!RZq}_1E`Xn%4JNY(Ks~;OAQz-!1XCOZ;}R+r;h^3zK1cO-kH=#3_&Jo)G_j zBX05xY?tYoHJ9oG;^; Vi=W)RM?}9%q5Ux&@v~&=e*rhnF4h15 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopti5nwxk b/bsp2/Designflow/sim/post/work/@_opt/vopti5nwxk new file mode 100644 index 0000000000000000000000000000000000000000..fa0274d01e4ce83b2451cfbc8c240fe843af669d GIT binary patch literal 9640 zcmdVgdosm5wB3NM}?^reY_A%1EO$$uN{mnC#ePDx%q{p)w+w(o`lL zcBC`+ldwaPLMTZQMmbbO)N{>RJ!`+~{qJ4t(VIW+)mp99AD?yK*Y&-B_w=;IT{Zf_ z70c-4wxN6R=Yp6o$LD&@6*;#!Dh8YHzL;)yTR<~0W~dv|YFU)}$p%%8v9_WEH%F(P z{g!<-c5?1y-%*8w;vG+Dn&0?Tek#suQIDj^quIq>e}GKflifwZSPjh~! z>~`~I^qO#{`><%`x}^%U{lYrQm|MBKKEyd~qB0eOPj^2!H1K+tm!)A^(%;lHhhlHF z$2CV?n)zOBk@O>HHWN3+qomiyncOMuA@gMi$*kDrM0;B&360AnS=?d7;Gx_Nqb)(#D&Vi`{6QzSCMZmV#1GY4L3)(KccO{Co zNZXrlx+j4? zC5IoAR7Gcp<`F?=HDQ=PA~LglA~JbP{HDi|q|tN|>RU{*;){s|uZ>tpV~7RCBNiO& zjnIQwux=3xzAmw#n-B|PhNXz*ij{};3ajYHYQdjJu>7!Mv36pe#nQm?#o8}fEzyz8 zEv!`GZ`m?^aCu2t@urO|x8;F@cPdV~u{$b!<{g(C6HMEd{BCq+*j25;Yjt*5e`6P3 zuVN~{H{vDGyI`ZirU2Ux*sj4g3bqi~B4Bg-1#LXobYY8tEe$qP*e1dj09z((uKn2N z58G7O4#IW?w&Ae(!gdt4J^gjx8uHgM*V=^m>#UnQQ$eHZ5#6JsfJCOgBdfzHoUjD0Di-2pMb&$Qp zNl&|Dbj8h7-}cE`31{bVcPh^kr>+^o4&qN|h8`&71;s9ujw?!`c-4bA(w-n8bt{YI zJ$D2@M$ewkR&FMOHIal-$09O8G!Ze@5im>tJI zpSPJlq9~2HRnH>5Jwqj>FKCr!7WurMx5iR6!!&9=Wy)#iOc0)p=CG8voaV1Nph2&* zV-P__H(`7XC$e*{L^Lc9XR#V*u@GnRAs}BB zDk`v2Y`A=l(yMfK(?}vX7C;!@A|i{~LqzOD#P3fVlH^>6eT&DwS;1BXTP|!bVatc@ z0rst{ul7wo(|jD32f2CpNVB;bOq`5iZgn z_e(yXUFbWuOjq_@GI~w?hiz{I55+~M_;6QiCW>o4-Py8+H_R35Ht>cTYe~ERY@)8O z3+EWN1PiHC5|;NLi}*1&r_tGS%!xqPkT4YWh|ETdh)OY^Wth*gPm!-q^u{Ul2JWm< zDS89S1Vkn;Ti~+ z6P&1aq8=bKjTdVQ=TZQq?#eJg#f5&28FZqH1_Jq`2N z<)7$G3%_x^PRnD`aX#%7?^rrV${8!9qTN~E?$`M-VPL>{$V9^{^$vW&_(#xl_sKa}eh9XqJUarfX-y;?B!n3ocL0nmr-JROj~$V|MjQ zd*+N$LwQfHUY4%e7eUooZsG_YJ{CGXeZ*?4#|%4!8Ri;F1Ra+NdP{xbOO1 zJc;kkm2lmM>l0kJ;2H=Q1AA79jJ?Hd#^3en_h!)Qg_;X$=f)R>O${uvR=x0{*jfE} z&3l%A-RHO2%wUHJyxoK2q{C%R)W+CwPWQGDVfu-4EQ0`ZzQ#F2I$Lcj5x9&aj5bRm zJ5xbKirK`^whU+S1p+4t? za-;=EgjBf$%lktMKj!2SI(wHN5nMY=7*l=GhXQ2F1KGlxN9KYUTGY#RXJvP>}8Lwc)ad>k(W{aD~H#dj=)Jewksv^w1d#`@9Pc(1p6_LPd0;ExNEw-i4dd zh4tt{TXdlsy6`KyFaup^gf1K_@506CLK?boGP+O$UFd`^>_QjrM;AK(5?yG3F4RRA zDxwQ*(S>F5F5HYRtVb8xq6_<%>!02TL2n#DZ`h$XD$pAr<-M^5y-|bSa7Ay7MsIYW zH}0V~RL~n%zjANoM|Gs*ojZpX$iHUpdE9o7d!gN~&XDPYrl+lC$i~ zO5ua6*{p|?JNYGpGU;_I)QF(SnlN6yCbDlwiRj)s;#>|khe&+gTh6Q7WMPP>6V}`xN4Eu^1c4G^>%BF^?uj$ImyE_^) ztP?XV3o{IFHyU`m@o2&f3&RZCg&B6{7oK62$XG6B*h^$A9~pazjMXAzwaC~eWNcD@ z$(XwO2^5gN`5gYhFju>-KpQfz^J(DA-A4W%r2ay(;lbSV59@e#iUhW%R}j z%#08_&=i73huH|8{TuV_FFl1rrA*AxxWL+7FX1OxZ9^d($Da2vg&) zxlax-X~5(GQzT5QVR{2oElkUoV4q&VnAS!* z`*bo93>-rkR&GR=-;Dcv3hwWNaL*0GJvR%p3hy(#Rmc+VyAtP^b}X$P17u|2)%Rx0iIypifY`oW6bTc5_WSDSxc zwxCkFwg|khv z(^p-&k*AkUT(9{Xcj!sdQDB0pIZah9&fX(_mTb`b$mqe`mN42A7eZD=Y7x9MSTqxV`TwBmPoa|4s(#TSP7dWSA(rn8E7h8T}pmO<-SZ8S2qNy}a9 zFgJ|rB(^@?>YWu-POouL2r)Lil0l37(`cllo0facJ8l?1LTtTsok!NiD7~oEC?%J% zg^sQMPin-HF8%=3JM`twGgHrm`Mk=D<0@%hbUJx6Up+fq=U%RD;+4Yk;4#lzuJ7cx zT2~jV2ddR!nSCuv(IXG;RAb5$347p^l+c-~^<+nO{sMjRUOM`-DTa$Ef&b8Qkj zh0k_g;WS*DBoL0@y@3^UyQZGF)~?W=;^v#5q8+6M>BbU_Kn>1L0Z=rmr zdl~dbGgA^{qDR=%)w5m)jwrDA+;3w&zx`S9%u5ZgCk_zq53ymbxKUUyUV3}Qt1%yZ zZAfVRGcq=?@n69gVzMu*v-3u=1djt67o%Y&R4L1xLgU|Jh8dLG=`h3^%Z#V!wbOZ{sKgUJb;@y25`j~fJ?;z9N!7xkd6RHHUcg1-idKs>VyI!bt;FT+&utOF@uG-OfHidGJ7z0y&u z@qe@3#&4Sc#fxLo=$sg5k7f5ng_8~TVVEIChS12#2%wo72fRC_g5<5682;@{ks>T=~6%kZybm&co@ge*7SLEW=~*7u@O8!jxJg&tOO0AhPA2&Wbc zuC3|H^HaD~mCF)`jX8vM3r&;_T3L?IFq&BfL*>GGqFTIEOwx~I!~PH0FT-91dvn;g!QKh>_OK_w{%6>q zhW$G1EB~KQjDIu54n7h?9y&P9oZVBd)8zwYIT;-H*&Sluo;ghKZ2TmU9w;+WqIBtM zV+TOuNcT}kQr0<|3hQ4!hWcdr8R+N(U1`9-4y2(^#}&F1}2aB+8hClwoALt9^2lU9Pc%34w&BC?{zw<~1(f zJ2GBWlW&_Z$VWt(O9-DX$dp{(3RB1U8RScJ5|p#3ijE>0!nEe(ec>ylILEs6WpHVo zWGE9gJlB|hf7SP`C~b#nXGYVVwB5J=tj;98Hf!Yko@g)oriiRuaJJ9(vNU5(dwP5) zZpmGyX>%OXYJ*eWSq$x@xVP*Xx>eW|cIO?B^7&lTMmu7CPy3M>%e=T=rvhwJ3uQI1 zoz(j2~A4j@ux z09-*Oz)?_w(`vNBEs%rIB1)GmG}+a^JXD!IAx|dmcQ<=O%COyM99v>Uyeir*+?=Fl zezQeNe=e_bPR`k8wd@i9t64?YtVhIom;B)H8{q84ZaT{IBIE1J9}gdrOb)-lH^v*N zk7&d1)thwG_rJ9XFNq;bhgaCj`6uDb3d{mzxU~?3lCFTUwLGx;FJJJ~MGKrUZNO^F zCcuaZ2cpj_09W4(aC_me)i(n;JWUkQ(AT~Hqi#@<wZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVIAB^9QK(xC1TfSA0VxPD;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOg(s3CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Y}*#__I+;-SncZ6@MrdkK`QPnzqKz2_rtV{aWByt^GpBZbfw4F8qsx7biufBtGZ?l zU5Xc~>+Oav>f!U4?nkckd>)?>UFm+r=D_v4jw`i_7piNgp=-UNYlG-o>G&J-Xxk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`wyfXXR1y;f)ndGApFFmp8b**zi5}CXWIM4dffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGgYS^!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o;@i&%S8{@yK4Pq z=@Fb*&tBmt9`#H~TKuB>4L$o(dVWLn?5k6c;KX_k2tVO{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&_`Lv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkDK_2{2!^V%xO*L@|uiPs8y`t-6!6uUI@qfFDX6|m>xIk^ZH`nGBM zVxOO7`lZ<@|7BRt)7Oc9`a_p{t~fu_pMDogeQp>356L>f`xNScarXK|`|*7v^J(b- zzx?@v!~G2FnU25Nh$l)i{=-K6n*-xNV#L4Ah)?VPs1aWXjDN_8pOkp+JNSHYo@4us zy8LBvKYZMXzhB}xPI8D-%XW@3=fv@!FycQH7{A|$|7>9VCyn?=1LHqs#D6a^{!fhf zzYB~%V8s74F#cg9{&Zmcr;Ye?F<|uEvQYnj#)!WxFn-#I?+A?ltP%gZ!1&J@@ox=` z|GW{uJuv-j1m9U!1ym2@kavVzih<+ZD9OY zjQHb$@du6gp9aQ%)rfyCF#c;s{8`x0hCKgYH{#C^jOY2k+WLJ(VEmsM@#_NPzhT7R z5*Yue5x*rc{xKtdI57U3M*Q7@@!vAy9|(;9wh{l4!1%*P{KJ9q-!bB60^|SOh<`LN z{<}u}k-+#PM*Q~!;~zKTj|IlhVrR|g{1@0SaR0u>I3H~C=a%?B;1cWud0si0;7Pv! z*83RU@50^fy9Fmck8k$4ZN%ewyesv-kNeIf?hi%hK%n2AK)>lgzX544)uop8qfXVg zC(v&?&`KWD_30^^@I;_nHJUxkHQ z$Js*rsrM!D7V-<-kDdtK7Y6D(5$M+t$lDs|*A?hD6}WF54fLA{^c%(a2VxRrZ;T=ROn0{cxXn8}^BiiJ#nUFT-!($KU5;8veI3 zfcr)?cjiXyGyQwYMkbk`=L-Oy@BDkm`T71m;{1I7UT}WCf6q5R-@mt;pYPwp&Cg%& z>ODW-zbBiY-^%)+eXaLoQXcQS`8f>kyP3~@H$VT-iuYv9XM5KBKJ4X);`^}6VS#ra zQTX!;{D=H3%aiZJ{Q03VukXd^$MTbMj;-|`%zD3Ny|=R7M_KQgtoKLOdm-z6kM$nM zdOu^mcd_25Sno-!_aD}K4eNb{^&Y}{zhJ#Lu-*q)_x#rVy>%~d-M90+Ty39V-H%)M z-qwA#bx&>GKU??8)_t*c4{Y7>(9%v{xF|-)_sI^&tTmjSoZ?fdEYw6Tjz7@ z+-;qwt#h(<{)dFa2d#6Sb$+wXW!8DiI!9UOBkSB_ooB3bigo_5 z&K1^q!8!-HVU1rL=jULYyA2b@`4vbf_gPGHpQZP6{QTGxcuvOTxzzm^vfV!HN?Pm( z24L}dJHSS6A69bd#(bZ%O-qZuyp8_AkJ?}ncY)7ujNIoxv9B8yKbF&P+~95Z@!3i5 zAM@^Z=?d>%zPye8#9IwZUY}p#EsGz^=~ps%uk!KPJ>Ea&-Rsg7-uL_RHu@9qYFP66 z{0i@s__3UR*7v;D`1tHT?;rCXaOn!~L%zI?{=~Zmmb^Z{!nt?8-p71-8~urQEi8F` zeuek2__3URlLqf~K0Z6^{bSxIUAn^igfDNSKk;4(OJ1K};XNvTET`X{2Jbo_pFQUN zW8SA-y2AUEFK?qi@m>W>UY}p#JuZGMr{6ma-s^pQ_JsG3d7pLZ3hy(%yp8_E`z=`V z`uqy-N%3Pj{oZNtuJ`fTQ{F%3&AI#J3h#5iyp8_Edo?V1eSU=((+=mKHkQ-xE`#^C zeSEgT`^UV?UAn@%Oz`MWyw?b?&#&+{iyzDBcela2L3mqIyem_@=LsJDiT7IJ_4yUv z72?No`rTvjzD{^sQ@m?ZysHF{{=~aMczu3_w_W^LPQQB%-Y((oO!00=@vaj*`V;T< z!t3)Zyz9k}<@75Xyf+GOSBiI2iuWeLqd)Q9AiO@m!n;xYSWdrp8N4?MFW)aF*TKFN z?`FZHKk;rBUY}p#-70=8r{B8`-dlusAjMls@fHP-{=|Eu@cR4;@2L2(oPPHiyc>mg zGR3<)#e0|F(Vuv45?-HQ;Vp|F%jsu*|L9iX-ILolfx{Oz}P?JIwm z7W>Ls9~aNlM_sxyPd_2J^e65E2Hx)p-jgZ3r&4&w1dsm2d(gn!EO^ILc+aHpP6!_T ziD!Ke=QhDRnZi4j!h2Tm=%2*PF+TA=eT(2dm%_`Tq4{@J`cTgHM-b<$Hu@*=(BO0M zdIYb*`^Wv^zvoiHTPAq)PvSMC@U{xx@)Vx`-bw|pMeyiPyk3d3?nR}XkF(SNQdpkz znUDBo=fQU3UX=Mf=j*-YDY>VdMa_6mUh8v0+Yrt1sh{)FbC3U=Pep&X=%+vR7kpfY z__@znu-}-E^87m2UzPjd70Ac;6XNd!{91I@d!Nhx=6!Cz=eZBY{cOi?+|y=Rp1jY! z9chk_Hgp{C+vuDeUptu2MjgAIUt?U4E*vn~ek^Bw7Qym85vs*_4l8GmNj>=aoND+3 z^aK80Sd7;ge?ahA9)iExz#kO+11WrcZ^iNu{HqN7w+sHk6#lg6XL$(zH3t4p!C&sj zOQn5e{IWa*zr(;U3jWFz{vN?+c?kYm1Aj>H*QD^L1)t?1`1(0MxX&4%9IzZe>E}$J zZqS@^}_U475`cTe_ZfopBUqB#5zm;EDyoI&cH7TzU<3l z{3*d_c?kY14g3kgKbRV~d@n)$EDyn7XW;J=eA#Ek`i}}e%R}&gCG#uSJFatg;5WvN z`8hr*kJruf;m>uG`QTf20qiQQo6INPR=2J-=5^hCjZvRTcyqk6-IMdUe%^rP$@*C1 zb%TL_r{Jf@tA0*_s%AWj&qW)^8Jo%{4836YR_4pnah2+ zKWDxm|EzD9{BemBT*+_9KL&rE7rkxBZx(-V8}da-d)tuzlv@{?mg?tnlwUuW(<1FC z`82K`w7_$kmI=ey|leY%7B@Qa_fKk)gjENA`)V5{Lj;LBtDDIZs$Kk*;*=?>;6@lh?; zzvMxFd@e+i^~^Jl`P#qwxvO;hTo~9s9|T@C{eS3rV*Pu`kM^NI_5YDiyK^V?H#3cX z_+y{n%5vs^7`7VzM|^pV|4|=Tpg-{+^63udC-Jp?$isG61e+Y6jAOpGPp6FIbo*Qs z*ghWvUN!w6_dK!wPaw_qp+EKS_vsGiQ~xri(LSH_`K|jU{{UZqC=aRtonFd}p{h#$bvHs5?P5tzz{?Ge#2lJ_)y4gOD z`21FuGyjXQ)$nJ0d5r%hA6KA1@xScT9n4SSlZW#sdDsq%V3XsAam?58v)*W*O92GE z!?ot0uK=%_{)3(;*8f$c**^5A{;&CT2lJ_4dA{!RTUpNhZ@^Z=f7F-9_*|EWPk-Wn z)2BO_pTyVpArIRj-9C(CzP68kE;Idny)3YOz6HE$`oHaYV*Q7arhfWU|95=4mHE{F zUD#?kM|^pV^ElGPp+9l7zQn6mU-~EOtM{O-Oa1z~RmO*=xz9-KOO{`VRFuoz2KUS& z{5u>h#kgsf^?gX^1<8;8g5@}Ww!q@=ujE&p&3($U{2rHXTFP?hiO-)G(I5L77>w)6 z-Cw`e`|emS2oti=exaHlbVg4fAyWCGnt}?>DHsJ*AuF zN!=^yzku#beEAmosjh0e>8IuRpXHb07j?5;le%R;GEes`{HUAj7j>^s>1KIS_si(N zfbPqD`4;-Au4=mJr{(yc<*oQd-DlAz+WUeA@@0plzg#~f9sL#js2jyj_r{cNmM3*z zPJig8f32X58r0!QBMcvpI&(XcY(EZo&qwW>3Z11fp-7HV)zJmS> z=w9W^x6p4P-SkW9z7i?w2K^k}7aO|&27XE1qbc1iPwH-`{{p((eEAmoEu@=%N!_cF zqHd1Y=&|se3I_)O`+ZLU*g7`}^>t?k-sB-k;LV z@}%x->AygGv)@p@g?K0ep*cYlwIR{ve6uQ|O9 z`NJsX`a%Ds-d7^s482Sxvp6#`e-$udPSHrJc z{AO$TZ56);Y+RDO?C0coT8Grx7*EWNGKIM&mT--=~^PU=hBx5TGq+?Q3? zc8OQZIO1{KP{&iEWBktH0{I83@GpjcE&hJtm***%f0-Y*<+1)9gXAAC`S$23^o}!K z=r3;dVapP(LmhH4bbdFC9^-xD~ zqU74EXK)z*R7<`*QObYstFhksD`4+);uTl+=zjEj!`gdWyU z`{gN#FANU5_Lvy&cjF`jTaTW%iyoOr<9$f<%X$^hPpXeNoKH@{lJ_y;o$MLG-3t0~m!F@Dn5Z59 zaAvTc=Y3fCWgRT*xYqec+f&<3^9P}a&)=k2Z9jcJv>mk{G+!;k+g3_~OvtmRPM*FxdHB5&@=OQv z^cIS4|B`{#@v{i=+AnI^uf$c$?~yP)8_H80apRybGg8+$;P*zzb0Uyu{0!^E?}?B{ z-gB30jqC9v;AkX0NF28Ro`F_!UP^(p?jFsSn;_Z`ZkR(aHxh3`ZlUtj+&$5$Nl<3MrMDy~|7AA|L2 zhUGe2tN(%T*8P#Ox%+FySF8AH`TYy>v}BX|LRO}te$be?^Te8 zpVJAc&*aGPER|EtyhSF81z3FIjb4!d#C z({q(OkFLEcgMaE7_ZR8>tBw3MM*dnO|Jv&LovV#}gSYb)QZ; zh&P@o>}1^K=n$RXIZqtILdJ1C^a5`OhsWJK+&f%wc%!`~rzZo;aa9`abN3bT`KCwf zGcw`okr|mFPug!=pkE=-udjxm-m9e7N7beKGr+iW`L@*hlkoHR4>kM}`y~ zDEIAVl&9Ov;z_iZJFnro)!l1A&hSFxQTHpVN83}!VY*!FtNQ~CW48~{__)4ss5Z_~ zuH&R?dAhz9kLv7|@jX#$_wz&QxvSJ;ZuvZ&*ytqt#nPesA+7Ix9jj~Tm|H%tJ+Ki9 z(V_dL(%`7O5AA^vws$WsQe1pGf18nyi;AlLxLBBz523`zal?-%#h3hc9IV`Ymdan9 z&PT%%r+>`f`($7_KF6_uF@JS?yPFrVZ1fP1>mui2T`$!#pZg27{N54MKgI`1LiQW! z=ZH9*=l%Q1#pPe%-kE8|SId9jh&=LrN4(D%bNh+X;H2yKMB3G(7cX8|ufjl2(b@i@ zvl&>{d)J_Ehhk6B&0iT<<`?^AzRHZbd*$SM#QSkwk2Wsz^(~F}yZ)aT?UCod;B?`b z=mB~SHG$*C6|U#}dfQWQx_1JAIQ;-{cmPPIGst)I zO+}C5xOp5f_3H5NiHc)>y(sQ<7S(XLsD?j3%6kD+qtA`o3criTb&P*b>gRX$9L7Y$ zdV=1yC+OXL4tm!fp!aDsfO`%GMqGQv>xRDXlJ^*p5mt{Fr_YbG-a7kpUVNdxc8uf4 zef`JIv>nD>d!QT6kiXxxJG!C1aVYD7wl|)8*+&@TM*Q{MgJs|KE0#H@d*1Vs!q;`U zmVbsZKb8S!`S}y3VbpGwH0B?de_ZVT zRC-3zhg11SlWBcVmV95u`#gT1fa_Vy+1}RjYYp`46hGxtTzyZa@9TO|U#`E&_f1?E z`TYs%>Jpqj@l$_&zolt?kHz-m`vZQymGRvYf4jtQ7rRaDPO&fFKm&oFM!8OH6Cxb(gt9>3TK@VT2eUVBUKc^b-;+&Q*wWYn$iZM{Wj`^S3M@_D$sWIN92pvSak~ck(9ZcX Wj=A{B-FrmzyA;|V!x2AArv4Xw#xCvv literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/vopti964zn b/bsp2/Designflow/sim/post/work/@_opt/vopti964zn new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAwZB5{j=d^m{{L~tTGabzW!)D%NRNP-Cl)Yh>qk7P@D^bu*~ zD3FhVI3QXUQK(xC1TfSA11ShF;F18QIIY?S@LJ6euSH7THc+=sse!bZHo@Kd-gDkO zJOh0&5CX807|Rv4B?OJKhh<)X(?fbzD}_+kD4 zfMD}KU*xU$=X3a4v|d8?@q;QyCK}g%XrAMKNh3^ z*x&3&?Z2s1{Mjg_ZhFzyia(T!M{mhEv6CG_VwK7G0|f6(b{ zjGyZ-xcsK2v!dS-V_c|JJgvVz_XuJ&$%v>uPuT4RJeZdnsP1t{sN16{2eZHrbCWMb{?LrQ=iE zYrxQ@c%ixm3|+0FYi~-|D$%uDbm_QPU3(2(iWjPD(9qQ`y3*rojp#Zkx?tS6Rb8`& zF2xJg^>#xS_3(L2_aoPNK9A3cu5>?QbKv@2$CX;e3)QvL(6!#swLx^Pbo`C^w60D= zm*R!$DjK@F3|%*guFZz7QA3yFh3XnIbZrz}v#`nMag*rUBf50IpyTU+p-b^XbqyQ3 zx<%Kil&;O9>xk&WG&*P8A2W0*UZ}1SL)TWv-;mdRjn4OdqAQ1i#d%ftH`-n;E`R>K zs(7Kg#tdD24)Hu*A?*=4n~k#V!pr%A`y&`2u`eL zkMI+ZdZr~Ue$j-XXRr5*^+1NxGhL@1!HMdffgJ?K4xS z9>IzA929=yQO}H|#V@+U&~r%i=y-;kYoDWa>Jgk+&k^A#9`ziSwD?7nhMrmP7e8MO zNOSz0tW%HR#Co0-e&SKjQAvwmbf=-`nD>kIaQ{j@&1h)O*2aiJ?(Ys5u8}hv%*h2>NzQC@r&MR=sD&6;_=*wH1%w(Q;*=pdUBXJ zIUf>_dT(nDSu^!&HP!I8_hZibLi(hoNp{FIK$E{b; zGhL@1!HM;(6n^4S&k9M4Uv!V5hwCYH$NkkL{WVjk9>IzAtPy_VQBS+1#V@+o(98)2}~2u`eLlkgLddNxX0{GxXm zdb&lAuJ11tJuUFBXZ{hKSWlnu6OVefN?QD)cN=;JQhLr2J)L#x5u8|0N%)CJJ)@Eq zzvw$*9-NH{i>M2WF{G#24o~e|c<)Vk{UA6wQ z^axI@XOHj`k9wvhEq>AchMv7CJ-;D(_SUIKaAH0Cg`arTvrp3E7rn>Ob0DSXH$~5( zI`s%ntmmNc6OVdkBrSf?dksB@QhHt_dXCkpM{r_2M}(hv)N@$U;ul?neD3={Ai8w^ zL$({ovngFI%*VWV%Fvbbaq)N-oV?(~x{itt;!)QF2LF>O{uc{>OGEW>Z1D?D%>R_| z6Oa548vMt-U%nfb&&@vgv%Ov-Iyyy%mXn|7E=z~v>3QoJ(Lp@wI2U-l4^8*ON$+J3$JerVvd8aUi%-dF|aCkD=3^W$ z(M{e=CxIlulq`R^SN(@J$-svBZ^&``BA26*$UWm@tj-)3w_%( zeX-BaGX2tQl>ag;=jrQ2KmDQ0Jy)Ec=}*54r9QWd|A%B9;C)JR{<%KUeth4EV*E74 z?~~6L9PVdW&vg9FMm$mApV#;g8}V-rjQ@xc|288Yvgh!B)QB$x#y@1lPf9%Z9elnx z&$0bRUH-DTA3kox-!JhTCppBaWjjZibK>|<81WwpjNfO(e>O1wlScfbf$^U*;=dOd z|0hQL-v!3+H{yR982_*le>yP!(?I3H~C=a%?B;1cWud0si0 z;7Pv!*83RU@50^fdj%&xk8k$4ZN%ewyesv-kNeIf?hi%hK%n1Lpx=Q&zX544)uop8 zqfXT~73g;$&`UpBC;sA1}E;#?McT_}zi=|7^rR z92kGXh<`jV{$Gsvrvu~v)rimGf|Ad-wwqeG&uPDZGvY4}jDN<6e{Ep=zZ>!Wf$={z z;&%te|A!I(slfP?M*MdI<9}wv|48DwzASV<@N*;nKLg``VZ@(>i)mdS7UF-_h`%&2 z{=ba)>jUF|X~c)_Z~5M@+Wdb*Apft7__qee|F;p}6Bz$LM*Lu4{BuTpDKP$dBmSPi z_*Gb_b(}4%8tWx5_?~@!V&^xF7BlZ^J$jGVznU?Pd54 z{P_EPOvC?H25{d<+#9ja^zSJfnRGmS0l@Q}fA2Uy-@iwkpYPub&d>Mn`R3>Q_jdF1 z{d>6i`RiT1=jZ$PWb^Y|Ss%2o^`1=1<9#;KQ!j`y%_yiep1e{wcdkS@3*Y?R@VC{>phe8{>XYS zWWDdP-s4#BXRP-w*83FeJ&E=H!+Nh_y|1v|Ls;(@toH`i`vB{n-@3oI?&YofcAl53 z?Gvo~aqHgOy3e-msjd5G>t5NqFShQ1t@~Z;-qyO0weDH1`%~*))VlAq?lGwIaQ8?E!8bM=oqMeF zjCD@2&L7sf!a6Tl=KwdX@r&d99E@|fVZu1S0_o&Fi)rq&^nQ+?AA17N$(THsy8lA9 z+lO6Ai~Ya=EIw}s*vRd}N-o`)?{l_kY0;Or(I5Cx8!X~3@cE6A`}`;Nb)(|Pa{7%M zyzM?dJL&yn-rX);;l0b3x6z+?t6|CO^DDe%@nbptN(S#$K0Z6;{bSxeE?wb$zb|j2 zKk=@HC9lt~@J@>#%jsu*&ufj3&+hg9G4FntuJAtO%iHKrylY^|>+>tT`^1ms^xI|B zx5LM04|xBW_n=ExcpvfQZS*JJT3GV>{0i@k__3URcNn~#K0bTM`^UUTT)M*hm@jXm zKk=@GC9lt~@E#UFmeX(2;JwbrXJ@^C%=@HES9qWB+>tTN5zlj^t;pG zUFYMo$Gm^c`?O0}c%Sm+ZS*JJt6<6N^DDf^#gFCmdxyb$y^qhH@cuFHvo2lXea4r! z(Vuv~1xsF^U*SC|ek`ZoI}P6TK0bTO`^UUFcb{D0ea@G+(Vuv)h9$4hukd2p;r!Fa za{Aq6@cy=s&o+4fn0L8LS9q5R9{q{;8sYW%72am?V>$iqHh4D(Z%c}IWs3Ja!J|L% zUMsvlzrwph{8&!Edko&!32$qPcTI|SmEh5zcsB^I&#&;diyzDBcdx^z4)=5er1FAM&a#B@oq}--XwVRC*B)`*XLJwH;Nz2>Gv*!_a@=x z`^Drs*q7qnEO_)M-p#`6^DDet#gFCmd$+-Ri|`JlcuOhXqTtb=cyAP5pI_k}6+f2K z?>>Wfqwr3qcz36G?-D%v6YovJ>+>tTW$|M<{jBdF-736ODc(IP-uDY0{fWnoKj#gf zU*Vk=KbF()extsdgm-U>cYliaA;F_R@!lf5KEJ}dPyAR;zxNotZx!AHDc*xA-bVzF z{=|Em@cR4;?~M4doPO^$c)Nx7P>T0RiuWlXyACC*G%T5xnP8csVpQ|E@|O%Gv%1;(XOc z|0Et7d=6fZ;5B&vxIg^&Tq=0W1dsknyoMCsR>51I!t>u-so=E;9{q{eD{S5x?v_*iPJwGN0#sy|+9i_ms1!8Slw!eNJc_qB%bGb3S_R@t^al=b118&#<*d&lSiUDhwHVJ~EXOm9{3uQl+;1z+}wG5$uZv((S>5d7;5 z{F30yzAVO{7JQb6;J?zqpAh_msd3Bq64cM~5d3up{w~3neO9dhsNl0a1pikuzjD3f zI(G+tW89dZ)uTsN5yzGWA{uEM&>eBy0&>sn)8*Ui@$^_he>$1B@CIgjh- z4OpJ6k2PL582EPzetNv>=M-2Tg8y0r{~dyFtrIK{!N0-4f2ZJYN{y!zG7qvm1i#C` zzf16C9~qCQSy_iz9)kaR1OINpe-bv?zb6Ert2@NY8k%YvUv^>3HpvpfXaBb(vFf(jsM~r_#kujVLg-hKEHym zpA$;szoZ7fKd;W$FXz};zkc2*jeox2=b(@MEA@}$9wG0~-%U&3ivpbIR`~qJs9pT0 zU@<=U*tnnf;Wx%lf&Mt(MZfRU9n6Pc{Ji~v&u?Wp^FIJv4gUdO9^+5@xB~r&|DaEI zFh7ZpYPtR;5Ax%4A(E_To^j0A{?*T2rQ7Gi!1nnd@T%$mL(dcI-$Q=15B;hCk9^vl zJE^~!Y4pP%`}|gxGylV|)$l*!%VYeH`nUr9iT{vKcQ8MRukAw~w!HoOriS>U1X|@mjsehkOcQBv&mobg@`J~Tp-6#3`VXNUk?8{^P zPa{oy`V*h^L%M_cNqlV|@>FXd#wFWlozXs*1h&rs;8oNASudQ zjrO?|K+ro}YySBP@T%!Q=y_uOUqzbjLx1Z3nooBypZb;O>ps7g<;?#EY&HBxeR+(} zb&2@&C;m5mx`X*id~F}{upQFv!#L(^`{?H~)6dt-0^8?Xz^kVJ+ny)Ze;8@%r$6<7 z$ERDFPyOG8t%h^Nm&Z7dBTXFo6G!VyylVBOf3m)M58AraudiEWd}x~cjKscV`GrVD zx!i4V&n&{f!@*LFn`T+xhjd<${OB)Oj`L>=EdKsVe#P0`r!31)xpdP~mP1c`{=A6( z*w?^dTvz_SJjVyu{}r$(-$FmtML)=XzZAcyo9&v^E&Gvqx@X}>-CVz@dwohb z%agiaM*jtLU*^lV&`)($(@j4u$NwyE#V_hUi#E~T7c`JBJ0$((`Wflyui!`BD0aFx zrgXDBsrz#JLpS}ajgy!A@-6hEF7-=~6Z$1}zXB=h#tDqp^ZehcZQUsCs#NKrTF=jguJ(ET^?OX?m?>1KIScRT$T(B0{dt9{o z?>c?W>21g#Mk&`1`X}|i66t2>-3nl?A4`$u_gv8&@NdW;0x<7WKIhU+OVfUSo^8mV zsNvTlcrA+-##58j~agdd1}a?tl_s_@LJJc3$=?MFAaIN*Ft_9 z1#fQ+zi#oHt>L#-{2H)vN%FFvljCU}QfFg4F*nLAF4Ha%+y4J(hlPJc?3magvAtr8 zVqq{&7neCcQNQv5KkmvSqy1yKOupP(8XTb=?iq5nuRk-g2x-Q#o__pREc0_xU)sJU zJ}u+Eth%;KyjsQ)kK=|qo)R77cMccGKTw5#G5l-s_Y1!~Pr>}l{J1TT_3s!Y|9Hu_ zM^B-5oasVuUq3Bm_0ghP`m(UB2ivojuI-{rp5JmG;#hy}pHeDakTi@NR|BwYrwyWW zd}64FI*Jn|*Iqq?!}zCK^5uzAX0)FE+9A4Rz9?(|Saz@IxJz_^-{~0Y9p6d*3~WS; zA3hJY+GRlaWgaT)IMs2(_elDDCCAGn!aq84hwGQIo(Wg~3~W98gTjAE@U-6AFREu; zbo3|muzuPvPf2`XaM-oS#CX3OCmGm!^t@g4$UGYNN4zvwB79*!$M4?5pzzwD6y=@F~#rt_pecUoQ$KOJv6Z*G(P zSy=My7QT^*l00WUL#)RLCP-Si!PXw{L!w{St9X7=eZ=8>atfBbj|uN&&j{{T(2u+P z{9MFD?f8c?gY`V`!@@7?U|Gkt&Oh3o+HRUZ2t9oMCdF#|>GPrOsQsY%Y7yqlvw6an#Rar8`I6_{_l8^Sq2mePq2Z7m7Pwe{j699o3RQxlZwWDNM`z=O>A8@$h>nb@K3gC*&EZlc!K855H$Zo~b%{`s(E2 z_e#ifAdsiGP;~p346KfyMTpmaQOkZMu3CPNgz4E(p5llb2Ys25y2b&&H$t8hfjr}9 zSRZ~*ggo+|yIgBrkDp!et>mbimIFDN&!Lzy^9)6F5JO=`K28Va~eN)Nzi}r(B z?FY5|z6SAU1M#tscKg!a@q$~YhBJjhoj1AfP#(3)qqZ!3Cj$BU`gb|L;+P)?imO&} z)$;ootWPs6*V$VA4}7=okBrUTUn{;^#aGMkUy!Fgkf$&*w9T#S*vQi=u3E)ad!OKM z6#Og-lIs@zl4%l7zr38@di4?&)j!jtazbo%z~ z+uV5#)8@|P{!#m1t@giKeoult&A33|bCbqRr*T~N`#RWq#sR-SL7w(N9$o)eXNqI> zj01kJf;{}3PDp(wM}~L#aXq}Np86=yXdq7k3#Q|NJrkbkK%Q~qK12Iot@giKt~!}?Bg2_-)_Z8&&%?u+9U~mC7*{SX&MyqO_ClD; zN4Ze?&jg%;ex{(?JYSy8CZ_1(rBN% zuZYh#JzAfU30IHI$OL)Pe%k{53W0uoHT?8mCA~hXF5RC2#+}QzrPiN>pTB>o;g{GS zx%RPmEAwcN>mMxNbJkbot+el$>xUh(Urm>9>sh$GP@}xhDA)GW`%R2Hx4tNU+v* z@_FrnjZlaV-7l2}N8NpB4}`G2dvTHC;?w!tjC@>FRQ1Qj!kl~vB|eTDemp6@s?g{_1o-8kRWyWB%SJ1IzI_js=YQtJ~Y%yntn+hj?5UIS=c4sh0WNU#R8xj+p*2 zK1dR>-$*}4#Nj;e-%lWA@b|3=95=3TJ?Gcko`Tc869B~N2Y|x^ zKr)>{zMF3LRPon|cb1*RC+ACf+^nI7S$AFBmdc-(=ew_8z*`M>` z3-z^Q96#>sKX#_=Fz(s|-EfBd{jS~74fTyfSr4?m@!ZQk!WcK=ujd{t`>tQH%sJij zo|hE9uEVwbV|)Z~T@x~;=I_+GCys*< z@{a*<&ivh5bnVoO3)wk1xInGyj|)%ctG}*`(9z5IM7y|overDyze~?~R{qX?a-Mbl zP%QL29mRNG=J(_MV}9LA&#T&xm`-=N`89n$lJg7adA<+ly%qVf3^>crpD+!hcB`Z@ z|G50)V)v!eGm<`>%0HS+>wB`~`zqe&@%sc^&sxs*ww7OOpkJr>DWBr%dn$cj*Nggc z{Y}1a;=0K1Pf%Bv;Pi=~`s@2GP3wCswjbXg@bj&V@0R%6C4RfuZDMzdg~_nJCM9k_ z;*>{qPl$iN5jS~;aZ_g)w^!oQ`+|7s`y|?aKDH+i53y$Nc&~zV=F{+uQv5*xNSl*6*R7qO;rD{BtrU?>Q%X{JwEyXxzo^ c8t6ki=gTnV7ex+5G#!RQ zIdo8-oI^!KBuNoXa>%h)e*13iz5m$1wbyUIYwfqS_aD#Vzqvlwbv^g}%(M*e6HBPd zH&4hJU3d)s8YE6%&^e%!;y7$48g3GqTV(ivtEQ(*mt3dTi&G>s2E?S~tW!?ilev3! zuCgFmxklM{d80_0ed;okyW{Pb3F^_?^-lvoJ0wk@2c4op=QsCjz0O&h&bn0dSooAd z(Ni1kZiQ6;8|w;}@QPNIHoubHd@*|M{!<@jtZx(@&@NVDK9gNf3byOD%WJXgk^MBv z`QvO7mg5?N7R+2mJMZ|SH!8VkYS99ezvl>2`g8+DomEEVuFDW@#u3r({6I97Gl)jo zhG=%Ph}Lrh(KyP8hKV5>vMqPJS%@?mzv)dY9wTzeHOr=ue$3wAu@QCsvSh59vESlo&jp1#y3-fZ>+S>dsXgMQ%tfw_>w#H0K; z+(^lrqkl9V(3 zvGkse|8Lq&S&FOv6pEbx7<*u;!ebQq@l?K=lk?VkEO*4N^+Y=4xgA}b^u26xQqg9& z5VeipFG;Q`3c$tH9&?-|b+J)gi`V#ZJCC%BLLO(%2@Sm-_`1%)V12s>H+>(wZdhsq zW5HY!c~)(VI1<0=N66=-#kXBq!k;lfdlq7Gz*%A?}OB8@#8Y?9#5RNIP1bp*GqF6| z0#a)ib@%l2yk1U$S@aHStz`o*vot61 zTR6tNeiCsPyCAw-4HA6sLMg>h!Ou$Ya}xY413yXN=T^`;20HUVr^x^0=P>w5@j6hI ztSw`E^WoIqv!{*12hQZ`xL&+|ciJC)Ex3Z>sUlAf32m#$#2Tv(@JjLqI%nTmvHex` z_>`Lk6mKbQR-&gA;Tao*$J(cI?ECg%ecWQir5ho-U;z>&yP*`{2IQAsgEE7Epr~7X zR4%UyI^{v9Ip~C(S#Ln+Kfuqc;HMDy>HN>R|J3;#+p{56RX*}F@egyAiFM+Q`bkyG zFO5VVE@p*ftV?(tD!osl;9#^p^@8OO=KP|!jMT4G_QUP(`Nw@^DHf?Xt3IHRXyt*Q zV&Laq@N+mCaW6X~dSoRMJZOV{z6C!&gP&KyPg(F21D(yF^Cjr~@>lvf13I@@AADM> zreO21wa2W0t-Ys2sXx5$u9+xzZS6^iCC^<3+`DRtAJet?!@~AHr)@C|D)|ii>Ddy# z*IX$jzKq6VY+gc)>)7HqRljhg#9}a}BaXOV2t-fajRXPTPzo;@`H4lMOh+z?8Zrl+ zGoUjEbn1gn70?MiCH%n8Xz&vl{_8!GUGgIQ{o61JMVmjGC+V6j`+zet%Er(7FUQ!* z)?L^-sc<#WFqFjlQD8^#sqb-Bq2tGdF>^CF-nE=don23|*jjS$`RAKuDc&D`XC^g~A>`#i{A9kLgO?Aeh01IS(!v@$?z)nCc}r`EoP zFo}isffen6Yqkd)pVm^yd-Jg@`VZ-;8NKe?M|!ms?ni5f>g-rnpz`uCbgj1jtH2WRmq*njlvQI*Ec&DyUby z#)X9AvoLdp9Pe6!bY~Z@fUVX1gnxdkGsU~Imz7vkOn5#s0zVgmpB~`n3-I#=`1uw5 zi~~Qt!O!FW8$UmFg-MVC@y<6+CO-Rhvqgl8idxz-h9Xpp9Tt+;4IXo#B<>ktdA1V? zG5z@d-52@>PD?PbSPpwp%oTqBw^T~}_5Ccyl2T&aeG7i`hd4*-;SP+c{{e9~BqO?< zD|B=KI+_I?T?!qwhmMYepQ+&Ie(SNDrE25nU($6 zZD;UsgSwW!oG^7mK#-8^)hx`PB*%NMC*3JNRLCZ>%c3*^mj=_eduO9PM)1GcDt9mc}#2h#xe^bGY|O$A=Qc8 z^w0d9)+LmMgS%L^iNi#f=Q(^P_Auwpo_I|4(?LW&dlJ!IBamSF8cHcNfqk?d_R$*H zM+&fyEMXr#0gc~4<89Em@L%nt_4C`q2VU5d-7SJDBadukE4o|6EZS!}<<(g1vU-1hT(A+v`PLfNn7j#bmAwvMl&iwAmyyHzd`uBH zwH46=JCNX7FG`UpMt&}aD06Z?c<2lsR)U9Zpsx$`4T8RG&_{uJ>e{qWG|!nm>zq5Y6CyJc_&5m zLj%j|)F-0VCKDg*u!TMQ4EC%5_UvicvoOQH!wf5i8Ma;oW<&6QvuA;Y1-p#!1it&g zw+i_5K;z%a*VbU~bkM;zWQnzu>Zn&=nbe9cKXS`Q*fO*$4}G=>FT7}MzRiDgU2C;# z@mYDbFQ+CtOmFeGdvPc);_O)6&dtQ^w=w+w^$Q%GB@vja`zb`8bw%`hPmw^Y5~V0l zB0rHbl(~(BqMl*UuTkjNUC3`a%{x-yv9z?nfjh6t4?z?Xkfq9YRes?ep&vAIZ1Y7 zL?$V-1Is?}rP5bYn8Alw+`MaqgWO7dFu;nF$g9GbVl#;An2qQ|x=4_=8KtmAkYBDN z^ztqA@-y(k9bwA>pDFOY0=`b*`}iw+`Sac#HS@F4bY3NO*Yspf@}9XxIhy_%3sz>a zY{G@Eye`7XBkE6Vsw1yImOoh6KjB99Dwxg(!=d#5b2_aC9`iF;#_Z zL=L4OdVv!XP~W4Jd3TVXnJ&t#k%eCV4!wj>+ZJbQ&x#V{gu zuWmM#Ary6k9qT#5?_Xy~iI-MkF%B6JH%g2vUXg6=Nwn(iej6G%W%`vVV9lJqHwFmmhkw$dP1qo0; zN_p}K`BlOmu2~CxRDwS4f}bq_goyZ`}=qIPbR@D zx|c$TtL-Bfww>WrsFCjN!Zm6Nt4$iLufm zMu?!&0{o`tQjXNh0E`)a9&w985ncTl5=hyj6lN>jt7+)oG3cH>bPwhs`%mCH1zc{x zRsSpR)laUWZc{Jk-^dbUiVjv+BL_Z`9umjw^nZ6bY5FN4jbeFkB8%L_FP;pitiQ!$ z$;cZKK0#~n)EmZ}fTijfZ{I3JJ_)nX${7h-;f*y2Z>%IElg_09x(9x zfF~Vz;P);9-dp%n$f*K$*~H(uqkr=Bv~2ToShd(=V7@Df*fEXaEd`^Te&t*&USu&( zca>4+bj1)mR4IZ#|Lq3Kj_-?DFN^jQAJtRv*k$e<`vYIFzOy$F*KHo6{~3S;CB-O3 zV+HbC;RW3*gYKz8_uzLrHoWop4!{KSj&&TE;QwFOy$@a6y!L8X*?g6kU6H}|_X^F; zDl3qgr%m-WHDr!o*~18{HfBdicJPHOSrm(!W32kdK%%vy6d(Mi#7VsFhcTDrBd+^m zME~IfzahlJ_sIS5R!oMS>*3oFFG-$jJb5 z(t@0nwWz+r2F!8gy^xb0&+xAzURGkWSwzh(a3hfVYxc8~tc!yJu|=dl$r0Vvq+7P{3VoEJ5?vHJ+4;vmUW z`@CJuW5Zj^4p%(S_O5y>daUkG>!7CDbU}}2jy)%K!53`L&J(D=(-y@~ROituA9c?z z?mkF*w&=WF=L_}Q_u`tL^$qmCIpY>RwRg}mfB0$>y~V0WUq_Ooq2i6LAjQIGy1-`+ zp%urHP>5;N%_oI*Xk{fW;>E_MlJ1A>4L>$z%Rcx`$zN9~I7{tlk$2QncMR8A7NOf5 zTcUQfX2a65YT11TP5#kZ16h{JG2Z1NYp|YOy%DZ2O# zOZkoM;mcnS7YB1jEW4W|gT#A&zcE$a>^yDm>?hMM$E{&aj$;*>){Q)BbjoESy6#U zqFzGi4~Eqc*ujEe&o`-U1_zuZ~aZBwAN)EL4ybWypeeB zmL$_d3g^y6aU33%V1tJGC{a%ZG1p6$zYki{VC!|l%5u|KYm?@!f%hxt5ho(7@a?6I z{aITdY=5`>tFIM`N^3=OL4!}iZzNXUmSomStDhVtNm+@1@N9KD7c($w OVV96o(X(%H_rC#qG)@En literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptid9c70 b/bsp2/Designflow/sim/post/work/@_opt/voptid9c70 new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAmFNt8s>6N_qzG)gN)PfRkAktS0~qZ(1vtV)>;Q>gTySs7}S zEQt+utyJ3sB|WG}qLhi!Qd`9Ro^#x1f9Ln#ea@YmKd$2(=lJ7wuIv5&T;FRv>~U8p zTeT{s%;qVl5r58IMvZnHt79n84c^m#nQECeX9`1gjQcyzPZ2_4rS%MUe!7b7yY}p9 zT=&|d6*B`%mU-k?E-4s&I)JP4Zn|Ri&YMq+syuRn-E}TfYzxoST8oL7b>o()W9OUX z$hqxXAL}F=;yx+XCe~#9)tQ4w$e>IAK4Z6bwGR$kMud+y|aahzk15-852o6`;(K% zJ0rYlb$!Yxjg{dolBm9q3HI4H{GN`czfIP%3iz$1e9UQ|WSKcl7orDz6&-WdZfToc z^moL;{>y7`iZmaZD9LmfvS~3hqeUjlKZ-t{eJ`(GV7AdDU`OYtpxuJoIWf%T8eTk; z&}5q7EnlLx^aSDl5GpFRY8I(YGL)}=7BllgHKpzNre53H?ThMXxxMTT`Iwe?{l+@Z z!bR1>*;*fD4vsCL3}i1r!OFTbqXZLYZ|QHNDImCcaLw&f<1AH{dvuThF4P1)tPvVz(M2D7WDm=~AU zOk;(W5`IAop_|$VElsk=_iu3X*3e%TTKd+s);%GEio6z5pxQcn6s0xHy+rV z-?2AZ#GGbK%!w(MES4))Db`c0sxPYte;&v3!-~h+i**i58Os+dT;wRy6fLf7lIQK% zp+B~vroMXHR;HU};Ml#gbFUwaiCl2g<+{4b+m-ta&Q7|lI(CER9_vr6%4^LGxz`5V zWZEEXRM=!-+XLGb*rvi30$UVpZr`Ad3!4^fQLtTw%>=etum!+Y0GsP~Z1ab09&ATo z%YjW1Hec9Iz!v(w?ptU1CdLMv5P!{0ixjGSTaGir|0$dJowZhddb%nykg=;ok2jR+x%Lp!AxRj-?32-^U^$e~wdemL|sBPC=N_cvcHas1LV6yHU=*hyL$fo{`#D$Us)=}d3iw#M0ZpXeQVc)D^!+k?s0^1YV%3-^UeH$F9 zeUr{KZ+puiS5HN~ez&M6S;KY`)tgVwb4)(S3Jvl$u!7d|7-5uTZqSu@v0Bssg=<&H z7C%-LPzKjCy{<@*vnb>&6gkU6&Zx-QDC8^vIm<)NX8o^n_Qe$lmo8k*aJ_`904`&= zmcT`WYvyqX$v#OO?Kj-yJdu+7t*CFy+5ShHyhcf66Rc<7f`lxv$J*?K*} z0?HW?)9b);UfhlOG}aWAw#T=H<5p`d{MfmK)$oqS zF!xjA4y-;YR`c$oa8Ks4#q1dZN~}B6%l#TJF2aTPU(*?qyrhxeFW9hhN9F~eNL2*3Xlp=TQsiJAgQzddW;6b7M87wK9G9sqZCjjF6)`Wc%32}gO|`Sqsn*v_ z|MvGUiWtH6>fD246UB;>SCp;sk?f&eA%fh~=b5?zW<2HddNkI=d4%txM(A%>6Uo^| zk|0||{A}xS79Zm*cEObn7v3|(Zg9PZ3mqaDJCb+Be}6~AwH&T8xQgKFhf4&PGF)Te zO8MSg(yq613h_6YxpTY0-eYm9SzG8F)xkC)=SnK8YLz-;vTQmxLC_&?x-*^fzBr66 zt~f5BG}tq}-t_R|QjXJD`~3+2$}vKp=Zik%BU>KG7T!GKSK$mk#9q~7uZFQ#eC*X% z=|gGH>*IT+Ym|>%oMrqakJ4R}qVJ#fc6bty+B$T>y}jAHjWK`2Hg55X+2YWo4$AGo zRJLiRjlf8`n5pYA#8ZwwM`JlGBm7n^LjT#ANGz6u@j6*z;XaD9d=2d)Kh zO~M)U#u*&Z?-l8Hm>ygbxZ>g31y>?m9JqvVv7{~?xJuy)hU*$!dT>$T@`5W)>T-mu z4X#MIY~ZScO9EFsT)tA*C%CfV(u3=(%;kT7hnabs>6G|LDk>A=OCS09e(p_6$`gih zjad(>)-#sOkm2@Z2*r9=k||TQce1@F6bMc_7%;t_QF(EPM$uSS0fb+kN9c94h~$+I zNjPS2n zKo{Dg3n!urKcEZq(1ix*!Wq&oT!Aj6q6_Ds3zgA@8_|V>=)!Pxq4PJnZYeANi`2DHQk3bKu-*TI8w0edT;ctZCOl8TB}YZSnV7 z!QIP6%(6KHyqYluwDvU<3BSsk(4Rgdl20c{!tG7OZ~14EW^#;#8Lq=SM<+7IL&iAB z*l)-f-lYYB$k=IQ%zY%@+`i1^AV(@PHWwKikBr$PV=c(oFfvw$jF}>1!_u=jgpB1P zV^m~p3Np3>89R)OtwY8hNi#-A#y%ipQOKAZGKTk?*Lc54lD^+0!1eX-8&qU$E;2SA z8M8;mT9C0}WULMuGeyR}GuJ;eEEqE^3Ny?OGwcaw*ayt8>pNJ@HmwXD6<2QQt!bEH z1DIijm|=LkQO4Vi$1BXR2+Xkkm|HbBu`&UgxS1g>G-iOVefvFp&Oqj-ednRj`ro!Y6(@B`TU^)TQXqdvK`y@57U`mE*4NOmAx(`z&OkLmR zKH0;h43h^;(J(o}^c+Pbk zeoZI*(bEat%8f|MyK#Td#Ql8??zus@=N4jC;eCd?9$CVDmxBB5$iAydv-HI?>x<_u zJcIDul(}cIU|y~;q-n5p7dLq7ez8m$m9na46#LGL0KwfO*O_G-GHchlOBXA}N5 z1w#MqNhAfSB*DxU_lgqSD?Z|0k%4~Dgh>e|2bl2tIUB#9kKDcD|CrQavc(>iz%&fg zRhU-7r|WB8o_l3cIUqOJ&Ss3$rL4TonYA^pNB~-N3-`9 zOPj=dPVAe-<44fr=yck9{N|dEh40G$`2RQT!oN9$g|khH za@XfvFV!w0u2=kx`n5&5S#t7oR5xr?Q$NHTT@ZCdDE?IRj&i%ySa28gg#gX5Fd9hFT-gp0;trk%*^MJKkPBL8RJ-D8JoWm~tAnh$@Hi=X01v8Rw!9F-#tuGXYyppm5I zbdoTQ)1)xeJJa#cHe&XJPss7Q{dsOd^9_bC$*Shha^M(+bPB0?(OzMjb!bfG))1pu zzdY(Ed4nmpwy3%W~-w83&)6b(uhZ{_Buv2w!E#*Y;N`=;| z8axUw#%RZ6#Y}LSQR&d@|DaVU8sv>qxJk2gUXXP*!ux4yB4>ii#f>R9%9V;DHE);L zrsh;O1W$j|b8RoL*Se)zDR5%z_Kbnz2b=$1z1eq?!hCv_^Tb!i-7Y7WC%$Em=cLEF z3CHcYC$s)=4XtV2aF*b=%BSglD<(9DRi;pP%qbEDw3H|;_p01vlKiO0z_&MTMw~D# z;6Pa2-3D8oaf@w|2L!cybJ(5Pv-yIV2e&YT@>@HIYug%)xo*DYnHov8Dvg0%J3nN^ z9nV%`ZBu6QKZWr&-p-?SnVOI|V{O9HS1NoKIJv^kGu+1d$G+O?1=*d?W{nbrhuARJ zT(9g9uF7BYbov`#8xodOOJ)Ri{SllIS9D2^Zh literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptijvxbe b/bsp2/Designflow/sim/post/work/@_opt/voptijvxbe new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptik4fxq b/bsp2/Designflow/sim/post/work/@_opt/voptik4fxq new file mode 100644 index 0000000000000000000000000000000000000000..a53cdb1f77a9cde5d6f4b2c63297a0ea3213725e GIT binary patch literal 9640 zcmdVgdsNI_-v;nV=z!E9k&k>;3Ot>&dG>_Oh0>{P9_PU)Oj4_ITRvt{nB? z+RCV;&Jlm%&xO%nPR-vwU+B{Apb%uf|4O=97N2TjOjk9eHZUowXPTAOCfW!OWsXm~ z@ICK(%+!LZd(}$hkF7tgKJLz^s>fm57jH@^^++*r*H67^lN%jtC3)UyRlRfiuo*6; zif)-lqnyI~+($*Nd7wE-qScf0LErBN=S6hWUU{>I)$OUPzMh@bAG}5q}*~*!GnZnDMUhv6Cx#W(9%X4{7TZf$}_FRG7ZuxxL z`Bmj&@5`YzD=W_o(Ow!_CynU(CbUn@^nEpy-WjiJ>3^fHNdEMWc)6)Hmm+(7Mmt_x zv#DW9-j}fb-PhOL73(}TRh4U+#ikvZ6e%`U`$PQg{AZ=fIp)r${@a?r2ksJNUpvBF ztnI}!JrGZubS;`1%f;XItl0YPr2@3;vcE zdppyXGiP3zXo~Jvx&6a(XjxWzVn({JSntD1r4;=w6I^H)>-RgSY(AiWqVL}56X}aK z2T~XGC8*9w_hYJ1b9ft6jcJ|Cx#E(ytHmSjDN3JM-)FYfHdo56GGKn^Bn~rm@3`H+ zeA?{0`F)d3i^YE$RW81+(Pp8uJ64}nGLFqqOts<0lRqT)-EUFy!_C-5HVT4gRSafJ zdp<8Zv6jXPDJ1-yIzl&pM5Ja#L}>Ds_|A+aiQ{P`#HWnp#+4BZZYQyjL=y`Nmsqgl zh=ss|STOGp3!W~qpqUU0Vuq!F<%)&9Bd@SZf39}?c^u0ZD+X&1)&(pzEFY{;v7=Z= zJinwyiMMUr%wbjKm1SEtGu>7M4BMkH?e_j7;j`~2-wnKGlfkHHhure064uPuEn9_zMd-dlcu0Qk;gf0(mnTA%{x1fia6Dnx)AUZk;?^@-0w6xs%KE znm>jYt!GDLDYg;5QzW4`GKrKQMTGQq#8=u)5@Qn3Av@3^7vVy;ORV9_hpP`RbO3YF zKy}C=xaPxk39c@0{t zRJfHkrZkPX)y*OO-$sZlUQlbyEQ+|_-Wp3(4AUqZDW>c$_GH0@C^l1Z+c}=oAvIc~ zEuHYI`w0DGIFVj-CBjjKIE!^SizPUV4{;XXz=pn-Y=W%?Hh2d1EWRk%VC5OW^&Y;% zL@vQ0`9aX@52on{6U?cW!OE&d8E(vHvl<%@9eB?;6TFOj!$(&Vv+yj%XWmYBobF{o zfPx$|*_y*stGG^MwT>nHlm3M6B_z`514PI=N__veCW$VM*tak2WsDBGtJw6MWCzaXv1!|h?gn-c2bSIFE4UTzbc4~GS;yI*YFr2lz497%@~Ph zL=S~)m&=yC7%iamu4Q`Nlp<#l$k_qpECo5EB4Tt;o;{Ec2YYtphaQ%Sm7+iF?KEg!? z<9^BJ^9I`PZ8H^pmX3Fd`>^wEz|q*qWN(h6dV;9I)14)4e#2P3eiL_uv4*7Y?^epK z_2F#8_8`~r5UxS|Dkc#K%28qeUB*9Go7`i*`6`SWf-^0 z^sbTMn`_{@57#HS?!Yw^E;{zC1{r&c*^Iv%(C^Ja$3^N38|KHAhD{GBwNk$Pq0B|~ zRQ-FVU*qSud5j?Y$=vEpR2lH32R+xZcBs4iO9+$h+ddzoX$=4A(=r^5E)*OAMD9 zT=H<88Eh_D*IPOT`~Y%Z-a<)Gl5mQY%*hvLK0UfXp+?2pFsJX zAHtRt9~V%n?3rF4+Ii7uj?-9seF^{OF+!j2gFfUVTOP<3-aKNK;S4^-UR7eR`mtAh z?A0&nLs`$y#P>?ekR6KAD`KvC6z&`q`S`TA!%P2!`o2rjzxd z;F=BBD4aoWoWTM8UXgu=8NwxnD+aEeaK*yKflCAzOXkvts}QarxNgB^2p0t|FSw#* zE=RZ;;0lM!8m^I9#}AP{i0TGwhchI%Cm*ccB5gP#0aOfG)H_7gox; za4Wj730-J|E>uAmenl5%pbL%Ag%f36xCC8DMHfy*7pkEPozaE8=)zERq04X4g$C$C zU38%Wy3ht)SSjnmt?0rgbfFEpaB#W)>5X9Y#v$~EEqbFGz41}j8{5zu_2>;(^u~Df zMmKsR8@-`~-mv_gd*grQtM+HUULjwPk*^B5TuIk-2hRPPd7j$bR+qC99lIvVkQZmz z%fGAmr3C@V*lA?UeIVZ4e$M4UM=CNl4H+AOjM*b&b;wvhGFE|%nIU8Sva{HS zjHM%ERAg){GPVsFJA{ncB4f{G8KWa(Uy-p0WXug2!~4y9yx+vh-fx6({rdX`6&ag` zjEz9X?2)lLWUL<`m3o}sVq$}PM% z9y6>5Gb|S~3~x7Tc)Rgv#S9C>4BLwtcK$b>VKvBD0cO}sWUL4odx?xSAY%>4*cN1L z%3#Tus_NtNFHcr@+Ui)_K9`#?sbkqLPf^~Pdvb+)=g}UgnTc;McdGd$Z^30I)Jcr1 zw^Izd64`1O>;)bpOPPlqKJc7gAE7lK^dfxAP(m->L!_12MEG8r_{Og$iF-xP=#6UhM#F!)H~ulLfr$bW8zuovTVV=?Nf)L(n6zHO(%FtY8`klQ&E!Ve*3M1WZF=3YG1X%*28z9;VeWy@Kg6OeHY2 z{GR({50e^99xz41OVOu@w9C0w#BuPQl~~(>s`QU^0Tq;CI`nhU%4`E>x9K zZK@lrW4Cs^<%Ej<60I8I%1Y9YVpKi6!_`-OD#_~HM=?oCW*ZKlCZO1kXL>!~#Eb4| zp|Q>-5&qB#gl_3Zq(yDGzbE7VE{}U|Anv)jm{oY6;jTrNaNniizB{n*YO*Z-^l1I` zJb_mE0iRz8G%|E<5^!QtD?hwHR?4>z2bkECc|WdJt}~y zAEq>zmcrx;lMzh4gUQraXXiO4*x4$X#lBtXt#?wWrxuJ=_0|tk=-cr$j^$|n zdHKQ`$?g-oC`q12*~5=|3v3zT%<4};ysl(1O{~6{C~coX=*N~2=_Ugr?A0Z{Y2!%Z z3|A5|s)^(>@LTI=D)wnA_DLQ4%hjN>e_I@jTq8(2lFx0eexHoTTWjr`katb?0|d;L337%xI(wQRjd?v*2YM^cWA z+%U1kp~LSa@Vx!j z9$tr4U72ctO8wT$J^4>Iep$KEXO!{`dZ~*_t4Z62lZ#_J*&{efQEsB)+aAfSJycGs zvF%S0+$edK)U{+}ZAi%(>b9wQV*k1V<;7kl>rLaIw;TC%Bu#xM%9s0WI6VUXDJVs><4;#^iqw z;W=k#&|1t)NwkR`Va-&{eH}2S*v>Q5+Uk$4XJxZfn_p`U5rhU?Ggsd(X%a2VTK#Im z2Onz^68DTu3~2eUpv%#DS5;Ys6C58TrX^(q$#+BjO=)tdk zbtwCh`xmwRu1XQ5%7?}wt*YM}dlwfJA#TZpRW=@E$w=?7 zLUqD5{E`|@LI`v1UURXXE$>MQ8nu&=pROmEh`L${0T5>$97SL|7tN4+wi%q4aRMr} zib04u_CRCao$bQf{h;|Kc{@RlK8g&YPr2+R*sjsOSLVM#C<@&qWx)_a#S{{_P2(%6 z_5x0sCKM!Nh3ku5;sFeK+5tu4|NMh(=j>lzxV$qUR_-QGeS$?Gy2%kV?Ud7^9+LrC zz~<$!vjU%69tMJCNV_=|EJG|-k1g2mA#BlSataqK-W?D25c6Km4*>#j;*U@h4lfX3 zokhDs0qd8KJ$+MS#EHH=@j4FnY!W2^#tPtz+=oWJ&~Sx z5)2tLE@h~6j07Ns&71{XwTXDJ^tz-F0+u*uF5UK^y@Lp3Z{rMVbl=G_M^V5bBp)w8 z3@D|7<+6?CORzlJ?CzozDm~%cs!cA)sg{1n<+7hWNLi3WQ|5*Mf>ZXM*$J2&?V-De z{MItx$piDRCk5gg6-M>BlNHq%=TTR9Ke%-kDa9oD4I5Bp7MvChm3_KWR#fay?8#DX z35wo#ke?--V`ix4)@*S^U4%I19IMTY%Beoy6C|qPdWrusP;(&%3NMYr#)DsxqYkNn zU!fj7a{^Q}4^}!NwD*LPw0K0OF9NsKU8dmJCvK)-nF>haz;Y=!8vdaTU6-WUq>-$` zz)T2rs{%(lUJtI9uX)4OpVoU`t?`vcFDTouYrU zCSJFSYUGNIO`F34)Qa^<_;~W@3|J0zX4AoPezGCy0?oj?mg;u}Pazt9b!V0WQ*^Nz z_-MB%5-jZ(Hn_oZ<;K`_T9=W>}U)euWlXTU0DPnSB|9oKg)&PQt< z@HQx_B*5Lf2-37PA%i?_|KfCk@SJO{YvO@P3*tr5ro2g?PGz!Y@LV}%-~Nh}JIyR( z((6wwJ&`9HF6m_tod2ZCxKTi9tbdd~DPd+az5P8VSVnDnqvARL?I6M}tmX>Q+xYWw z*G!_P--zOJ+l=@c^ZlBA%hkAh#bo;?^`~Ds+gpn$Kbj%2b|2aj9{a_dPF!8%(?M!+ zJiF0vaoqBHOGK-nKep%2TEp$I!McR9k7MGL7jew7?58W}g$paN^-SMX-mHlA4CC?; z?EZ^emG>e;eXNo`ZjFgv#tk!~Y^}GYaqlpD~n>*kA=?%Q|3!M*w#iRgeiP~Uv(GlV^Sy8c~4$}!x`Oj+Mtlhnxc zW)U^<)~(@xj&UJO0`)HJaF{%0u3>|lNW-MGq^iBzAP3{O z2DSxN&eJP4G7+#ZD|XBb{MGn0^{HCIwZ_<2a<(S4mmm6_WQ|!lqYo^rze_nI8InUW zL?^>HY9pN&dYAM<1FBV?k?};=o6r}dhbA5nngjBnISlwa>nMqjqg4)_o{1ifv(a2J(UfhQ)x5H&Uo_ptPVTvT^mCSNZcanxxQjw8 zh9uiIcK|9x*txlwhDBt})|`XAgfC2t+HAbiCREOs4G6ZFsu=-(IYUB zsF!d1<~n84hv3;Y^eW3ofwzRk7^s?yD)42M%r1^&&bMtRcG1plzFAQ!uk!kK(x{*? zLdVnij4AUyUR(Lh-!dmXe)x~Eo+Ao|S@jJ)ww3L^A(+9)Ck`S!{N#QSEs?E%0JF8; AdH?_b literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptiwvjs7 b/bsp2/Designflow/sim/post/work/@_opt/voptiwvjs7 new file mode 100644 index 0000000000000000000000000000000000000000..d025396a7e3b068e1cb74c1307e09ed80bc49873 GIT binary patch literal 31744 zcmd5^Z){vuc7M+qCMJ_LhNLv@Qr19r*FZKlkaf&1>^hJIvt+>pmZseV+p$eN;Mjxh zP_IP0v)#1KN>Q6i`QWNhxm7+yRoePPq~-%^ttyowHBzH0AN&ESQAH)BMru^iHdO=e z`Q7_FZ=UbW$$JA%ck{s~_uSt-zjM#I|K6KBv!n1(6i4e%+;MvpwTNuLGm6%Ud^w7u zf=Ch3ZInfkcS(7@$QF@tOX0wMG1|4Xi-=lU;s**FqG)BMEk=1mOT1SE>f`ky?~Dua z-%Ewmcdf`j=!e9R$l`yl^OE>tLH_e!aiMrbq~JHSiu`1ywW#%uPuhhNennARQM%*g zd-50U`$VSOOVROeq+D&Mtq4BY(e@Q7HENG|z<+JuM_kzcw9#I|wW_^@LE>G{zZ|qz zJ_IDqZ}q=b8l?T#_TbCYzSn4vIOl0UZnVEGXZv}hJ;q5M{tH2SY3AC6{r{@y3k~9b zJ!mgQ*B;|K55FIeg<_i|2;=cDD5be;zf}SOy_O%41}NVr2^Ra4+Km+~s#0|p-)O!HL?KH_ro zZ8i9i$8NJ z%gy(Q!8a7}wabRlD1XG|=6lrO8xQ#U4L;&>^F3zpRRX@M!AD$fz8wbNoaZabv~%Yc zUDsvV#(8`M`!UwlcO0%%gkH|&#dg%oIY8I>Mf$0BRH}Vl=g}VLBN40C-}6$&e)4t0 z&#J3$t@9H+X+MuTT*=`Y`FX|gQ|;&Vv&ZoBnBk{Pi&cIer=QR_^0Qs+V_a(-RjU2G ze(p5O2poZ+Y1&+F$d!_Q^I&$htN59lZK zjr^Q9{8amS{d~&s^NQoIUq9~3vc*GT>PBj&z8WS&GcuWNq=Z3@n)zhL+?9{BT1^yhk${?Jb1&n)?25B^kGCN6%_@Mn(x=(_aF^k<74DD|9^XZ@j_ z#Gj+&hduaHWtq5m!0_i7{n7RCi}a_rNq=Z3@#iG@VGsVCV41l1CBvV2`lIo=hyLtq z(jVGM{5eH_*n>X{EE5;+HT*eEe>6V7LVxC(^oMp5f6kI0_TbMV%f!Vm8~&W5Khn(Y zXZO;ddBY$2E!I}=U$m3>bDsRL2Y;4WCN6%(@aIC{&zI=WxhDOgoy4EZB@v(JC5&uOgBQ>@QDe!zZf{)N`Xy#rGA>1Fm4`t-cTdzSQh0re;^`+g+-yuyBU zNIm+w-{3=k!57JS1M7qobMbu`@LeSz?1Arq!FNCTI-BIX7VupsAMAneHG}T~@(nb} zcO&2{NTP7gfj#gI8hl&HH`gRztGA!zubq6b2fiVL@5|(yZ<23wz;_S%U=Mu52H#i6 zx6~wGN5I!fKG*}_L4)tB

                  ezHI^D4)Vbs_(l!B1*yk8s8IU#v~9yFS1uKA$P0x} zR!YVHmNMp@zBlef8Rva@kVpH!kuug1d>)N)gL?4(E%kU0`L9voHF+$Ne}w}N%3o=p z+#5kz@Oxz#f+rSt#{z;{{K*_{(pn=4VJ<8FTw{q;Co2^ruk3?pSbJz zX%lo4j6M z7k@_K!Zx0^WB17|&tJVxH$fOmJm`vmO)4|_Yv z>-9C>KH^Xhob}xCb@KKHysrejduR`M*y|y$*VlLlh(kSa*7LZ>-9C>6U3n&IP3iXZSu|syr%-* zcWDoJ*z5D{?)CZ_?*ehC2hKX@@AB&mrt@(k?(4|`AfcK3RHjdzha z)B|Uo=bt9;Igd|x&%1Js_kG#}9`<&V*XwJ%OT?ibIP2X09r9iXcrORM7ikZ8*n5V& zUSH$AL>%gYyH6zc73=(LopY`8sC90%&Ue;1%sMYw=NjFwo88B;FJu3HR{o~&>uNFZ5y5wJb2$13EpSP`xEl=JW$+1UVk2~=Y<{c z>iJcQ$@2<$HwL`lm3r`A6batFZS3zx^7?akJumElSI_BET*W&c@IEi~;Qc@( zcn^^GcgXt$dAE|+pNH#tVF$bS`#?}>nSkJN+rLy_PeC-3i)cMo|#X5Ox|47-S* z&^QS@;Jrp1>eKmM40yjM_29iK61+3y{XO#TCr>x~;m_ms{e>Oy>iJ!Yt9UO3ye~*S zcs~{i-rpkcG4f83_apY>D$B5&?l0_s_e0`PpYHFqfcHhI2k&K(;Qc9ie@fnW$nyd1 zT?u$OPm8bv-erTgUC!_6d8F%xp6gGN_xH)Wz_OTg{ga}TB}#$0vU}g1%D4KX^##GL ztlXY_PVuKMZ*3dY?nU;;uk*JT7ai|LGvXY3ACe$1TPc_CH9OwodB!#`8Ax zGOe84K&kUYicbG7{aJSY)IM+B%zE{A0OgkW-gc2#2RN?dm%P3Q^*FcCzxa}T-{Xet zi=uWs+7I9bmyAF33-^BEC**tb7w4Y6B89K~vX_st9J5@0#p~nCj;9oVU&@eE-52ke*G*JuQ5NO7X7;QI-er8S3RD4WWKIf zInJ`mR)?>}!MAHE!Y}Y^Ttcb1EP0ao`&9up%FjNp??HV!KMO{FfX|a3&BL#G`|&B} z;n$^%JkWlOdhq_h>ytbTF^<5e^Khq>kq5tjmWtg$yfqJffxcVXArC5tSXS9@8>$_n*C^ZFzY%ZwxN={(#aW#mErNL)+B(?Ps759b1Xr?f*JRAOGEta8c7 z1N>UcJiHG78|)j0yuJtZ={&R>c>undJYfA5-gqIQ3!TW~SCwb_QfMZ_< zKAi^%m&=2HevhB` z^Q14(cSt+rLFE9;D*GM2J`YoZTgyD?KA(&iv5)!vp7Xo*+#yA$U!Y#gdLEbRRqeE1 zrMA=apq}r;c3K~{OP*V#0&x!8X?@sE&!aj|!gg98wgUm;u#tHU+i88+PS3e|UI^Q1 zeb}y*e7e7d?X+Iwqw&=9w4M{gc3L0u>G}J((Lb#Z+v)SbyGA>$5B=10{u!g4)`xtX z7?;qV&btt=>td*v>E!Ym>e~&yp8G<)p36hMKA(ho%}1#J6H(}Mg{(Jkyd^-CF&^=r z(TOP9j6B4J!U4op{)5+9PNM%PqrZ?C|98mu;U&E2XFJX}vc9%}SM0g(;X?k01QO%= zM_gZ)q!zruiyt^fqdrFepON>NLt+?s=+ocl#d|ZnANh09qWy4yLqG5yb58~ihJl;N zz+v71cQgZsc>>(20H^&xJkby29k@#d&Ud)2==aIi;>Q`d4%*u$@lD4?dDUJgaibZy zZsOj}!1WS$IRn>6+(yaM&HU>pZg&Q5fVg)uaQlcmn}Hi5?rH{ZoH%@6{bv2Zct(Er z8#oD>AI&uwppTOjV84BTnr⪼g ziTfY}caFG%OwgP8w?y354BQ3cc4y!&5jT;6TPE&!2JQ-RXEJaf5qB{Ica6BK0dAkH zBUn!>Qjd5oP#))ctm`TE1FYX}-IsOSt@|Qx$iQ&xu_(}fNpM(yftU6BCn8q;Yj>r> zfoYMj=k^V;e1g0;(jv&2R!^cY2c*Vjh9Y`Yb~D1z&%LZ zUmLj7QjfTH6Zdxk4(Au?fu5sWN&alc`RxulPeBn!w^bq6Q+89T9ung=ErBl(r+AgE zhF^KCl8ItSN)6Cv5_}tAg3mynROz;4}XT-JgiCR)i22VWZj13Ijwql z=FpV08y%Wzp`Bt5JNMHL&vDf`aRhd<_;Fts{5;py_(#Ua&|bQg%MSKO*x|Vk{3B>T zE`foZiALVk{hZs-9Z=L8{MasCUp7-ayYI$;I7Cgg~BhK&2@T|*A zBr+Dgwj0XG2Ywd?ygYAKXJ^Ko-6NI3Y1kh-G$Kc6>CZ@c5^`{4!u4-(a?0_J9vT~j zzA`nVWwDo?hfebH{F>$+Mf>5|VL#r45al<85OPciAqRypU5-TGOuz9vDCjrO#f|t~ zKJ)e$@rJ#jjCkYsP=Mn(JI(9*Gcqyl;wfQvQo`)wAz`kdzY=DaBQfFgd|M9Qhsn!x zdmZn@)UdO=*8SZ^UOoq;d0ieShX-AprMqr?Np}yqyh?&0rMtc?3D)_PXMMo$q#(|G zuBgtAPq}e4IymikWmx-omnWN!cb;`_JNb9Zc)+}^@sGOsB*VL@`IL8j;rCO}Z$AGt z;2mkYzj?-2H+lIymExW8`JKKsdB*Rlpuc=ROY^#X%doyB{l)L9fS1pEDc&)cR~goh zznOIl`~6VH`hnk90WY5)tFx8W{hJ>1`{|L{&$nLScU8c{=g)*^SQaSEOPNM)UQP)S z%HjH-cf8_vQNY9J*BXy3NU)pCS0Qq|pL5;E@0);+&%p`bsBAc}Kcedc`67|c>?`

                  YSb7EiD^S53<==RUg8#nx}2zdDXo$w_25hC>G%{7V}ejfyUe2%a2C3$-5b?_Y& zLP!~Y=K7uIJcQr*03Y86Bz(0z1$>ey-5>JU$M18%KHno$XUmmU`(GppYbNjb9Szvy z`-Yl5aTxI!9*Jgkzs|FN;P)GoQ`B zr)lqEoxRWI-)`EwN_#TwB%a0U9_|Awbv;#y@8KZsyx&^Y=RwpXE}_(UBjaT_9Y3|N z6767DWj}d3R;I2L3rA8YwFu&WFmQ zQh8M3`!(R>J>5(`T~}o|Pp9XH+E=OeRX$H1-pkGA(e*T%YASx%2hbnh!_DN8;qUhG zX8VKh%YcXXZnJqlV}J1d81V3(Z6=Q_TY~q`LujY@P^tM)iSNMx$NRLI_D78MQSGZ# z`zrCh7w~k;2cCK6kGw~C+x0_aGvf^3a{=Q*OT-i>5|(-eBL}WqM zdzN0?g|b<^wr{qbe2j6^xT#&0S^ZaBDDNhZh+KSOKf7jbGSac~n~U+CGa%+pAQ&p|t8%pT(p06tCq_TJ=`k zw4ZtHWZ~3a*dNO;OP|G~?LwZgot71^WlM&*TNSsE|5n8<vFhW zSEOU^JSZ1LAb+FmKW`Zwn{e-!ei%(8&$T$$`gA@cW1s#%|h)xC#4 zG$#8k8eW&L+3 zpQXH+9h|3euL*X}(T)@y5AF*oA0+OLKw8grl6F_GI4Qcaj$fTeT3#!u>wsm?s&CdG z%Z~C|_AI@&3uUu-ZQpFWX4jjn{;MCM4A+~mJ}ig*2+N8O_3B?Z?i8=}S`OP=`Vgmf zES?Y_mh*pK`bOs<-1mcCJ{DZnU(Ju0S*4fP zzOJnC?qXT}SHJW;r+SqFclS;8{I*FFg8pb6w7uf@(mvYZygtuN;bt8^j~Njn)la57)6?aX*Xkh4oSA+pF|@W_H@W51B2`xz80R zM#d)aJU^lL&&vLO_t><*H{X&$xc4?r8??gZq@cPgMIpg8Dh=J`bzAH*Vu^ zdcQsCXY##=Iv#ibMDI)A1Rvh(_Wi53>u~b1Y{PqK4f-40pZ0N;yt_QhwM=)9KwcZU z_#T=lyYVnGuKn=$fKs2EyK$I|GiiYFI4cbxC9bRUGCb?*sw>+PyvQKeyL)0PBOCTz>SAa&W}@reb2o_S(J literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptj26i2n b/bsp2/Designflow/sim/post/work/@_opt/voptj26i2n new file mode 100644 index 0000000000000000000000000000000000000000..7ddd5b29ae7523d5d8944762c7f36e80afae9e3b GIT binary patch literal 9197 zcmY*dWmr^QxE(mO)X*i}LrHhT&@J84Fmwt-r*un)2ndLvNJ&UYcOxhv(j6iQAD45V z=l-~VW}jJatoPk}J!kK;Q9MWR+2-ETm$E$mY)7|~;Kvc~_ZI5pmTq9AU((BFQqez! zKoU?|QN^F4WA&HYu%lSUX*r-a+b@_jmH=wE+_keFXm)qE{XUw2%L22rnl}2)6}yGi z6i|(ZrlgRJVLiWWu%QYlmJIxRVT0*RF6;YJ7TCqWMl??-vHCk;i97Il0SIDkRuTvV zvg)U)7riG}ge^Pw%*f|Os}Pe4R%jHJAj+eyK!*qCpyBZWl1Rt@?{5RJfWN=3CKxdF zXXoup9Nb#Ha%>;wPL(L-OT-h}pMe*^vM`Np)?vgLuJ*7?EO6o2>?t&mADrr!548thbLWGx#-|AOjR+0RE4G z=>IVg|33zj|6^dmPtB42Ipqn(9DzP9Rf2-G2crv{Lrpoc6xFI)embLe6=Ds8@TZ7X ziZ9viu|$Kedv##9pVmHJqQ|O+6>tzu<>eNkaxSqa*U@F}4&?(?lV2Av>24?@+4#{I zm@fFhcnIy@uXR@RPsGxE{={Is3M+~$AChQ{nTD977mE>XnxTLwZ)?ab;KS{ml;lh9 zYD1EJ7omDA)XfjDha9L?jQ|~w&NYq zI*vPy>^xNI`K}RdC~o6=G1{*zA`>l?-$Z7*2=bTq#J>#Nkhnj;+kg0Zvn~s@#s9nw zC35L52kZGR&Rl7)zo_eU;PzI*Aj^n|G_W}eEZl;VtFkLV*$Ev#8lej#1$|Q$AQB;O zJrtoI;1o_I-@?D)cb~-Uyp9UMwA{c;C3R2%;}moIgW)##)FceLV2l)CE~@WAQGJ3- z{G+&+IF-XJ9`uUIYE~zFgMWw@`*oi3q8RlNLi_LQ1(AxB&LFX@Z<4Z#V|CkV{IlYs z-8h2N{&Pq!-V?s%n{a6wXicv!2bh^Yx_9^$T4XEE(a&N=gp@VT+%{#XSN7ho6ij^9GK+j`}bvfL_l}fJIajbb7cr#39TCkHyi)DA#2{B5F9?q_2& znuVqtE8y~B^Q#J!^AI+D~Wh8rA^Yf1Q=+k6mBATr9Qvx{66#5W>0w03YA+ zkvzhk)J9Ea@!4^7c!BT?fSh?lm+LXF6oLL<6sJITSKOC<^moGgNB}Wss48yk9Lvz- z81D`&?V)Cb9f{5`&M#AlP&Lfhy=4B+ zX!(f;wBSGP8*tGsqB{777f4Rsawn;I{yHXmKB2d5Y49gkbQ!6_dbCF%ie{my2>n+L zv@|L+&_$LAZ4%2H>m0{GA2X;#COR|HSS*WWLH-wS*VRsOD8q3tMIsOPE^}m5rHEY>CB1*HEej93bwb9O zY#RyzL*OYDxvV?^B*!}ENly)##e35MmJ_=m4d@WHIpcB<3+`19X!gMOykgciba61U zn=&L*b)#Bj+4CgBJ(~C~z%(TFZ2aR_GIt!0&n%yeYJ=dfXXC)0@ZDTzng59FBf00b z7LTdVSH9IPPR#zt?06VHN2Q>em8;}@9>)?IN_<5fq$7YPsc%is;DjON@HF2Ro3o&S zl8WJP87>`7^w~ajLV4>JgE3=BWB?o8Gyg8@U(`kK8QYdA3TP)9p9aN;%BMDh(6e8W2)*mcglbCZtq=sh$rupZ&Xm7!W*zs{ zQ^5H<9Z9Oj#XBjx@M3sAc)pvJ=FWD8Etrkf9^lt6V_?^!4z2`l9gN)edw@IIoipB9 zei05=@8?3C?MV4wL|i+*%2`U z&$ZN#ojK!*_jlQDQfW>nS2@zga);R&UsoktksrI9_;BV*AMde$DUrIIFXrGo%9&;3 z7k!gNjk)t8miyPNLIdKNa1{*O2ak_493`CG>@$Yk1ORK3Qd|Z}Lt-=ynoV8(ITs!F z5gdQl@J^?Ga5()ST7Y?5S78Mk!Da*AAvrt0b9~?!x!@LISS(rl`)~C9#>DH5vbj4G z+a#FZV58q-A_%t{f0^Zz4JWSP6qX$hJRftJ1JSzlo%_=g1wC*g;dNyPuLb7Wa zyl<>&FsHzA8|{3NnTJ6mcifa@3eIg3LO$W5_N&&+Ni2?U*_&UQ?d7JB5P+k{%gR z3+^GHH4-WTvdfhE<9gy0eCe>``JnF6&i%RS#))kuMf3#audZC+pft8o`ZE#IGC9y! z59dW=2|7==@sro=ml5MM4Kw(E_~WdPvG~hUvv0r-L*muqlT}MzRet%^sM)Zp#2?2C z_ZeY9*JdL;`-#f`p37+HGG#|XAqUsN#E!Q|F;ju~Qc;1AQi#s1rDS=*k z99t^|sQ7(ra1<%e2?SL%nLrI!?PS6=PF7^QyPxjL6^CO`$upE)p(qg1j+`s5b03T= z{aZ6QRL1Ie)Q5|RWLv`^Sg$jHLk_DHaE`uTGRbqE@Ev1I%lum>pw+n$)DpBc5^@g+ z^ffhL-B-l65d1MMjW1NYDa^i|A$}O(;tbSROy}f1V5Yg*v7x8yuWscqSl69s-{uaqKymTFDcsDJ7OGVx_ ze=bMJl{_Pw#j#XiR?m9Am1Hg#H9Vats@st>xZ@x8Ng+$*-YKY1eqrDwRIKd;|3)Z^ zm4ct1UtWpn6PsAbOWC&xX4&?Nq9ziN)O-s6AYwG)i@jUCc}J{2m*k5ToPOIYi4z&s z_^=4+rz)9VktvSrDQ0uejz|R8F@F0e$9h4Vb*G3il$t5gCFYg~&kI#mPRb2*W{Xx5 zQy`_6q=XOv+)S)R0->BrHI=GClB?NrR_Xr;?cfe_bC?_M%4R2`+ftLZHavq@tj{c3 zzbX&m*mX@n_o2b-pPPM*pR_9Ms>S=y?>ud9%PT zv7BT$%5r`<2o_KfzY=a7fWUEay{Eu1VzROq|HLNauFGJQbWb+W9-%0dmM3tocBS{e zlz7p8`fya?|7>?3eW#>PjwAjMeulZ}>XPy4+BF%%30$7O0ka&_JK!D--{?oslg+~b z-5Nsp!;^ppoe{GZC5I^ww;BO6Ip1(um>ITI{<*v1k$}{ko(jEdX(%qPLa&MsOTMD& zor-P|pgk98sJ7%l5(W^SrsAIpQJ7i^TmuMiD?!S4im1kbQ-nY1gFf&^hH`5tNqrc0 zl5ML2zz2T&f@<@z>iT=ByykPU4$~p{yme!&I-An*A4SA|WX)QQPM4beR;3XoOYl+y zI!3c>oD}6AZZ<4O_kgV6&8URRZYR#X+Tby1_;O0V`rCIG2^u!V(U$SdG)sdVoJ z;3@@K($e&s(nP~_b1#Ascfj1fnv!T9D!$MJ$wLPsy)hm~8ZqCDX*MmV5Uk-Ti?Jo` zf@Jzpz~!T$Fz96KUCH5NRO{O4sErJ^t30s>cf2ue^%IRhSNj?&2a_Ckay@pBm<)P= zxh~FJl?ru;Ngo(F%c823o&fX7`;e=X7|h>-*Ohg#$En?1pZ);mVB5V8&%t|qfN%|W zyqfTbrMlS+={m;U2VLoD@f|P2;)^ME_3pyq5cA=zxK0dgi;fz`hRaX#MqVXV)6RnQc_>jC53T4P`Z6Z6hm8WTI7?tuJup-Yrqod%FM z($d1)Eba(9_0E+7NS4?B_qg)|*QB?9H!i_|D^DjJutASd2Ezq{J{F2%(1i7H8TgM) zFSLbftnGVtsmN^?If4yrJKZG_j)ARnO=;r&p?sADtQb6PfRTd6EQL~u#{nWx`@La- z)IJ<0gwg-P087ef7POi&bE&O+&~9vv!C(`Z7gJ0IijoIhUfC9_um7oB&BKssYoW^PT zfjHSwjI**>YCxbBTBJbp&;x6vz4&X0NRhh)LKXdu!2I$KZ(Z>MsQe6Wb;O?nL$kEt zp%yYZ6%4$+9civ@G+3k3>QGwLAoDwtSIOnQz6HzE?s~C(Xb<-MTYKt^erg?BgJ%%= zeX#>HTC7S9J`RxIwhi22eYg9D!yoYO46=J7s6Z=UTTEdX`lbrEzF{-}w0L`$!O=e6 zd(d*w!%joe1F~B~;;;>#lfz?dSD4m6kiPj;0W(wQ_^h(5Z_Q(9vI7m>RFfz%cA|)Y z%@TH?{@M;e-mYrN?EAb@W^^%fej~tQ?4(C62<*uIM`O}9fqw(Er9Z_s4NuFU0(`5- zvdywB#zukM&Wi zTz;{p=pwuTbok=PZPOV2ObFaE znH)$zKVqJe;X*ZMG|ptsQh)wMM)I?TzDa_hpc-N08R~2Lntn}_&^Vb^fBzs;&K!9g zeW%oBwI6A065pvJ{`yIp>?X=jT(iotsA0t-Ii5Km zd089Q20IE>x&3r0TC>DWYR=71^W5_@A?w^EA-Zz8WYNLq_-zE4F+%cU#%NJ;B+vGV z8zki&M(>)<3*Frgaw}3zkyWSdhaIjzFuJKd2Hj904$*_E%UPh(pTQgIo;2X00t;Ae0Yj`Qoa=Ng6 z@_H{hNpBFfbtsdSS5f#Pox#eGB0lyXLTPo)@?P=t+=J?FkhI&xqJu< zM!<)RtrSzG_IEl7)^b@7@RXOzvDJU#mBdn{Hy)tMIds=I)=b64>+RHL)%>p@?mHKA z+{#3&tFt!YlDnffU*H$rbq~tv|2}?^Zi7c;hKt%5CiLeLxi6kyFp_Vk@t29i)oQ(( z!9|1lo7lXCe8q>G9^o%`*&<~?@N)YX0x`xq|J%Zc*qgahLj}s1psj!uwk>pUd1?H& ziWUKUnUbJ1+0OVU39L^f-e*DSj3 zf|r5cInyDQ3S*@UfPw31mDM^jZ459Q;(LV>YAv@BjsZM(UWv2W4C!2be5E03G|GVj z<|3o0rb|s3te+kivW+4SPI4_NS%2lR!_9;0_g?v*EH{1z^^LE>; z11~Y81HdkNY_ph*FX>E{%hp#7p9x=eE2ZC8Z#AqbMm;_zGAVx-6-ZWc zm>FfR)KbRSKQFZo!#Df=8(xoaolh&+tcmYbUR;h!weh=Y%yQO(|JgqEP&WAQXlFD8 zzBEQSZlgc-8gtP#z8+&os}nL<@d8dF(fkQqGy~4M%Db@8ef0|PU+nWjb$CSU>sQ>h ziKT2bAfz`NEdq7f`Z&O#Jk5>?39{`Kbz-MPNqb?5qKaWRZm*~XJpFC1V4p82W(G`u z+~A4d2WG3OGTZM&7Dc+o{@8@s>0IYH*wZ^jhxcRJHaejL7JvIVjj2r7G99D^)af1f z(9tvi+8!s`SP3VwHb?|u4%NnVuJZe>0??)!Ka7Y<#{=C_KUvz-9PnX+J3noJ*rzu` zU~NssV_VA+Xeip_u=Ke&RY$2j*>ERi^kTSkQ($j+7*jtC5u>FCYiD6Hc$%8O$-xLS zBpvLo^=)&34zIp;X7?~RAtR-z@8O|015vi57+$=Y}& z)1csf1Yv?qqqw3sC-M?}@o3=f#8e0bVQ6-`r6BVY9dcq`UOocQ4>AswpzApxv*E>u zh7$6=#i(@#9SagAnltNJ{#bVNMqkaSfR5;e)05(S4^UiO_$c;=G`0iEtMC$`yI@;D#?DOz|u9`BD|t|ICO8Hz}#&~ zpT@G&PpTh$8OWXGo}wd(3Cgv*$$y z%DDA2S6@45T$c=&V$TttMKk`KFIw{K4KGZ>O1$8=g;gy!p#z5Dj)s9=L-80<3ipTs zZa;k!(}U_?Vz{u2zG@j@^dH>^!%wFT*wJZs5RJbW5tk&er&@Z)c_{ zCLX-2?tcv1#TK4_2fXV`uPC0IeXRnFkQalL&Y_r>fT&y$Aua&q@qH%8YSyxcbw5X_ z0!=wm7NLB`(H7zmG4m)N-HBspQdE*#dQo->R4HQyts6GF1Vi5bGBtz8-KIJO5f) zGwgw68x+4_GHyrRDxX`Sm<(zO%5vW!dTZJM_Z^6zrwWFtA4=&fh~5geOvc)A&BOD( znc{Jnde{rQ3VAx~{<+{v4 zvPv)1*j!sbagK=NbcgPp5j{nn^pd)s2~*;Q?G;&({0pUQ@CHD42R0h_amkSGG3D?1 zRUi=Wgc)bqc{37j8^{rUl%Mt@MJgg<*(8y3sfo)nH1b!oI7e&bRH__b4J7LN$wdQM zueiW-`Y9YE>jFr0EH-(JXA;tVFwo&K6{Ot1+&&<;*gIyHLoS?d8JU-kgAH;-MpThA zwhRTtc7!x9AexbF4g|sADRr^zj7W1+h9Pc(IETThA9<*35(<7swq9Z=$|Tb*#E;ta zp-A^2qloL-(H`-U0@hFB4{CoBitOqPhI5f;At`I%9rNU#Po~=~%bQm&{68i3tdW=a zD-WPh@(yVR3hIo;64kz~sCLLA%bzsWU_RpR?e6csnCW#T(fv-=m?cF%w;1>aUVLh4 zx~?1tqkT#CYUtD8RJ733=adc%PK@Fd4L*)SNP%D8n}gj5qN!CC5G3id+I z>Apx_P4^p`Y@_D@^K*BNh9{$4L3>A7W5CH*dwfp1ojTi>>9G9f>xx#j;GZ=C-2mzJ zxXmLco^Un$LHAqnATy_>q3H`pw z&2xRnh&sR)W*9=TRIdRW3O$TskObY0W(cJI!wX4T3)=I@0)Z7HVQ`2ULB18$}KLaeRmfgtI zD<>6Dgw?qyeu_nP(+T%fr5_2dk(r+FC_xc6CT2I`Msp~=s?+NbnW#j*a+6vDMR=1z zNN+JF^feIyyoH%MB76N>H9!$of5&}WSj4rObklppzjlGll&Tm8Md+MJ+J4oy_!X7@{n&4LmvWmeFfF}&YL!Hf3ceBF%h^|W7^-^UdYlPoNBQZ zV3;Q0Fl((r+MAH3T8P~rJ|-tmjC0eKy2%=>yr@mYZ1p_DQ^n~11ei5=WI%g+R2)xCS#!l2wKJj zQ}@NS{2yi;Lp|Jx$kbAPJHKHcoJ$>4%4D3qUTa^gg(tZY+x2Y;(BOyFlKe}3<{Zm+ ze{Ud{{%9-YEFO*A8k`wkc#Cpa`d)CUGE9AP8nuUcamj42u#zf6tV?2=>ThT+y`GlC zm)6GRvJwZG`qB-o*h%TWxa-oGuqDf?l`X%ghh~PB4dlV$biAFhY^c$<1IuU`kJuU*o{B>f%#Rk~$- z5SQT?=}U02f#oQR3Ft%8DmOgwuw2FSVYF5hLQe&FuF#CQv-6!XMSAdzOizwrx8F zKYxgCX9SK7ylI1k0+fa0c`oa0W?ePE)b}X=#jO*Ir<;ylb(Wx!;LT;T=Z98l{9kxID#^Vd-M@XC&i?#cT0k(UKh=1u^`{9`v)19iRqp11)M;eTc<(YD0 z>f7SzD#jO*uYad=1V5lr?Ix%m--f=cK6bN4m@q1>H6ksddHwN|w3FUZz0q&Y?L{~(^;O=gC`*0i(ulSuMIoXL_Muki@Ebl>Fo`*Z3# zOrkwo4>>!Z&_ywgD}EY!Ey~&jGpjbYvMH^QPaXeO=b1cGJF2GLc;lkXhAWjZCKxMN z&bKc4t%lSBLYAz?!EXf}KDXGpGKp-HZbzKLblQeWP3NfY;n zX_?d)*R;rwMx@ERYpv&+Dt5l901j*S7WRADyWQh>YUA@mPHPJ1jb-J3g{aqd?Yrr} zV*}Am6Y66Jn~HaSP@vJoudK#Os-A5#MVS~S_^XGye{}BEBNYj0^y&Fo%s8xaKk%6( zqzd&yy(YBo)V^V!&1QgJV)o6**Y7RQuC>#OSn**2sf3&_+P%#7st-=D5rp4F;bylUt*Ukj81~kY<=f zqD{5k9MX}6!>`mW#ezH7bvx7Oa$ zyrPzc4yio~r=En@Q5MUV@33{wVX#RX%-{vzF-Q4T_dN(E^4k^ct%gICF{36spe%2a zDg28ifDT)rEN>DNjBkZkWf)LNI7Tsi#AHBpZ4S~m#8d`ES91VX5I~1547zS3UGYTX zT$M8f1>*>at}Q@X1Bz;{2#Bs00IVc{4grI%+d&d@#dp|Mxq47Aj)3Ue5~KuBR9z7e zU0VV0H34)87WESe2-JixEM=_>smOjyC2{ zKpY&wG)#R6!qlf@baL_`vC*vjRQgQ%5oGd65K(xTcAeM&= zoF)#=pb>>}EQJHE3b9UPhhK=pTc8nzaV&*{#^>w|ac}_#qA-4z0B-|86a^41G(PL+ zh(lL!APVE>3D6Ayq9}l9;R-;6`Vhu1s7t9k07Ov$qYkCS!3`XU!Z?<~p$7ob>O&a6 zs4k_R01!n1j5?GN2X}BF3gcMn?;MiGszyMB;$IU>cEGTgH%TM&&;ggK0%+v!-(d`w z$cgF&V>xE3A&EKwiA_!V_2^#Ne9T2TPu;0eH26ew4hQeOZTsTIZ% z5Ds1dgcCrAfc};(^#g!kR}+#0NCu&UfH1||jkp0p!T1g0fT2Sa1rQE+-w}5r+2L2> zfT2Sa#<3I*8f)k#alp_a3gfp3fT2Sa1rRMXLZ^Z_VCWEq@k#=81Ar(BAX@YXAX0q@ z&gxfi35fXQ5eTk zIN&>j?^%zC1BMP!7=KIv3>~5QF}^$G#}Vkd8s#-k7d;ztOL*plFvC(%OVaoU6cU7*tf^{^S& z0ieHrTHl3vV-8Ikb7)2!G#=?q8*?xt4jSL45fCQ|&uKDDQ-8r2Z{Ao7^Tt{rV6;Vx z##&gA77j2-g9X#FF^5*fLF2g<0TDVHH-Of}VGxXAK3xw8h-u>5GPp}w0$_B(ST)uH z0i!M2G}fZ+{{x6m77iC@fhSDaI64>w>_`jz^(mJ+ARs<^8b2a+Ry#<8!GS1@V<{Yl z0FX$pg#&RI3II_U??QlK01!n1L<@~sI1-29;6N0{u@o!n9RQZoK_Y-ZG|QW$@!50& zK>Q5r1Kcv|;7rCa0sx{gj-{9d{)CuKufga zG=R5>gT_4u0pajhK!<=nvZPTsz^)+SX437?H_9UizMAtrg=3>0^vsWc*3R-?+ER<8 zY29C*stnkC*DAc`ikI(`3-#ecH%|;Xa?By1GAYeH!}5~lR_|U;{z2|}(>GO`1a@)m zRIw`IeS5zR$#q}5$NSjp_jtQ!@#c2 zr^gmJwRav6HhJ;w$WKeoxTb9P?fy-w&woC+o_@=zc~wM8Ozo~I!J9jHL?ndHkK5?? z^62oncP|YoSr+=<`nW@JCq{P6iSB*PcgJRKZTci2)9$N;z=Cn-KWvke7gVE;7YS5^1h z5IbV&nEeZ1ep2Q-b5=@XyC(%NE2^yAHs6Z3`*_vN7OmRuI+H!BgYCMq_bM0i@MGJ4 zSX}P%v`?vr#d_PbmX}g$E9O25dhkQi?%z(jT`Zn!9k%RWhDFT^~{Yyus^E6W!C#4(6TfHD-rt>B^wU>noftO3seip`FtvOb!U} z^xT(bW9hiC$pMGC78$c^<3=}_b=X{ZV^6_9$ED=fO`Wl6?|1P@FvXoG!LD5qSL|^TUY&F5f)H4)pNo2gKyR>D*d1! zxG3zJYyMXA$9}~DLt(*|dCU%g|JhutlooJp;E)ck0_ z!aDTx~; zkNwpZ!M5r1KDlBSV_Ic8edcJh_=*utXE=Jj^m!PU&?RK`bo;DxmuiL=ZG4q~)AJ7& C^_VFD literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptj6rc28 b/bsp2/Designflow/sim/post/work/@_opt/voptj6rc28 new file mode 100644 index 0000000000000000000000000000000000000000..e71764009bacd8e5222348ac4a98cb5b25dd3c8c GIT binary patch literal 281741 zcmaf+2b5%G)kT4NBn1S-fLTz?ikKrgXHkL}2!fKq2qHRU6p3aL$pRu-F(640#E6KB z3g#R!`y2nePtB@Rr`N6NTJt^Yo!NJHzpDG)uIg^a#Si@A3TJMz)b@K{edi%(*Z;oo zkVme%%qq8DKU!?D#TMVV4olQpY_ZjJ91#q<`}jI8&eTKAOL#>%L0IpfK&W5cx)S6iX;F^2Y*|0T-S~F_^TyyU_8@Az4Yi13AYnH3CVOtKh zX4U|>X8AfBUc{l+%o+gKtWameb{uNWtO0P%ed=s@F^5_+YXDrcVx0{y;ZSR44S;J_ zs`v zHM0i5HTSQxVP_7tX4U|><^gp!yo^Jwam@qke>bW%@;m@=&8l@ayqrU=nI8whH4mz@ z;T0Te&8z`%&4cS~cqNBgGe0)~*F2=ohFv(+npv|;{grDTT4%$q9BR${+yGqjusR!d z<4|j64S;JNUT4GZ9BR${+yGqjh&mfy#i7>B8UWWkvd)G*IMkZ?xdFIlwK^O2TGxohgvgh09^CvIve)lP-|ulfNR#Mvte%zwPw};xaKi+ zHtfTp*323J*F3h)hJ88I8rL-Y2f#IttFvK04z*_10J!GybvEqJq1MdL4Zt-|sI%b! z4z*_10J!Ffbv7Kxq1MdL4Zt-|ssk7mA9BR$1 z0dUQlbvC@7L#>%L0Iqpzoegi`P-|ulfNR#Ov*C>#YR#+xaLv=|Y4&_j5W(|OAo?U0dTR7C3 zSp(pj=hWG77>8OjYXDsH+&UZH%AwZG8UWWkug-?Iai}%32EaAXud`tRhgvgh7Svz4 z<^^>&9L}NE%o+gKys*xOBRJHWSp(pjwd-s+l0&VTH2|(zr_P3>IMkY11K^r<>uflh zL#>%L0IpfD&W2++)S6iX;F|U8Y&e!ft(i3duGyf@hT}NYnpp$jnhoo0csqw$Giv}` zvr(N5@8D2tW(|OAHmlR6vT$)VQF8UWX9T4%!v9BR$10dUP`bvB&H zq1MbA0M~3@XTwPxYR#+xaLpEVHk{0%*323J*KAp5!zmnU&8z`%%~o|boXVlr%o+gK zY+Yx=yExRESp(pjZR%`zH-}m?YXDrcZJiD8;ZSR44S;K2RA<9`InTGx) zhgvgh09^CpIvd{4q1MbA0N1>v&V~~+3-OQwPw};xMqhs8&2a;Yi13A zYj&)&;X@p1&8z`%%}#YToX(-v%o+gKytK}S4|AwBvj)I5JJ;E828UWRYXDsHvN{{i z=9P6eoWr5k%o+gK>{4gLxg2WE ztO0P%u5~t?$D!8D8UWYqR%gRUIMkY11K^t7>uflmL#>%L0IqpeoedXos5P?&z%_f+ z*>E9;S~F_^T(f7L4IkxDYi13AYhGPv!$llw&8z`%&1>px_!x&;Giv}`vsaxB7jvjJ zvj)I5d)L`;35QxUYXDrcPn`{ya;P=42EaA@*4c0whgvgh09>DAiS~F_^TysF34OeogHM0i5H3!z&@No{cX4U|>=Ab$oKEa{Z%o+gKytdAUt2oq} zSp(pj*VWnZNe;DU)&RKX^>sFUibJiLH2|)8L!Awu=1^;94S;LjSZBj$IMkY11K^rB z)!A@0hgvgh09)S6iX;F`D9*>EF=S~F_^ zT(h9ghMPFlnpp$jn#1dC_&kSNGiv}`b3~mDH*=^pvj)I5N7mVJ3x`@WYXDqxRGkf9 z;81I34S;KouCw7*4z*_10J!FuIvc*oq1MbA0M{H_XTz5`)S6iX;F{y=Z1^&VS~F_^ zT=Vui8@|G!*323J*Sw?7hOcs{HM0i5HOJT4@HGy#X4U|>=ACsm+{U5S%o+gKoKR=O z*E!UhSp(pj6YFfaokOjeH2|(Tsm_LPaHuu22Ea8Z*V%9fhgvgh09=6!WGe2+t|nKb~ed4HV^cX6mSvj)I5AE>k8ZVt6()&RKXgLO81pF^#g zH2|(Tt5&8z`%&4=r3_%VlCGiv}` zb4HyFKjBboW(|OA&aAWHryOd{tO0P%S#>u2j6%L0Is>L&W68ms5P?& zz%`fG+3;5mwPw};xaNvF8~(<`Z=` z{2zx}Giv}`b5)%U|Kw0>W(|OAK3QkOzc|#ISp(pjPu1D*Zw|F))&RKX({(odheNHI zH2|*pOq~t?ulf`Cl*-);F@cwS$w`40M}eg%@Xs~ z0J!ElYDV+b0J!FQYKHl009fNQ=^ z&Hd)90dURj)ZBl*8UWXPgPI4-R|DXhJE(c!d^G^B`6e~1&Q}BAnr~6_p!sS5T=Q*e z9z0(SfNSog<{|Ud0J!Em)I4;)8UWXPmzsyoR|DXh?@{yc`Dy@Ma~Cy_n6C!FHFs0< z$oXmjT=RWuR-3N|z%@UhX7%}M09^A!Y92LT4S;KYM9rh;s{wG$kEvN>z8V16=&jsi z=Boj4%}@C_kDaduz%_a+_qh3L09>QDa*v;{2Ea9XEBA!?Y5-iLw{lOMuLi(1dMo#& z`Dy@MqqlNTp05VLHF_)el=*4^T%)&gYtB~#;2OP^d+K~O0ItznxwYo20dS4p$~|qq z8UWYmt=!Y+s{wG0-pV~=z8V16=&jr{=c@s5jo!*VYrYx)*XXU>v*)V;aE;!|J!ife z0N3cP+;iuv0dS4p$~|ws8UWYmt=#kHs{wG0-pajTz8V16=&jrf=c@s5jo!+wJzoug zYxGubov3+Wjl7L&P5nMOfNS(tZr%B609>QDa_h}k1K=9Hm0N$l8UWWU2EM_3H2|(z z9DKw1Y5-ib1o%et)d09=1itZnH2|&|z&Dw%2Ea8-f^Rxs4S;Kw0^clZ_{()`P5pO9 z0IpdYeDnEg09>;S_!jfk0JvsZ@Ga-70dUQ|z_*&O2EaA<2H$$V8UWWU2fodGH2|(z z9(>#RY5-ib0{BbnP=Cg^C6=rI-KN$U8r3}k+|h0E`xLu+-5r;Zk8F5s6|Pu+Gi+an zF;T!0%hzFB?$lxgECeiFsn|zVJT8&c2BdnEwnIpHi}mQJ82~I@q5kqkwfs>>HXzkI zvK>QuzdBnZr2v-Rr~Y!gl-hvw{)G=ah4cY+_L!6cSh`~U<%?5l1JVZ~eQ8Knt+V>8 zsfSE}r7P86z9gkKAbk+hokPmoqQ|8az|xiLFSk#r4M_Da>SZD2J<#J*3SjAd>o0dm zsSQZ=mg(goX3X<&DMDQVL+{L+dYhNvRD;d7Clp8B*RwJUyiV zmOiZha@UmFfK+cfUL8{2GCU)t0G2+y{&KgJ+JIE=FkTZ<-XAMCd0qL^~ANH<8{Tbhucuw7YcHJK*xCB_bT19)*n)-5L z15(}x4Exk!tN?vp-F;5o87GngSh{-s<(?_E0qOG#ANH-oSONNiy8GOe0$9pd(pRU{ z2Ba@6eAurJ^=EurV(q&7yt+S5^qB%!%9q;L)S9|{8<4J3_^^K+#tP7N>+bXG&Nz`2 zz*4@{?gd$EoR4fkx?bVK0d*KFK-aIkFQ_}?L{b1t`BJ+#WUYl#8<1{L_;6qy#tP64 z>+TEd&Nz`2z|yK)Y#+#43#B$7-Kg;4pgN2dpc~iSwd>9}krcpEzSQmuS!s<3v&bOZifJ0A#I&QX7zNRrv6RI*b*dTi4z7>&`fl z6u?rx)E)>~YoXKzq}voeys-{r1?aMM*pfT7#x(*gU8>^EY8e>WfOK1=Zwl$Xir*?~ z1X#Ls{pIEXjBG&qBBTe0^xnm99W??hU8eqWivUJ8Al(k>At7D5&S(l>oq(YbF74|Y0hXRq;LmFr7}HpT zZ>vN78Q+#zzV5OoTqD5J6AHYomVuECNMBmj!-6{0pYd&p73wZ~!ZiXc{cC~G4q#*h zQr=b!N7teLjBg{}6gc74{BTSFycTyN;Mf3oUF}4`aRKa7XHEpXJpf+sIuY=W0C?@{ zM8NR@@H*6qfOiJKYf2{qP6&Y4hfV~X7yz&JoCr86fLGU<69Fd&@R~YvBH)w&_Np@{ z0!|HJ?>ciL;5`*hCe>oid<3XJ3!m-Rk;w$c7XTuqFs6XSIHk%WD)H4HMvpErPRsd`^Cj!n6V3#^`BH)|=*lbP&oErd} z&53~X0${T_5%7@!*lbP&oF4$2&53{u0${T_5pZDuY&ItXJ{ka<&53}E0${T_5%949 z*lbP&Tw2j0Hyc3xS@?7}o9(hNG@A{;hGw%}9)@PK0oc%NwkyKWY&HNJ*lbSpI9wTq zy(6AYsdanJ+JW;>wHhR@ca{)}&<1M9An zg8;4xfF^Sy;MxFQTW3xLTo=IW>dc9N>jQXwojDP3Ljb&tb|T=Wiri$)90I663onz` z%uZzZd>EFhvrTJFJ-7h%XQ6=?$WCOqxgviK&C>&5!@+gei43=dq4^vDY&fLuI+5WE zVQAVEz=k*1T_-Z!8iwX;BY+LLU1j)U7@F-0U_)+K8NL*T=5YY9ffv?JFpRF^pr?vP ze@mSW->5_VS@`_X=uY%e?+9SeI&&i6n*q@1P6T`_02T$73;;G9R(GAq@cl3}TLr)dwu%!Oeh`MH z(E)6r(VfWf!!R_B4q!vm=syZW)93&;G>!h_Ff`8vfDJUd6Ru+P6%Kl`Y4o?&+3=e> z)SrbNK%+a+mH##X8r_M2-vvOUI}z~v0BCe40{##HjqXIiJps_@P6Yh9qD3}3K>b;G znKZf+8U7N6=D`K9fi`s_!(YSDv?+iMw5byr{uYMja{#b`iS9&(zlWh|bO0M@bSEM0uv`I)+$sQ7w(v69Do$irJ`By%17HJ3V;pGR=IB& z_#y9yYE@V_T?K#*Y!xTEidDkE&*$D$Ysvs%16#!jhS7gG@B#Iv{O~#(9u_rxRdJ$^ z`tShQDozAEA^^6E69JD5fUV+0z-j@oRh$S|Jpi_f69H=!FupJ@u6g+cP-WwrzPIi~ zhR1|~pHm)OtHQeJ=>f2TuPRPtcx)K>f&1yTrVIc!u-Tl*@VGEETLr)dwu%!O9v_Bf z3)rGog>}0=7&8fDH@kt`ixan1=eubACzzU_-Ono)m^=vjN!9 zY_=zdp?Nj|Y+$Q6(N#Pp4E$2xdIeQjH$9R7HXK=Zoyf3e82BOeA+@Fq05%*|cb#Au z-G5@>Mc~mD4bO|3V=8i@kNW%ojxE56fENV7hIb<1g#obPod{Sv05-f60qX?7hIb-h z-2m9|P6VtM02|(kfDH>+;^J z4GbM8GHe?Leu?GHwJNNex*EU+hK>^qqqQamhR!=G8eSGP3>_!>s4owIq2olrD*|BX zI1%v502n$>1nd$3L&u4LT?1g~I1#X001O=`0(K98q2olro&_v&=m1pN_@<%bM21&~ zfnNtYv{r?6)6)ZB1K*@^BExIK&hP}hk3>^R)7&=a5 z*e49l&;hVvLEUvC!@gl?whDj^3>_yj>=%Y+s{q)*&~YNe{$Xf_4uB2K&^aIs&Cmg` zp&2>{hN0;w02>%OPIMIqg`s&Q0c>FCIFaGCVc_@C-cqZ=x~Z!HY+&d(!7$oxVqoYT zU(s+_)G&0M=%cJ zL&u2>CxxLIIsi5_L+9i$G(!i#hGyuT5{9Ou0Bm6BIMG#{8iwYP1h9dj<3xsch2eSC z%Wth!Vcpc#05&jmoM0HeeqvzgytAU=^r&IzIMGM_Z~zP)Cj!n0fT80=z?lIsbesq{ zD*%R$69H!jz|e6b;G6&$I!*+f8vsMciGcG9Sme+FsIu`*L&u2>7leUd(tTU43hSn) z2fzk~juRO!3_~+?0Bm6BIFaF_VQ7X9fDH^CCo)_VhGysh*uc6Y8!L45Rl<42%qZ1#Y+|YEG)ii9YJJ0WcAq2)Hf)CV~?I*9X8v za3bJ_0GJ3)1bi+4CV~?IHwM5&a3bL50v0(D0IF>=wlFl01Aq;@ zh;Smq*TcYnpmBJu3hSnx1h9b@5l%3SK0Yxp5l*RSxGQRS5#dB1_3i+85#dC@_XA+2 zI1%uJ0GKIG1pF`nW{ML5KMH`E;zYoY17M~&5%7}$m?=&K{4@Y&iW339C}5E@1)$2t zH_a3$GW;?OYZrJ#tqSX==MTUJW{MLTeiephrU2N$OmQN^ufx#H6aX8TDNbbgO&FS) z0$>9(#fc2R4MQ_i0Bl%Lcb&-ayD&6+3BU$siW3=rKc4}>241H)k>L+vXm%rj4ZKcq zBEvmlXyzJ#4b5EpV;Gvb24F)o*ZvfSrY8YxV6HjQRs1;&&2s@@19Q!Z41Wp3I<*^) ztW{y%v@ZZ`V6HjAF#5*Cz+5}EqTzp0!(4NskBYj|@omIhb0T2z0GMk|1S}B%bIpl> zQ2@*}Cjy25m}^c1EExcE&53}e0${E=5wLUs%rz$h?o~kjS$J!H8VyioqLeX!_e#{02`QVPGneVJ_CRa%rz%6tQ>}Q>X|$;pG^Q8m}^dCxNjJm zxdvcEGuKuLLo?R^Y-r}%{ld`nB!CUfH7B}?`-h=t^bkp!@T zx#k4J=x;IC-c`}CTGTMtoam#j9sqOAiGW81z+7`8;L!mv*PIAgBLL=_69JD2fVt*G zz+(eot~n9#xB!@IP6RwY0Opz#0Z%HR{w%yTa}A)%#y8D1Co()a4C@tmbgikm24Dko z&4~<82}3j20Bm5cIgw$_Ff?-wzy{`;6B(WwhGwn-*uY$KBEwo?XyzJ#4GZe76B(Ws zhGs7T*uY$KBE!??GXU7YTyrABGs3WLJ(F{GBY+LeH77DWGYrjK1F)f)YtITpGuHrY zXy)3p!_f33fDOzwC%TH~grRvZ0Bm5cIg#PHVOYN&$zyU~0NB7>bAn;C>cqh7wRcxE ztRFSZH7EM08w9{yb0T2F0GMk|1Z)%lbIpl>jRRn=IT5f)0L(Qf0yYhRx#mQ`W&tqQ zoCw%F0Opz#0b3PNe-_@Fxdu>W}_*^K};FxQ;OutOM{xdvcEGuL(uLo?R^Y-r}%PGM*|3cv>D zniH;K^!$l|nev{BhFznE4evx(zFPoncqamO4}cBtM8K;8V8c5RutxxFcqan(41f*q zM8IAJ)Srd7=0^ztRW`oqU(IkL!`@+7yTBuAO>I{I8~DbP6B+giL-TSPzy?N!6B+gm zL-P$(02>$?PGs0G3{9H?*wD1;{$Xg^6u^e2O%DjehV>{OmyaZX4g6lb6Ru*k^Ta@# zzPF;`O;JOeI?%6B!NhNevc zY-rl_v@mR35BWQ4O|>b24Ya8fu3~iT#6X+AzoOxssG&`r=*rIxfHrj^;Jg56QzrsG z5&&)LM8Nq0(56lVTo3?l>O{ar1=OE~w;k($PpdWc&;V4~_@*{>BE!eR(EJBj05;I3 zPGq<^49(L6U;}OHM21Vk(EKNT05;I3PGq<=3{9H?*wD1;WnpOA6u^e2O)n3_CiRdX zUu){Q0I-2Jb;4DQPM;WP(+^ZMd^&1qQzyFe&jdi5IuUSn0JNzS0iO+kHgzK4ngD21 zCjzbwfHrj^;D!R~&%#^t+7zJ5#y7R86B#}ihGv=q*g%^)k>SQLG!q@b2HMn#3^#?L zd2I?{18wR=hR=tgX;T0jnl`;T3{9H?*wD1;En(QK9&-MzvZ*!&uz@yp!c~l}oET`+ z4^}jMEox{}C%W?60-#Nu2>5ycw5byTw+BF*IuY=V0BBPu0`3TaHgzK4+Xd90g}3JI z3qX~PZ)#H~GTa%4<}DO}4Ya8f8NL&S=IH^jfi`s_!*|2be9;H6fi`s_!}r3_v?+iM zO`F~ohNevcY-rl_?l5d#5BZ6;rrH$12HMmKS24PEVxUb=t7!OH)X=6*bmcz}fHrj^ z;1>bVrcMO>G634tiGW`PK$|)d@aq6*Qzrs`S3vz)cx&E50aV%erZ#mV!|%h;%wqr> zXj3OL{2>g@TPOe(Ts%ZFE)X=6*bmjjJfHrj^;6DM-rcMO>HvrnyiGcqF zK$|)d!2eHUrQ_R(HgzIkR6t1<-kMnmU;|C&M1~;@%{&IMfhKbz!;)cWzE=QX15M^c zhNZ&LG#P*mO_MDhhNj5?Y-pNnnK1B=?w(w0s>uLspvjzY6{Ek_6ES`+XtL8Q8di)N zn#_r=e5C+rGA9C74uB?eBH+FO&}2>otP%iC=0w2#0-(v92zX!tZnE|2VVUzj9iYm_ zH~nj|PGnd$3>y@9Y^|vW7r+LZ%!v#S3Pba{5WohS%!v#S4nxyq05&vD_K+|%O$J~? z(_{|~1OL3iDfu`6*g%sx;VMS=niy!Z4_7oiI%;S#C%W=A0-(v92zX2YG?^0tj}3q( zb0Xkz0nlVl1UxvwU%`nu-+<$`=zy|$}z$B63sbOf|rvuoa-w~K3GOQJb9qM!VV6Cam24KS( zb=QduPYXk{RRC;gw#w7P&}u4Gj2=EQuvN~iXm~-? zuvMJs%3l}&Tg8chwF6+QI1#W;0BjW}0@e+Ht>Q$$dI7LioCw&k0B@D%l_fxxjcO_W(!qB{I0I-3V4Nhd(I1J6}V*nf2Do$kBBn-`KQve&7=uTwVGz?9f0@%>B>1JVQ z+7!TsrcE~w1OHs|yJ}5sHUJx#=uWtb(X%E7+Vrf7hV7z;Hg%#ae{le`sS^P&34k_r zB4GOfXj3Nwb_jqrbs}KL0BBPu0(LIIZQ8uD1gNs{O)ndq$ndf-G;e?aY@o@U$nf$o zG_OqoY@o@U$nc6VG))FzL(^oh3`5go05&vDwo4ectq1JgwWgX3zy_Mk30E=Na$=y# z&aPz1E9&A2-qh8n#_rSeFLD$oCw%20GiB+fc*oY$(#r{r~o%v^RfY; z%EmXnY;YpOYs1idp#iXgmkmy2cwHEp8314d)6|I!uMb1>x)8ty8r_KuZwN!vA^7U;{5*oXBu+7@C(Z05KSKJH1G5QY+$=Ok>R8;G}{%x2DYmc8BPvE(;@&iuw9+Va7q~JWbSqauz~IBM21tt z&}>%#8`!Q+WO!E?n(Yc;1KZV!4DSv@vt0pfXtwKn!q9A202`X^`ra^XSC8a-YfWue z02|n@PPmHEVG{$}_1ubvGoyy>>O@z5Rsd{QCj!n6fbHr;z&Qc1U7ZLxHvqP)69MN1 zz;<;a;DQ1cxm^LOY<$ypbt1!sVR&VMXV;o~Z~<&!yE>8KqhWYORiBjx02|n@PGqRp1G#ehk1~$AC87>b)v*7`3Xg2&6VQ4lyfDO%tzcLIj zuE*eg`8WXBz{_bTx{8m7VV9~tCk+5LFfyEA7`=aDU}T(E(eT-*VPrVbN4+KhMurms z*9O4Ia3bKk02mog1Y92gBg2V+8vrEj?Eq*~Cj!1(fZMcr0R~WImmO@t*JHzuz@yp!c~mEJTcIw z=T|iRGHPg3C%W=q1wflR5%B8(Xj3NweiHy~>O{bA1E5Wv2>4w9w5byT_Y~kZZNBIO zRN45ZHgzJyAH&dmu>-JyHgzJypTf{g5da%#QztU~ISkDc3}6Fo>O_XWgrR9u02`V% z{c9MSHU+SuY16-jp?UEGU;}OHgsT|+cw(SUFQ{nvZ`9DHPITq}3xGCtB4Dw4Ay?_b z4xmk)2v|G-+SG}FB?6#Lod_5OK$|)duv7tV)8@Ny0DNY;>3xC|8I}&i=Eco zZR$jZWx~+B(*Ur6HgzJyvSFyleeP>?02_FX?nH)rg`wH505M0@UQ~BRo1E|dqb_MFLnS`Hoj@1 zJCWhxVOXlzO>0dV0Bm5ZIFaEI^BDkaV5>Nh;gMn3sXo~c)tdSo0Bm5QJCR|vFf?rn zU_;ZUtB0X!Qve&9HhokWURqahdR_&94NP<=T*YX`iGhj!(TavAMh$K1L|6W#0BBPu z0-hWIZR$k8Qv#q(od{Sn0NT`vfTspPn>rEj^a9+b%@6MYs%(5yn>vx<8DZFw5byro*9Pbr_=y8(56mgcvcvipD_d2K$|*|;n`tm+7!TsrcIv{hNevcY-rl_ zxnX!&J&I@KBMD#wZR&)p7_Bie(54qvG^`gjw5bza`T7CSrcMNG5CCoJM8Jjt(56lV zY!m=(>O{cC0nnyS1Z-A-+qC&r2!JXZ-_)i~WY|0m%}5PITov1wflR5%AIgXj3Nwb`F3xbt2$p0nnyS1iU-|+SG}F zT?%lUHoq+cP-Wwr+SG{*yN2Nz1s+^$s>uLspiP~~uv-|KDFR>vZR$jZ-NVp4!2mYU zrcPvdRT!E!1+bxM(>=n_v?+iMO`Gl+hUVu905;I3PPmHEizWuz^x}$!{iB99b)qXj zAOPCbiGTwGpiP|!I4A(x)QN!C20)uS5%9VIXj3Nw-dKR!w0Ro`P-Wwr-o`nR;Z0%K zrNDD)O|>b24Ya8f84eCZ^Yj4NK$|*|;gB#iZ{q-L;BA}}8QvU*=4~8+4ZMwWBEz9! zXx_#F*udL3Co;Sx49!*nu%X#1hlQcpDgZV#Tji}`Xx>r-*udL3CtStoH4_6{<&uhq zW1@zw;zU<|YyfN(CjyQOfUV+0z}o|0t2hzxjsVyyP6Qku09(b0fD;SwR%yO}3{Yj` zn|`^?i3}%&q4_}xfDJUd6B$kpL(`@JHZYH!$Z$#+nkECVp=q*H!_YJtfDKKPy(_moh!>~(%bLKIC4a{RFGMpEN?aDCcw<7>- zpiP~~@R2Yy^BBMe=CKnQ&JRP==m0h_kDbVHK^S(etC-Vd05&j>oyc%u7@DmDU_-N2 zJ{pE*s{q*0Y?X_`uv=Zld9|jt3V;pFV<%k2=sgnyTjjEfhAX3nt>Q#i{_z0VDozA^ zA^^6E69HERz*cc0;FAHcRh$U;Q~+!hCjzc6V3At|pvuNKZ51and^QZb7xx{zew zM22sNp=opg8)$SVGTa%4rqKaxpwXSk@SQL;jSgT#)9Bv~L(}L0HZ+a?y)ZP7B!CSx zx)WW+U19i7JrC;?H1%8n*ucL4>O_XS!>~sgF34vSzy|&WP$w8hH%<&}wks+cei=2# zROCb-^;ZG#FMv7`@aq8Bu1*B}CIGgp69K;sfbHr;!0!TJyE+l@`vBOkP6YfR0Jf_W z0e>oBk=qrZ%EmWsS0^(3ISj8U@S<8%PcVQDY*!~T{3Q%~R`rEx0I-4W>O_XWhN0Q6 z05-5)oyhRFFf`j0zy`Lf6B+&DoIMG%7I}FVu319;w!-)+43Bx}1NM4d11z-as z!wH7b4<-gi#+4NfOD#2B!-jXFkGgaKYLUW+E1MGmj|_lDcOqc50BCe40#*-zMt36MQ325CP6RwU02Nh z;fY~rzOn(>z*jaWGCU~^%~v)68~DoRM207ap=opg8~DoRM24q?p=opg8~DoRM20oP z(2NWK8=8^v)G#z71Hgu6WULj2rXK)o;47OGUB%PF(0pYBuz```M24q_VXx|_kJXwQ zIsi5>GMr!--G5@>E88b38rF^)Muro8)O7-2WH=G9ZUBr7Cj!&69F3rz{qeSV50yS8BPRjTEHSl20)dKZyFg+WY{bWdlz_dt*NI6zy?N!6B#xS z!@gC0X&L}*U}QLvVT&*{BLlz&Murm^whTivG5~B~WH^ywt1vW;4qyW#!-))AhoNb7 z02>$?PGs0749&;@u%Q_l+lHYT82~mkBjZJ3X!-%b21bSxUBz}`XdX!b8yFc*WO#8H z4ybOrB0CDe21bSx45MdG42+DcDjHrHHH-`=`l!1Ez{qeSVAlW`8BPT3762o|iGbY$ zU}QKE@Tve98BPT35db5@iGV!=U}QKEuvY<#92o#rHoj?OIFVuRFfNy!1H#a}>jSWX zk>Ny!1H;ga3;-LNk#SHMnvnruLo+g78-}JI0Bm4nIMG$SE)2~h319;w!-)*955s=d zyqDFQ`Z5M!10%x;hSByD10&;;6%B8T8b*c_ebfa3FfyD7I6MGGh7$ot1i;8}BH+jX z7#U6k92Ec~!-;^S17Ku05pYZZj0`6N-d?~WM+QKZjc*zmPGopT81^sl@>)|*4}cAf z3@0)iABF>~`pPr_*ucneBEvhw(2NWK8yFc*WH=!V&By?-fsx@vh7-fkG&+C{j0`6- zoD_zp(E)5=WH^!GDo zIFaGqVR&72(^c6~05&i(oM0HeabjR(e5#`1jHqE`IMGKvGXO@069H!hz{qeS;Oqbx z8BPS8696N_iGXthU}QKEa9#k63?~9U5&$E^iGT|WSmek6sIu`*Bg2Ud9}PpZ;Q?%5 zWH^!GqA)Z~24Djt!-)(Z3qvz90Bm4nIFaGvFf=0rzy?N!6B#ZEL(}L0HZU@r$Z%;G znwQf6HZU@r$Z%O0nvnruLo+fi4?{CD0BmSR#uZ^``T@WOMurnz#g$=b9!UTj7#U7v z_;?uj_Up%MO??>yuz```1jFdP69XgT(-jTZMhzpwi9YIe0WdP02)I4~MurmsHw3`Q za3bJy0WdP02)Ho-MurmsHwD1Ra3bLI0WdP02>3z)iyRpMRW`n9WH^!G)-b%jz)#ki zdU^nCU}QLv;frB-ZB>6F4FEPUGMvcpr7$!j1HcAGh7%dS9EN6O0NB9Da3aH3!q7B2 zfDMccCo+6B3{9g0*ucneBE#3h(2NWK8=8@ETNs*=0boNjGQJ*$=Gg?Wfsx@vS8;n7 znnx1Ah7;EyJCWfxVQAVEzy>zF6B&LRhUUdEfDLST zCo=pl49$iIu%X%TzYjyR;Q?%DHvAvL(DVa<4QzNPx{7MyoF|gsU zu4wpI)bM}3>qH;*-vO|noe20(0PJTc0{$BS``L+r{{_H)b|PT0dPXW;xY^jxP6R9- z0Q=dAfT4gz?q>jhh&R?qLfog`wGv05;UgVw+DMC>ib@ zhGsVc*wF08<-*WB7XUV}8=dHLSUwER;{ad-uh^Z)utFH#RG0Xf$z{^31Aq;@Vt0aJ z^rzZ5@VJJCmdPyp;_CjuTE0Q=dAfQJOYes&_@p#iX;od|eX0PJTc z0v;X!``L+r)e2bTeg>$r@l9W!oyf3y7@GYIU<3Qvi42blL(@Y5Hn5+a$nfYeH2WFA z2KKWP8P*6xv!4NMU_U#N;W1%o`WV0l_OlZi9vg;cKLglMCv*4nQD9IHWG~v$dwaLIBvnes+RkwBp3Te!ixn;aO3` z3vws=sLu|77vxR^JSPAqf)fGH4SmCV~?gHV#9x698;rA~=y@llcq)Ht?F?i42>Dq50Yf zU<0rDoyf3R7@DaBU_+hEol2XBp_xhmHZ)Udi!e0(0AK@C$%(FF%P=(01%M4qB_}d$ z6^29WGOx{^1h9drDr2houY=R7@DaBU;|Uhi43m^Lo<~CY+x!mkzubeG&=#n2Bwk| z8TOvf0AK@C$%zd6grWHg4`2gR$%zd6hM}2C05;Ug+^Mu*7@DaBU_&#N_76kT4*)hW zm7M4*4hTc@Tmaa>RB|H2fnhkTF7x_YQ(tQVY+x!m!7$opVqhv=SJ7~2)G(Et=%cS`dyrsbFYE33f;2Bwk|8Qva-W-0;L zz*KS~!#l#zOeFvtm`YA$I6e%`P5`ihspLe4cg|-3uz{)MM1~W>(0qjluz{)MM1~W? z&`c!&8|q~4R5~dP%~S%gp_xi2hoR{x02`P}PIMKggrRvZ0Bm3?Ig#PiFdSZ&c~kZz zfDKF~Cm2Srofw!(*H<*09yLrQC;F%#4uGlTM8FvVFqNDLI5PmIk`n=E1;A8tBH-)* zm`Y9roD%?3$%%k-17IpS5pZ4rOeH4*E+}A;QwgBT#y3qRCo)_ZhGwP!*uYeBBEv_+ z&~zby4NN5`GF%jfW-0;Lz*KS~!^gtVOeFvtm`YA$xHt^WP5`ihspLe4OXf2G*uYeB zBEzL&XuiS&*uYeBBEw~2Xr>Z?4RtbiDqS9iW-0;L&`hN(!q9XSfDKF~C%TF&!_Yhz z05&j{oXGI;FubiU^K-SPzSaWRz*KUAVf5~afvI#uMZ zSXRz z`bHRlcHZYZ(=qkP$hUU2duz{)MM22sL;pn=|FJw;w*uYeBf?;&k z#K2VgTt&l=qK2vDL?89X0Wg)E2>3|=OeH4*ei{H%$%%lU1;A8tBH-r%FqNDL_(cFr zB_{%Y830qsiGW`Pz*KS~;I{=Vaw-8-+4!cZyMz=k@RJC*(#hGr@O*w9R+zlEXcC;%InN=|eYe-A_R zTmaa>RB|H2Kf-WiUFOZTroPq!*uYeBf?;&$#K2U#v7%w|x>(mRm7M6KE)f7z$%%kb z08Aw(0)_yXN=^hU830qsiGZa7U@AEguyg=SB_{%w34p2OM8L8EFqNDLSgwFYP9=aU z8{agQoXD_z7>+6M)>>1~AAk+a6elvQ5Qd|w`j#{R*ucMX=|qP6grS*A05&j{oXD_Z z7@DaBU;|Uhi3}@+q1g!lHZYZ($guK!1^^qFN={_BZy1`r1YiSG$%zcBgrS*A05;Ul zn>&^67lvjk0oYI{i)}G^y7f8SKMYMj0NB7(a)M#>&zJ}|RWv*zYM2O4^idxf029H9 zfYkzEA~+GSdH_rWCjuT7029H9fJXSZ`OauTMmCvzvlGs4hx6o3s(1Sh(RXNIA9E&yyeq3$}tFnZv`z^jbUS2V00HB1C2`l#y! zz(jB&VBG+i2u=j77XTB%iGcM3U?MmXut5M!1SbME41kH?M8GBmEOH_MRN2%-a3aH| zVK}zHFV>oRjR0T+``L*Mn}wm-&j2>CpPk6Cc^I1g3}6HM*@+BWgrVsn02|oPPGs0J z49#8wu%X#YTZN(7O8_?1$=toPbr_oG0>B3Lk`u0C^z4a&op5tS!wykHqdU=+?-&4$ z?nJ;&0nq491iUl=8r_M2odclJod|eY05rN20k15;jo$o6fdExDzUiNQcOt_sVQBvG z0stF$DeFXrUBl3{2!IVui|iJLrbPg3sFS%ZvU?bgE8t7DrnW194g1wyCtSs7+lhe| zxuv3EpQxcloaoB;4S*JLB4ED&Xb~p@_78v-aU$S=0B8{>0uBs-7I7lrbp^OZn*RzI zpvuNK{quoNWO#iTn*T-!zy@Za6B*tRhUULZ0kDC8$J&VuZw$k6^~uh8f&pxxO`XW_ zrZ6;Z3SdLirU!?iX;T0j>SS)49ukJP7x3j;Q*8=h18wSrs~GJuG0>)8sAxDmYG_j@ zy7D6epiP|!I5Gg*)QNzj0-#Nu2skYO_VU!qBuSfDKKXo*0IvO#y7E zleukrQW)M*z*lNbwJCrNw5b!WVsz-lK%3rL(eS>gp-r9W%HJOVZR$k82Lhl?oe20~ z0JNzS0jC8(n>rEjp#W%8Cj!nWz-`+6tQMfk#y7R86B*77L-V_q05;I3PGmSM49)Kk z0N6mAI+5Y*Ff>mvfDN>%6B*74L(`@JHZ*N|ZWx+21+bw`=Cx{zUlIUq>O{b$0nnyS1Y8yXZR$k8vxvxB>1V>wv?+iMbuzb2uMWdI3;0^CsWt_$fi`u*RgBJ`7--Wk zRW#ffHMFS{UHMG`(56lVd_Dl$)QNzb1E5Wv2)HEx+SG}FF9blFIuY=t0^Fv@*2D6} zd}siwYO_XGgrRwQ0BoSioXGIiFf>gDU_;YnUkgLiWB@kQ z$=oKpEet0Va9gdZCIhg6CUe47jINm&XtFO?G~5|AG?^1!`F8@K$(#uIZU8iy69L}~ zfF^Sy;I05@GA9D=4uB?eBH)JwxXGG-RvMtn#y5R?*oh233d4y7e!bSzg9~5-P3A;~ zABUlNdH`&o$(+dWlQ1;jP6DujZznmC;iq9}+7!TsrcHkqhNevcY^amDZTj;tG>;^J z4SXxd30EzfcFTfkt;C!@tA8 zKR@)({2Tym;ETQ!8U7Q7p{h4Y1Aq-Qx)T}x8-{xquvM+8#{s|wzHjP8hW~|OxdOIM z1Aq++>aG(R7F%}u*=#l&fDO%NTRaTSW&^OHKB2jrZHX{6{QzJCo6U)?ViblY3)lpx zKGgW?1^^rQT?r>L3}HCA>b_BH$^c*kzboMc!|0cj=YkFYRe<|M%`p`@(MMe|0Ddy+ zM8HY`FfyD7SUCVjh7$qz4So;hDU^<*(v}w@Pkh$GCVR2&Cmg`p&2@> zg`pWb05&v3XZ0{N9R*+mL&u4(;!$B}9!UTj7&=a5cyt&}sgAxQyBfd-hK>^qqopPW zhR)Y28rF;&hK>_`)Tai(&~YMQtpFH0P6RwH0EUhe0Z$Krq2olrGXh}fI1%v702n$> z1UxGMhK>^f&n;k)LkFPB#y1TeCo()Q45t?O&014K2fzkir#O+}`C({=4uA~|9Varp zAPmjW0kDCg<3xrRhM^fc05&jmoXD_t7@DC2V8epC>qLfi!q99L02>%OPGnd&49!*n zuz{iDM27Xk&(@_96Fm#;gDmDy5^GE{Nz|e6b!$x6v zS9SEava11XVCXo(FnY|yz|gs^qG8*pVdyx~M}1KM3>_x|whMrv<3zxV17PSl5%7`# z7&=Y_Y##ta$BBR)0$}Jk5wK$b3>_x|b}nF%LkFPB#y1TeCo;S&4DT-R+qI^K4uA~| z9VarpJPggy0kDCg<3xs6grONa05&jmoXGIXFf>C4zy^kn6B%|1Lo;*$Y*_!B ziao>7Jdyx5Fm#;A@aizUr#kx1>}miT7&=Zcj5e7V7&>3CXgDZp7&=b$QC}MXL&u4L z*9E}PaU$UL0Wfr&2zWyP3>_x|-WUKw$BBS91;Eg8BH-Wv7&=Y_99qC4hYmoMjc*z{ zPGopX7~WgpcWO-y9RM2`I!C4zy^kn z6B!nSp&2>=HY}*SPGmSd49!*nuz{iDM1~{6&}Sm&Cmg`p&2?yg`pWb z05&v3=jbpr9R*+mL&u4(;+QZrk0gK%C)8ah7)EoF!HIzP1i(aaBH+CNFcF*xcwYca1SbMMSimAD0zj3GZ<+{BWH>Dh z?FfCQ6B#}nhGrrF*uX?^BEuPB zXeI)H4GZe76B*77L(|6qHk@2{oyc%j7@GYIU_-N?&kjSgp8;%W_VYPmX!-%b2KKWP zUB$U!XdVXu8+Z}nM27Ri@PX>byRs(%Y~V$N6AYu{CI%+LH!2z~jv6++6MfW60${^C z5pZb$Y`WB}N}hIb;vr^3*DPY%Ea{T{_6k>S%}XtoM~4b4{hOc#CJ9$DI)7rIP4B2^xOqa9Ys70^C%W=m0^qf-69Hcc zfG>Sc1l$?`JHd&7F9yI)a3bJK0k9LC2>5aU>;xwQz7haC!HIyc2Ea~mBH-%2o5( zH^b0;=>xEVFMUpA_*NL2FMR+uEU3FqWcYR%nvoA+17G@_$Z+R;1^^p)IqgJ-?}VZG zq5)t7FQ=Wz@ZB&pGX=ngW~O{E49!ddu%VeLcZH$pNdO!8^6Nxbad#M+=K{b6zWh3o z;rn4Yt@gzCb6)`1z?WYq7)IAj49vA}Ry6!7YM5(I^ih8u0CUZWfZqhbTyrAew*fHM zoCx?`0L(Qf0)8I=bIpl>KLo&Bb0XlL0GMk|1pF}o=9&`$e<@&*a}A)%#y8D1Co=ps z3?C}+2eqc=8h{PF$#){d-@?$$H2@o!YffbNdl;Iz24Dko&4~>E2tza10Bm5cIg#Q2 z!qCh$02>z6T_-a9GYrjM0yQwQp54EEhG*H7EM0%Ll+*b0T1c0GMk|1l%V8=9&`$D+a(^b0T1+0GMk| z1gsnYbIpl>`v$;Vb0T1s0GMk|1U#SsKYz{ZHGnD`-!#{p$nd~0e7L|L)tZ`X05&k! zoXD_h7@D~TU;}f_i3|@4Lo?R^Y+$ZAk>SB%XyzJ#4a_wsGCU*<&0GVpVL{z>BEv(& z(Cj4u8<=ZOWO&$o1^^qFYffZ%co>@92w($q&4~<;2t%DLwpI26fDO%Ddt?}zxdvcE zGuKuNL(`K0HZa$m=qgqZL-Sk!*uY$KBEzG?a7H}_KhDPizy{`;6AYu##K2tpc16RJ zqK3KVL?89Z0WjB`2zW{W%rz$h)(n8T=0w0#17NN>5wKPO%rz$ho)!Re&53}g2f$o& zBH$STFxQ+2cy%ryWTm}^dCczzg~xdvbZbIpkiF9<_3*8pr-P=9&{3)}GG* zU;}f_i45z6q1lZ9HZa$m$gpl0>SXR*1F)f)YwLxfnQH(xG;?kJFf<(nU;}f_30E;% zV`5;Y+*#4EdDO7so#@K92!IXmM8K8-u;HBu*eU=vyb}Ri2f&7RB4C>U*zisSY*&Cc zeDhKkpvuNKeGAr!3@;8t^F;%|2EOs+M244yq4_oefDMccCo*gwhUN(duz```M1~#0 zP$zTS6u^e2O?M1K)20A6G;O+57|yEC;it8x1|NV8d<)hIS25afVxUdGQ_-+%)X=6* zbmhARK$|)duzLWssS^RO3V=3sB4CdIXj3Nw_6&eFbs}J|0^FwWtA}OI5B316YO_Wp!*Eu8vU8qb02^piCo=38hB}$srT{iH zZMuILnl=Tnp=r|t!fIIuY>Z0BBPu0uBv;HgzK4EdkJ`P6WKI0JrH$^|0KY4-G(-jc;mGCo(Ju!zT*- zN3E#`7r+ME)QJp-hoSjT*#K;yO`XVaL>QVU7{CVF)QJp7hM`X8wkd!OO`9GShNevc zY-rl_=rEj9pTp1dkp!@THg&>Pj1HO@Xw&aiG@KAMw5bza`H2D0rcMN$6aa1NM8L@b z(56lVoDu+S>O{b)0nnyS1iYsJw`ud;3xFyc-}EZqi45-zL(}L0Hqc~FWO!c~nnnk( zfhKbz!~4U~yvhf#fmiuXWcWZBnymt01F!O($ne21Tv-p;-)c>5R{$H%sJl*NI4uly zGIy&0*wAd14~3!GDgZV#TjlgHoL8U2FY_t@Y~b~=6Ru)(?8LxUxvQe#yr^NTIMJ1V zBmlOG69MN3z*cc0;DP|yDozAk7yw(viGYs=z*cc0;Nk+jRhkzb097`=X`(xk;gT>k zuWkWsV4^#b;nFZPudo4ZV6!=q;j%C^ukrzGV6!=q;qoxl$=o&tu%T(wE5gvUDS!=4 zn_d})kJRVzt6Ec=4ZsE_x)ZKqbjHL$o8Dc~aCOwsrcQL_pACREbt2%J0BBPu0E*g%Uok>T@U zsFS%Z0$@YaA~%PjX%PS$nijbw4CmM9@awz^02^o#CtSto6B7e1^8Jd2uSE?l;zU<| zTL83(69HcjfEIBg;PwD$5hnt^5dbaXM8F*Z&>~I*e7gX*$hq~f{30J3fGQi`G|8OE zaAz3KEbu3_rdkBR1}2#k8NL&S<_isg4Ya8f8NM5a<_QL{fi`s_!}r2aCv)2rz=ozx z?+QcHrT{iHZF+YYE~wApH~B~c*g%^);VMSAP7Ji^4=Ngd9yPS76J7Z)0-#Nu2>4|H zw5byTzY2ghbt2%`0nnyS1pFod+SG}F-xuIEZC;xKRN45Z*QQQn_(K?)MhCEgpR75N z;hr#@Ss!XnqXXDLqdSq|k74*s8TjX6r?v`!4K%tF8U7T8rqKaxpwXSk@aHfzua5z2 z;PtT+8U7N6=Jhdv4ZJ>fBEw(9uw*?3o95#HV8epC>qLgXg`s(c4PXPWu${>8_b}AS z+zk(4L$l%k5r$^N1K7}P`2P#Th4nf7HXjE78`$tpbQS*$!$+(7cWD5yf!F9xFpPdM zF)%WISkW-5YjF)D!-+oX5C9{?iGU>oU}QKEuv7qy3?~AX4uFy2M8GluFfyD7xOV}I z92o#rHoj?OIFVtwFq~Q7oDC0P10%zU49kb1d3peBU}QLvVTCX>V++6rMurm^?h}S) zWB}N}$Z#UVieYF*27nEW3@0+I6ozJG0NB9Da3aIXVQ4lyfDLSTCoH#~q1 z&4ynk40W>D*0rX_H-HVzhQD7JE~@I^rvbnQwyP7aV)XCWu0N`1cxcqHU7hI49~J=H z)ro+I2f%i9BH$4Luw9)9cw_)mQ)X#y7nXbt1zWVK}qE zIolP$1~!`$86FdcX0rj8$ndx@G;IoCL(`^@4?~^IZBqan znl^nx7(P~pKh&CPbO0Opy5xkb7(HNO;H%1yD;k~_HMFS{UHQ`kpiP|!ct!xUsS^Ru z41hLuBH&p8(56lVJUal|)QN!S72q~){<98%DjVPQkH0&S;rU@`eqaP(15M^ch8KjP zd3peBpvj!b@WL=OO$J~?(`0Lhp-$#D8GsE@0-(v92-rLTn#_rSEdrp)oCw%50GiB+fUN?c$(#t-wg5L-^KJ*A z%EmXnba5iXi^9;nr3SEpmo83Z*e(ob)`yxiO#y6RnmUo;#bIcsDS!<$x)T{*5{Blb z3xEwYx)T|;4@2|P1;7Sgx;T+xhcGlRT>xz0rHd08b__%F(gnZAh2hNlP;<5`fDLRmCo=3GhUVu905-7MoXBuM7@9T(u%T(w1H(`!bK4Za zhNevq3d5yk_*1Q^HU+SOuPRQsiqXp_2HNyz6%B_(4Q=W~SN`SzXj3Nw4h?`dbt2#` z0nnyS1RNFsZR$k8TLYj?od`I*0JmxLLo0wP8{gEXPGmSD49!Fbuz@CXBEykkXr3Mb z8)z~oG8`3#rpW+oXqxQkFx1K1CIhgcX|iL&a9J7tTx+Vy0BoSioNyJR*G&vG+0QE) zPK+9w%!#i2qyT6#Cjw3mfF^Sy;FJJpGA9B~4S*(dBH&#C&}2>oyte>1S@UHApvuNK zHJK9`-WP`E!3D5^CUYXg`@_)u&Ys)XCf?1F)fKveUwF zc^UpvYpTfrY@o@Ua22EDCI*`97ZnZXL=8>mL|1-p05q8s0p|rklQ|LakpO5iCj!n7 zfF^Sy;DP{XGA9BqD!@(F{9F^D%EmV}nG+d47KV!oob!zs02}y%>qLf&!_d5a0kDBK zbt1zhVQ8LU02^piCo)_bhNevcY-rl_vM|)i+%^TUp=s00!*E3z{#t9QO#y76O`UKR zqthn_+Vqzd4WEu0+SG}z{4)X2rcMN09RO|WM8IbQpiP|!xF!JF)QNy=1E5Wv2)LmD zw`ud%0ieppH?^q~89o<=3kv*At*HkWzy{jXi3~S}q4^O5fDN>%6B%v_L-Pa!*g%^) zk>T@UXxbFOhNew#4nv*HZBqannl`;949%M`02^piCtSto%87wC{Z&Q7*P@0tb)qZ3 zEdbioiGZ&MK$|)daC-o>sS^R;2!J+qBH)eyXj3NwzFmOZ^rCuj=gdNYDjVPQEiET9 z+!=;u76RBnlR1&$J7H)hI)Du{nG+el8-}LI0BmTQ?0aFTletX>U_;YncZK2OW%zro zsqG4215M_Hs~FunG0bVWKIP9G60&)iGW`PK$AHU z@aq6*GA9ClSAd(W`IZ(ym5p!umX;G4ejkQrngZCsx3rwd@P{xozg!An1Jl%r4EKbg znIZr-(CAKN_+uEF76Gt7-NzCSUrRen>^@Smt*t2oh>|2F`(iW33<3xKWSM8IOpO@CT! z6(<4~4}h)WM8FaOuvMH0Sh4_bmFA%V-~;QXdF(`nrNVGhaktl+nnM6K&}2?zSUL<% zivZZrw8%1HsE2iKivZZrw8*kyxT*~QmsbH`1Jl$AS26l~ec16MNsIipqG6?|p+%hN z%2y767I7lrz5&o8P6VtH04?G~!2JTCMVtt@e*mB z>BGX%v?+iMO`ASE446rjq+H?^q~8P*KLsRe$s)>M-L z*g%^)k>ROfXnwB%zy{jXi41Fnq4|L=fDN>%6B(WshNevcY-rl_>0xNv6u^e2O`j2l z=9^*wHqfR{xQfvuCkEQ|_Z1BvwUqcAiNE`SZZHgzJy#$jl_>j_{3jqXH-O~TN; zJ_fLXMt35^reSElcMD(xjqXH-&BD;UHU+SO*QQQn*gOo)Rspb~*(zIvq1h?`HZ)sh z%P=&*rVC&LuT7nB6{BZO3~ZG@R5ZLKYS=1HbmiLzz*cc0V21$MDozCK7yw(viGZB~ zV5>M0@X`R-DozBvyZ~>N=8HZ+l`Y&VG`bTRUJ-`oi#~u2G`bTRUKxhw=>f2TMt35^ zE@5as2LKyrbSEd6bhj`xZ3p zpDG$oiy9i;iLU%Z0nq491e_iKjqXIihXbI|od`H102_mnO!*C4`c^Uw0U>-ZcFnagIK%4%#qT$M@Ii?~f`lufd zfPYHQiGWW8K%+Yma8&>_x)TAP41h*=BH&X2(CAJCd^!Lc-HCwD1VE!Z5pYcbZuINx zu^kmO_4EK#GQR1BhZ7mD4a2nsGLxqa05;I*PGq<)46t)r1i%LVeK#jETpxy}MF4Ez z-*2108;TH;I{#giWdRb2S6%b1pF=lQt=|-h60>Q^TQ87 zT{eE`haWF8+!%)D8w_9rsd$m$rZ6-=J^|Rkk567?xH$~X4?h4l@WYQ68Ey$f^TQ8- z4gB!qMTT3$(8LB{LlfI=VQ69lu%U_Vk6~!uwg7D4haWGziqWqp1`hh8HO=^IT*Ie| z7rpX(1K?A|i-5lcKq_7Y{5=3t@gm^907%7)fcpa=6)yt*5df)p5%53&q~b-uKMQaw zmsAncK2-qfvhhQoDqduGI1J6V2fzkW@gl<`VQAh90c_w?#fuF83PbaYAb<^gs(6v% z-(hGDI)Dw$L4Pz1%|QpSp*iS}g`s)V0kDBj6)$=fkB6bTlK?jGsp3V3C&F-fecNX% zXz-~5U<02jUNDUQJTVa4V>Qi~WuD6)*G_lPah9))u8=BbW3&SP#y_ojK z2VeuADqeUMqo?AaKVH*}#p4=2RlMkxFA)HrDqaLE833tx5wKJMq~b-u(gBc)7Xixz zKq_7YEE@o+coDE%0HoqYz={Ppm0#4&oxPyJK?kU1{LrV07a3Lx!(|2XzehbV0NB8% ziWeDH4ny-^2w(%BDqdt*B@CC=Kf|=2umNn~Q^ku6tA?RD=m0h}2Yt0LGzT5PhUTEJ z9){*E1HcA8RlMj`tPzIhP6F7#r-~OD)(pch>rV2vG5Br**ubZX7Yw5XCI&uLo~UWY z`f&}Zc+s~5yvVRs7@AKN02}yJ z@gl?4VQ69lu%U@^V&I@ZS<{SH#x;DZc+o3= zRRDadcoDE;0HoqYz)k^>iWdQ|4uDj=2-rCQQt={SmjFn`i-27NAQdkHb}PWCG&dKZ zE}NMO2i=PdyN99qTmrCxPZcjRyeI#XF%a8RHO)9Ut|1jKde%b%AQdkH4h?`*ya+ff08;TH;P3!Q#fyL= z0w5JH0^U%7Q)xa`0P3>wL!T;MWO!p3t|@TZrwV`#q~b+}H-(`&A^si7#CBX5n%DqrXkt4)49(jXfDL@Ac;Quy zrX~gs`qMSdI4Q2-Q^kv3`N;wBsp3VzDFKj*7XhaRKq_7YoE8A7coFcf07%7)fOiK# zDqaMf9ssF$5%9hOoXW52pwC&*;9UfumhnTst9X&&{b9JGK>qLd3=9A^kct->J`jfH zy%4|#K2^NP@WC)NKVbvdz^95A89o$-=AZ-E&>ZwL!q6Oa02`Wv{^2k*Zy5kK@TuZO zui_(NXznC{4ScG2k>R6ZxU#;R{NGXA zNX3hQ&jdg!UId&I0I7Hp@Yw)J#fyN?1wblZ1e{xdQ)xa`0P3>wL!T;MWcXqjnt!nj zzy^MV^CH8S!q6NM02}yS#fuE*h2fg|hn@Dx24Dl9OI~C+KMc+15`YbSE_spR%VB6@ z1F)fq?Se2gu>si7#P*diG;e$WHt?z9g;z29_{6|Lf2O7x-;HbdRPmx${=ESBRPiF< z`vH)O7Xd#AfKO`yXg*Z{Y~WMHiwqZsp?NO^uz^n%FEac*49!p205mfL-UpaU<02jUi2z14?}Y&0c_w?#fuET2*b7YZC{|E!KVs<4ScG2!7%#T z#6WESt!c*9aSf?>(X(C?0I7HpaBTpj;zhu90g#Fp0ly7^RJ;hdJ^)hjBH#}NIF;s8 z1)wgQnaT6)*G_l7z^95A0e=aARJ;iIYXGF;MZmoQkct-pe+z(Aya@Pv0HoqYzRxn zUIhFn01lZK0nY@$A@d^OzX5Q_ya@PT030$e0-ihX@Q^j{#{hNN%tOZeu@@OeVQ6kH zfDODKdy!#A7_ORUSyax49)v7fDODKdy!$bFf{MS05_vvz!_XXb z02`WvK1UdugAQOrbI|7uL-UpaU<2>RUU(Iwf7gK-zv*z$pTj|4AguJ9%OP7OuHle*(JNmy01lZK0jmYTA@d?&^#C|zUIeTW0Ef(r zfHec)ka-cXb^$(Qm(;Bp6*Rat0JV%CI%HmCSSJk4zhDPo1HTA*k>Q15XudrFHgHV6 z$gpl0nqOxDY~YxBkzu_sG{+RchUS>AABN_b0@%i{^WUIc6t0LRpefNcx# zF}<#C&4L9DZVf;!p+0a7=fJYfdl9 zi(dJz0q`r77XhycfP?Nuz-t5GpnDOpTL2t%F9LQCfP?Nu!0Q6wpnDOpM*tjjF9P;1 z;8_nkKwUQTpmR*U$gocsnqvxJ1Bc9u4Eu(m`6Ud%27U?iBEx=RxVHXb7bs|uD}W9B z66QsQsW3DL9l(a>pzj}s=AZ-E&>Zvw!qEJl0AK?L-3zZ`^va2WgFY(<{qVSkW9mh( z{D=TJrd|Xb834!Bi-4m7;Fx+5@P+_5rd|ZRF#wLK7Xil<;A7hS5(ZG0%{-?366QsQ zW5dwAivZZbLH8oVabak_JpeXv(7niTd>EQv!T@aGmoP6foDhcQmoNYu_$ADX3@3)6 zIp_d3Gzb07VQ3CIfDO$-e@hsePgejN_$AB>uVQrI#K1wHje~wlT*E>4qE~)u0338L z0!|BngYHGZy8_^#dlB&N066Gg1e_iK2i=Q+_Z8rS-uwy$P?ya-==?>A7a86khUVvE z02?^yUS#+{7%r=)n)ZtzfDQcM;YEfIhT)PjO#3Mxzy?zBBEyHm&>T|$8=7N!Mi`o7 z3SdKXOg|ik-`1;GsQAIB3V;o~AA8|djNUdea7<_Cn0_*@;h1{SEB{mg98)g>&JKWM z>P5h(1K^l?5%8G+IHq0%oD%@Y)Qf=67vN*s{GJX_myI9#`PhpLUkJk$1y1|<7{CUO zsTUc}4MX$o0kDB%>P3bxhN1a24!{PEsTUc(6o%%Q0@%>av+fgb!RVGF%mg%L<(K zaS31p?_^$N_)Qp^_d)<0cqj8B!_{GEzQF)Ca7?|(a7`GRV+vqHb4;%dLvu_4Y-o<@ zbz%5@-N{9Z9~@Hv8#tz3con0cObi^;=W$GLifcHgUi8Xu4uE6oMZhfqa7?`jxHSNd zsTTpa1;8=&BH)h!a7?`jxV-=$)8Rc|G~ZwV8#tz3WVkyF%`pYAp*g1agrPa605&wo^e6iXYrb z02?@_UU(Iw>n8?|>0BJs2jUuzsTaNS2Ls@kdJ*tY031^<0{$5Q$JC2}hXdf4dJ*tQ z031^<0v;{E$8^`aHT&a7?|(@MIX8V+vqHb4;HKLvu_4Y-o<@(_!F$&G-4m5AGy@4IEQ1 zyo%Ai69dO|ZjR|_zTq_-Q!jeuGXmh4dJ!;d031^<0%i+;Z60y$F~i0FJ2_ z0dp1LW7_<25n+sqAhs=u%^Mv7VWmq!}05)*QyvQ(b z7@9v$0av`hsC- zz83&C@W)ABcom~(;-JsNL0>Aa;h=lbD_=SQ4!Rcs%LKqd_ab1~066Gg1S}T-2i=Q+ z}T5_hTRr}8=51sS{V2x@MZNixDNm}@LuReuVVEu%qU>HGyvFeTKz{aGOQ7Xo9mV@nFatG z_;+5tU>GetG4OsoFUNGfxQ1iuMbEl^031^<0yYSMW9mh~h5>L)y$IMS0FJ2_0WS)G zW9mh~rUm$zHt)v(b=k~g%KNbw88!<;^9=^Df%juCGHf1(=KUDJ2Huao$go8in)hP> z8+bqVBEyzpXx@(jY~cOaiws+Zp@|K^h9~5yvVRm82(t_&1DN3oCg3K_*C(NVf2!Tf!O9Jwu9mtQt_f^JvabT@gm@m z07%7)fI|Zy6)yq~3xHI-2sk_dQt=|-r~;fy^Qi(*myI9#@8@}u;SFJEzQF)C@TuZO zhBtThtG@mK}Ht?z9MTTR-(8LB{LlfJvVQ69l zu%U_VxG*$tTL3ojsp5rKG1_lp;Gi$SL4QYF!$J3=SN_fbIOtvkoD={D-HU*e1K^;0 z5pYTX9CR-NP7Q#A?nS`63-Cd2entnV%Vr*QJ`=piaC#V;k8A)N_)PF3!+XNe91#E; znj`YwFf>O5z=r0Cye|yv)XP1lz6S4w05_xBQ{b6X{j{$7p{n(2P9|*&(_54d0 zH27x#uz~kuFBnEAObi^;1v#c?#x?x#<3-PURsj6)<3+$H1K^;05%8%1IOtvkoE-oM z-HU)v2f#u1BH%LtaL~O7I41xOx)%YTFTe-A`QZnkE*n4e>#P?Uz7U4yT?D`e4!Rc^ z&J9CzL;!5yhaWF8d@&5o5dpA)AAY>Z@TD*`u>si7#CBd7n%DqrXkt4*49#aFfDOdv zMX%z^VQB6ofDOdvMTQH)@aOurFJI6gHUJxl%?pOnhb9JMTZq`c71xl87d`8@10WSI z0=^Rfsdy3a-2h0%i-7M1Kq_7Yd_MqE@gm@&0-Q?o!w*1RHZv7|rS>Aj55v%Wg8^(H z6)!UUC=AUHKL9rH!;cpkejJA8haUhN_~FNk3_l4&^TQ8-4gB!qMTVb-p@|K^h9@@@Ip|l!HGHag(JQ|)06tZ`2)HT$Qt=|- zHvy1}7XeoXKq_7YToVAPcoA@I0HoqYz;ywTiWdRDE5NDzsft*xpuxKcKrJ&<;m0R0 zGWlV8USn{6)!UUAq>rXA%G2hs(6v%hA=e02m;u^r-~ODZVW?n&;e{{4*E@D zXbw7n4b4HnISkF44uB1Os(8_>xFrnDodmFfPZcjR+!}_z)OT~Wf(GXSzy>~5ykHnz zGBFU_BE)uATtg~e^sILWKq_7Y+!Fw)coFcI07%7)fWHPnDqaNK8vvMTQ5$(0r-@*ubZX7a1N5L-VNu zU<02jUSxPE3{7kRHZ-yQGYm~^05&wSJsgJSjSs*EK2^N%Dn@^t7&z#Qa?qcSYxq?0 zqF4T(0Qgk#BH)<-NX3hQ{{}!RUIhFv08;THV3zrZFN{>Y2&n%G`{0$6iWdRT4S-a< z2$;11r_y{T0Pw_m=yw$_GRzi+=Gy~c1D`5hWSBh+&3hq$4ScG2kztN7G(TYj*ubZX z7a8UZLvzppY-kSp^TN;^bO0NggFaUnnl~K)8~9Z5qE|6@7@9i?U<02jUSyaj40qIb zeZ_(XpDF-0@TuYj!{}dis>dga*cKzUMdBJ#@uFv4GyqcZB4DuqNX3hQ=LbM4UIZ*2 z0I7HputWf);zhvH1vr)FQw5+d8$a}^;zfpK!q9w!0c_wi!HW#bhN1aX0kDDJRlLZs zTo{^96#yIfRPiFi@?mH`RRC<@Q^ku6D}jc23iWdPd41iR;2v|1&Qt={Sy#PqXi-7e5AQdkH zHVA-Jya?DZ08;THVB-Ru%6(PDS_KW>MF47EXd68k0F#Ns17o-8e20m51$gpV` zn)gBg8~9Z5BEx23xTF3Vro9&e*ubZX7a2AWLvzppY-kSp7GY=(I)Dw$LEkbA&6^H@ z4ScG2(W}@h49%Scu;H}&k6vWhIt+K#om{D)!Fd3%fln1L7)Gm148*oLvAsO5AvQ01 z*6jlzHZKBp2!PnU2zW&R#O6i7D+3@lF9Kc_0I_)yuwwwk=0(6x0T7!P0lO67*zT&E zyK+H;n+s6O_%Y%$!HW#LhN1Ze1K2<+USxPp81Ag6n)VF_uz^&($ne@QG^qgC(4?|k z7@AZ7Y-m#1Jq%4M05*_{7hc8a#S;U^bP0~>esK+l%!^+6Q~(?@F9P-tfJ5d*zySep z$h-)6eE=LXF9Hq>fJ5d*z##?rkTpO20MunO4;g<@>qUk`!_fSTz5q7xnczi+!@|&f zdjM?U$0si`93F<|$0q~NethyG!;xWV4myAh%|SmZ49!6Yu%S8V zZwN#4mH}V`Km2&%RgCtS7&z!la?nqRYdGj$^vX{RfP?Nuz?%c$pnDPUmH;^DUIe@~ z01mnr0dEU{gYHGZI}7kZZ~p2Hpe~zv&^e}FWH>1d&EIna*ual2USv2q49%aT1K7Yp z_aeh7VQBuQ9KZ$+x)&Kv4MTHG0c>cF>1knTjwyf*%`tsf81AlHzDn_fPc{G>ct7^S zs~Ej$V&IrA#WDS0T*EQ-qF4T*063;z1e_57$JC2}4+p?8^&;RS0dP#c2>56K98)g> zK2d;=Y4fKp0Cm~mn0k@n%rG>+00G#*G4&$DSz)-Zo@&}p`2aR>OufkP$uKnEU;rC9 zre0+DR2Z6L3SdKXOwSHOb4&qjXpZTp!*EaChgFLo98&-rIHq2B6{FK929D{{9MkjS zn$wH&qE~)?0Q_|8MZlK>;GlaEa6teZbT0zF5&#F?i-4~Nz(MyS;A;VJ(7g!wdH@`B zF9N<Zydg`qj<05&uS{rh2PegFfofrIWvui^(`XznC{4g4zUMTQH* z@YnjbuU^m~HUJymRsYcohS50_1Fh|PsgXY+iU3qaRKT9Q0*5=r_hS98)iPp#LQd z&07Y54g50dg;z29?Zm)AUyg(RKwQH?_o7$+U;rF+F9IG4fP?Nuz&``vpnDPUZ~z>1 zF9IG3fP?Nuz@r8Dpf~Tw0Cm~SgU&CbUSxPI49yQe05)*Yy~yx*7@D7M0c_x)dy(OZ zFf`v_02}xz--`@ShM_s805&wo^r5inN)KBnu|X*{mJ z>h;xweFi{XHh$=DM!m=|cNm&K90ag|W9mhQdBV_qdjM?Un0k?6-Y_(u2>>>5Oufi3 zUl^KqbO0N8NB1Jb{9$MgI)Dw$L0=#Y%|QpSp*iRahM{@O0I-4gV=ugl(KB(-SKy#8 z5!Z0gz37!M82|^}i-4s9;GlaEuyg<%bT0yy34nv{MZmHFaL~O7SfPMtJ?H>++2Eji zkzvI!G=~hp2Hw%V$golvnu88t1IN^h3@eABIi>(Ma7?|(uu2%3A3OkT;0F&cGOQYg z=AZ-E&>ZyD!q6Oa02`WvzIqt$t8dy`#Sh-L0Bqo(d*M}#7M>V*M_-YHzHVH@LHD9p zzFq(vbT0zd4}gR2MZg9DaL~O7*f0PNx)%W(1;9b~B4CpOe9)Uefd;6{W*&5osTUbG z4MX!M&;T~@j_yT<&BD<9IXZw19CR--Y#xT@kOA1x9I`FK&>S)V8=6D5Wf<M%5ajt*c0KQ?%gVdpS3 ze_;e*1IN^h47-G(Ii>(MG{tkMZo?6a7?`jIIsX8({I+T zdAPm?w+5gt8$a|v&*?>mgTiorfqZNa3;;H8$h^pKa2VFFt4~M+fDIfnFEShwhUSm~ z*w7rZL&MM~e=V53L8GsGVA-g0D%^?G@p*duihT-A5VH*@bxRU@jaLByy zDn{R&7&v5WaLBHVYdBP4^ojsQ5OUIg430LRpefV%?Vn0gU#cK{qyF9PlffMe=Kz`X_dm^SZ)0Cm~;q4z>B zGW;zJ%^?G@fn(}LhQEiQ`C}9S8#tz3WVkO3%{Lgp29Bv08SW25b4&qjXpZSW!q6O3 z02`WP`al@|RkwVj;s?hRzy^+~7hc8amWhF5`T~yWV{r|~)QevE;{k9?y$Epr;s@VN02}yC-wUr|^w8uy zkjh%5GH+Z%Dqi%;=L>*Tya<>-08;THV1WQg#fyLi10WSI0u~B@RJ;gSv;e2le0u=u zvhicoe0vrPL-Xwcu%Y?(JUCa<{NURIU<2=DUU(IwIVJ|aJ!|vrSw61e+v7#A ze1!n`_IMGnVgP)5ya-q+0KPq51gsnY-ySalRtbP_j~4-}7vOKtx^-)&y^{gdW#fn5 z$-Kz0Mi>q#aN0W=fDOEpd68kwFf{LE05G5{NRC-Wl1I$>y10kEM-<%MBrQUS1`NoCzIJXYV$O^P3+0$>C0WL|g` zqa`K=Qdx&oHi>IU#fx6~rU8(O7Xh0EKq_7YY#soqcoDEg0HoqYz?K1!iWdRf6yQ{* z>ehUzz6Q4jpe`Ff^mj;JWO#8Hb}jI%`WhGjY~YxBk>Mp_XpRVg4b2hRHVn-X0kEMt zA}}T5 z_d+i+yeIhUS<8*w7r)y~5BOQve&9 zW4d=3o~T!`S@DBc0bm2i)C;d-wB5wOF4i120>P5gI z0dP#c2sktVj;R*`hXuef^&;TN0(?xH4}E~TZ2ZuNz84ve3d3y0ZeL%6n+sqAANpQo zctaT0tEW1?z6J&W8#w4*WO!p3ntuiW8#w4*WO!2;nj->W0|(uU3`d8d`O8uO8~D)o zBEvCZXi@>Np-JV~Ff^$E*wCbMTo{_*0c_wy-wUr|wC}_~D(jKT+v6Hi@uFA$jsQr- zi-30qKq_7YoD=}5coA@N0HoqYz$pQciWdRzD!{3Ht&ZrlzfT9K%f=7AqkECz-C=0{ zP9MMq4w)AjP7gzKL;!4Pj>vn$&>Rr}8=52X-Y`5>FL#UL2e|^+z`LmzUd8ByiGd@s zK1bxEaScbri(dK10^o>v5%BQcF>F2}nbiIl#iyyoS02?@_UU(Iw_fHHQ z(+%p<85hJg98)iPP5h}3h*&) zKJ)?VvhhP7`d(!Cb{LuuTmT#R(Dx$4cf!zodjM?UpnH+wyJ1+b{$Z#6*Z^Py2i=Pd z-wQ+YDgbQYpnH+w`(bE4^Z{((L*I)GKL|sU3V;nwDi?;KNd>@$CY6iA&;$=)10VWc zcom~_Ck9g4kW_ve*X&)CmwoF0HRERi>|2u;0T&0bUjbeO{5*iE0=x*gB!K-3@FL*S z01ha?i-5}lczpq01Y91#fdzOG@QVNrD!_|?Uk1Qih8F?93gD0eya@Pp0EZUfMZgsS z99DoA0apfacmZAnTwQ?QGMYcu2B^zszGZAwC%}sg*My-t=m0k4L6_m$Ff<1pz=k~N zGF%siIqM(xRrNLaOaQQ9w)+3vVFJkT+c3;p|DW4W0PfEW02_{||L8@A>%%Z_U9(FX z0Bkt2{-YNRqaRHS#P%X$yD_dIHZOYCn*tyAM2S99I1l$n-v3U`2X8^?JMZjGF5StePcLzXh zUIhHLfM<;jpe`FfM#SbthI_-%#0FqP6Wiay(8LB{LlfKI!_dSAU_%qzePL)~1F(VE zyy!mMABOs$GM0Eo?tfTsf> zHZKDH69BP!5%5d^#O6i7EDQbr#0F58jUS55iww^RLlYZ-4NYv%4MP(ffDKJ-qcAkF z0oc&QHX{s8YydV8n-|@OS;J8OrQum#Q(uGF0Bj&OFBnD-)HiGV#z$q5yxgHGlmMP?wD#ip`4*ONOC| z4Zwybwxz<*#0FqP6Wh{ZXkr7fp^0soFf_3N*g$MvbRU)t!vb}~URz&-*Z^!GHZK@P zvri1fwkff#6xR@&7d`9B0T7!P0jmT+Y+eMc8o*QmUIeTb0I_)yuzCQ*=0(670T7!P z0c!?8Y+eMsAOK?XB4Dimh|Psi7#I|u5n%DqrAT}?$51WLcKBQ*Z zt-c1a0oXunUNDT7pBRX3Gh*8^t|2xrde*H1AT}=owhn;Uya?DPfT;q!2zYS-#O6i7 zO9CJ^F9Nm=fY`hUcxeE{=0(8E0w6Xo0=5f)*t`gMc>u)bMZop}5StePI|M*%UIe@% z0AlkZV8;TUH8y~{Z2VAcUS!xQ3{7kRHZ-xlIt)#005&wS?HquV4jfDOdv1;c2wiGkQQC$`tcHN@sc&$>qd#O6i7o&gY>7Xf<( zFjasT0ec5PY+eNH69BP!5wLFn#O6i7egP1h7XecN5SteP`v*X5UIZKv0I_)y@cICV z&5M8o10Xgp0uBm**t`fhv;fD}{HtjIb=ml#*u2PaSQwhv0BmStJ3I_cYydViu^kbH zCN=;Yn%IsELlYZ-4aDX}_u;58EL=D2b@erf4ZsFs^MYaY+KGYKwjj1+;u>P}qGvre z0AlkZ;J5&Y&5MBJ1DGnni+~dXAT}=oP7Hw9ya;%60L11+z*_wn->8m1wd?G1e{vHv&IHcmyI8a&5I1Dg`tTJz=kHa zcZH#e4Zwybws(i2i4DMpCbrYV(8LB{1F?D0eRxk87O5MyM|}-q1F(VEykHo;abh60 zEs5=eaSgF~(X)Oi0AlkZ;EVu>&5M8!2QXED7Xcp$fY`hU_-Fvc=0(8A0w6Xo0zMu9 zv3U{ji2#Vri-0o&AT}=o&I*9oya@PY0L11+z^4KrHZKCs4uIIa2sozz$JYG&R{(X{ z_@UUm$ne=PG_e8L(8Tt+Ff_3N*wDoG`7kuG0oc&Q_JuGsu>sgXY+iI9&JDw&b;I_o zuR&}8HV~T^45Rl=48*n-v3)tNAvQ01)(Zk4HZKCc5&*Gz5%ARjrV8*P;A;U8n->9J z4}jRb2>3<-#O6i7{{=v7UIctI0AlkZ;9CI@n->A!4uIIa2>4C_#O6i7cLN|cF9N<7 z0I_)yaA5(Ct@-yJ0P3>wL$P_0;i51!u>si7#P-85G_e8L(8TtmFf_3N*wDoG<1jR_ z0oXunUUVOR5{AXyEi@w#Dq*Vo`q0@y%oUNDS)HZc&}HpF&o zTtjSL^sKi9Kx|$F{4oGx^CI9+0ZbL(MZli}AT}=oZV!Oiya>1>0AlkZ;LZSu&5MA$ z0w6Xo0`3lg*t`h1CjeseBH%9p5StePe+_`xya>2A0AlkZ;JyMJ+xm4pPRJtyP?wD# zip`4*_lKd04Zwybwts}7i4DMpCbkE{(8LB{LlfJBVQ69luz}dT=sr9Yh9))u8;H#d zhS5zE1F^lB*!~^Y5Stf0>!Se>n->9(1wd?G1Uw$VQ~_QDJP`n~c@gkr0L11+z*7Mb zn->932S99I1pFreV)G*4nE;5*i-7+IKx|$F{4W4v^CDoD`a`c;XO=*0UIaWR0AlkZ zU`7GY8XJJ0f`47hiwv`dp@|K^h9|tnP1F)fqZH_QBu>sgXY+iI9 z<_tp<8-NYO<^{v(;re!uzZb;z5^nXpaSgF~(X-AM0I_)yFn<8V=0(5)0ZbL(MZkgq z5SteP3k5)IUIZ*00I_)yut)&J=0(7w0T7!P0gDAdY+eLBKLBF$B4F_Vh|P6)*G_froh9))u8=BZw z2tyMafDOdvMfYLFFf_3N*g$MvFpTD!7>I3KVp}b)AvQ01*3|X1E|Xev3ZeUlQ1-~0oc&QwrLoe*Z^#3V%sbXO>6)* zG_h?Sh9))u8;H$|?!y*gXkr7ff!MrY7_B@p5ZgAw2S99I1ndw1v3U{jiU5esi-1=KKx|$F zyea@<^CDo!0Eo?tfSm#$HZKBpDd1US1E|Xev3ZeU*Dy4(0oc&Q_L?v>u>si7#P-@S zG_e8L(8RV|7@F7sY#=r-x(~aDp@|K^24eGqVYJo6Kx{7~w!PyTV)LSB-6sHI^CDp1 z0Eo?tfc*lPD!_|?sQ`%0i-7$DAT}=o4hVqQya;%G0L11+z<~h}n->8G1wd?G1RNXy zv3U`2NC3phr zO>6)*G_id#3{7kRHZ-w)DGW_)05&wSofn2CHUJxl&5Q2C`C({c1F(VEykHo8Xks9? z?TPJcaSgF~(X)O%0AlkZ;2QxDn->B97r;~jUIctI0AlkZ;9CI@n->A!4uIIa2>4C_ z#O6i7cLN|cF9N<70I_)y@cjUY&5M8^1VC(F1Y8&Zv3U`2Q2@l|MZk{>c-Ghe>asy> zUS#-57@F7sY-nQpX&9Q=0BmSt`&k&8*Z^#3V!JpDO>6)*5Stg>ho6U`i4DL8V)KGw zbiu?xY&#I!FX9?v^P*?{WdOwHMZm8DAT}=oejUJ60bT@L5dg7y5pZPy#O6i7RRIv2 z7XiNsfY`hUxH5LP#O6i7^#Kr@7Xg1L;8|k> zsLKYid6D6UFf_3N*wDmwV;Gv)0BmStyD1D!YydVivE3YoCN=;Yh|P=c!!2QGVgs;& z*t}pET{`FETt7h9))u8=Bbu8HOe{02`Xv9u7kj8-NW>Y>$MYi4DL8V)LT=@UJj5 zu>sgXY+f*oZkrg0?Uls#L|j8`Ui7R_20(0H1UwZ0v3U{jbO2KYcoFcQ0Eo?tfM)_A zHZKDH8vwC+5%9kNh|PY;%R7i4DMpCbqf5 z(8LB{1F?D0eV8W6)*5Steaqett=jlUPf_9|jqFs>msFM8I60w6Xo0u~N{*t`f> zB!H;`ya-q{0AlkZV6gy*&5MBN2S99I1S}o^v3U`&L;%F*MZl5)5StePO9en|UIZ*1 z0I_)yuuK5N=0(7=0T7!P0V@>X*qVQX1fVV(#O6hY6~oZP24F)I+e%?*VgswKx{h_+Y90vV)LSBT`K@$^CDpF z0Eo?tfOP_xD!_|?7Y0CVUIeTg0I_)yuwDSf=0(8z0T7!P0UHEBY+eLx7yz+(5wKAJ z#O6i7ivl1vF9J3WfY`hU*dzdA^CDpL0vy|u)zsz%)%Up`>@xsr89($dB72cxi!d~? z0oc&Qwq+Qa*Z^#3V%sVVO>6)*G_h?Rh9))u8;H$|?!z`=SfXy&KJ_)YlK?gln->hD z)h7mG+lkm-7S|A)7d`8C0T7!P0WS}L*t`hXK7gqLya?DK0AlkZ;1vN7n->AE41n0Y z2zXTh#O6i7jsXyx7Xdp3Kx|$FygC44^CDp90Eo?tfL#J0HZKBp4S?9Z2-vLv$JYG! z*#PRY@k6nBkzw~RG_e8L(8Tt-Ff_3N*wDnbM;Mye0BmSt+cOMJYydV8n-|@Oy~40$ z-LQS@YY-cN4aDXJ!{{Xw1F^lD*rwtdV)LSB-9G?g^CIAY0Eo?tfY%2wRe%=(2L?cF zUIZKz0I_)yaBu*`=0(6E0T7!P0fz=aY+eK$767q%5pZ|_#O6i75djdJ7Xe2GKx|$F z92Eeuc@glY0vy|obvza?XmCUTY8gKin->|54nq?gfDKJ-$AqDY4ZwybwqwK4#0FqP z6WeiNXkr7ff!Mt0J{%v0rRs+9e=ccoCjo3AHZK@P`%DbPwllH4HLf8xFM8Iu1wd?G z1iU=}V)G*49RW-g;6=bY10Xgp0!|8m*t`fhIRIkwBH)w&h|PrfY`hU zcvk?#=0(7}10Xgp0!|Nr*t`gMPXNT`MZo(DaBMf#@mQju!4UzdW&BWVUS#+{7@F7s zY-nQpU>KU%0BmSt`%oB~*Z^#3Vml)YO>6)*5Stg>hYyFLi4DL8V)KGwbmGK7Y`YNK zC*m4n^P*=xGXP@qBH*k5h|P1^0AlkZ;MxF)&5MBR0w6Xo0)86+v3U`2eE`JfMZoU@AT}=oejfm_c@gl30Eo?t zfExlJHZKBhF2J$fUQMk~&>%K|S_ZLsk>QpwG_e8L(8P9Y7@F7sY-nP;EeuU;05&wS z{V@zpYydV8n-|@OKZT)*4ZsFs^MYaY%ZY*5UQ29u#Wlp{MbCP70L11+z&!yFn->9p z31F%KF9QA=0I_)yaBl#_=0(8Y0w6Xo0{$KVv3U`2UjW4BMZo<55SteP{|JECya;$8 z0AlkZ;K2Zh&5MAC0w6Xo0v;*AvHhu7@F7sY-nOzEeuU;05&wStsaIZHUJx%*wzR`6B~dH#O6i! zVa+f!u>sgXY+f*o7Md7{?RCWV!nlUmyy#ii4S?9Z2v{!wV)G(k{Q#y4@FHM?0Eo?t zfDHp6HZKA;3V_(W2zXHd#O6i7#sLtU7Xh0DKx|$FY#IQuc@eN#0L11+z~%uEn->9F z1VC(F1Z-V^W4o)GTDhP>Yyh4( z#6WC&5!*ZC8e;RJXFVwZV)G*4vfY`hUcuxSt=0(7J10Xgp0^Sz@v3U{j{s4&0i+~RVKx|$FoKb*dySR?W za|#+95rA6855?w1h7X6Ki4DMpCbo}+p@|K^h9Ck z@h~*80oXunUNDT_HZc&}-o*B)xQ5ug=vmJWfY`hU_;dio=0(6~0+=emi-2Apm0YBH-Krh|P8;4uIIa2>3|=#O6i7PXiz}F9LoR z0I_)yaB%>{=0(8I10Xgp0xm1Sv0YY8&05ePHh@|Nv3Zf<@-Q^90oc&Q_KPqyu>si7 z#P-WDG_e8L(8TtuFf_3N*g$MvbRT{lh9))u8;H#dhS9es24dTn*shLih|PP z&5M9*10Xgp0c@c1Z0L11+!0!SeHZKBx9{{m=5%7lqh|P220AlkZ;Qj!J&5MA41VC(F1UwJ`v3U{j zU;xDCMZiM=5SteP{|tcGya;$W0AlkZ;E@1`&5MA41wd?G1Uy!NWBXM#HD^JC*Z^u7 z#O6hY$HUOX24F)I+Y@1EVgsp@|K^24eGq zVRZMzKx|XQHcS2AdGj^I=0(r?oB)W;i-6|_Kx|$Fi~^V{z>9zx0T7!P0kZ}`Y+eM+ z767q%5iolI#O6i7903rU7XfnyKx|$FJTCxZ^CDob0Eo?tfVl%8HZKC^34qwV2$;VB z$96@1Gv_L35F0=(6)* z5Stg>heg8B#0Fpkv3bETdM0AqpV*d&YlzK@o^{Cph|PHUMJtB4D`yh|P2tyMafDKJ-YlWeS4Zwyb zwzb31#0Fpkv3b#bSSJikYydV8n->hD#U=(m*$yDK4dNPN^P*?nFaTonB4DEch|Pg?fENK92S99I1Z)xjv3U`&X#m9LMZjhO5StePn+HH_UIc6r0I_)yuw?+m=0(6( z0T7!P0b2(^Y+eLx69BP!5wL9mj_tZ?YQcgAu>sUFh|P-(FAYNz8-NW>Y%dE#6B~dH zO>EnRp@|K^h9}k*t`hXw*besYc+LNeGOs*sLRF={TS&*hW*0O z#0FqP6Wdf6n%DqrXkyzx3{7kRHZ-vv5QZi;02_$Si|)hg!>~-I?6a3=w5AT}=; zMz5F{i0wdPJ2b8#HZOYC!vY{SF9Hq^fY`hUI3j?l0=x(~G5})pBH*Y1h|P8`2S99I1RN6pv3U`2YyiaOMZj?Z5SteP#|J=cUIe_k0LS*@ zIv&sD5do;n#t+5jMTWP8p@|K^h98e41n0Y2>4I{#O6i7 z837QR7Xcp*fY`hU_*emsZNoYqZ_XnEP?wD#ip`4*9}hzl8-NW>Y@Y~26B~dHO>Ad| zp@|K^h9Y~Kz;6B~dH#O6i!;X7eiu5Q@0*Z^!GHZK@P zXHN{ob_lUu7}pS+7d`7m0T7!P0Y40Y*t`h%Q2o7F20oc&Qc10MP*Z^#3V!JX7O>6)*G_hS3h9))u8;H$| z?!#}wuw31+X|Vy=Kx|$xjJ`K95Zj@|_S?9I*u3ajuMdFOya@PR0L11+!0!W?D!_|? zKLkK*UIg3_0I_)yaAN?(=0(6w0T7!P0XGLgY+eN15&*Gz5pZh&#O6i7Z2=IQ7Xg0^ zfY`hU_)`GH=0(6A1vs`%>xjIgz6P-Y)Mev`V)G)yondHV1F)fq?XEC1u>si7#CCTW zn%DqrXkxo33{7kRHV~T^-G{$~VY#|t(_#a#f!MrY7+o_l5ZhtIc3)gWY+m%N_Xj|1 zUIhFj0AlkZ;DG?93h*M}!2pQOi-3m$AT}=o{uuzVc@gk%0L11+z#{<=n->B93V_(W z2>5pZ#O6i7qX7_`7Xgn2Kx|$FJRShCc@gkb0gi3+IwB|4*B~~4x@`PVY+ht|It)#0 z05&wS{U;1fYydViu{{%pCN=;Yn%Mpuh9))u8;H$|?!*7Wuw31+X|Vy=Kx|$xjP9Kn zi0yDY^CDp00Eo?tfcXL-HZKC^4}jRb2v{HhV)G(k;Q}1n7Ij2U zuCGCC0Cm~;q1e30ut*r1*Z^#3Vp}u}O>6)*G_frfh9))u8=BajABHA202_$Si|)hX zVOXwi*tFOHY#=r-7)H;T7>Ml%Vp}GzAvQ01)@1`AHZKB}3xL?X2v|OVsRFzRSRnvn z^CDoy0Eo?tfRzFuHZKBJ4uIIa2v{WmV)G(k)c}aii-6SvAT}=oRu6#Kya-q$0AlkZ zV9fxC&5MAw3vg^()e$+hz6P-Y)Mev`V)G)yI$>yH1F)fq?S)}zVgsu)bMZgvT5StePTLwUEUIc6v0I_)yuyp{$=0(6Z0T7!P0WS`K z*t`gMNdUy=MZmTJ5StePFAad$ya;%C0gi3^IwBveuR&}8b=ml#*u2QFeHfb90BmSt z+aU~1YydVivArS;O>6)*G_k!h3{7kRHV~T^-G^6&VY#|t(_#a#f!MrY7;QK)5Zh72 zwo6i-3ItAT}=o_6va6ya+g;0LS*?IwJ3`uR&}8 zb=ml#*u2Q_`Y<%H0oc&Qc3>Eq*Z^#3Vml}dO>6)*G_f5Vh9))u8;H$|?!zHrSgvl^ zwAcV_AT}=;MmtRm#P$YaJ2I{zHZOYCqXHl{F9O~W0I_)y@Wue93h*M}O#u*_7Xe2H zKx|$F91{Ssc@c1I0L11+z;OW(n->Ac2S99I1e_26v3U`2VgSVEMZlW_AT}=o-Vy+@ zc@gmT0vy}6bwu7%UxU~H>ay`ev3Zf<9bsr<1F)fq?VVw0VgsVY#|t(_#a#f!MrY7#%h-5ZfDx?ew^Y*u3aj-xC0_c@gm50Eo?t zfcFJ3Re%=(?+<|3ya@O}0L11+zy|{$HZKA`6acY#5pYHT#O6i7hXWusF9JRi0I_)y z@X-K>&5MAK1wd?G1bjRIV)G*4tO6X{d38kYt*=3B0Cm~;q1e30@X0VVu>si7#P+E$ zG_e8L(8P9j7@F7sY-nQpbQqf00Bj&OFS-w(3B&Sr!w#&k!JP!Kf!MrY7@amT5ZjxG z?F(@Yv3b$6o*MwMc@gl%0Eo?tfG-6wRe%=(=LJA)UId&U0I_)y@Z|uA&5M8w0w6Xo z0=^Oev3U{j)c}aii-4~MKx|$Fd_4eS^CI9I0T7!P0sj{Ov3U{j?E)Oz59@e5oks+q zE*n1-n->|r6NV->02`Xvz8i)nHUJx%*uEErCN=;Yn%KS{h9))u8;H$|?!ynluzcOH zX|Vy=Kx|$xj6ORt5Zlqj_T#vQ*u3aje-Z$(c@gl_0Eo?tfS(00Re%=(7Y9IWUIhF+ z0AlkZ;F17{&5M9b10Xgp0xk=H*t`h1JOE3&Zkt!=}XsU<0vv!7#dLVj#9-i0uz?4Y7IAv)&K@v3U`2V*teF zMZiq~Ocmfoz|8>=n->AM1VC(F1l$?`v3U`2TL8r7MZg~eAT}=o{uBVQc@gmE0Eo?t zfZGEgHZKD12!PnU2)HuwL$P_0;V)rmVgsF9IG5 zfY`hUcsu}N^CIAh0Eo?tfF}bWHZKC63V_(W2zWXGV)G*4zXdop{=01a-)I}e22huc zABxS34F3y56B~dHO>DC)KKy5BVgsA|1wd?G1k4`*v3U`&Kmf$%MZkgq5SteP3k5)IUIZ*00I_)yut)&J z=0(8s3vg_otRr%3eGOs*sLRF=#pXqZ#lz6V24F)I+Y(`DVgs z7@F7sY#=r-x(`c-VfngY(_#a#f!MrY7|l8{5Zm#@wnAJ(Y+m%ND+WMpUIeTZ0I_)y zuyO!X1$Ys#N&v*>MZl^75StePs|7%8UIeTj0I_)yutosH=0(7o0T7!P0WS!E*t`f> zD*$5iB4F(Rh|PwY#WB5i4DMpCbo^j(8LB{1F?D0eRxqAmaiK&Ej9ofh|LRz(XtZ*v7JC{o5wZ8 z=0(rCMF7O+MZlH;5StePTLmyxfENK<2S99I1Z)!kv3U{j;sA)vi-4B|Kx|$FY#RWv zc@gl^0Eo?tfR_b8Y+eLx7XYz&5%BT=h|PzrxHHZzME*n1- zn->{g6^14@02`Xvb__!k8-NW>Y&(Uai4DMpCbn0Hp@|K^24eG~`>=BumaiK&Ej9of zh|LRz(IyiEv7Jb4yTvuc=0(rCdjQ1dMZoIm8ya?DY0AlkZU@8D&^CDpX0Eo?tfCB;`HZKBR9{{m=5pZw; zj*b7~=$-X7hz+1F8$T4A7a0x-LlYZ-4NYu^hM|cKz=kHa!@|(S24F)I+u>noVgs;& z*u3aI91(`)>xNB>4ZsFs^MYZt>%>58Zzi@k#Wlp{MbCP40L11+z%c<3n->Ac1~65C z7XilwKx|$F93KF&c@c0z0L11+z=;76n->9Z4uIIa2zW~X#O6i7TLU0AF9O~c0I_)y z@b&UHhw5JFEX4Gh9))u8=BZo4MP(ffDKJ- zr-h-34Zwybws(c0i4DL8V)LT=@a`}yUpH)8YydV8n->hDqb3Godke9>KdvD*FM8Gw z1VC(F1bi?6V)G*4Ljg<`;6=b00T7!P0Ur*4*t`h%NC3p9J z3xL?X2>5yc#O6i7Hv%9wF9QBA0AlkZ;F|#un->A!3V_(W2>5mY#O6i7cLE?bF9N<_ zfMdI`j>nUEL;&it@k6nBk>LknXkr7fp^5FnFf_3N*wDmwQ5c%o0BmSt`(YTG*Z^!G zHZQslKMKQ&b;Ay>uR&}8HV~T^45M==24Z^~v0WV35Stf0>(2uqHZKA$34qwV2)HzW zsRFzRxGVr-^CIB#0Eo?tfL{baY+eNXG5})pBH&j65StePzYc)dya>1=0AlkZ;K~4q z&5MAm0w6Xo0)7(!v3U`2Z2^w$!8#u67Bn~_0JV%Cip`4**M*^p4Zwybw%>-Ki4DMp zCbsLt(8LB{LlfKY!qCJ9U<0vv(S7)R7*?tqc1V2#O4LV=qD2cvAvzxZjNh+ z&5NG(mH>#&i-21LAT}=oZVOq|VL;&it@k6nB zk>T%QXkr7fp^5FjFf_3N*wDmwe;AtB0BmSt`$rg>*Z^!GHZQsl4}@Xmx?zXb*B~|k z8;H#dhS3cZ1F^k>*dB>%h|PB94uIIa2zWGrsRFzRcq{;7^CIB!0Eo?t zfF}YVHZKC641n0Y2zV+0V)G*4=>Uk$i-7+GKx|$FJQD!1c@gm60Eo?tfd2(RY+eLB zcZp$a|E}ZlqJjoT1fZ7jL$P_0VHAcYHUJx%*k**Gi4DMpCbn6_(8LB{LlfI7d`7d0T7!P0rLhx zY+eM+7r;~jUIfe^0I_)yus{IB=0(7Q0T7!P0Sg5{Y+eK`900L-5wJ)A#O6i7q5%+_ z7Xga}Kx|$FJU;+p^CDpJ0Eo?tfTarXC)=ZSJT@+9a6|xV89x-87a5igLlYZ-4NYvz zgrSKIz=kHaWy8?K24F)I+j3!OVgs;&*u3aIEFXqd>xLa(UxPadU<0vv!7!S0Vj#AY zh;5a)hSEdXNkB4G6ZrV8*PV2uEX&5M9F10Xgp0$vaRv3U`&Rsh82 zMZnqt5SteP>jXe-UIe@_0AlkZVBG+S&5MBb0w6Xo0@e?J*t`hXr~t?ISRIc|3K|>{ zfLg{6#pXqZ7lomT4ZwybwvEHk#0FqP6Wb_wo;6=c;0T7!P z0WS@J*t`gMSpdZ5MZk6e5StePFAsp&ya?Dn0AlkZV21#R&5M9n1VC(F1iUf;V)G*4 zRRIv27Xhy>z_D#m$K%92A^>&S_@UUm$gp!5n%DqrXkyzX3{7kRHZ-yA8ipn|02`Xv zUK55UHUJxl&5Q2CYs0X5-LNC;YY-cN4aDXJ!)S|%f!IzVwmst-V)LSB-75fM^CDpH z0Eo?tfPDg(D!_|?eFGpiF9P-pfY`hUm0T7!P0Vf79Re%=(Zw`Riya;$p0L11+z*_?#HZKC+767q%5%Bf^ zh|PY^R5zi4DMpCbsv4p@|K^h9MmOVml+QAvQ01)(;2p{}?;-sGi=vf2)rsBvYD1C}Sy7C=J3l6{XTZ(kG$J z$&e;WNm7OerBaj%g+gSgq={6Ti$={zGFA$|{l2ZAw|lLp-d2+@pyv2mHE;+XrN*g#;8 z{kceNNXSAAwZIY^2)Mu!+a+p&B{mRnfhD%f)B;OvAm9Q^Y_Ze=OKc$E0>p-dyoWey zfh9H&Z~=8xkVqQ396{kPsoC5+F7tL@1yHhz$u5o>2nChJ*;uDFI?bLWDv} zfY^`_;RPi?Y)FVuLp-d2qlyNu^}PCOG<#)kPxAq5imBE|L0Kp-d2rZNVu^}NsD3oNmLfC~^C5?J88`nv$Joq*WBQ)eJHB;=`m zlmM|IAwoYTKx{~eFhB`hMnFP@ACv&GAtAybB|vOQh%iJ65E~LA{G*ZR`3U9A{ZDKl zz$YUYi46%^5TF)VVgmseSYi{T7Fc2f0T)Na25E~LA zjHd)HBOoEd1WJI|kPtzX5+F7tM3_hk5E~LAh*1K>hJ*;>lmM|IA%X-YKx{~eAV~=j z8xkT&Q3Awp-d2&*XpVnafNHIx9cAt8bx zB|vOQh+sqs5E~LAtfd5q4G9s9DFI?bLWFgUfU#X?n)<-p|HK9YbL`JWVnad}%%}yH z*g(Jqme|ax1(w)Azy+4rET{#R*g(Jqme?$*1(w)Azy*j633(6esRfqUK)?lv4GAo8 zmi=9T*iJ!go2WAo8xrzV8%luKkPv}O2@o3+BG^&_ml2Qp-d z2zwX-V@qP1g8xn1Ke2(p9Q$*T*pQHgz0?9rY#`tQOKgtR0!wTl-~vl*`=|w$*g(Jq zme`!A1(w)Azy*j633(6usRfqUK)?lv4GAo8to|-QYylA4Vd@OThJ-xTg%Th(Bt&qf z1c(g@5!@(&%Lqt_;7$n;8xkUTPy)n;ga}6{0b)Z!grk%Iu^}OXCnZ2^NQmG?2@o3+ zA{?Uxhz$u5yeR=xB#&s zfd$UNzY7psAjB3#oq^bpkf#Py0>p-d2qBaJu^}NsC?#+i0SOV#Qv$?>ga~1j0I?w< zLO3NrY)FW3ff67#Bt(dy1c(g@5h5u8VnafNC`y3XkPzV_B|vOQh!9N)5E~LA#83jn zhJ*;QjDWGFF-`R|_dl_Lz#RK?k=T%sg*a+~B{mRnfhD#p)B;OvAm9Q^Z1L0rOKc$E z0!wTO)B;OvAm9SThJ?I_L~4O0HV|+DVnYH8oHKtHAhy#GTQYS9VnafndV>-mHY7y2 zNeK`e5+dB91TG^WAwmizKx{~ekV**<8xkVirUZx$2@&p40>p-d2zMy~VnafNG)jQj zkPzV>B|vOQh;W}0AT}gKNT&pd4G9q*Py)n;gb0rr0b`rbGI}q&ggmu?5+F7tM0iFC5E~LAJf{RMBOoC{AtgX; zNQm%)5+F7tL@1&Jhz$u5iYWnNLqdcSN`Tmq5aA^yKx{~eP)Z398xkUvQ3AwZk>I=7sHL?tfwf0T&=PB(T8A`MUtIorTz% zsWT8867tkHlmM|IAwml!Kx{~e&`JqhMnFP@HcEilkPxAr5+F7tMChOdhz$u5Iw=8S zLqdcuN`Tmq5aBH)Kx{~e@QxB7HY7xNPYDnk5+Za{0>p-d2p=c`VnafNUPi#!?3izl z1o~S91m@VEi^PV6EPSFCSYiVK7g%EZOf9g)1_Cay#P)?+V2KR`TwsaqE49E98wj`n zu^}Pv;TyG}z`QU==Kd!(5O4uvLjnt&hQA9C+c}8s2XzKwLqeW9NC^-d5+V#y0>p-d z2tO%-%Lqt_@QV^4HY7y&O$iVi5+V$n^zXlOhz$u5cqjp4LqY^zN`Tmq5P?Gp5E~LA z45tK$4G9tWC;?(aLIi$FfY^`_L4Xn2DDbm}7q~5*re-Fp^qe zi46o?V2N!MwZIY^2)Mu!n=rM&5*rA(z!KYNYJnv-5O4uvLqgtz2(`cx8wj`nu_1v4 zP9O74`{!!`u?0bF6R0x~8xrzVQA&W=kPu-aB|vOQh#*D@Tt+}b1aV4$*pLuGf)XG$ zBt(#;1c(g@5u_*qVnafNNt6JwAt8b^B|vOQh%lKFAT}gKm_i8<8xkVOPy)n;ga}h9 z0b)Z!1X)JF*c_Oql9>CSpT{6D$NpR-HY8+0j#^-e4Fp_ZiERe8z!Dn>xWE$IOlpB8 zHV|-uCAL}A0!wTl-~z;kguI8@)B;OvAm9STh6ENkWB)EdY{3xQJn9U@hJ-v-krE&_ zBt%f61c(g@5$00@ml2Qp-dyocq~0!wTl z-~z;k1Qs}R|1LmmArRXt>I}q&ggjM`5+F7tM9`-Mhz$u53@Cxi2uO&qni3#3Bt%$4 z2@o3+A{bHv#D;_jMw9@tAtAzAN`Tmq5W$!dAT}gKFrfs94G9rUDFI?bLWFgc0I?w< zf*B=1Y)FV;$p{$R4yLIa%>7SnATY=NTqHImWMMtEz!Dn>xWE$I25NyNHV|-uB{nN+ zfh9H&aDgSZjno25Y#`tQ#D;{t2Wx79B{mRn0b)Y}3!IgI7a+D!h;1`<24X`(o@z%4 z5E~LAY@q~*4G9spQUaF|kPu-TB|vOQh+t0%5E~LAI8Xw_hJ*;)DFI?bLWCWZ0I?w< z!cIzn*pLum7bQS!NQkhT5+F7tMA$NQe-?2pAju`Pm)j{wFpNm}7q~5*re-5J)Ys#0CN`u*7znT40F{1YBT= z?F_ZR5*rA(z!KY8YJnv-5O4uvLqgueIck9=HV|+DVnYH89GAZf5L+0;cAh!|u^}N( z4Wk5z4G9s#DFI?bLWB#Hz-0s^M2MgShz$u5A}Il4LqdcoN`Tmq5aA*vKx{~e5KRdX z8xkVKPy)n;gb0@?0b)Z!gv*owu^}NsEG0l}NQe+e2@o3+A|x;Z#s>d2Y$|jA6B`K3 zu|F4y4GCFDq!w6W0|6IUV!KK$u*3!eF0jOQjap!d4Fp_ZiS0VIz!Dn>xB#&sA@3oH zT40F{1YCgFkiY^b`0oP577nqcP-h@EB;={7lmM|IA;N7+fY^`_;SMEm8373q?otB8 zhJ*-dlmM|IA;LXMfY^`_;XWlmY)FWZP6-ej5+XdH1c(g@5gt+k#D;_jk0=3RLqded zlmM|IAwmWvKx{~e@PrXCHYcVj_$yog#0CO$?9WAFLqZm^sRfqUK)?l-*q%}gEU|%r z3oNnaPzx-vfq)AvvE@<=EU|%r3lJL;@*eW21(w)Azy*j62`q4K{9S<9EMhz$u5$|wP1Lqddd zN`Tmq5TSw+AT}gKsH6ml4G9sdC;?(aLWF8cfY^`_p@tG5HY7xN%?KD9{8g>{%>7Sn zATY=NTqHImWTB2)V2KR`TwsZDh4Fp_(*pQI- z&_pe;#0CN`Kx{~0fm85z0b+}Q*xINw5E~Nm)OJdM*pLvRgAyP%Bt+<>1TG^WAwm}= zKx{~e@RkxFHY7xNM+p!c5+b~(1c(g@5xOY>VnafN50n70At6E!B|vOQi13jTAT}gK z=%oaR4G9rGQ3Aweo<#2 zHYDV!zbOG?LqddM(*HgcVnad%9!lUc0umzdQUb(=ga{l;fY^`_VK^l~Y)FW}M+p!c z5+d+Z0>p-d2m+JxWE$I7;1qfHV|-uCAP8D0!wTl-~vl*p-d2vaBlVnad%8A^cIkPu-iB|vOQh%k*3AT}gKm`({0 z8xkVOQUb(=ga~q!0I?w*j$;WGMM|H*g#;8{kceNNXWu$YJnv-5O9GdwmH-S zOKc$E0!wUjsRfqUK)?l-*yO1Nme@eR1&9p^c@GNI0!wTl-~z;k1Qs}=e;43awu=zk z0_qIJhJ-v-nGzs2Bt%$92@o3+BB)RTml2QJfY^`_L5C4Aw!=(Q zkC^+P*g#;8{kceNNXWu+YJnv-5O9GdwiVO@OKc$E0!wTwsRfqUK)?l-*#4sySYiVK z7a%qyxWE$I zCTf8tHV|-uB{mysfh9H&aDgQ@F15fC8wj`nu^}Pv!IoNJi46o?fY^}00!Q!f0>l;r zv2CNyKx|0JQ|&1MVnad%2TFk0kPu-zC2$!52@!Ts0>p-d2sD0>p-d2u_p$u^}PCeoBDYkPzVzBVcUq zOjB9R{ZDKlFvtE}BsL^u!I@fMi46o?V2SN8wZIY^2)Mu!n+vtT5*rA(z!IA)wZIY^ z2)F>TAtCRi$L{X}#C8c{^Q6u|Y)Hscy(j@I}q&ggiBp5+F7tM2MmUhz$u5E>Z%Q5s(leni3#3Bt(dz1c(g@5iU^z#D;_j zmni{aLqddDN`Tmq5Fw5dAT}gKxIzgK8xkVKQv$?>ga`?g0I?w7SnATY=NTqHImWFd)KV2KR`TwsYUnOb0p4Fp_ZiR}ipz!Dn>xWE$IO=^K9 zHV|+DVnagS!!2roB{mRn0b)Y}3!JdO3lLi@#CDfD1F<0?Pfeo)hz$u5?ok57hJ*p-d2u~;hVnafNY)XLGkPso45imAirm5%5{ZDKlFvtE}BsL^uA&**Mi46o? zV2LfCT40F{1YBT=t$TAtCSKIkmtN8wj`nu_1v4PU_zU zh%F9cE1}LnY)HscUs3|ZhJ*;ElmM|IAwn4?a2Wv!5y~k6VnafN3QB<3kPxAg5+F7t zM5v+!hz$u5swn|tLqdcaN`Tmq5TTY5AT}gKctr^i8xkVCrUZx$2@&cj0b)Z!ghocd z*nF6#3Yhz!*g#;8{kceNNXSAHwZIY^2)Mu!TQjx55*rA(z!KXVYJnv-5O9GdwiarE zB{mRn0b)Z!-a{+3z!Dn>xB#&sfd$TszY7rC6^N~iIs>sGAy0iv2@o3+BD|vnhz$u5 z-ctgX5s(m}n-U;4Bt-Z?2@o3+BJ@xK#D;_jA1MK1LqddJN`Tmq5aAOgKx{~e@R<@I zHY7y&LJ1HX5+Z!11c(g@5x!9Z#D;_j{fvOIonV?OWbS`r1A#gA=OVEoAqxZ40!wTl z-~vl*Kd1$k*g(Jqme>ZV1(w)Azy+4rhNuOW*g(Jqhz$vO4?n2|me@eR1&9p^EO6TY zE8wj`nu_1v4&TlHV1c+@Cbp~QXLY^v32@o3+B212@o3+B21$Mhz$u5rc(mMhJ*;RlmM|IA%YwwKx{~eFoO~x zHY7xtNeK`e5+cl^1c(g@5oS{Y#D;_j@{I65#s&g&?4Q_>kOc*5fh9H&aDgSZdDH?+ zY#`tQOKghN0!wTl-~vl*O4I^NY#`tQ#D;{thxyb3OKc$E0>p*{7B~`r7vNX6M2Jn5 zIs>sGAx~XI2@o3+A}po^hz$u5mQVth5s(l;jS?U>Bt%fB1c(g@5tdQ{#D;_j8k7LB zAt8b$B|vOQh@eFY5E~LAXj1~jhJ*;qC;?(aLIfR3fY^`_VL2s0Y)FXkA0zyav4OxG z`zJOeWI>l&V2KR`TwsZ96}7+;8wj|-5}O{iz!Dn>xWE#dKDEFS8wj`nu^}Pv!GKy| zi46o?fY^}00!R7p0>pL|Vp~g{f!L6cry5fN#D;_jCX@iNAt8b(C2$!52@%#&0>p-d z2xgQ3u^}OXIVC`BNQhuT2@o3+B3M!a#D;_j>nQRu~1pjiS@5d&s zN0ncX+&y@-`KtP-08iuWDLQs>PRj!GW>1w_us!xs)%eM7*?nWHvR|D{o?|$l}Q`7NlXwpwbN5oYS_7DMjvgmjUL*zEj8Y|a^4nOa(2I**Q%%D1=3T6 z4=#60nW?-@Ox#Ira*D3P;iTzJ^Q&HzrDxp{JQI7v%~8#IEN{i@UlL_*oRw8h{ywX+ zYy7_7msgqfE!h0(gCnt3JXR@BI@BACYA?TAT$y#;XUyQU?^om^kEiHVtIqNsnpM|$ zI5{-o`@+Y!`(*F)PfQ%wl{yrlsxqbb*%nbvw}{ST4}WY-ePSN0U#mN|DW~>Hf7PUd zhuZ6VBO@xFMBfWC&e>eHC*)dw}kOy_=+Ps!6-`Ykiz zti=M^fEilhl39X>`b&RQ^gOT^{QPGBCwci}<@=AkHM=YITJv*m){d{~eX$2`MpVaH zWHh&r`96O``~{DbzuaG)@Q&LSmFBA&WV+&n=!LjfueOMad%a$!y~{EpwlwC}=ySQ_ zv-bvy&adi0)2rHA|Om|t;@{9H5D>hWmK&Zb=r zkt-T}GU89nF;hrL;0w>1oanny&@573P zkLqS!_#S*|-GevTy2sWYie1)N{`|GM((3#q$U-V)i9CHPx*^5p1?<89PWnN7&> zGMWClI4~_Q<;SAw`4d8U-WTvR-1QH+v}UT&gP;$KD`yX1_h?pijGtBe_1dpKvsO61 zF{zv=w^7LDMg7L-TZ_UxJ$MfvSeh2uApJq>UZ_whUr3_jMQyE7#(GS^X+f*#nw5aIk#w7SN+iW zbGwJ_&8ss}oa?LEb9;?w+VE+&4JVXZ8F_cTnb{ZfDQ{28sA$g@R^7?QcF#wrB?^xy z`Z0T-gh9Z?GVMLnt44dB$rS5QUnx^FRyO#9OuF-zNWaP0)kR@ro)!hK4c#LlFs=4eVfGxEj&1i> zpXJdT*f_f+!0OJE3kAm&BzVVXa@2Qvnl7%H#Pf~UXv^G{xl(#PG7;xnoBFOkc#+}% zq*ztGrrG(VV6Ee!`1}oulS-79hVA>0^Yv!tDaCZx`P$#+Y!|vV(Z^s{ujR3$k^4S7 zt!VK4e0S24_K5jYgKJO3akwLX6l7?4xts7d9r$bkSN2=q z5P4uBFJJ%GLSo&`hf#?l3x8}|kTb(z|Awt8X50AY?t0g1EfOT9HDUG0V@0Q>J(rCi zTqmYqAR>1_V2Xxe(;@$>dbh^tubUiiTBqnJPVTsVwq@rU|M$1wx_(}n zGyIW!$b+`1B)^es1#XZ1COtcM#C3<=K2rnQ?%eQx=~-^z>94!5+D26LYK42rjKF|P zQK5;gb_G0nY9YCaE*7h{@N_62Fq%IU-s2Ia`gL4|k(@GLMfGlptGmyB(&6%yeG?iG zIkjqnl#b;(SvTdTq0z5gd&U}kns}+jSwcX{XzQq@>C3N2={!5uQ}$JeyYbBTtGmuR zNbJ(ueXQ>Jx`uB3|F*{Dd%HN4JDkXpYUZBz-{kr&Df_j0p!IywuvJ^dkH(9ing7$v zx#wHL*YSnbH&z_1s5#)NCE5IGxl8ze$6N-4LN9yoT3~4)>vZL60Q2xp-=$v6!;hRk zxM#}NQ7VcHn~HRvopMR=TF>3+YuhC8#9@NNVadKz&)2<5(oj~3$v>evC2{eItSO0N zU1dG4pSthe;%Zo{m^5o>UOjr*rhi4keCLn%y}VYrR$t>cNeIaGRJSbf5q}=8^JG(i z*|f3~^F$SxfBxj9cS%g_VaJXnFtLyJU$9zD)BC^2or}cROsF&6G%Hj1>_E1uQbDND zO7d)lOvB0`%&IAS8=lU*8?|i2?w0+7FJc|d$vpE zS*^zv&zvMvtNpxBbWdiR?{u?l^zwhClX)*xrg%cVoJyo`;MrgI7kmE}75e_O@}b(G zj>RK4*~^Dle;WMFuY7QwpWU|6t`XL20u^p)spM3To-TAW_00;7x?|_8x1&BxOB1qN zwMyslJpbK2g89*pPaF(8Yjgg~o=GN0CM-(HKKA^ldqc3=K1o0<35%(oHBpL1*BwS1m4c?q9%125&-6^Z9RmU90d;MFNNam$$r;vaYS zd+7f#HJq_YEY5B7x0n|eY8wXoPuzYPXL5IJkMq>7Fa7s@qA$H#wQO3hfS2~l^;R3B zPmaDJ6MegC*7A}1&dP1UKcYwUjPr%ehLpO_|MCvK18@l zOX5XkZKv;#m)@O|Yx?c?y>gEXyt`zhmCwsDBkXD$8y{`(o&7dHYu>XOr7GQ)L9X`v zyVZvqWG+0-Ua&KFT)%~>ol}2s{ITY)S6|CD(_JOg?lB6dZ;UEW&5P#a9~Qc-+v@#> zfMb@8YDbN zHz|vXq_11g>BtCwpi+B#~Q$fKf*!!M+4itU}Wel@2`Xvq2&U%tATs;jjq9pqti6> z^fn1r?&EH@77V^rn)mR#Rf&I|_taxQcAD-f-SP38!1fXI?#5d1uhM&VuRCqx-l^uN zxrVdrr{w0Je!JT-Y=)0ol{@b~*}~d9s~sOF{Wtwb*E)}NSADAFZK6y~QV$yz)~?RJ z+jytk)TlInacWemP2bIglVkMl4pnG3owri;*5F@X;Aj)I;p0{Y{B=l4M8^)t70Eibuip#Dw!J=kW^!wr$EimhJjX{F@Bg}b zw_f3@{yuB*wAhNH#j=^IQ~Uasj*+m<+9JO7!WF?Z*} zhVd27KX2a`x6qOGRVf~_N;caw!|rVG4!w3cr}n+P<(sFBT;A>V{aue%+nI4&@-z=j zec`<*^TFu6g5BC{3(02PbF#Vd3)!sXef`~da!}o=oADL~pSxu_kCV8|y|eQsCcQnd z;rPYlo*PD7so0!1&1_$p;)qT0dj*}7Pr52ZOAZFs2u~B+bM@4*-JmTTD>B^L_ zJ5n8Ks1c$2edfuhlX8^?ZvH$cd2Ve9&sx8Zhi`6lnNRCbu0EVRI<>eVhPy#`L~ZN` zUrV8`XUC6=bB~X28J~NhCjO+Aug|dWOMRBNPBK^c&%sswqjZ9(yI{iy(-nMH+~rkG zS&GF|pKkswciz(0YWcQVr!@wI!=>{Q+eiF2-l(jKBPjUE=6l9PC7%&y+@VP)uB7zT zL?`<2MViOXW23G8 zEbY4M$7zH6WZt!wJo>)f((cfk{qgZ}$)V}}1F2>DVc#X+o_|nz%k@o_Si$`IZ`TYH zenF)w%Zdbr$E=<=&%yN&EETGB1V)NYq3w*cK#dX)Zsme)bKu4~lpC zLZwtkP2fE%CgpP7%-hxZ(i1IFNvob4wHpFg-)Y})b=(mF!QQE_zq;$aYv#YbV@2NB zcW1x6|I(ghJoDVz-W8r7&RtA>@vU?3xpFc81HvM6b{;GIAb)=5{!Wuexfe@^g~#VF z$X&SfO^u9_f3W)*z-rF;7sC5n{_OvH_8y+v8@u_k=|ND{~)sF);?Nv@_ z{~UEv_m*Z=M(EYX*cmBuIr|eIbE4A*?ClMOt=11tc98zfxphmTb@lswdN(Y1HWo?Lgx38yw87GR+93DkOYxVS&AV%^ zdtRuOI@aj4zueT|d~(RXs8p-e+!1*ZzZBb{FKo<7NM zr8j(h4X?S8ddt`g&Hp)=y3ZDs+VyMP&uA5|s+}fVvXTReM;9*GQoiMG^%9ql#~t&P z)E5bdTHL(JdG!5Sb*X{l!psHRZudUF{3}U!?$5GU&YBLb@e_m68|FKF=55~8bkx5^ z&hJU*mwk;_zRQKUFIhPuBwFFEOTCJ4u*$h!J;}W1y+5?BFE@;8^LuqwI5{AvS@*1$ zMS|Jo{F#@Fr#yLM!@u~6K)j1kgYN7-9{xJ!V>Kp4`i;8FBYA)?#nVV5azt~Eh(N+z z2P@YIU9AzH%s01`T$fuSa^(S!p8M_fDK9z=7Od3^i*wnZnPkV)(w`eW|8+>LZM(qI zr=QdoX}Z>&n*H28ZC=j6%NGkQG~3P@f5}Yd-TLva=lr${Re>T)u3Q=Rbpr3ydWF!s z`t|LJEr*U-2!E;?exN8#x;i6bwYK3R?IEc_ZsqB!$H!g|ygHvETgh`=`K3cmjDP9O zjMG(R4o`+vjrm|5{O)|nxeXpdrkqMax3=L1Zq^sq$!|KXRaBywB)daJwl1gNpMS6= zXVqZjegS{Wm)R{6XA2}_*JLvmwqbn&ozHz{M>(QdTxo=F{7!o!_xTslN1U%HwqXxlqShX=)Z2v zZY=#=X5E&4*mU2wD$(m-ofMC~j%rLi;M(#*d4Hy#v8n&P10f?_o^;wO6@{DZkBU%L zuI+Vi=_;Lne%!7+PeUQew|W~?G9L5o5o#1uOqCva&acSIG`C`NjxN9MJr!gpk^Yr}shVCco7o~xBIcHL7^wsA?0^PzisBU5ccH1E9a})2lt)HB5_awhxH_z0s!m^TYBv$OQ`n_3XSX9iI1IkiPt`|3* zpT1PGExvsBlni^`x9iHjGj(1o{j!C3YW95JS<)Y7Njxo%TQjiX_Y?1B){P5n`$Zle zUUnjA%@~cvdGW)v1uZWHA31u>*3-21+w6?-+lc$u?^{KsEJeM5J;%wd?zOnOdt+eMSp9!(11|i;? zCw8Y=-FZJWkE?$~ev?S#(PGW3?ibJ7UrqRx*z7US(JW2=?)Hu6Ee1=j7%mjt>#P#~ zQm<$Fr<40U#8%lIOlox$Q5c-=crpCYH$jKN!hqr9N6e6TowO@(!S$AoIb(OiX1EdA z47GsGlzIDgUMt)FjGt+KwaV^}?xKB946L*skLx*@-Xo)KvcqCd>*7s?O9RY>Y<-tF z3vi^zbJdr$k5_a1#Yvm2_=sQJNI5oN$TCypzUR8(+I3-XtY&`{;%vEI>M`inzO8M+ z?)vd=n;f36TAtwd-q}#Fz(=xUud0_xQu*WSPGfjV&GHQo_fJ^L?=bD2=BWF8E^F5= z)iD#@w76t=$;~<0GG5VyL_E`W*qvu46{ z5m$pOwF@2*mQA;(z4no!j}VTk40N^x29v zdwK{(-f9-J@gVbzG2cfzH^InNXBs~6n^z)J97nR*t%u&)Ah*i|O zu`1!Rv{R}fpF-@YVHT?mUf!PT@ccl*!qeA96{jtetFsy0er3$)+uBb$=FF}B?Gxhc zvewv#8xuY6z4(*_Ur~i0I|i;i>(gl9wU1gcidRQ+)}Hq}ea6pUwy(5p1oMX%X+8(n zo9=No4ve1HHM2YHyr{x}*@)2EK8;3=kQ?hpktft`3fXSu*y^smUH_ff=A(1+P2$r} z&rS?4I3e#n|G3i~hpjV(hlZK$n42eE=kUTLQImItqtB&TyYB89tvKn7lb%u5s*3^Q z9TvTv`(B5pKP}(y{xrobUqh|-SIJBntpjR3Z;dhq`m@UpS$z6tkzg^?J^Y=jo_%+4 znXSFG=!kPM-p;NbA=kzqc4*&PXB9Q4u~^EnQ+?s|p6g;-5!K`k&^^&EEKO+TL-AYRlKE$u!S$_;z^3sf}k230i&YuJdfzR{Q6G= z)!{Wub1Ns5J4c82U*ERyV5w_Y;OOgXHhR^Jo7kT9rNel+M!=;I5gxztytz42`}dTd zdS0L>gH5l?CBo1(O4;rKk1QZ;rBCvSFhX4f6d;T9w>6VXiZ4sII*9aPxHsB zZ8tx*wYFQLcK&eNr^|W5qCYM&k`755(V}QOD6?Vtf8!^d%(oQ2!cl%>$@Q|Clsq67 zG+Ow&&xyzLu8p@yRIR(2w7oye<-qcvZ3%O%nho1?4UgQt_U={I((rqog3rU(@t@xL z<-5RYJ@?!YM{|1_yDP41FZylo`nqSDoBzxaZF>6cx061-dojZ`v2CKawi8bk?}Xym z%`-Bh@^9QV6r@L1Xp`E8jdAr`v7>BXj-VoNOTJWPz_{TBH?syyoAQC6zz|IX@d{h-ecjD$wpr5 zBe#l$ZkxD#6R_^>HD^(F%yTC7nv-dB@8~x{?f&J5`wl{?mE3$_gd|uldIaChZM@1@*cJ{KB)g1vNCy{ zb|`Q0&9jON_7C%3?J2n7!(x&9Sz$V{g_oWzcwXbV=|=6?sogf0KbtO7iGC3GIMsOE z_nDDO`g;O<9Ja@3v{tz3{|u7LR$EI}=1n6jLi%Loya`!(RsbtvGor}Kf*!IW>PuGq zqsdC;NLVRQ|6f0gs9Z8xncqlOCf*|}CvTFK8g*F7`~ROsZu<`N+1D++-@SFZY;};g zblRzhv3QS^V;gZ%q7B9@#tn>!lUh`-+2t*9=>4XXI7;VM@`g zyvzE{0@qT4^_Cefmra_NCH`H^xUsy(d!NFr!^Z0p2kMu0^AB2Y88$O5WwqgHjq~N! zg4I!3$1nU8TVA6QKeAV3hLPQxVVevR){lO)zGF(G{O5|NwsML7`_^{6t-LdN#_vf7 z1h49zT_qB&A|c~3DlFjsh@B1Nc?&e=43v(vx7qph^AV$F!$S*`@}*+b{WmHto7!y{rbZkSlrn?E>k`q?A?C_t6TKKlPt15Y?{ru%| zsp*?Zny{)=;pv%rTW>`5_IVX{oSeW@HLUl@#mSz9p^v_11O%4%PLJL8c|^g9W}AuQ zY$`raS`-+%_4>M)yVs;c{HmDWc#4UJY4;>tJT87l?ojW4{UNdCu=)I6B5Zzn$pf3b zA3R~x`?Dx)o_^=ZY<}Y8hmpflFid9uo;=WfNZAglFjTlWV4k^ zHiy2E&9<|!IqLuXIm2&`BbyKH$!1PA*?gl-Hh)!-&5jh<6!|}Y&gg5K$!3Na+00EP zn;lAIb0D5VQ{6J}t;Uv?ox2*~`>`Aj9dQjuRKi9@lr>kUM`rhW5DSPIt zsmd>wd-m$6@0i&Z^Xler88%ugr8Of)D?@C0g~AVeX~E0>Z%#)nZoPb`a%u zyP7ejMKJ|G>d*9D;rV@N=FLMJ4TWE6FPiB*bKK)3-R|%`7v5&6jEInN8wj@!iB0yb zm58rf%jsOU>hx`+ts=Wqf(%^?&CajMWPS&opMC7`X6suucec37XJz%){m{9zB6{ES zv=ou)0y2X2w-zR!lqx;9ldJKXYw_;P_UapTllSR4S>G(&6=twBEAzu}q5UZv~02;zsTkdjv93yeiE6kRZ-*#n_|cl9-kpk(7j2XAhQUbu>G0y`_52V zt$IG;_PTt1#F~di3ndaemX=>ubF~gzwah9pDwM zxo|FzYpR*)+dF4%cv+wDWzA_vDjs}HmC$c~uQDMe{Pr!0hyO{W6b+A;wrmUbu$w78 z$!zC>qGtxDNB$aPnP-~R@#FVc+r3pGlYZKGAN@RZEU)75k^RGq7j2wVW97Q-)ZoH7 zhhOjr4LU}8`rPce(UK>cp!PoPiK4CEexs8QtWOwd+_=E}%C|q&Jbg;Bx!2_RQw=+` zqK`E399Oc4eE!^Noyxu%#TQHO$(d)Reo0v)Tci7nM{7#p?~5E@-BdPzu@S|A4xktC>`St&IyWrD!jmiw_E7Qb@^LUt@r#) zIz0K+xa{#i-)XN_GMn69l5E*D>EWx?#>@s2%jf6s$+S1dJrgtBD`x!3R!b>2>HEj1 zNnUZY`W`8?m5j>2JbVAX?(i26MnxawlNzG~GG~^Qyv-z0A!TH{Y zzU*GoDLgb>bgwg?blt8~7K6VgZ3xMYKX5I1#`Ihv8&SzQPk*N?^*+5l?)sYQgtgr# z{9e@s@{BKBG{Z-Af_-|KY+>ba?NXyj|0(ii&l=YA+#~s|d6LN(BnqU%qsDI`gjcxeaU0 z=OkMmynN=`!I{HtE4C-8=S}$UQD5+VO_hS>>9*o}i5IFPzKI&2bk`s&4n}0fXeL=H zX(B6U^-M5) zrfd2#m_GtYwJxn1`@LD;-B@AMa3hT#k#zU7I&wuF!EtNV%|?V*pKM#W-Q-AR0l%!F zT64F-PrjE=Z%uCV4?AZO=>In8_R&!$2k%L=?L2bVxqtBM>WOoV4h*X*?%A4A=d2s- zraQZOubsORS#i)ID@Jq3N=Z3cIjajRDeg;6^w0lZ(>Kvle39;?pDX%*X{Kt$iNC$+ zHnQS?RDBFE9xGujq#kPAS3GG z-55GeXk(<;S?2}w7VFY}QBjtOR=g0<@0Riy@*ZgtvWJhSu1yzjbWwkq9XZqq&cSz+cP={{qHeR&=H zKdVZ7+q>Ar+)7ip$E3ORSK^wu(Q$Q06#~u)ST@K>3`y`{HfC42R+E>rxv{ql&` zO>>-T7OlyeoMO~#rg|k>{COhZ&VegNiQn^+_Ve+D%PG~?NK23IH2Jh`t+wLr712Ky zhDNA1?}*r`zTA=?u{yKV%omZrCtYvH~79MK57Bj>2kl~H4?sb`+^6@?{QtR||#PgS#h^i@l zvzWf&@NL(6qk^d$l7*Hvue6`|sk_+QTJXG=OKqUAe^a>h^aV$JFN^No-%yr4)xtt8 zD*es@N1heMZio81I_Cbif3Q)~COvIIM{if>so8O9@`>pakLi@BsCE};NE=Dj1Q^S& z3SHsU?Ll{AS3F*KAaBfX9GK_){(K{A{Cw>YP7 zIaAZ7C$_l#RJBF# z+ps(QeoxCiBEC-ly<06e%i-}A3+ecinOA#cLJq#x7D&Hc*xX+^;&)hv(U{$ec7pAP z1V&9tu;_jNV8glor{zcQO5Z)RTP^>b*W79|jl{?!uz1vXZ;4)3hT* zP(^i3xS9UK7j-Ut4}Oob_)ku<_{%EG@QH0Qxm9-+<{i$s@S;>^r@dX0ipIq6r>cXm zU0U_Nu*m295f@SAehJqX%TC@*DQsQb-VmZPLg+*CwnMQ+})@@b<_P*06!U3$es z#VO}oU0$ZlvQ-Nm^`b+0w~B(YcIoj*f3twL#Cg8IW1nukGyV&waDCm$9cG?-9;Syq zp8j~ZqUKatws!wO$K`z`If+Wa)+^+4)|>E4s<*x_H*`BJlz0qYCRjfQ(o~+2aY;Jx>OK8|8p$`cLZXFwSnIBc-4{v$#HFI!#owbp` zxEG}{o$kDWD<+=cXixtgJK_18wZp@f%CD5P4E_)!n*H|cIg6)_^2V~cv9f-dBB>p! zv*SMY4y}KFNc@M->8uxvf4qz9nEK@|Ps_rnV}(ke4TsC+N$%QGTW2OWW6ea(S5gJ4 zJC0m>6Sh?I=WtH#_?JN)m!d~|_byD3eH?YVYqer(@VZI`!OWJfikr`Q&HDtJ%^tkj zaq1wyMIXmCSvq{LTfdXKy}J4Dk&TLlCZBhY+9Hs7KF|g-@(3UtfD_tAxj<*v<(a=4U=t?a=Q!AT?Ax zCHMOGVWA_}x@W#{+AlOY`ewJ}hxtKUgLZEb)R9&YYT&;!=(qdqP)ufPZyR5Q#r>7H z5Ai-e*Z(uYz;Q$Hy(#y0iRUJ%wfTS8Hnlb_d93yD2wRzMKlh7aE|y!RP0ga4cn7j1 ze|ca3c3)t0&ZpIV1MQRBE|kAKICm<@&uXgGA=7fF)f+D^ zd7p2j`7p*=sM^6?HgWLtySHrOfy;=S!0GJl(av*8qxdm9&&6-Uk0yfJp| z9T%g7=>HdOB$C^cL;KlKGu0VmY1BwdT#QVi-R=p_iz8=}Rvq*H-wz4VCyH2Hj4fH# zsM%%|Ia61+Of8-n!CJ2=waCKm)8DM3wFIGS%aPDi2Jea6wz$ncV{+sLhF^A#*7?KO z@OY-AAHkLqXo1Xu`5Nh0GV&9#^iFv8`SP|7d)1U|waLh0UXu{VFUl;ugEz0S@}Nh* zw$fLmCRXZ0JP{&yeS=(E_#TW>d@Q@fWB#;$Vp-HgmMTW>qV?%WxDEgY_b(O~04sD6 zEm-vs3>=RY)DAJB!W~^YsR3ol{93@AtOs1XB?g5j03gZ31k1$`2cca&M?Nfu+`Dw& z&v|n){q2tA5NuQx!F9{;9JMLD05^w+G84t7v;?EN;_(8y@a`mjqX>_r#^tsPFiyD4 z;>f2)JG`3t#HjuRH>^)G7lyl&+vG1|arGOeq(PJV~3(G&T}1Y@EvL}!uqU!h zZ0$pTVNE;91^4MGQ{y#Nmn8MR%GA#lCln5N$Tk1t5G-j^7 z=nKXqLB=D{hdmg;yQ;v*x>-R{%6oAy8Q5@Bc4{7{_+8 zT1gt??cng+{yKS7b+AI;qjXFVC=>+Nl-l@hq&TAHJo+O>D20xq!mqs)1n@_(AoY*5 zlKcp@wHB2N@es{CgSx}`wvVoZ{?TNpGsF|~&M5hS+;K4^m>FVsq zso8#I5zt1|P;M%gk;gtT)sq9!ULUF0i)Kj(_o6TRF1kZZw^WJ9xDBqSbKzEifmNIG zoZpbn(%N%&zbkh~n(9|3CT}7FyuU5c99{LnNpv+JB!?$UI@d4*@40ifEE<)rIK-B= zqX6!qGe-grDBF*Hr4lJXMYr)@E~q}B#C{gF7+f2D>sBl|#TI3g z-?9{}B%O%5xHKt#CI12gi;(U%+w zm9SgU%$R%;E&_hZ?t7&hF0;{PFWPCyv7d)O{Q*{q6MPkGK-WGq=B)kzP9Li4w40b1 z#MA(ibKR);t8#R4lS=4}8tO?OvD6J@4?2+fPrU}Tg9W+PoS(UyeK13_SFk-lqC@M@ z_PL?^?CqxU2cCB!^)01x?2#k8&$U&A4;wc$pTotDtQW2(R)rUhj-x&^9YI#{NeJ6m z@oJAd?%5U-dZ<%y;hN}1DJ_+f6`#-(>VT94(obaq#UwbdJ%a#?#wdtY9XJO)0v;5c zk0+jOy=6?C79;mA2%p$au-u(? zVUDrzev%Coa@lM7sC2UNT2FCVS2K*zTpRm;py2};LugbJo%~(AUb|3xz#z$@)64w& zS#Kdw?{o`o$U?(?TiYfL22w5{TwLi2yk5y&_Wz>Hxb<{{1IkxF&kZGjNprV8E~Nd( zWSyJ_H;@n@#Sbln>+2>uPmhb0nZP&!ZJV<3UI2}jzAZ$pwUQD3sb)X8igafGy-QgP zoFh+xJ}c^;ZLN_RLv*I$R;`#mlImybL@Ho3s^iGkCwNgZwG;WS2-G6@lg}!ajg9%` z$eEe zUpwy9!EKj#Q*i7}maF+L`TPwS9ty^$T1}ihn9IID)ymY9W&YB(HUgc>=`GE_bKXy4C#wk_QNLHF=Y2tu{8Lhs5*#+M*Z_pi{9Oj)C$N0lIO zA<;)g+rnT5x!HYJIb4UtKQYmTwKbZVaaE@$ID-7?1AGXv@_G49{|+^5AXZO_35rs@ zn^yu|{vcYJ8Q@LXNW`I)8)!!THx!DTD8k>R8It+1lmy-M)DiV0IHZO$6T8MJgYK*= zH$4;rlS*f?f&H+}>?bv&Z@txtzhihnG^Gr$D*QIEJ5>NLiZKTbNzFF_WqW_G&w1l@ zTo*C-^%zjYqQXMzpAbiYP*3vuh!I;arNk1=p=VLoA`pW^cXq$LC=a+x_rh9ai3FlW zoctsWCNM~ZnY>&5AHnn-tP^;7MQTL@7Y=^(S~<=Sg({9H-^@X0I;lWG^v#?fHubVW z(i>m0*C0&Fe#hhtUZ?Dqf>bae)e4l%wjc(*l&nBa9EZSi;KuRwHJ;Rz=9yMrfhgkR#=kKBXyToOc38S)E)I$B2n?9oP-AH6EAtkX{YW5>JKq(Kg zi_0&lY`=~7xyh;`U7gU;SCX!#p;4@{-Y6PKT(A9T)c^vyn@BhN3016ad6MT?2Rkqeb=RQ+wh;1(M>UH%;M%Z zPk)Kr#g3_W^K$uGJ6gi*^|{^|$j|hRFiSQ7l`+Yo7)^)o)x${*qO=sHAa!xjQWopN zWmdS%E@wGf$)JPW$X?u-i|WIyx8q~dSpU$)1!0`J@As{nSr9?fcF+(@YR}6#fBhZj zkzO0?@CC+r8uX%6IlKz|_UyJPn_^piu0i}sMMt?>WD#3*6YjquPM;( zXE4_eH(sD`B0xT5pbt5(9;-$)0wTKb>EtmvP+an-1|c3e!pbZC9)Tc@IE0#*&MMG$e3<<3A{}bzABDS-{8rk%c)11*k-9sSK)NP+0(P zV{9z3WqlomZemk)T6G&%?x;$|ZB(Q|&&-rYJ-d29La&~4d>+ve)ENt#COc4f03zwY zIUbb|1%X}xl5Q-7{J2*Xe|d2d{?SEL5N;`PUw({Ts(s)L1q&ulb_zS|UXXGNc-`p` za$+nHL(!axU9$)bBQx&X;v;1|2w_#(`zZKa@CKrMQyf(o5lkuNAS!D2PG<)&4FYL) z>--Fif8%9=aumbR4>Jrbf#E~~D=xJEPzdP}v2PdU5Bfbvn zaVT%LoSNoo4T7?B(?V5q@KkY~phZ-e%1IGhddq-?yS_a9nyc)j-_Vm`@?MyxqIF}u zyoiCy>sv5Kv;enfPCCh~PZ*6Dx0g9>jDP@@eNBSJBs}a7tALBfEX!v#xCcEDN*cMX z4YLRZwNv|m?VTqfUgM8yq;-bfM z>x=Bk%h*U{1=kUV931sltd{-w6dX}P!%M_!Znr>(U-(imo+ngEbG8 zmAd1&?Ltw(E3m_AIkn5cmn}b!iMO(GFL7;|klODT{g$N>r(y%=7`Z@X=`Mc8P9?cnLq`uvaezyX96wJXWHb7B|! z$=RG6{4aMh<^uz>-Pl!NQYhNOxVRswacKU)%K585itgv4XOpvW(RjKjVH^frCNB#0 z5gvNT8|A#UA_uzt=kixFAkt&seylvAzWm8s60>Bc81;H!Rc7e=o{aV(IeA-8t_c| zax5^D3N;KX#|-Ou(+*`N9Lx|+HtF6YQwRdYMyak1ogh$4v4QG2&l&HH^_btyR}G!g z@Im$4_M%Pb4hM9BFB5$%lX;n1oj z`|{vvpo}F{g>5%AuCZC2L(zdj`2C?aqh~|5AF&b3`FlF#j*io|iItNB&_wrVRI9a^vGfRbKe&L99ZZ>a z9K7mps+&0etjz~BqqGH+QXhL!8*&~Didn@LYHNbX+u2U*O$Jtic21p1vr&$_Ru&%4 zBWSr8^bYAu_JNcSF?LhAb31svD8`%THej5RVW!lk^! z{Qdz~hbYeEi;oorG?iwZ3yWNvmJph?w9r^mK?D&^KA9l{-G3`^37BQo{NLM zKl^eM)fS(t_G)HZR2{dg?hxO~L>R7^7jOG0)wUBu6p7B)`0-OS;ZW~%3T&+TA069$ z;qq)fiPmMrA869Ra#^}=>{^zDdc6F;4q8J^g{D~ z2nR6B-Rm*>s7FSFeiLq`Uo#Cnk#hUkb%~$Sj8EYer~3&yG@~LWGA6G5@;Wo5=uDi3X0!tj3H+Y_NtNOy5KjD3=(IaXvVCK zZ-L72^)8+yA=PERq`tN+%>X9yR=t9WjXh7GNVCX)z2|}MFiTrC7NT!Wtq-jw zAbbW55IAnCT$BI^UH1I6pRP>Z;E_Vc78s?KZ7N-nBHT0Xsd*XG|FV-$ErH;Dq1f*- z6sz}vO5!SUGofigOm6lfyq_5KI*C_6_k(i)@bSZRr~C2KaK4iBW5Q8m^)l@CiQ7YG zKlGCkjjL>txN@>^>&~9c2TmApNu;%*&6`xgRhA&IeE?Zz6f^tXAIvSD6KT)~skO-a zVkdXuMuR|iTml^~7{^T|q@JxjPrL^uq%|l-Js7a>zX;bb0M&Qt)2X)>{e+@e{>ozk z>^a}Wv&DjM9alAxM7Z|}U9^tT^L;7Lxt6qREAp~6xEz>ZdFDXP;PCtY+&ODwbKiC? z8|qo>%Y3W^e-dHq?x7qSiZ?L9C~TjaeBIvy^8oI@-KhXYVzP3@B2hgTP8wdNYeccl znR+dnW-#{wZYLXgrM!zywH9H(B;A$Bn@)JwmL8n%JA}UtnWmPV2RtbeSF{{Gq&*wf ztBH0l9f{ks?CyBRrc%Rc{xd4MXk7M+@GH-4>EM<DG)(@XoT+ zPMAS)(I=S1xYK;eLI9FuuK(y7^V#V7g`MV`LT|wQJ7pMV=P};8Ux0G$u)!}B3Q~W) z*-DIDiBL4D&zu)$O)fT2=+tC8DvDlo8GNvP{ws)a=Ql@(jSKR_zqX|eF>2SfH@ca6 z;P)pwqWt2vELymNv=RNM4#W3Id1fFqx>3veLa4=<(D7ncqC|&9y6AQ=NuMAhzO5g? z@1-*JLIcWhIz~1?b;!V8diz-ZnZ7HAlf!wV$BhLL=kZeI3_pnT`_uZFbOO_9-s1f1 zR0tGaz?2^!gFq*>Xm^AJdR`Oj^q`E3e3H>@w1x^}2b$)T6bE}^0jU&wk9tG2U0Zc!J5!wA7*>nssOYjD~>{{wJaV5kb z5n*BpP&jvr=2&p{yY#MZsZw`=Y`SD9P2$Stn)W4Chf=UX*{mf3Li?%0*1DZGIIOhN z2%Spxv_FM%=(e3NxW5ZdH69BvPASh1h95f5Hd;gsKd8bkKipd6)kF$6FA;BnJAcbZ zis-vS*+|5$U`k+Ea$LG<%YV%|)|&XnXQ-ioLDx?gEwRh0&jpCG#R#mq05z;x#k4Y3 zLD{9PFSTqqeQTo%J3N5M(EX(^HUZZ~wl5E)49_4gdDPj6=?L92Uyb#g^tGwjw~RV>HjPk5uDeaH znOeuOE(RpI$jYfpo^oQfPmXhKIqBgu`;P^pZre&-42Fj0Drnp`9+K>CkFVb$pjrgjjz|d_;sWTm983l_JD$9jGIAjl!)b%4hrP78t98_lCp1Z2@QkS z0#h4&@#{>5X=2)PXlMrR@}{)Lo&1rYOWh>RPZMkp3%>hsw46m1d~n?rFf z6ttBXXZhbYHLrkk^Ij>SEEK|pL03rJqy8N(2LP4CS`4hZ%em$`OwUt0DYtLQPT=b_k&?v%Wu=6SQ@guhn5j!V8=o44VGRRVZv-F9lyn$*x)e7WrAp)4`yKGvTHud>6o zC%uN1D%ZTGq7&Yr_b#itc1HFrS4Zrg14a-pG7$Ed!75gilXbjF+jJGF?WjXqYk=Zs zh|yPb<$5tw{@w#%YIxU(TAew?eW8!#qooLCD%+9PSz!LH;plMM4F2Z`CB^T|wvtx-|YNOl~#ThPwkJ(iit-PX9J zz(Th+@KE4ze#k=%ID7X*vE`4uUw^fdWbs}*=Y|k>x&9<_&6-y$^E?Vf4|wDHnn>0U zAY{BA){mej61m3lL)*nJ$FmJ9KtdG06^^K6%H^Dtv@meMWP<_!x=>PSn`CF~i!Fn# zj3QjOQ}$8hx!a8@P||0eQ+x=~=>LgX{TD=|b*ann4;P4~)_-accCbU#%kkUy&B(^DVI#O=C6GNowwm@R zizw0bIP(+v&eOWu<1~>_>oy_Zwd~vZQn4rcLpHC#@3Pp}JGbQmwl|)ii<@vzHPC&V zyco_6T!yDTWknx27GHA8s7b0w%1~aVQHY|xzZU^*@z7YkS>t`yxWiGX%zr$Gzxnk>nW4rI6$V%g~Ww#C2D& zSL%lRpB58N!}J=RSn*!uoP?#+3Uft*3hUek`jyf2M2eK80P|o;k%3A=QDt)DXGjdQ zbybV`aDYfu)N{6iFE7o7Sl^vW$KrkgZJ{@{1bk1Au@K#wM8kBDktNl(Y!eB<4!o%f z`z1IMK%Awa-o-w3GoxpfCjtmTMP=K?5-%y+T~|IcuF;gQ_*ts|`u(uO)+EFtuymJ4 zcfX~}ke;t#QSg1N;oXK)zMs6twHRwMWSvJ%SDBpy1QupKhNg^)ExvO?@*UY$IS8is z_WrnRzM6!D7`h@{ilgj?g082wB9UOqHdf=;e86OuVN8$lgJsQ*1uM<{7b}cQ$=%w2wY0}F;adVf zr?a9N6s^vu29H77jGAF=bf+yG5tJK)Vs_yWz>wqFu$AX(b3e)}oU5Pp&biOEdA@B_0lC89<)hQT)no(!fY_ zXvcQ_C+wUdV@iot3Z8F)TCP2mrsH~hFU8wbObzLAQ2D??6`cPPd)ybAT6>Hla~bSj z%8zco0cQ!b{fN9zg&CBU7KFooI2CPKc5Df%OZa=@VG)F_Oq8p|E%D!~mgOfs*0(gS zY1|xFPkUU(tBwiUS;)(?`-hm@)RHsJ0|tV7lSRq21Fp7<&YsJ0NVLT)j_euMj7it8 zZQ+@I=Ab<@bi3;7xJbP=Irg(TZaJu6S+h@SjIV5ztHeF=-HMjwCN0*r6s~FA3|CEC zT*j)N3D|ka%d)=VjFy3Kj>VH|l+TTx;`{WhLw3Jo1G`@A0WCe2nabfAShLg{*1DTc zH%dZzx$Q}bbum#QT)scj~$qEXu%V$_w1Y@WiQl)3Z8F=KlAOBrsI4bauwTDObd)G zfcd~d6ZxXTd)yqCk0x9qa~a`?mTgYH0czasAE>-fh|J1&HiW}-?Msh&c5DQH6&hRO zVH{fc9<-~)AS8^p`e})>F`1lR%eb zV4RkV%flTKdX&W}(>i+OtVz=HyMn7;=A~fe7m3R2y+jEEW`j?GJA371&8?9f6MI}i zRgt(X|-Wxb>_2BigO z^F|^e&6{6;y&j;qdTaEPie!EY;?x|6d|2nLbE9quyo;0z*jSXX zfX{Hz2pMh{P8eCbOXdnY?-v4J+j!mWS>R9n5JT0~8*_barI}Nwn$I%>+1G^#!YgJ$ zfnFtf4~!oz=y2TN?3XgXrLcP1h(KAV{+Pao=qi;FyDActhP9hL| zy^IO(E$1ni*AdyKqvb5L6ZZ$F5H{n~NkJh&9g37RH41H|o`23_&C}xtF69f2u9qwV zT@@dR=PoPE35foeECO8x3fbo_E6f?w^K2`sh(Rp31QdlSRqK6^5d>`#6glTEE6g48 zXzU%l{7wwVx{%^&Y?#XZu8>(&Fw-0Vn{?gafaOrl=7V*jab1eo@kN^1L4U%gf+Ua3 zAy*k8-=QQ)9nVL5bEIabPMG!n9$1H4G@`gP{evol)K?wgG4{1gGp3>2c{;n^6lzJ zKL;!Ss?;?PKZE06&SJ>ko|1F>!P+%s#k3mSv>8TA@>$2FkJK9LWk&b~Bz%!+T4T+w zbzdseZ0qVxhYYY$!FE!h;T#lM1=3%H9wgo8^|B~iqpoKP*D7Ieh**=Lj*?x9VqS-# z3o0&=ZH1n}Um*w@A!zVj9>HuL@rU{7^KKzI@YZpJ%GV0={H~$JPJLD$pQG=RTWN>r z2MwTELt4)$l-K>CY0Uy0iM#M(_?~zJPlI5?4k!1Z8a-I^O7)zC1`hluEve@(GGqa0 zwz;VukZ@I(J(5?7l{@qewL$b39hNVLN3Y8JrF?{G(_;#i fE#^AfWtv^5@2kchl`XED&51Jw8<@=Pl>jj-EjxZ+ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjfziqt b/bsp2/Designflow/sim/post/work/@_opt/voptjfziqt new file mode 100644 index 0000000..3b61e8b --- /dev/null +++ b/bsp2/Designflow/sim/post/work/@_opt/voptjfziqt @@ -0,0 +1,417 @@ +m255 +K3 +cModel Technology Builtin Library +13 +Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech +Pmath_complex +Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1 +Z2 OL;C;6.5b;42 +31 +b1 +Z3 Mx1 4 work 9 math_real +Z4 OP;C;6.5b;42 +Z5 w1208391546 +Z6 d$MODEL_TECH/.. +Z7 8vhdl_src/ieee/1076-2code.vhd +Z8 Fvhdl_src/ieee/1076-2code.vhd +l0 +L687 +V1a;R8Z_kc3Q7^>9;gKVIV0 +Z9 OE;C;6.5b;42 +Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..} +Z11 tExplicit 1 +!s100 j6YPGc@:alQm=gAZDnLd<2 +Bbody +DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0 +R1 +R2 +31 +R3 +R4 +l0 +L3719 +VIMmI^hXJEW@Uoa4kJFX:K1 +R9 +R10 +R11 +nbody +!s100 GRUnO8ScI[9kFB=Ki3;5f2 +Pmath_real +R2 +31 +b1 +R4 +R5 +R6 +R7 +R8 +l0 +L55 +VzjAF7SKfg_RPI0GT^n1N`1 +R9 +R10 +R11 +!s100 ?h[BJdc9hAMLfn1 +Pnumeric_bit +R2 +31 +b1 +R4 +Z12 w1242971927 +R6 +Z13 8vhdl_src/ieee/mti_numeric_bit.vhd +Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd +l0 +L58 +V0:R3B671ke]N`8]?lK_c_1 +R9 +Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3 +R11 +!s100 b164i8a]Ti[DoEJ?8VoH00 +Bbody +DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1 +R2 +31 +R4 +l0 +L1045 +VMl`J4ca2be3ejNXY`>k4Y1 +R9 +R15 +R11 +nbody +!s100 G_bI[L810b3Q]LV2V2za01 +Pnumeric_std +Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2 +R2 +31 +b1 +Z17 Mx1 4 ieee 14 std_logic_1164 +R4 +R12 +R6 +Z18 8vhdl_src/ieee/mti_numeric_std.vhd +Z19 Fvhdl_src/ieee/mti_numeric_std.vhd +l0 +L57 +V=NSdli^?T5OD8;4F3aVEY0 +Bbody +DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0 +R24 +R16 +R2 +31 +R25 +R26 +R4 +l0 +L173 +Vd@dC3[2h4nN7HB2XD:8CM1 +R9 +R10 +R11 +nbody +!s100 Nh6>65S7FR:e[I>ADUQO1 +R9 +R10 +R11 +nbody +!s100 ]?UNFEkZD:LZf;=G2=^OM3 +Pstd_logic_textio +R16 +Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<dbiF;m2[GMa2 +R9 +R10 +R11 +nbody +!s100 6OHe=[AFemLP2O5e01aCn1 +Pstd_logic_unsigned +R29 +R16 +R2 +31 +b1 +R25 +R30 +R4 +R12 +R6 +Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd +Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd +l0 +L34 +VhEMVMlaNCR^a:GNXQeK2 +Pvital_primitives +Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG[EMmIIzoCHn?@614I_=a3 +R9 +R41 +R11 +nbody +!s100 ccDc[]`DWjj?>mGBe93>82 +Pvital_timing +R16 +R2 +30 +b1 +R17 +R4 +R40 +R6 +8vhdl_src/vital95/timing_p.vhd +Fvhdl_src/vital95/timing_p.vhd +l0 +L46 +VOBWK>;kUYmkG;kUYmkG1 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjgkhdf b/bsp2/Designflow/sim/post/work/@_opt/voptjgkhdf new file mode 100644 index 0000000000000000000000000000000000000000..e7751aa100ddee7b5ca2e3b246bf7fe907daa9d6 GIT binary patch literal 9033 zcmc(lJCGDr6oz}31(uhhqN1WUii$|>bkEH0%qnG|AmF*)W#k`p5U6)!Y z2?z`fHZUYGAT%H#G$b@2ATTf_vC6=JzyQSmoO{m9Y|nPlJy_+|*8bCX&i&54=broM z?k&ztSvMZB_-D%6dWk-47j+U;?MSC!KdMxJCZS#uZ?p0(!u`K=7XI(upS@&H8*R4w z=-0MODCYisGhEIICy~an!G>_DA);)VmV*?>A~xAKgmDL1$sN_0sjB zf1m0%5E#sT~orlCA=eOu;%F&_$lL>#`BJRAGZ4u`SIF5x4Y{_JT4Y= z3gy&as;B-`sa*4$bXxqvnE6pRs1P^sB9HkMpU0&O_6p~FFY-2xkH$ka9+zFhJr?7h zTdMNBMc8>BBkY{_2zy7@H=2*iRgLp^3qOeQhx4h&?XQPsz0&&1Q`K_SJ;MDVfm^Li z#(hM%*Auw@gxp7k`+EX6n2@_yxU{Z}=7r9eUafBSDXSjpI+bQWc}%!R$GK*{08Dv} zVXJFCq}lHt7w(yHu9+tja-R_Hg%~$JKQ@a;n*C^>@UO=BBlDz3@j$rSqzHFA%lh%8 z@PCc*hx5ejJEQY2O}w8H?wtg#?l)PvPYd_Jw$1a--((%7bEwW|Rh`eO`-OXaoU7~f z*uI-4pPvzKbDXQ|^F-Wdh5KWS8_&DV;*sV&@|^I0iSftt!m&8-5Xdz9;Q`^^PT&sn zA{+O4;qKl(mKPhx&#{)XtQ#)~w;1Cl#TocW*Sj?H`$ge@72}V`+07JZTez1KxWhPS z;~o_5&oM66{f+tTW{dMn!o3yaCdC=}OmTi$_;-~bc5yVo`3r$2^*jqlOLMMEbG|qv zyhFIbTeAPU+y|rFCsiE})x7YprRVehg!FVYk7$2ZzN+$73*zTydOy5A!;hQAPf`5*mD111dd+du z_N!|9Rp*7jcc+;T56a`=xz{>7x?U?^Rr#t5;>Stpr_~Ag{?LMEiC0Pde45fv(tUyU zLsk2sS{6TD@iUDtM&~=(jb6u}Uno~qxvGo8Ur){7{P~6QRh6&$ruey*(NFxGrF>Q8 ztG*?EZf5ioKW8XkRr#vN#Lr(T{ph;vRl+XqCxI1qv+M)M#oyjtX8uq+M#nvVt^TSL z`a2>1${GFn6Z(5k{C$(rUofG+lj86Dl>SE6JAMv^V_>HJ;*|KimeL>gqYZvE4^=e} zRZk25xAc5-za-~bq+_7#ADwU1kE;4neP8_jo!+0hZn@(7(z!@g`=$DY_&X*3Rzhg3FY$%qUb!w;}40)XHtGb^rYx%(T_zT=KYP-|6A(E+cD-3_2_xXy40K9WBfm;o|E8r zf6Dr9LjA*1zaRBD@1t;)*AcIOp6}oMMx{yl;Mbb07L@X3kl&T2IS)apeB?aAoPk}v z$K?V9gLIu($Dv&l%fz(3< z+Ar1KO=&+kccrwCT3znvNWZyX!}5*ipLn^RSK{S*zGyB-{C)|KHL4unu+9BzbiCTp$dm1w-?oZLCmWv|EO%l*CW+U0JvY#`w+Ts!)+3NX1;qH{|iCu z?}{jw;(NNk!GA`q2Zgd-SX#8#f?|LVd3((-Qi+cbi>_VF*X*@5J6g>9cGYz*+G`?? z?G{Sz#f!GT=nt*t9lKg6vlR9D! z3ah|av9Q%VS^4FmRRF6cW5vQ&3uF}(hE_|C?dQ2&M%b#vR<(hZ=Ymx=t!H7YGOR#b z7{&t8vLgfoe-`Ld8J|4FCqi@aX9<7c1)tD^$SZI+Y#4l5_?r0C5^6ISIbX9Bjm*OU uNV0YDk=g?{grK54ir~vb_&fyK1Ik19y(Mn)=Ec;7G7S@cDJ`6Xmh~^0ZyvM& literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjhh1vc b/bsp2/Designflow/sim/post/work/@_opt/voptjhh1vc new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAEK(S?m73XrE{HF_3d{p$!pH*N9$dOTOpb_*;I6M5}k zW`|Auj84nAS>5I^^ZK12rnO4|3@gV#SQh@k@NBHWp_wSa19JhuN2YSX&kVJ|-&q>K z|MO(Pzo)stKMy0oKVCM#Km0tvKe!3NKQLRsKOpYEU1{*ZElhAV_#R-kCB`%?3(Pqf zR@eh@?C?&Yn4!i1aRbFbqDIoCYN&(SMAn=EA3lTL>xc6Emrty?Z{GtjpZ-rEe!a(Z z&Q-rhBR0`ny_gsFI&t%?HKMKJ;1;zZh?i-V1dKpfkF#} zL&Zc$$IAbanw0yYiK;pRQx*0^=4z$LjFl14S!-+H^Olb6!v!l|1cUQx`PNdDvh8_! zYtrz6L_cIg$v&FI(mg_nv3h`!-*(Mn|E(E>z8iW-J$J9rI<6MrH5_&9PY~Q*9H<>? z$$*ZO(m}x~s*jP;#f#G7%U3Cc*Y5yG@1J*((KGI$GV>b(C8oPX3JgBTR9Gj_>F@^N zlc81Y18bdLgkGO&`E84ovYX0iYd)5cMCYks$&LYl(p_}{s<-Qb#rqIK%eSq>)-Mvs z?H_;8n}4?8cfU03%T`}r6eSyK*_wlt@^#yI>*BS5L|*Pd$^4GM(z$Das(;pj#A|Cp z$(LHh(r^68<)5R_i+>N`SHDK=i&nc{lqJ(@*_#uT@^||!^Wp#19R)V(xVGAqF)in4 zBO18TNjPyK0^}tEM2N>k$WX?}(Baq6;e+SkgGZO_Bc~W%#Kx3rd0m{8vijsJV({CV z4m?1wn9WTdaoY)eqV_?!1g-UP23G1}oj4PMdNHa*wIUtJDg|NC6-tZXYt&7Em*~?# zZ;}70@E6Ize~&zS3#-<)R*HBm?NqoJn&~TWbdw*TsAgwrfB5)7U{GIsG!+rHDE9X( z5v-;dVi*>1gm7e_NTHBvzjqfv;7@>iG%ByQD2R(J5l~(jV&La+gn);jNI_R*zpIQS zjI4|ZSQ*Sv@N&`Mpr!eM0Smi8Lsl-VqJ(PS$Cz*k6RT95xXKX2g&@7gOP#OG*LoM& z@3nTE`$OBmbruuIceayt9gm)>dS22LwUm-*D$)PnSEutYDuX^A6oBeIJPE_Ri1u*z zg)DWhOL5#B*BW9uydl?)mbOom+@)gpZMFp{RA&}^cqFK>ksAND+CeiWn0?mSg)GmJ}7i@;}d%X=3+bASEDQ#Uru=h)+!-KEsA zRlZ0f1LrLSMve|h%v@s7*!h&;@v~WgqbK7)2M@NuPF_90-TVo_`?*8Fw=?&^FDJgh z9}Wz_e_T<(zxesUKe4*NKO!!`KLixOKS*%DRkF{^ChG!9m@V_?B1FceE zM;iU+pc~mo-=ipPN~rRh)DQ!7>0wW(l7qz%Wkh;Gmh>9BN`3 +R7 +R12 +R9 +nbody +!s100 9k9n?Y0BCW@M9E4=S3GCM2 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjnijzg b/bsp2/Designflow/sim/post/work/@_opt/voptjnijzg new file mode 100644 index 0000000000000000000000000000000000000000..9da7f0f399bff707368a10317d18dd2f0ef993ff GIT binary patch literal 40216 zcmc(of1H(9edq5ThKCt05|Usf29qI!h9o327&2j$+NlzQib^9|YKhD+gUmDoOkjv; zqh1?ov7(|)TWn)HZE2HgRBF>Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjqbssy b/bsp2/Designflow/sim/post/work/@_opt/voptjqbssy new file mode 100644 index 0000000000000000000000000000000000000000..843b2136d7ddd3b180f6eeda7692af252a187876 GIT binary patch literal 18134 zcmaLfcRbbq|37}mJocV>9>z^){=jHmi-ygTf<8hwPr%na3@4^v_GKOYuqTM_FO$zLY^@@|aqXjG)k?C?6 zEu4!pIL(QmE247{XYt|%LRjaMFpzK+w}BerfPj<15+Xt;oAw6c@wSls*dW&(()Ii~ zq?cq(7J_C=P@1(ack@501+sCi9uVIv1F5fqgD;-~#tt;K2p{xgdZG!gE0c z7sTg+2rfu23(|8z1{dV#0u^3^;#}Oo_h7g6?|(~*Sj}lktiONqhK4ef2`_Xg6TlME z`~rE3{8SnxiwfakB|-R2pdxW947%Sy_C9i)I;(R%k^2R}*_zD>H581gWtPA>7FQQ4 z`wqx@3Rgf^#CWM4KGR4o^qY0t0Rw+4dZCJ=HU*v5v@MOflPXJq=5u^C2=RljIEw6a zH7M+lMxucuKz0gJxTB)R8%z)NuY-D_1K9~%9uVdt=Cxv6hWtaS8pdY;N`M~GxT=Fe~;^f~>f`CT#vRlWqbZ6NjEzOmj@3L4Cb<0e`as^U|CR@Iby-NCi+?k{ ze=h#bROVdB!n4So3wiiF$j=4W2Pec|nTTcdM)3l^!6MAN#mx!@hp07G{s79Yk0lTl z?6OfhS49%zZ=3-lz|B8c{*Z2Je!`@6rH@OC5xJ|tbl1xw=)I+YFj>Afny=7Vw)b;{?ijXxgZXsZ3CjEbb@>C{{Z-Lnx(W9>3vN2~ETg z?aKt-+!gPHvd2BuK_ONJJ$y$%$pjSp*-=3DbI9x?#?=}+eUn(@fM?3tOc2Ac*ji2r z7CSKoIz%Zz)<75;`csURdb*EQ_r!3o+Zh;;uk3|tj2`6=II26`&i$yO2|RiZR)YjQ z>?1u+*QkN8FAqlpbHLanq-ym?8*eNd^v-uEIy&$%dYCX^njJt#h;`-y2&4)gH}h&1 zc?jiZ1_5@SUbQ{4kKzg=#!?!9nhsFFjW=2gU)r(s`hbW(Z4w_8vwz6$^_g*a#dUaK zOqYuytfOfAsj%;?$}b}dK}RNaI#wwsbqi-CFc40EnN)sy&PNLzfOR*y)3cGfA z=Ja6>TsR|2!E2b6@W@TVU|dSw7mTsP_kb5J3@;0#%fk4wFu5#DFAKBF!u+zZxGXHs z1rdCvR_B5UK2z&+VFMTB=R*5E4ja@PK_83M4iT?XUV1=ti7CwAj>=)bAkI+J_E8Xr znU1p2FazjxVd}jrI$;as6RP|+UNuk_yP@bkxB(jY6<9W#zX=4(Qmwj*4?8;=1FDc+S;V<1c1Hq`97%D$*qHWU&on) z_IDH=wo6ZOu~Y|j5V+!0^r-kOp80aaQh$=Re+(H5f|jO0I{YSGjk?q#L}+ode@btsWQK7VSw}>Iua$K7b*4f;Ju)a`1jPvZM~O zdEK|fwXQ=uS-s5l>Q|910Slu`y>ARpuj^qN6=G3SU38~po5QJ+AG;S**&l*RwmE8g_H|^^b{S% zWk^>HKc9rz@ZeiT@boL99Lq-G;SD{i?2XAkT#>dITL_#w5*(GJu)||x-SQUJ<(4j} zKL->=ZV>K11PL{xwJI+ZK&3t~B4qh7XzMGYIj7etVW0#X!M=dy02}caR!GcB zZarpYWjGDuXiCPNTejw9gWPToIvZ`U5gmGd$FLDB`!>E{4VYzApFQP7Fx!WZDO2YXYyp%xmf{77+b4_PNn*6tsGD;6p#NU>9d9Y z$^^mgF<2O%%V2Z)F7$r#&8q#|j*jPHezZTxLtcw^*=l4BtHV&8chT8>bs#LRscYGO z@J;!E&@SUMqZiCX`L+mY#YiyJ!c5i#t5o(Y3Y;3^5RljwB$dv>O}zRLpAv9x86$-@ zdTF=SC9?hvbu8TZ2Jq_7{)WgOklbF2bzlV;myN`!U1-k}!Z_XVo5ZtAlJJ>b2OFi$%3-6eAxnufe zk#SjMUKVd*LU$Pt7XoWEwkb$ZeVoPeQ@li157|`>b`9Pu`x=*X?qFfyF86ZByz`Fv za8YnB^5MDOT^5CxMG;J#tt4zh@D(b)W`#=5EB#xc((_9H_N45xD8DQ!E{n>`qUy4! zzAS2B;?jI;ubFS%HLm{LU7V1H%N-jpcWgSZbaB?2uc`F@8rO1-`*7Zo2)=9N=N+Tq zyJmeZY~Z35Cfb(qFmddsW;_Y14?eQwi1>ZATy4*?Lt_{0t36)0y>@u-&~8OX%t1YWVzqC@V)=G~1W{fzBq<4-{c^oiP1LcV+o zcJ$xr^LpeSr>F+_sYc$1Zib{r{r>vJQjuj2;T~X&P=pFyuwE}+XzdpgG2WadvNcwE zGoSNPvmq2V?Y(-hLfEvA+AVAZzTdOA#G6Hh@Y?Ncj^gEf8DPewC~-rw{lF99Tc5Un zlmr_w9f`6E8)4qxNqkemxt|GX=MF@X)o#JlvO;zclCPa<$7@^vgvg=^^mHBRY%y6S zPGBSK=Sx(}wZ7#FCbh&uaE06k5SdtYzcvrv=hu$6JupGiX?AOICW4J{(aD zQ}s}A`xf$CN{b-P)&hZnIEPh*^z21W9K?wVtBdXUkmeP0mGh9GtabV)0$V;7=J)k8 zNUK7y=9`}(M=&(}UI6L&$;5$1hT0NWLZ~q{XHP#Mhfu#+`rV#@?WahoGQbEPrg>Hk z)rDZXr^9|!DnrdR2}~H_asTTF&Z9y(AYw{K1Q@YLX$GsHU>r=Z5+b-5yDZ4h#do+E zKNl158j~{}2utai&zV)BBT2%7YC5HSn++)5 zvSEhy=4d*FEV1^5D5bD208fo#n4r|bFT?L4JL*ePYuLI1DduLL>$R;ax~v2{XKrga=V@7-C&ffogpJ$G4m=Y`^#KiuI<_NZ-n?0{E=a8(so4 zB*TNxW<>z+6ZJojHRqawwA@`20L&*e_7FBCCm{|D1=$Q@hEQYRFTZFM(Fn_pC{(19 zJAm=6%ql3n0_(pDO2_7NPWb`Re$XjkZa8YwcC2jC*~gx-OU9@(Ga3Ja0b$YQ8kaAqQAqrKmROb!8EBS z0Guu#(1pp9H~X4~aPeI9!o||Lh=YsebMX@{$j`<6sYrate&3dcbj86bkX{#6^G_6P zf`t&x`DekarXjrnx~1}dW3X#?@-kl2kgmbp*^Fd!alrG>!q-hl{>?}hE;i09-GNt{ z(O=u{c=qC#Y3Kh`gU@XfHn;Pxc@VPsXD_y34qPf9&@IbZTlSiUWRIq!UtVfUI0dzUl(xtwADywZOe3eGYdoOgzGfM+mBIr^MMx--yw!pA9 zr`2Y1c1|~=85wlm|2zpT3djNJe|qObnC71~qn^qC*$)OZkb}y98v-}ri)#O+sXR-A ze$gM+2A&4vx-^(q(qLUlgMCr$zcl4%X>cz3U!=jkE)Cw5H27E25L{IIFOA<>8p4bI z7ioyDOGA7m4at=>r++&0-%kIRCh{x|*+u`0H00N%p}3Of#+5Xb7uEht6MmKkIGZW>oREmiGf{&OX@QTj+PJDrvaUP z?ipz>@?5MA-NovloE`wUq`#1`i||vya3;^r876NntTE$h$DVaLIO&mHl5< zj`Ov9iV5#|=e%cE96TlGg&~3)t_wqSY7%GhPCxOq+!s3w>k0ov@m%a0?Bdy-hL-m_ z$#jf_M1GZl9nF?O)2do)y=1=iiO?gpVdi%Oq2edT39R2kkf|-gVgB<;oqr+ zT|AXDCr8_z&zht!_8ry=e#Y-#>^tlteBWiRld@+L%%FKxCIN!4l-xyrSS$FN%3saD zdzN3}x>SnSD%I=qt6eA6ujbddF2Cm0{B39XA6=J9 z>$?2f*GZkL`E{?$uXi>7*jax4>rxq9m*4O@X>>Ke@pbu4uI3*&%WryJDzoeIn_nj_ zuI9JAF2B{){5Owb=imCeR5sV;x4lk2zM9|ey8QN6^Fw!GZypZUrE+-u^&Cht2|H*Z!+^);-ex3BVn&0!f{9ae{3!mlpzDNbTTfpysJ{S367vc9m-zzdv zfD`tP`1Gvy**);qzbCif*<8<_$NvAGQO_<^z(4i;LIwU)K^H3cp9;B9q5o9ag?jN% zgYLkmHU75oxWS;T`~DrOu-fN z?useAVv4Sq;wz@)|C&V8v-K|hzxihDhG1_jWiVS&4l4BuJgfk5hYY-?hE{?^IRi+_ zK@6HFWmW(7u=>o^fTFz9Mqvj-u&(ax+sIT5g?y_@Q8kx)x6r1yojwVj4DJoof^I?B z-q(Q2Ipg_Yub|3FEJ3gnvSN%R6x?ETLp(!_ZrWI~T(wk!IJ*u6en3uB0~*Bx;lREW zVEAQ>2gB&3P^TtZOunx#c@(Bu1|C9VFlZ&}L55BdbW@S{ErX}Kf|#8Ary4*moo&yj zm~`+yOv6p%71MOZG+#0Aub7rA=EMIOacDiNuz`>+S5$6jbF*c1Zghq_O~KY_y6^%oBkJ3zZlV{7J9 zw%mJL_OJy9sHT3v*r(f{`d0rsl=dZUC%^3kZMh|dON{|&6>Ik(UvPuAygzn~)(>nq(JH)PGjJSZ%z}aY;wU^rb~bpuphR9R&%sh#Z%U7| zufJYu$XLTbUKT|2al|y{XOMbi!(O8=5P9H~!=Ky->WXn?rTPJ6v(KW?m45*dBK_q2 zCIBG6>+Y+>?+4*9b7sge0-s$hHEMQ?Z@iuw0Ht<_h5fAp((K|7AO^X9A$XEA$`5{{ zDR=_Gl{gv;g>wQ^j++k%0b9Op+1^6!!T3M8uSC*Z!RYP?y$(1tLxUhMmDK~}#+lz= zK{B{V3t&})FDUZ9I?f&UtiFMC<7A}4zn$}xWET$COJ{x$of%zKgKuYN!$Y2~aSwu|4b(g4g1TH}a)eEOd;`f z=@+rXpx5X(UbR#L-VgW3ZZvXCva_0P7NMFMMsIStd8P8UkAO(@WN+JkcXcz?B7dCY zU;=iNCYK%oP0D`;nL*Z;qg7aLyZ0nAkAg-L86&fP0U{X2nGkl~=U(dcV<29WcF`sX z5V>Mg1L+BpbE+8`2My&<6yux#Pfx$FgCGWHMLr^(0QE}PDuU(!uX}oEkX2_1QL+6= zkaw1m+L0&KnPs}E*c~D)lZ{G7*0E3?<^)}T4=w)lN;CLgDGH%}P61E6J4XiNYX9GTM{ibCf zSOV!2Fffw30^~L|*a#!xslU0k72G}|>I8~2XA8;P&R7QJQ-$z8uuiK-)A$Ku6SYFn zZ9|>%f_<(h$E`kOACe2!Q>TNS2r@~RLB7%h^_k5zJ1y}H?yax+@hLwN%(DJk1?>8) zcOiA=bk4HHE1<29vAXMHKv2`d62vxAW8d3t74)ns+zK@xz)0gjfItupSg|nIKyUoe zZ!A^-Y)Vc?ycp~Z8I90YV7MfQZInx^Lqt>|w zlmnPa%}R$re&sE-#^x1}e^*NW-2&ic*(U}szgo87MFLLh5&B5U+1`ySTYUXe-Q?QA!FUFiYn z7xVW*v=Lk0=rKIR(+P(Fsa>#%x76hN=Puw_7(}uNR;IFjqQtlLXah znwNT$l9c8MbPJCaZz=-OmuVVqj{nDCvHYMc?`dcY3Y7OY8I|=^*sk2t-JSq$OtP;d z2|F6hd?gZ;0lub~Q~xGvy4Ta5=c9ViS9F(tP-Mh(P9KHfBz=q5>K3vIIYpViNQIaa z{inmu@1|@VT1CxEc&jz{5%ha}c^DmCopZn1JEKT4q$|@S3b0R*y+<;*q3o*n@(Bku zF&I}PFV8E1nfE1f;&kK(if=NrN9+%TqxiG3>Utg!==J{mdF&Fp`l>sk{9cMVSwl}d zccDLjcVwXSibkUn8E)+A!Jea;z|XPRx0zeD-pbNxWUpE^br07W?k-AE_?Ij;8~4Ym zmupvI?TiP7ZKp=;RtrxhE{DBZN1#i$-5#fpE##Slc0cPCs@e~KcNC6G;GR484mBhI zd!_F1rX54R4}qyEo#&o}BqrBlmJ5-EbdY4&S3_FxN4KgM@fgPD^2c@GJlS8@&-{@o zwV!4x&#Cw7NyP&V-Iic~wT%^zcgHe6!}-(T(b4KJ`d5+$gYq#DKZzT0-wlk3G z1ZpF2g>oC3Ga>Crx4$@8JeM}NOsV{Lu?PfX>4X-f`cv_gT`=?UK zyx9HD&L`j*7Qm5M*c1tiY1&YLBXO5|#P2b^Np-c|FJ2c^KQWy|bbr;v44}gVMSFPx z(x|^cIE$*k#%rct({GcA9)y<8!O~%jVdWF0vG1?#6B~0o57|1XS0td8UjA}ObJLK^h@hD3&oRnD9@5RW3fNfKX9Kxmz|}G zMJqj;JzOp^uVOl!{fpajQa0eZNt$j|o!e1*I!+AKayE`^_-q`9=-D{AE!eoj`LMHb ze`C(ZeZxE(S6l-dC-MK&Z-1fwY}`r4*|;IWvvKc&VdJF!zxu@yk8ZnW2Ql00eqB1S=}#qT_B}_JH4wp9dlx0`CK0{BI)oU*P>F!|BIwY%a90pX)2G z6&2|3a+{dNvGBCQCRyT%(UrK|Ot9`oiQPt;Yi=4scGo9Bt%fp^YqnDraVIw8>+taM zJ#CBLsBrN4mT2&>H%PZKwfz3qm|4Q1n}!Oh2NvqdKQKp%xw7d)j}H1co$tmjNm_cW z6JyNl5*+9z$Y+8T(Puu_J++V-{`0+9pS{&EyOrS>-b3pB{`ID} zB-ruu7%>asrQfV130ssJViZtI^erB`DHev>j%iOpxUm(5N~bDuMUHq$B5#JXn;D9J zF3-u`5cs+6N0f07r_v7xQQ8G@4Y~d|$R4N8nicJDimSKV58?8y*}juF&2On590u#* z!#$8jSyyC#bGpcVuYziRQG|`8)Ov@uO32i!D#m)~Pa2oL>D;ve8+2`DwpSIH&aLlC zrVUW&5}?U$%Q}&T1UDU}tOowP5lL_`%lBsb-0x~}a;H(?b|L{EwLQr2YhY+LsyRv$ z#F^i_1fxUi58*t&vavx|+D5#o2)$$#Mw+EY+AnGOY%`=2)AG{$K-+3=$PrP9tM%kY zq6~iUc9vkgpegdCP)To;K94OgaJa!->-mN0dv!5Z=u5Vd_wSQ@EL+pj2Gu1|i@7UR zq15+26v}l!+Eps;{4~?zO-%87N4fh8jY+(R#O*np+k}0U7PBBN8!gc|9Je>Vah`{c zt89OMP&ME>_nRkomiR(-6%fUizf#y8gEuDfl%|(9hBy1W)W{1aj@~|hzoyO+WE_wC zRnVKTAK$&impzOv0>RW#v@i2*;yUxsooLb+ajN*!Z>(HgIFGptesMSmhgu0E_q>r* zaNoR}*3y4+9Hcq_S_Wiz95kx~gv*(s)$cJj|FKCA5O8E)e;Q9Fw)dQ>FOe#!25;q# zuj##luOl0rn_IUts&4pLVwHcf0Ge5zCf#3>BqA+i7E|;bRu;OOCSr)hEAE>J)T)=R zMtmn|j#+p2VBTp{J8G2K&3mxu(anIg-9bKIyYOgapYG9iZ(qVmA{Wi)n_vr^S`fOx z5CQ&BejI2mkgX``2TBTKw)s<1JX8hE0|q3$wo?}_ABYv6oAlUsi3g(QwbIXdet+{2bwP=uSis_YfD9y#`o z`EOdR^>q0Uhd^iV`i5VYTTgCB^bFv~1!uh1+0{y(>U%9gI^I1j1VPOn{x*;_ zDfMAqt!^W@u`Es1Wx9t>_^mveRAdt=RP>(L{vY% zN+@?t*|H-fPQU#xnLo;0p!(Z#T&VT2fmV`Q&DYQ^pCUavlMhr}E*v^i>;eXB5q!h= z9g9`;h(9wv-0!3~`LLq3m**EN@YJB6d(yLKkE#ZZK{ePettW)CH2Cw=R&1_Ydz%VN z67ODyTFrEAe-zt3W>Eeh%{|14eM5Wyp#-S_Ub_r=p6-lqll96AbhnnSoi3jU8mnKQ z+?}a))v!0%*HT$N8n?L(2btf2oW4@OkW@YQ)M7a87R?$*AK_%)EqLA{F+_ev*Nf|NBQs)Y~hz{GvO zz~Pqy*302XyZH+|+14uhpUOT`Qq*&bj2sID&W&{z1&K}(n5C;UzMU$QD*7JBSGMq+ zwBGw=l=@oB$7L0;f#us5Hy_9}(N2-Cv);`#G$i)yI}l?qr(aMK3(b7{@Bq ztIQx@2u_cw`y%+&>5n;baQk}vpEkN6v388Os5v1BYj!m>a>by|kc|X0;-=@Q)gD_d z&72htg{hHT1nqb+OQe<8+W=(J#t~QSX3aEg_5Y*_sO6JjJE28x;~r z(zq+Ap{?IVm5iGtdd^e1xGtJb(n=Cbb zZHtbSIEp9#Q88P@re?}b?6fAf){jjUpUG94}V)2oZP3v=HW3)#UIOuq{P_7Jq5 z>43lHMzeRuU`%ziqNf&WQ-XCGUKR1DOL)$vJrSHXAso1aVeVp1RYA;PrmdMYbQi1J zx~XeWhJ>B?CFY7}qwH+++E;}wUB76IaU^VV7c;SU#RmK7r$41Iu=IRlGNl%$OrIzP zi*MB!nI^xXc*ulSiSw3&+Dl6>wG5fru9uQsrCf@@dJ(jlxla`voHqv*bH5$v-xgXr(mqPtB;PyE7rn61HMOtUU?UYbc z&=!yAS7wtq4J|gd6h}v2s^|As7cguFR>wnH(S(N+vQfI{NCJd9pXk3vl{HZ#@QBv9 zM|CDCE6-&A)Q1WpW+VGK{Sm!T+r^SSIWs)cD7GZ+0*|l9I^5 zOICVmZk&<+)4%3&-%P%Ur3Z7;qG(WgDJ5MlJYKV>VVst1`61}bJ*3CI#HHdoSi40v zPnFeO{RF=TrwaT`SNPS#mbY8t@ zS`F*B2A1C;Q+{F|jvb4(?_10OLaRajQo(P2|4r{23uq&oj~3ko=P6633}vMWT20NR z3w*wnQ{~o1)#_f@OY%s?M6v#p4Qo4C%C+d1+z%8YuWzR^KT$>LRJH}D(7O4_Pj_)n zw_+3cEb#xV^#~$Z-wveL+T?ln=>OKN_o1v_pLoA@kg}a8Ch-k7s~U-gNAyXRhk12a zkH$TKl@mP@uP2b2z_F*U^iP_V5#lswnzF22=2%fL*-rzmNK}2OJ%u=)h5Wimpsrr| z!^w(w{ha%o{j`7F(_5?yRLx1taPRPPh*A7Nk$LnExzdjLJ?Bn3lV$!lDZe|4bS5FA z=@M3^ow9~3cz?GJzTD7?jG5sIO+xf8Ta7D4w&NxEfw=Kjiia%8#iK*vj|7Su)+&L# z_N<4vl(%qSvQ;?Q3OmapN&d6iVJp&5`qs|@eN2s$zeQkbf^z$NauCJiZhN`9P2roKKQt;WbpvF#bo(G3OQLr{D#A@Y zs4rraI2f`rQR}|RJrw9Ac254TEPP3bXqEJ| z6j$4bckNBVU2W^IAvhgNpOK`F6#*uJCmFb*@4JMCB%c|S~sn3dffDb z0q)w;C#770#mILxBvkrt1^VK3biBtnx?5CyT!vQJEyX|j${8}pgU|?Ivpl* z>gk(In4}O(ziNR}>m~1$%*04H*71qnYQ@>yE1&pOG@e~VC^4izv%wsV7CqwpNLpNX zDoqo}en1i_+$T)c=dPHuIzScALvI{QG+IUBeXnUdzQvm@Mt?>S$?ZkW zdr+Pi{6=AZ@yLZ{`Ggwl_AF&>h5?VwYXuLlU2h>s57I|Vg(|ly^^iq@INp*)-#FQM z(f|j|P5xbvX&FO$NG$k|a0Z2{GT9LN00WPV?%m|i0+~3J1)(*%7!}5Qq?Il6v_2om zcS@e{D!uZMAeSq-GqS5;cbhyoJHN}QWS6QwwN3a#?R*(WcV>ldz_WLZB*iV?nSvOo zzQnPx-zN9Zc92Ib-QjIqqsmL(=KaLZF%8T~)xQ%(boe@^L9UfTJ(%8X_ zq&Af4B_$|KO@tGyl%J+br{ZW9#pxvKBeHnZ24Va$sJx)5@S>smzW(<ZSM=y_f#b0JmEWCtBkMtxCIM8(%|U&&`ReY)yJ?)i zj^?7h-^&bsw5uXtMU(LFXSO#t7fxSJ*Fcmt;3=Z&wrWE_|G@R`)dcR#;R*lu#vVn@ zE1z;V40Hq1-6y`G*|gX0d}6pu?KEh;iKn7v_28$|SSuR0btOV5LVLsHPdloDkfj2D zelHrg(bXzZMmq`#AP9vEk%1HXbK8+fP2y2)jw6G)6j^5eu;mc3>y~ogi%K*8B^hlA z5xc#D_o_yo8f+0+uGEYWPU!59^0ebGZW-m06%X1ErD;80(@Q*?$}T?8 za&5WU#h>ceHwtU|{!DaLm(oWo?f2yMQ|wt}OmjsR4%$|y7S}}PkYo^SAfZG?PDoKv zRK_Cvtwhq_UVY%Oc^5sWJ?6kYg3uvM%xYEH%iVtH$`^}_ zOI4yvDB`ip5Yqm@lzar;RoPJ=Us{5ZUCX*&xYY@pxLa_lRE-snhpb_T0HjQyFdF@U z4uJXF`QG>Df=nMz)1?KNCr_bhy1PH8WSR7Yfm#A$}I_yHuP_34(%=4YKkR4 zQ7j#qyogx_V>7 zCe^Sig97E||2A38ioVYLz`VJhgU6nQ$Zbt*YEue})b@_-+y>q90*%lIc-&o3Pb;{?;<)mzG6h z&``|1HaDpR>76Jog`QO;j2^z}@0M;$9~es1pyqzw2z)4w11Imr5aj>nJhjyHqaRZd zVI6J-VhCg(I&>wq%FAS7ECrSHFEGm2zE2>SYix);fz*y9PL%zO-8Ul0H*(H)B3D-v zMr9Z0;bP@`r9DI|;8QmsQJlji(~~F4_OV1kO(vgmH>aU^6)wBp{UlA*mcL`&{y=l5 z?1@s82&OS0+|=)As7k~6MMV|K6^}bC(rpV*1M|g4w?>JM#Z~_GERUnSJ+V5f;pNP% zABc(r^>^-%>E@q)%{?!rm{gY}#cnEojE^dfkHAyg1{I?A6APON8r;Qxm$D|B*mBjtrt{4WcP62cAPc<*AUo9KR{cRfl zJiu_L(3@DVi8Pg^-JbhJ{RHoQy_DE04qVhq#F~eGf5$#r{gzCAOsHgh`o9_A891|9 J|1iQs|9^ycA$0%% literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjtee4i b/bsp2/Designflow/sim/post/work/@_opt/voptjtee4i new file mode 100644 index 0000000000000000000000000000000000000000..9da7f0f399bff707368a10317d18dd2f0ef993ff GIT binary patch literal 40216 zcmc(of1H(9edq5ThKCt05|Usf29qI!h9o327&2j$+NlzQib^9|YKhD+gUmDoOkjv; zqh1?ov7(|)TWn)HZE2HgRBF>Utx?AoTiT`;x7l5`n{8Q_-KM+QmTl8rR1)_4KIi*= z?%~XHxX&fNUgZzIobQj%=kuIj&#%k9E8B0VR4a21e&CWyrA_S7`IX8nu^*~bD(zy$ zRA#Hq#4eQf9I7AzfRii1^qu5)f>O{qqS4~2NU(olaN1EKONPZ{+K_d z|8$~0?*CN1pHJ=Cd=bce{JdJzu~dZMw|2J_&^{{%D95)$`v*gtWhe0tH_w?@k4l96 zdCp(URto-e=W5vkpPzlf`RC6aaQ;Re{b%b1JmtyPkAz+SnmsE2fake{F8BoJCeu5r zeAKh9%xYfFho5nbt?@md;JZ``;M2P5{sf=q&ET`+HD2R8m*BfB;+snFX7ocQV1Jd8PT<5`2q1UuOHW&Ev(+U(3!q{#wps^LT+Q zJm$SyFfy;q8lH`-?c)MR|K{_{#<@MAtIP3sxbq47nduTaoact6Ot#d^s!Ls7EyFM8 zyBX_kmFi@l0LN^Xt81(`>WPaf)Hyq$bD828uIZ#5>Rj&fYL351=b#kOpN3=B@U1_a z>C6&3dlNcknVqL|rRv0Aq;r2lr{R~?IVYi$dB{F!$8Y_~c!&MDT6N+t(s?AI)9}mc z>`3SwaQriMGJ!&!Yg}F}!(XKHL_+6)t1CZ0I}Z$jsbBAxfCPW(kWPbYL5ep#Ih5<2USf9Cv@ z+u!s0bDztrW%!G9E)x8r^Jd-EmES)vP3YXOIPw1J?jM8w^MK2%W%!G94kUCMe%b!K zETQvYLgxbUhx79R)rr4I=V(Hw;g{8Uc|zx*gih`cLY)t)PW(kW4<>XPep#Ih6FR3H z|IGQxeMPAAu*<7u_=|Ktme4un>dMd07bkQ+tT^%fhF@0aOAD-vmIqmAo&(A9pI*%z%JU?F|{!r%=F0Yp1FVb00=rsJY z{rS>_&f^K4iz1y*s!sexIv-BxH2ktUuS)1VkV(eI37v~0ozJRH{6#v~CUhEpS)JD;be>7*d|9OPtm?#Hq;n#n)9}mc>`Lh5 zd3@1xhN~l;?JlpD;V;s8D52Bv%j#T`(AkmDc}=8qp6bM3q;oo<)9}mcyf&ee7f?n0 z*%j$rs53lk&)9}mc>`v%hl+d{((z#f5;xE#COX%#5bS_t&_=|L|Pv|uKvN~Up(Ak^Nxir$b zQgz}l(m9dPY4~MzUYF3hDxve`kc75c|4)h@XPAFA)#}9Lg%tb=LXe@zewllgigaRtMkT$ z&W#D3*GD=xsZRVwIv2@<(W2`m!!N7z=7i2cuk$9Z>tuhe-r)7MtqOQ~?<#J2^*29% zzePKIPo+579C>icd)IM~+XJ2)S9Mg|npf>f=$VN0+z3xwwQXaIdK4$ubD#2qhn~IK z*1T$OLQg%?vt0G;ZBdWn>v>T5!9&j> zZEIfjl?gpls)zG8^XF#O^H_^|6erj7i1LGno`>v=-?!9&k6ZEIfj)`Xtps>jA_h3Z)(>(=&} zGV5J&ay?HeKX~Xlp>55p_9gV3jP$%x^{i@9kK*Kdo>6}A(DSsmHLrSGLeFWRmyJpt z{V^q${p=R8ZXZ%z7H=1S(Y`1!Zhtg9+ZWHO4)D;mDxpJ)xldp@x^=Y@E9-o3qF;18 z-98=e%Ziit%RGS#ac|V)1drpsJ;A>z;(rx*!oRjfe#Oc8N0c8t z_+OvkAC355t^5-$@+(fxKcW2K!GA}Be=_1mbFQ2yQ)`4uPUe?LN(d0$h%Y?0S7!*`*$$hcs9L6i7GAJ4BB zc;6;`d7JlIVVn0yVVn0KVVn01VVmdVVVmdCVVmc^VVmcxVY@48FNxaMM(y_}=GQWx z=dR1x&+T(>%bmZL9dP40^Yg?4SGlCx{w{fJDu3GVdae8|`*QyO0BFm6xl`vsl@rCR zN_*AMr&`AKI^+BuwdVg`)IO_iKYwcZ^%x%ywv^pTIH=ryayuQeacHdcyCZ%?{Dz#*F56n zrS7}msJsUw-UlPz2NaKd@ZO}n-rwN0`)lIlrS7lSDeqLo`$)ulSb50@@6F2V{SDrS zHIF!Xsr%@+DDRPo_wk7LQN<%4ytgW^_cwU$ewjFVsr%*i$~ztLJ`wRARbKMJ`(fqv z{s!+c%_B};>c03+yizD8JibpCR@`!h-@{$kUCgt`12JbS>BTioGKJzZ+?TvU>N4zT)k9_dnrM%wX;9aG8#K}wD zPi|J;frxiq#JfiE$OmuG*In)X4c@hyN1VJRVmVKx?(2p;PTP84mtVhabnV9c+Mu}P zgPXcf+oE`OpT+eV^M5458&o{X@9 zalCsKk9_df$_0iT#}090W%YWy6)Sl42yee@H}Li;9{J#L0>&G7#f497TSt=uT?p)2rE&HUqp6$r|ysl+_{&!|0J};y0M)_~6 zpK!Y8&%Il5mPa@bD$bxDpP%=g*`(r77vhX7&dLbqA;t0YxR&|(-kI%F9O^=x?TWKH z!ugWo`1xDQ{59#9O!0e#n}+y{I%lvc~#5&{Oin)svhb>oO={!Q-t%kisRQ~E%WQPGka2T zs0(py-p2PIlFq!#?EXWV?vHenn~xf=eqH_{dHKF!{$9zG`?#!E9O3=eF7aR9Rvl7* z_2l#C&YeBiQQfWn>%_`^a&=n$lhT&?JkPpu?u|+)Z6@SQ6SDyEYA0Ar= z#`6>6hlje5hkSVG&mx}t#2@kuD$o1H509-AkPpv**dm^v6o1Imr9AhGA0At0#kPpvVu|+&TCH|17 zqC6iKKRmV$jpwJu4-a)A5Bcz{7hA-$U;H7@$>;oh|A_eEv2|)ZKO=s4s0(?>hllIh zBA$TTx{!x_cG=PSH%xcywClb_~D^0kPpw4*dm@s#2@n1mFM%~hbP|WSl7ZcW1st?_jePQeV}^8 zx9`AD+xEHN_Wm|_L!I!Ulm0>{_3S6M&wWYSqO*;CfI2bf?Q^Va@>zFS)&-V%i)CJ6 z8Fwr^So#f%F0Acyzawoq&S7|kuew?+`y77qUg$nI;P5)KY1uEs>$yk8&v>Z6I{jTg zkAGMFw$Dwe|M$etIK}(i?~9-LKwa3+-zpbl5_5X$V>CbpwJt2Pjle(}! z$)`V0iY@BTzZ8EsZUf5mP4UAMudBZjKRnchJmkakwAdmZ=1s`cr99sfKRoe#|99et zhq{o5e0WZaE#moW@rOJW<#|&4Mf33Aiyt29LLTzrIU}}+=Rb&Fc-k00>cqJD*RGcF zVBXMQSdIq^AJ*pGf0VZH4}&K-)pcT-clgPxi+v&UZoR{sIqz6s^iw|X&iHxvpVXhv zJN5sK_>1PPr=mRnP5edk?v(i9p)TYhAD%@Cp8qa! z;b~+1s1tMEykk6=H}n^l7+| zT-&{$;l5~L8{_Q1m&ZOoMZNcTWDiL`_gzmUa5hOCoc08c_cw6ao=vl?LN%veY+92 z`zMS0b|dcJ=gD(hN#H!C@AqOQ{U-F{rR z;}_g~-hW8_nwR^ROCIY8eAdGPUzb03*r#>GL%hGI9o)Z2H@GpWjEBj(_z2ZsO$qlW$+i zgIDT_eZL+fv*#GDKSI$!X>n2Y9 z9N(U?9=IMr7jg1zJ#?y{IDYW3bG<+B5AyKyrVSi>erh`Ad4D%?@?PZI`FbFZf4=wU z^|qca@&0b&9G^VmH+X-)_HT}Vqxbg_CyzLm z_ruJ4;h8ozMYQ) zar`SZ&(`BBqdel|-QxZIijyDjO7HI@Zammq)lZx@xY$1L&-;@+{I_|3zd!EAd3crg z_Yo)0;{EC;Zn)T2d4G;i9{yKrz8$ADT|e=jT>8J8IC(#yZOh}jhjplV`EmcC_xBN} zjyQHe{lpCq`$OKJk287rU+ew-ejjff_v^gBk2rZ2U!#8FhKs%3`}5-_5C7}EzhC<$ z*MEoi_Yo(LICic2i5o8V4c?#YClCM2q#rr|5U2eyou~2j*c*L)K2PmB?@iv{O`Q65 zzTH0>osZwF^~A}u_*>LZ96xy2w|alxALQX*@BK4${IK_T6DRLZ-_F+yar|%d{(gVl zjq%#x{e8sAv-sQ9Puy^^@9_RyA9?tH#QXbIe?DFty}yq*dBm|ls(#{zi+!i}=i@~l z{%du-h|~VKju$t+;q}6g`TG9#(Ku}K{yyT=Tl_Bd6USfIx_5d1m0VwNT}vMEYg7ku z+MiGzHZLUX^jxWVn-uSpiTSnJ^K?J$cxu%_-_FO`?z4w9k2t(re7j%k^Zp*zdg9~} z$Bw9rw$3N!%{eIjU^X{(jY;AO8;T?;}ngaqLd@6E|G!g!kw3jXeBaI)21ye@e&ia`A`r z?H*sB_miZ#_%847CQkis-|nB(`C#KZsrAIkv-lqM6UPr8_FnJL`-43E@A3W_I`(>h zH*xab>)ZKw5y$^N@9$Uqygz@!`}>F+KlVQL6E|G!`@KK!PxA0D(f%Y(`_tN=(jDjZ z=LaOt^RwgPm*);uc^&IMFZ4n0ZzEpxylaWW@65W?`t{eD`SseFKkuqby_^@@mbtun z8Mxprl6HILvg{r=KJ({tAHw;8eDqEw^nOUDA-TKR=ezd%x6&dciHy zdxh#HAH5GH^zM^*k=~#3{x;$l(yRBeSxWDRr9RXPZjs)Xs9y5XdnBRvrxnlVce?N0 zd;VN!{`ox{w_Q4J`xE(f7w^o++44W)^YeA1<5K+@@9!p#zK<#{dZeAfgC!3e>v*vq zA9d7A)BQ1g8tfy-632Z&DtKOO&jG(J`8@YqDOR2<^%9ro!_|+8mHzHif3-{E;C@`| z2i-}@Z20!`K6Q}-Xqx!nm zKcMyYoY9V-eCml)|8r6Ol-B>e*4uMPt0$j&;?(~_R6nithqT_FQ(8Uw)Dx%v(^36N zt^Y->_s=aG$4@@>#Hs(KsJ=p5#_vI~jQ=UIJU=vk@~J0I{b$66VH}N&x+-9 z!gjv-74PpRE;!XG-@XGsZOa2!+BXr$zfq2h=b2)hJuMf?&&lT?{65*4^*aB&jOVEA zql)A5MNe)84t?4EZGJvW)BTaA(@g{YPbJpHuL?lXx>zlBtPA4w%dd&0U({diQrutH z`a#Fv=vVUPID3gxe>kci(E8ud`gmQCPd#z!e>18d)cS|CK3*5(Q%{`whokzs*8i5) z$LoT8>WNeT*{FU>>p!RU@wyTO;8j{4(u@u>HA6BnH7@A~!~_-Wg^09V>K5y!tt zj*E4{^9{E?WC4WhVwLlM|JKDbiFNUNqNiwGtns>fiPJB?FP3$o{%V)RS#OVNeY`Hn zm*eauPW>N5^#fY}hgu)cOY*5FPW_jo`a!LKT*IMzKJ~<@AC!E?nfpCA?mFK7eBYT3IRE!=yuX_m@2{)g`1)X-*VRiL zy?-Q@@m7DeOX7_8A8UO)-sE$<#Hl|T)emU>H?%$;FY>7;PW_)m^@Cdfr&=HPH~G{P zr+!58>E{l$UE1INdbcxM>-^up{^oy1OaE+-&qv2pZ`|J-yslp2=>0RX^tbw}T@t6i z|6J?i{wAN}B~JZcMD+t&|Af}Z^P7C?iBtcVQT?FSe^cw@{wAM#;?$2yKK;#eFl?{( zw_Sg)cmCGjX{<8o|JbSa#*^y04lj<+$D^O~=E1`@7`-Qw<6*`OGKYYh(HU@T&xv_GevN z-mhz(H095Hx4)Ce_=kBzQQoDJP2PP?@-EXn*3X6T&<)=lgeP{!&ooyao){HSf^t1v}rzc~a)` zb~MS8?s9qeH_5Z>sZTe_<2cdx#YCQj-RIr>bC-_n8%^@`Ib`;&CVBe&IQyF>dHUQo zJJlpl-+yKQ*d$LsFOdC9lRW>qr;hBqP4e`;ZdR3v6V6W?U+X{p{884?Bv0S>WtTR| z>k?pjUyxnVByWl4UDYJ-TFvW9v@QVDh-8tNU zE6aW)^P=A6?*r=N+jq-xWp#18;~RAQ;q1Cu^PA=Ondt|;AF5A|Z6Bk4$F|{7?AGDC zcVl<%sO+AlxU(hS@XQ*XS$bIV zS>rX!_lZ)c_gfAA;nA(s%VCwmk((v3?+Y*qXmFLl-rX7O|UX8HYM*OrM2{^Z2S zW^hM_Cgqk^@HY>;@!7s*=N{UVm7RN9IWF!iz}Nf78TeaXApUH{*Za;H_`@#{KT~|Y zU!8$J@&fVaD8An3=J>;7JKQ)7@7%tHe&4xgQu?v{`t49$y)O=Nd%+Re+p#jNZXU_7 zPPQ^{Iu&2g&{_u)DI*p7SMeBU)WRMye7)b#@f+)Dm(#y(%tD0hzh?OzN^tf0QHX2%^o;Xvnfc7`MuMx) zn?hXMpDzlR-+2UApHJnu@w_YQk22RI{5~W2`dll=pE)mjC9nMXaIxa*bFdk>jd{@; zF28?>UVUzsr3KpT}jcFP19aQn|r%*XuFfme%lIu6S!wc*CvXy+ZLuQg|b+ z;a#VA`(iv9c(?w=wsPL#ch?w?!wJ0J%9wnooga@f>*#vLJDR{-(IVarigzl;lL^W3 zZj}pPcidv_xXYYpZd6=-Ufa08t4t2r@p4{Sr`H2!?L1|c?-i&2miyl)A<@>+kIbNQ}?a#uqq7|N%%5%CTPq1IR zelz`MO}|;b*PG*5ATPw(N1M&##&vJy?r^_0e6xmcmhbU~r?(`}_MIc{{;(ppmHy&; zyWv@1k|%XvV8>zBj>9b9=MB$<^0Y}HT<_$C?fChH;hHsEvwUwi_yBp1d@naV$6MryuQLqatl^totvsho^4PvSw0UfTeP*OGHqpv? zV2$!EkS|bR{h%Wp_qc6*TP~9CcI8{uBH!>u^1WX923zDCxkx^~*PD5=za(F<-?`^t zav3P~<l@3DbMHc8!nQM?-7Tu%fHWd$9D zR6c!wUcYzDUk8rMiy6j!Y{xdylhyyN-ZvTVln7=&*lYbFx){gtu^sNbwt4f4ThMvi zipnjVM`!q7neg9|@UKkxZ%z3768_r~{#D-pIyo-J_pNH*toF5$|Lxl5bDwTL$b|*{ z@ekS`cdGw(wRfm}gW9*K731IEX#E+jk9Ex8lRD<*L9OfX@5$@U>Ub|~^VdD6(fUOt z>sKV|muda($z5*VPmb+%`^eDl_qzMH;nB_G%!lEv+RL6mY(@{1qkh^XsmX`IFlx+;IlS?T)MAKmYnC_S^MJ?6>QS@ja97{gOP^*y8$k zY==9(?Gye!UG{zVo_FiGf6r^}v2A(V?b|DMZ}sCR)~)wFdY>v*+phnFXIR^AoTTmc zc^ik}-D9r5D`H*V_T7G*hjzK+Zj9rEpHHK`{{BKN`8_))oc^Anac8&g8oG_~y1BRK z9_*f>UCzH}_igeKqO9KAv(?X+p51P|w{Q0IXiJZOzq)H^()ssp_T#>D`)*fv@2EfD z=6rJD4&K&r#p&7Z-XF<+?{y51_7Io-&OLYC+jFzS=@B63-@MyBPap2d=UqSkTYEhJ ucG=)*Z=Sf>JqHl_d+k1i^(wbX@~8cSukoMjsNbvBc_l8(S^ms9`2PU|hXfV? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptjx8fh3 b/bsp2/Designflow/sim/post/work/@_opt/voptjx8fh3 new file mode 100644 index 0000000000000000000000000000000000000000..f157137f3ea61ba49c416865344a6bff284b0c3d GIT binary patch literal 9480 zcmcgxy^q|+6(32bkHiKdz%EoSkVY^JA9wGh+iOy#5DXV`XTS((F3J7Ka>*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zAkmFGlt|)3vnpA!rlKrj`IXZ9eYog~SmdK9lB;1dPY(5318mp2RQY*&B$f&9yI%Yq_%*lNSPUoesoh z{_2fuUm&g(8kemj%hxK6>zu}A^=$cS!#r`_S)NRqzk1`^ABd}6;@YTw;w{PtGk#j# zenHs|jq`1MY~?&;H@>?9eAi_7CIWnltDEoc0N)zr z(>P;p^tNxN^QpMH`R)nut3 zAJ;L~gXh~G;8R@PeD?+TdNO=70Y1gm%~uZaZOib@1^5(KH{WLieA_d8X9IkStDEn0 z0lu9XzRrC0dQn{6djuk$=e_}+16a3%4p&TQ$N4X5V>|X)TSt8w zr};72=lWIlXZGhg0AfLXTV3BDh?DD@`@H$JIH{-O9C5g!!&Qs(P#{k8Z|l8QoSzTG zIT45xv#);MXe7U%ImoaVpYIKL2x^FSa@ckWBg`@I?`?bYHu6^PUP*Bj>-196rE zak7BY^M1d>72SSXEzUE6IL&{(an1zdoC(CqeLo%NgBmC8)#7YJqWOHVIyY(l>y7h) zK%9pHaTYRhKB95bUMWYiM9Ej(b;CwthCorDjCB~zEd^}GnKm9SDM>c_|PqVm%p z<2j|e;^Kz`@w_BBAI}BQ8PD@ej7Rqy?PIu5B57XV z3B*$noPYmn(|Fbg;?aG9kAVg2UH$lYmMcH~F&CE0h~vRLY!8e(&-Nc{hMP=5ML^K-%wzvt)u6XwIu`z6YMc!~V#$MbJde)=Q-kwE@$&hRf+{u4{&S3jPA ztMb!dnm?QWZ5jScmH+e-`PGl-->&@hNB+yyZvh7nuJ31|v+i$K9NUR|PVIaiJsIVb zTOrf+wo3b>+XDND_(_)ObN2B-zR@ju9rJDL;E4c^{?oW8133Cg)H=nU_0NeyfJR6~NJ70W$56_GW|O6v_IOb`QyGu z+*-)=dzFoY^@n!9zBtcm{X2npm=EG?p1vEvahz$~Gm67wZNWNc{Au3j0=ShK+z%AT z^KyFMxPm&LSCI4bEeS6?UW0tV>8;IILXwX-*7d)<0U!KawchnBy1F0_?>}MhVm$0` z`_?IZzHtuuhS}A>tpnmYKc+Kpye|T+lXlvzz5Y{nu!^;Fh0NTCp7K{U}s(5tbT~&I2q6WD8Cyp{N#6CkAKnMf1XJl zxE;D~-8`+q=b7?cEGB0$@AUh>D$V|X8J+b(oID4MoNl*2@B|JbUf2+gLK1MunHS6$Q;pRU7p=&VEH({)H*`0;fZ+Q(R5nD<5bdAHcR*v@z?KeK^#N<45i z^TRp>U+Fy}H>(~~NB`1$#qRg9pNF2_$Jowzdc^MYGpqR_KAj)i$B0kohrICP^Ap;~ z-UnQ@{QQF0yV%ZnEI*-rjCkN`=I8z5x3pE{X4PZr=wJGP*!@0s3-t6p#&*W@L9zS% z9M}91pU#i%W5lQPLtgmt`3dbi+kiuU{5qXeo(Eu0?>odXF77+GW8Y!ExbJ)j|DwO& zcc=rmBS$;V8wJRX*mpwrVZSK-bB7^$56)Y8^h=I9y_gI-+1h+W+B=A693O_{yo$XT zub>XTSxCMIvz@rmy_Y-xW8B9C?|GkcdWH8f^+!DY{SqX3#a`h(t~j<67rNj2i1g2$ z5WMF->GTTk)6(8SJpFwXlDuNC@XjfY?Zk!dv9?S9-1CC>ye~Sv!ux`>cMwm1zYIxU zu~&FcDUR*Lh3>0%NdMeRg7>^HJH5jDV`=Xop8jrwB(K;jyr&h%cH%{5SrKe9siEx8}5`0G)B#Hamb$Gcno*?mVr{mFet#ow*! zk9hjCbK6qSbKIA?fA`{Fva#IfLKrc(CKGd+P$CuR6mlxVj_8NN{$FFVZXGW zPpY5$Gk*R@{Yae@6RD@BgSP+p9l%#{gTv2F_AiIN_1aL*e~s8Q2jiZ z@l#YkGLOYX=DR8RvEbbEF73zWH+v3eqWGM&b2wD@W9w4e_a=p z^%NxMV+eMhN7NqA$$2sU4YjX>#C=RWtM)z6F@FsJjcg& z-f!Ft`TBfQ@*m1yf=+(pDJ##XU?-2&gYnz}J9*eI%|kqS`XI>z_by+?GXfnvIgXe8 zAY8lV$$j4j>mZ?j%74`OMv24c$n1}P?p*gezK26QaXoBLeShHUW~YzYdO|bX<86@4 ze~a@gpX=M_66`PbLh@(8F`t%S`XNsALc;jjZh5hG(JSp%x7IFtrQOztwToV9xAko8 zqF36_xi~805WUj=(@eYQm3B0{yuDZRyo?6yPfkS9@*;kT^Z8vZG{pH`7pQDii8MzI z_CD8l%JnO3B#!ZjpGrLR!*~SO#N(LD1M`!I|2fTb zUhUS-ejF$3h`)hj2gH`p|ohMRDEO=;Df;EyxUjxs zwsRh)#NI7&HpLdtwAj1YPMo#xRXf{hr(eo_V!ymW{S!y~ezC7P1Ig$50mWGyY$xt6 zv3IK8?M(o|%&-30V_Aa)YU&^xD z*=~L*KPz_6OC0T=Q+uQ4g*e&|iv1S0Q@6ZBWj(v^UGq6$`(%%lDy#a&!=|iucw}82$OZ_pKmi*AbcLc?0&NKr}s#U>hFG_)Ajdx(S7}~ zo#Xz3*ca8`7scMicH*r5X4KAh+Ub|_0kQl16G!`Rirv@WZz;~|fbGORDE4mkdET#xy^HPUm-4G>XS?~Od_?S? zmpIygTkTeV#L@mcV!ws$)UEzF|E#})lf}fI<9#sjb0e4+)ZawLz6I^9Ka<;4H%VUb z`TAQA{jJoW-qS6rzu$%5bp0I`-Pa%6Iqu&R`=a{$eX)13oj9w%uc@8ww9_x;5wZLH z6G!{k#qR6x4-{v0z;@#PQ0(36$Ir*C*t^(nJd}T=cD7TeU&?QY-N!*3?SHIx|Ja(zm$KfcD9>eN}el`Z_i5{?Z?z^^+z1-e=hc0*iPN*58I8aKc36D z|JgaSoT)S0{|;sC=*Rl&QMofC$qPPTf7~bQslUsB<8ynyiS?fw1uZ3)i<94^%(-iN z_^Uie9~FBS+c{1M*Z!E=*-kqf*za+%`#ciI_9w*Nt?fQvPl~;Z?ZmO2^0?aBPF?ca z6n{(X-am0{UjfN;6x*rC%`(nq#HYsjZR)9W#a{^iAnnaKY>)@|$mzNGHr3twxfbUb ze!r0U%ldsv{hop3IpHtq59epv(|(+!)bk$B#;!e5-QR-{ z&$yeH5I63_! zU!eW6^Z(aq<$OyWHu2cXyhf_~&mDRGCO=OktfSC;YyX)1_?&V#`;q@b?RN>Z^nCvf zbn@%I=;uv!KX3Hc4*sXvA9=QY=mqFJC()kHFY#9c|BToV>b;ZqulfT2R|5Ym@M-@) zflmL=sUNnd*M-wl>w>&1;ipZ7F)LZ;(6lZofuu3upzag0a&RN|o@#v{1OdpPsNy5RTf-e_M) z&ok;`H^)JL4K@1NypV@@C-BeZfqpzM@VO)P&=ebf8GcFEk$#T4D1UzkT(x`9tVjGw1JeE&-AaXWQ9$NnC&+WjW+$ZIFt*?$`(&sp3DD6weWIbY&$N_w2VCAk1H zA6@M4U7?aEG06c^KZrzepIWbZv3x7e^00gz3=Oz{Th9jEzvUq=#C@CkY5Ng$)(P_t zX7@f!`QLCzo>eZ+LNbR|@)3`5`RDO<&^u8NeQ-=X!oiRHziNIiJPRV;Q-2dy_Rr#E zUo0f2YT#sD;d5pLTFsxM1#i3K!S@d{xPFb#aH|z}YYp5riW{kcTcf!9Yv9%@?q~*= z%?sy?`8yTBxq`rWI~Dh84IK9y`rCkct(HIY*Q2<>8aUQ7{T-=++pf45Yv6V&t_AZ{ zEk1O|x@b-M6t}eoZdh^CHEONxoKo-aSh`5_-;85lcw=ICK&>m}lGLh&_oCkjC-)|Ww)>}QQSXgaNO^K@XuwZ;&Yx2_~k-8XQ;=~vNC0(%Fci^93|&J zE!jUG=vU3(nD!r6Y5I`r5Y8Xx8+j}r0wHDp)LmowC@By0P7YB{4NU`=lnvkK>Umk& zq}fgK?|v}OS@mbUp*W2Hq~bw8Kt9k&kvuSxo`d764f6CLP(GvZP?HL$$ z;~BWajca^tY2)JG;h~#+TF)AO9Z}{pfSPd;o#aPhX9B<^Qu{ zKYi|3_y>kvzA&u1{M@a6^jtuGH{ZiU19vcvsc7I18&9r|@!X^S^!!lfdgZ)wUK${W zAvwP%bv{aCQ+Na><^Hh&7xzT}w40X*(&m}{YUSfz<<;{@c^V6ae)mrF4m$tS{W9;p z10&S$=pA$OH8i-#@%B!POMR;zK`w=#f6s#Rq34`5zwk@;&vQNd3IFZ|dG)-Q;+>jw^Qm=r zzQ@bwI(hZ{S)QI4booPCotzw!{b%oVuiGb)R#*3Xh9XRjJTL0mulaW`$g>ss=Q=f> ze!u_s$~gCo?{)M02K8Ide!;(AK|VbPV}G7-aa;Z(3~(vykbh@_{*GGw=5MfH_L)+B z`z!wr1pS=M`WYH?bsa%2B`*HG2KswB>ko-#9__i_hxT;dY(1Fd-&3F;J(pMVHMKN9 z{CfxVqv!TA(hNN*->Up3jn^c+_^y_!VYUA~a^eyc;`%)d$VZ<61y zCQo0TJeUp_zx6l2Ce3b=-=n7ga-IH>-bLr1dd9);O_OJ~PM*p-tDHyb;oUyh&lN*(vQbHv18R5Cv9tClyDmN%39J~H`wl+XNzB)5`~ulBk$ z|HF0quhjEf8_!IgeD<8GcRWWlU&rh8Us*SAZal_!s!qQBCE0HWheq7~c2_jgpFX$A zJ;$=LNvi{s-_Y^tJxF<9N%qMRyr6KtOJjT7yw`ePGk>S>3!m5PatgiG3t+k6`bG6 zvFm+MG&RKhAkEHy|Fq=All?cc zeN$)0os@g~C!Kxo)Qy{{cXr5gqrYRyou5a0N1Xg*hur^7A^_qJ^vZks_~?}Dw{KX^ zzn*V&+&zDWM$}KosH-2G!z7M@;ST!2^Tpjy9O&5O{B*#Pv-e6J40P;sd`NFM?eFRk t{!tvfsP|56a`^}SK0D`gf5Wj2Kl%H9f?v2FuWT0StZ2t}grCMk{|}6P6f6J$ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/post/work/@_opt/voptk48zgz b/bsp2/Designflow/sim/post/work/@_opt/voptk48zgz new file mode 100644 index 0000000000000000000000000000000000000000..90805e484a91d706c4c5f7ba51d4edac222aadde GIT binary patch literal 6512 zcmd6rdo+}5-^V8s4NB7xl13p^!bWmv?m`JkGGd(W%4mqqPLoqIMPW8|qsXBQHOY|J zIdmXb355C*;#4bojk93>(wq6*7QZ}7B?3>k7dT75WGE_+a#qGXqT{F=hm z3p2GPX=?RqfpX2_nT(8u7S$6S=Mrg$i^uPWyi-q|M9eOU1J3IP^jyy`$YP(Zza@6u zr0l-Eeve8<@Fktn`GT@#l`T(q`Q;N^G~>P)HhdXQU>(xR*t(usMER(z$U6NbnCCyq zcRrm-8uDjhDW`8BpM4rAA8~>KR?eevtmtu^utYy|Ar9F+}8T+zGYF+v9p91G? zzp}-nPqlVBHfpM5%S{2T)mV-+u$qk}E{+!%Q`QQ;{BTqGsR1ZrG>`m}Nl%^$%9$q7~kYT(FD1QO-r4hgYP2shXEsw$3wD z>z6NQe%EN?pE&FCUV^=c$Cl}71Cz9?vAHp)@3LD7K-)ntw$ zI;RxTg%m`m1|T{+2GNOah>qVybS{FtItAMWrv(PhC1mcNN|Hod#PpY~Dn^{>`f44E z4Y}v;x~C1w1dT4L)0O*txbsTnZx*VRc{+!5B{Z(7*ox_ew|Q81C!JH)K6`QUIe%Da znKz5tFnb64;g)uyyY(CX`E3ICkCT>|hW95#p1Fk>RkBEAVu#Z82T;&*&^ZTmZUvoP zpmXwv&V``!SI`*;IyZyPHqhA%Ix|40{6FfXgU%9-S;5zc`(E71O(wBx?TX@Zup);N zskUy~_UY+aecTeu*e$dMnq&g5U64n`kJa#0dZ{PsT5raL)U^WD9IilV`;Z!c{*!5T(F zYC#FrkD|{`@u3ht2?y{5rwp!B|8A_GSB`iLGsFQSXR9t<)!VWSWgr?=Ct! z8ue>AJ2YD-`Bs?xZrKw5SSQ+PoA0dIWiOZ+A84GLn_mf!1}IXkGjMj}{!*e%0Dek? zpF6?Nkyyk#=Y|+jwMcZM9s2nK{Co#~UI0H8!A}fywt&tjp!5A->E~zAx!%tIex;U* z{mr&s%My=#XgS8pF-E+@7BHL0Fq^C)`!A6F1<2k6 zvZsNkdZ1GubpEB;^xry-T|@3XIjkM2rjcNA?nZs_y|L|CDgirs!_H`LDG6S9hnDL* zK@v;iV^&Nh!Np|xu5LjIN3Z3!@YDu3s$Xp%JEgvy@OfYceoBI$-r(mW@beM)`2qY) z1V8=2&!hj2pKrUvWu-#!uIH{60ecMd#7Sjkt?k*vks9UBl4PBsBhJ*69fNG24k9^z z0N=CybpK$SEE7xMa^}Y83I{%BP?Pfau$l8Ki3zXuc)@oWF6HJHjAi^9@m8fFhLQ(# zbPzhqhmJ0Qjygd{C&14P@N*CNS@BmpItV(=Y(hHk?bOEQLldN@RO|03UU#&t`o7)K zjo{}S@be7#xdHn51p4_DbbbMyaCfM1cmDZo`jPv)#&B7( z+t!Xp;p)D&nh&TpyiJ|>op9rAveB=5n77itO`3aND_l3Ox9FEDYm%k6axzI{vM}qN z@O;~Rs^ri%wnNGY(d}~*|D5nE_m3S(n8sUwL_Tp0F+3uXX!;^bFSUSuv=a7_ChQ{> z*he<7k8Xp;kD##_G)n%neYA3RN5tSGC$g9If!e4;zp&;VN-!lt`_AK6>>hGeJDRbm z4@2lib`~-U4-!~+eC|@#Vsok*gN2C)&8TjdHQD3J7Q_XP4nDU~o$I8ag!Kn(M7)eP z#0cp`qKkbfUA7zrxtpS#soCJ68+cd?9=3zNZqPRb`tm>@73Pr}%%eV-N9Bl)OyR&R zK<;oF;Jk$M=)cYg{5%NZ`fUGOg01AI^F?s>XKVgdFf zcQb`px8uWcmR)Ncq%2yy9ZjdEUm0eX#ApZ8HZQ!!;*0NOwj43ytjuT<=Cy34O1y4j z+a7;QwAtt2L!Az=XCJ_x6~Ug3gFOo~>D8iiwJ8)N zUWIZtNulTm81!ol`gIlZTLk$<1K$bYvj;xNl6w^RIKX!U&fk__`(tlU|816>`B73@ zdDcfdXE$wpZPQ*?@%Vj{MsG&P!u};Z5>uFx$$3$8TIi5HMtu=>mHnkufhb!Zg=

    msaoysOfR4vY|QM0An_s4x0askm^u|!Gf%LU8L<(~F&eTaZ%3<5ybA=V$V zIXD!F;N8_rpIO5jyQBG3%%yO|e%(wZ{Q@fOE3i={x>YA~dOOxr*tc~6XY@JH5WtEi ziYCgMkjBB`L>PnoeEtLBVuOIS9a3gsrk*vCQOET@+CId-X24!cDgFG-UTd9rcxfOi zp!ei>b604nIWEsesvsOuH6v89W?i?>$Za@29m4gV=V@cUM~Z7;+?vH=bQ#V?h2Y@} z<8s8pqa%gNbtdV2fHUZP2chdr08-dr>ek}w78*y3Lga@<eWI^^;l-poYl|MO&y%=DdJ#{t<*kMTzR>#82e~tg)ZsWLFB*;> z9yjk|VbO$g<YQacP&)E`W7J{5p-8q~s($8e{bz=e_p_6x(s6;M|7xmvBDC8c zm*?h{U^r3rWTPtY`qV~=o2|h5y6jp7VnJVSq1;?D0E7nuKK*?v;3pt*PLg;c_;P18 zX?u9TfDr-}byG)N+?>f2uq7_;e=#uvF|naL=r2p3t86&d%QQ(f4Pp{gM8*X#G?vgd z1>d*Z#BxHp8j_>O>_>*O`gGO9AWT?xOcXec`i^-)D?|vz`9X-bZgj>3Jw$l6alu=So3stV zY8^JA?9d;5uka^8rXW<>L83vT+wVll>{|d#bZ#kR#Ovv;=2_`3M~i2Uw9<|i77dCn z8w8OABSeO#AP@}iWy6en1DpvcPcJdG6@j?Tj*of38gSQgxx3XZaN$>)j*tnczpQpnEyRi4&_#$;c}q}~){Hni{({TF{4*n;i|qW`iN+U1CQv?0LIOH|DbRX*Mj=(}e z&1IaL9AX(|{_n!;vB!1U5D)+gj~%M)3h1=BeT=V!sJfWo{ZAUGV45w66ZhI6@_+6{ z1V6dwVDP`)6974Z5YzF2b@FbopL5GSzyUVRtp8Ks(m;U&IAZ@l9J2Y4So@kS`w6cN z|8|*vL)r6@b)Zxmq#6dl6QKbm#16#;O2`zX7t0Q1?5mXn*60mmE#iLxrJbhDP}V1l z5~6yWpz)!N%3eyw_@IUEWRBAF@!WrHO;s;+26Xg zoBGdV{Xjfy)(T&f$1RGp-0r@0dl32W&h#9QuE3q}7P1US{>p@tN;->>FFzEU83vL| zpYcn#zjdLaf@#Ofy-=D%W=cQ6VZveJ>j|$+5Ekl|oebtj!bk1Tj!nz1%$oGk!819R z%A9e|{4A?HGL^lLrEPt>bS6eg67QmF43B4iyfSTTj^K*c~edHfG&u9-!qi0BA{X2^NYnWt*JH#*(CY7l^y_nYleWin&p!RC4m7E8jCyKXve_AW2}86k(tAwciP0um z8!{W_uVWz%nP^|ili4qF*#9(Sj(f$hdvN>3*or=NLGvd0G?gf+LX8NUXiZJc>b1S= zeQt8{Dyp^wOjgVi?fXAnOU~jHq>Amm{mU6Qr-9K zs2Y^OpVsSC5>4orNa(aSO59pcS!9Nq^gqe#qNxmS%;J+ae;PhM*HE)i;oQ>ll$Njj z40TOr2Xdal{wMe2c@l;$ScVL)em~m3pE?cmjMkO6dGUA|#G^yD# znP`|36PqtiC@HdAWa?`BOE#pX&EcH|Y{Gk2)C;EcY=(p)6R9f;r=Es32%Jp z|9W{Zh@Irk($k$8n3erMTuoZ$FQRO>Iqr=8N1!27DoxDRW47iH>vv^*{TL*=t3f9h)Hvv~YGht}y~30yRql6Bn~> z^Ng7^;ufJasx+#p2>6ROr^k~~*woHF3==NDE3hMYy1SpvrITpEie%;W)od|a%xLBJ zLGtycFM?)r)>U=B*j;o*+lcm}^hS@8SSUTCUkti&d-S;lwai=mim_Z|t6sIhuXw@8 z`jtp=8k_XX3&tnH64)chX!L7&)mbz(pVe2g324IpqXYtQ#Q6+r_6^ z#FNXYPrjSDoE^wF&3HH2lRG|idaQBVB)+wf$CpEn% zwF$(DCiLe!mg7M0R_9wQs`nD(_x&=^;;ta9&H>eyvaOJApW18Li+hOo%x!YVKSFFvvc-(lc8e-&c{ht zFj^?!P0fu5gd3kYFT< z)?^<95Cfq+PKkHO+rWLJoubUi7!NHB)6a0GorIG)Z?JwMng}eE_&EQxjEndYznG2X z^wfP`K)B!zzndD7(2Bc@pl*8nZQy`57I^R}5|fq05j;~ja_j?~3kI_bEX)3FeaT&b z{2_7GA7o4d{LLlAJ}FWK_T|TB6gA-qxP~h zmCX)h%>fFN7=^fbr5kA(cof)tZw^LB??z-i@@Zr=C3rijTm60e3F~K4yCVwEQk=D1WMMi^BAt z&SGEGqJBXXxCn;#jLt^S2r_qK!nLq0!skUDI@`e!2coR|OG-+yA9zCj#4vY zL&9qg!za9s{A)z9a*fVZFH9O_x}~}Y)k$d4HOP*RtSzuL(6W8BQ&v}p6l=miO!R@- z5+sZjNp96ioXE$CTT`RE{FwaQR4-(ES&(_9C2|GK*N3|0a|ygofNTtd3EyrE)f)M- zMMj52*K9>kT%3q4GWME_yNi=u)jQ+f zYwzbr1)EYv-N`xx?pMTz#DB=y6h0)r{s%sJ z#@D}2#l7vu%WCg`iUUNDCz+=fUw&{7jMxS!{v8}*8v(^Ru+7)K0~D!wFrlZ8v<@d<@_B=g#BtQbHsh+?KIvHj#<$BUE>^av%?=0 zk+6(?;BpBM3ICA8BR!VOvAjRj^LJ3v0c_Na<%&V;pnT5(vsUA%g$Mh-Jzn;BGUqB6 zK-B~B4knV&zSSVAzF4>TI~1}0%B?VXtC2hT2h78v5gJ`{7(LN&;cx2XxW-9RWf%Jo9DxaO ztK{@~1bSPx#j0Gu6i*y&%bulTz{z7}RnrBc=x9wWJ;MQ(RMdz{)xCVKO_N8LUsJ85 z@oV323D+zN&{K)X69quls6c7gggNDbr`>PiR(nwp zX#Cj43vyqouBD%MWwP0Z5sWXb&t;rZj6joo z2zhqs^T4N4h*+;O)~r-B?bV58`ENyDQ@(!W3GnpUx$ee%p2#|{xcSKTsQ=hJ`{r2h zOMSVI^>GmUfSE~7yYQF5)VW-?P!mF$X8O%MkAp6l2J-4MH*#f9D#*SK^mu%~6Wr7| z)Fo1R{jQAa%_S?R{Vi;Dc8SY*p5Du6P5Aum&i5YQxeoG?;*P))RAb(pXP#a+RR=i> zlEdpG+)HB{oMBP_0U`M9T47Ku+|{q_8oE`~MDLZP0_Ukzb^v6{{9DfzHnN^>hp3JWzENo+m6*37A}`E;l3ESKCQlls|x}sGjfMI z^+Qt-wKS+%s`*AZbIV^}^HqL@7F)$|(h zWO3{7Y;NxE)m@x-hDo# zT`>HvjyBHyLFu?ER=a$u2IuF)xA8YkPzh75JSNtufw=DnDRju^6N#sMYP(=Q>htf) zZ!d}^N^8Hb4oRFQVOCDcxG(Uuv7sJxm1A!6&wfg}t_?w*vQtnEh^0BFJ$F(HC{YWr zy-5eZa}RhGv2}9?N0~1Hj<_khxY{7ru}QGpjrq(CyjCLJh3;`SP7{>PG`dWSYq!B*hm-fdj`y#KzrmxNj_whG%;XQ9ZwN<$lMrk5%y-1bC^cH!LUjNdE>1o4? z^Go%+A(s5IhonP_)p6#8ptrxAdX+PC?3Q|%e28qC%=J4c>!vWjn;FrdcHUG$Zn@Kb zo2K)5S2)%P62~U0$$gGT-wpWXmBCVpWTr`t(dQRk$QWDhUw)99Nd>}EA<%alWl0=? zMX$~kG+`F_WS|;Y^%%$Avk*(Wjw6sNnp|%z@yVV{tPJr_M~gR#+%EStJwXN(7v!l27oh0`bZd!JCWdgB)?x8b~ zB2J3nJAzi=MD%sdEh{aWuo^{yy&+EDODZ&y6Lg|57OG&Dj<4NU*8DomX{CQ2-wD#& z7~;ti?&VmnZ_}_9l9FpF>1Z+Kk!BZuE}{ss>Q~kBsvxj&^+wR1Y9QzfBba7oRF*3# zu%C+QtrjELNLaY5zAqw}mQaHf7#I>TO3|QAQQ(NlYtcz*O;KXUI)`HS=8 ztGj-h^vqhtV;$Zc6wxSP8L8DG&=(hDO2@JBRgd1)La;>DdKFJnP(i^dYw;Q+J=K82 z7>Xm;B9$7iCRf8Ed(45e(TK>`p|JliFlpPiEE?rdF@|S2jFvcz&v0zSC9X?Zj1TQo zJ>62v-tunOmwvX49^Psn>KkIE-KK5$bTuIfF#)4~X(%n<4J`w7R?_*3U1Kg&5JYOr2UH{aV0tAnUk>n4!_*mjldHH98hOwwDt%8>#MP zC!p&QiT2|WLl%)H>W5z$Tyn}+JqA3qSJrFmB&rYap%RWmlppXIEeBIPf zwNs$g#b$_~h6gO5FE9SnC;UECHKyXZyT_~Ed6M&QOeUY9s9w7$HyaDO03wPCrVwfGK? zhIw4I-5-nb>i|;@IeF2j1gp*)GLW5NSrnMSV|YugQZY6k-tM|>NGr1n^mpV%^zpA+A71E`1&>zPbr$$l$Lwt+Sz`Ysyuud z1G#45UTY6exJYbHx#seEis3?dJSn;yj9uRNrHpr#juhm4kq|^tpO|u0sSO5mU+>9B zFe5Q93uw8d&}z7q*56-jl;$A4)zR$yVDghk81Zhfc*$ey?TfhAKWOR$>dv_2QT#>AR#HxZjD0-VJsi+2jMv{0m9?1PKp7Mw*-ekg9|C9N_bK zhrUrs@b6O%&j+y55UGC248%2y-cBqg&$=CQ{JPwHl`UcH6!LESm8I5MAgUK$>3q=3^fcH(f?ecNneYLsV zta>7-+4iL~MG6@_m3sZ*P%3g*I;w_|T@TYQ+I5j8m zF-Xvp?>*fLA7>yzoVgAa*wvY3U3yQ)N(W#Fj%cLucHKLlD?5>@q5RXww|cs} ziM2>tU*U8S=jW#Z*1W)mrj3`idU}RqF)ii=>#s5(gFW8-8HyCgtwK^KwELC(MbyqH z%XzFK6yVJ7x++u@F0071(ymjcr!;nz_70T3`IcM#`eP6W{eDWAt!rDWYyFC{#~p0Q zIi#GbBD#;{@@8JvpaSF1(MH;n2!bhl0e zfzy)t$`Z9!FC56TOX9bBH;xM%b9*Z^t{VeUe@PJDAgU88bR=_&+mLo9jX|lm*c(DD zC1NYo^tlp|SCYn=IK(fmOHGt*Z35n)6fxMCm2BF~80k{VFvGgqX!q_{A8xOx*Cj(1 zoAJMrz9Jtg8=vj{))s$$usfF3vHFYK-fQb|paWF=1H0D~9^DJx$qSwl)=D(RGXX>A zQmUcrEUQs7G0sUSLR2Y>b~q(0DyV%cH@dg0osl82Iy@hm?0?}R>rKD|ocXWyibPrm z>CB6^-#7}j{+KOZ>tE$ng8Qh4P|wb;yYZuZ$L!}z1pEA+x(+eh@7?Uk!4!B>$QD$Q zj|Ool4^=|3p&e+`dgib=Fwf2Hdp(m`Xm?NpmUBp!S>LlO?D6~9+4-ufFFYf<=5>$T zWRH4y4;d?HCZ6%p%aRetdBw|;?3-gY&mVUX9`kF-E76gSd&CI#qLcGdOj^{J}9 zvDxDO8;5fZ?Lgx3N;j|RiW?!yKE269Z)K97xM)3ILz&Gy`?Z2aj7caxnHwPH0G0lx zB@Ht}gYp#R^5*3FVxIU$RArgZh9(q|CZeQJNO&JDe&}$zuvnSJ3nj7>&uZ+cc^x(y z%#~2T&|g1)WF$<~G3K{uW0V%tepUKe9dB|`X5}GE#%DlwLf|kM*X)k}A<~f+lUBk1 zH)4-s51+`h$Jz9Rd1tSloU?Jy|M zh&jtrOe^CM{nN$IVWu+jMMk7)SS^PW66Z{glkxHBASmt%VwtW zhgmG2HF|S@|S+cXwah9TEuc?vUUfoB#oCzrT~ZKkllo?vJi{``%Q|?3?b_J)igK9_kM9D;bN++i07buX^T;@D*2_yM zy|`38oh*IoPtZW3M16?4?U#Dw`J3#>5_UeZW(=L;t2R=AqQAXwX#{&kv(b{2FbCgvArFG~6S9TD`AOBe#;KJT`mI5PMAlQUd_ zWjo8AN!-YdU!)ag-ZiQktx7U_3HqqX@-VN^8E|e`?ZISQm=aS?K&Zvye{xV-PEa3@ z<7VHC>xie#BGK5U4=7)EL})KWazjx#hNZIoLyX-*w|Yi=Pwxq&{@v(kb}RKB z4-6~8s*HdIDoHnkbeqfZ7t~S9b-bNuVKNh1nBTCGZaHBkn*9=g=q}O)%{(W@17}W1}E(&k6CexgPY{S*U zAP(=4lM5(wxaZ+Ew5lyAW(+AGs=~UpNhzSL<%UmTn6KujYMeR=sb*L?R;x}Je>s*i z5yS@AL&K^ak*Pzl)7#EHkJ(=OzZ;KgeUOr3cteSeg9LGy9k#A6F=?LgvA~ZNeah90 zq+QlBO-A&7ZoR!z|F(K>*1 z05c*FGyLQVG*;$d*M$-WA%Y+}Nf}cSrr-!6Srlu4j42NYQKhK3#d1v@&M#8*Rz>=5 zyKP6k%R!~b2s}S4OF;B+yYNIg1504Awq{gQyjMgps0C=r62lR>rB3ur-;#($+3PN2 z&h2KCFt8h*<$yyMcNCko$yy~NvY|ktbpNG$mM5(wwEcP@mFHseK$hfJDi2tx4zr_* zjKIFYrm_5I%|LDz^US`1fI9bx^X8R9)?WEG1&ys|Yc|13aeD)JbbS$33xzEb{)Ldbb1Qb~S!xD3WgW=bk z+=EF?WYZFQxVp;`E$E6Q`Fe(I>(qzOWk8x;xtQKJQl37YvN=9C; z1nme!KqDg)0d@HzGyDx2@2MIR79RmjR+Aa#21#|q+j`i`5W`oi5cp~ZWOaN>(k=6) z#0g=hMiXL1vwycm z4uP__u@g(<5UOh+;DmU11jj@0LqzEt)f)VQRg;o$Bt`~V(N=U(Xvk`*Eot#X95jRD zW7KiD@k2mptwbR}5SkC8BnTL+Dvd%jMUA#1RZ?G#V%DUri-S0^9b7l`uC2@nn0%tk zfe4*O#iwb)+4U{m+$ufEaSj+GEAUL=%h=5|i4fZK&J(0D9{k!MFA+R_3oZAR8dV@w z+0k<0ob9m&nhO&z;@_!BVIuAgd7bXXWD>zb@?qjbd;MJulD`g4dS_AlB1 zfGS0By9i&7d;kC~IvYs9g3``_$ulAZx7A$fxFcwLR9XG(UH{{ZLDt}WOgLSzozqDH3f&{QVN-CiPxb*=mA-KkDN!*~IVOeZVg>4X{XoEfj zQ6)sxA7KfFc^Sn>du%j*_$&2p5nc#zCro$|z@jZMADJD@$>gsdt%n1x$B^POmITRy z&;~vu(?FB`fHEjD&{Lo~>}OZLyqQ`8kS7AaUiF>1eFEGG5i&_MrpvolnXF>O8p|mY zs4@Zb)$_-zVb)zH1(zvtBjXc(Eg`hCqlPI76Iz~;(Zl#CWB4gR4Fy-x-wOff`ATY0 z+&Xne1*Ed2$ptHKGJx>9NYIhPrqqgyvaA|(6ctJd4o%!LSC=FBOtQ2Z zu*wt*!`4KMrD|1NCpL6XRb1GoM9%#u$)ZHnz4#ETnmNC_d9OsyMFt@H+QfFEDt?O- zWU<2dExy_Cig7gcS@C!&AftnUtA$DC*)fiO(OpQs3 zPeG*FqJA)r;$n>|yRY0%NI^&{0CC+fPsK~j`T0-}$B?hH44ssz94 z$xAI`%wo}^kNqWilc*Z=2^SWf$m!^wMOsZaZ;=|}Ta~C9r-OS8P>>R12A9h*q4Oz7 zC5NmjN|7aplqS(d3kGU}a1%lpzR_u7=9IRkhQI?gFg#FGkRoM%7jK)O+I$m@4eC>M ze1pj%<@_M&uk~gx$v&k#YG47f3#x7g*2(~DE6vCd9jz#nmXP1eS~K@2s?*?9Y@OeGJ%hFdozI4Qv$xNz|y8{cWO=uY6KMINrFc7H5zC}*K9BG=3G!0ZW z@ihee$#G8dz+vJKoV1*gG`hd%^^6}W`*!GHZ)ieed4*oU3=ot-U*Te*QM6a0nphB! zMlshF$136sn>i9fHzP*k9GBj=t4yE?F$?&0Dq|)takp1T8pA5D^-WFpiOv-@2?13@ zie)DB$3KDnF9Sx}fmjyqHTsI*|Gtg3u%j62ar((6%wx@fptH~RJBmmI#a^$adZtAM zVSI1VW~?!0XYpdQU+^9MLl|y8L)+=OEm)}RM}3I2EbgZF42LaUg7F81+M-++)}T*# zB+62>=p_oCBw)WKx)Y!C;=`M}mkkvv=d$Fs-4swd5*otE4Ka8)A;wRGpeDChhQWFjOl}uRar8S|VbnwImdqg4vou_`U74 zZMIVv@gwVKxQv9E;aQ!$m%(A3dKf`Vgg%4jnzh^41P%d->9hHq^Kme9?|&a1S6#fRd}=sXtXjpVJveM&QtQE z&ibCeZ*57?g_W}tl=PyDwcSY&Q&h`Qc&L5}gXUGrD}-sXRW%rc=zieB`80(vEbm@_ zROAy~7k`vgkHND-rqFGIk@U`jW0Hx@DoeR>g=9+$`V9g#9o@)ThpJ)`FTnHEsF=S0oPC^ z>&Z{gt`ePoN7;s)YoTOk_HHu4yey6RKEYW<$*2{dFEaAV;it4-9-ED#Wg&2FJpHJn zxIvgp_PW|veb$p~iTQNKZ!EW4`1!NX97pq2X{I_8%#TtwS|~1BJP=BjC}b{KSQsNg z9u_6$zQVJ0kz`CsX*qwC>Je~yj^;Ab$tqon*7uZn&PGH&41n+E?epOgV=+Fgc{DWT zG%G2Qq4M-2V)0K2^lsEc@($S#W>Q;!?hpuSaz7g@DS#JHkS8-!RE*@(*$ zl|m?kRQO=-uwlrX$}!mWt$e%1_&K>b$i{T*QM;+wfh1S*4cjGaWghxu0rc8oxL_7{ zzJ2(Bd{ku8xvHk$Rme(Py4ahKu`YZ{VRQhRr1%e0u zApM-CBFS{Wcsmi?A=2XgO0Q#TK3fK@#OU};_`odSBg}FqL^Go@79e#@OhZry%8+C} zL#$vxt(b9a6^)aLEVosA>J2c`6<=_$`=#kBkKJB+uri&$$Lt+8dxI}u5yUH2LD)Fn zjKoRXaHqTkUhyr~)JzdNbxu;%8g(ci)xw1-q+n~w9YNiNpg+iS zwBN6zcC+fBSDmNc!Pn;7EoeFYC7diW_Rr)43Y^fRc=Y<1;#*PKyY8IwTYM+ zsd4qSdK0pV8}=Zar1a$d%qZwl8GCP_klxE&^Lzk$6$%hxzqj6f8EwD#^LX<6rKe3r zL`!@_eRZ{eId3VjVY|na=DwHYci@NO%{ONz_w*F)6|Ikdmr??|d-%^@TkjnG5zzO( z=zhsu6bDN_Q7*o)uBxEfga2(Ee?FUVZt690{KwTJ5Z$Z4^ECRILvrU&-(QUD!L5pl zCm-#$;3an9!M-=|@x=?J-MwYPBKb!(WX4P%j_;lRE8qFZ=6Th?`zUTLUme=cCs7LO zXbbI&G!Mw2g+Q}t^NWtBL~pteQK8=vYL39asslwk(YtnfU;ppv&OS4rZBgk}1r|7w zx_8czeIJIB`l(9OYBva~yZ*t;JKpRK=v}XVdI@lM3o!Jbyga(S^8T|W^5T83+}oWi ztks#(4ZDY-rZMW25n*dz*S2rfKIL?Y`Uf;7%-clIkCRqZBdo&S8{h64Pozb~{cF|9 z!~FM-Om5;+$7Nm8FioS$Z*GTqx%kK5PztG&kNO503zS8~7JdZ@TLn$sJMv%$amOCIIm+n`g;Ql<4Jz~Ea)qFPo}mbX0^SD zP{L30Lc{&(B8yl*ZCYUUa&QAJc{^XmKgAkLj)luUoy2Yr_Hcl7>?KV&Ixze+gq$v# z92iUP(`ElG{}x`5<$HZVBFO0?-h|EFBM99N0a)@w?_T5e>{evO5{eGODh60gwLKUeTJY(bsw{ zEo!rSeEx*aA}nsE#RjaxWYNd0WZ%mTwE~!x6!yWK_0nncNLMwqS29LjX>9HF_FChz zR4XIuf=LHUw1!C|T}Q#v`dkB!iux1_RcUlprh@wjW+fJV7*aM%idHL%(WQbuZUs@l z4q>$83}ypnW-@xJst$zOknuj9R%ZcpmLA=-I+qV2C{}5nk=)vLZ_FUFX#fi)@j2Gb zkGZ_R=JpNJ4v(Mfn5$Sx3hEj>icfG;V^nnY#2L&W1H_>zDWED@1Ul$imv(TW=c3O~8S5@in^YpXL)7Fv0yt8dk2( zD!r6~W&~S^`(FSfm?di}PWOh%e)aoQbihxeFMi7UF)mpl3=b_}x@tXJ?iNSf1-?cA zm__+7T1g56VhMplWpxRG>a<0RRf!0g1(2XU6HM9VywHws3pu!TGm>A`)?HZ*8%Nd; z4JBCSWUi97VTmyfMsAt?ydh(^!q--_O-=R|dW%6hr{ywXY-G^?@X35rB91#zed8OZ z0kX2rGY$U;3;GxfC0h2{FpK`=*_iT`!sH&oH-7#00JO1jb{3tIQe-=z97FB;T&9X~ zWOA~EvPVy{#M;hi9$W2tw3_jXYmK3;FhA1qapqC_E&;?MStq%uOUkFYxoi7J3dM%lLD?TuSo*%hn{!F?AK{O87M2bo^U}kPSsS6XZDuGZiumtm?Y`D zxkof&6c@fOsIG-jSE71q3zPNzb-**!(H^4K>e}y~ucd1Y z*N!V@%?GBnTo&}c`gezwsUyz{w7Mo-^@sHE(!1(pAd;q%9%idQ33OREDPgx-Iorz` zCMgNnIve5}#kDu)2$*+GIA%F35QNHTN`xDN9g8^~CChmorc{^A5x++${Siy4YSOgf(8dx&nU zx-OZ1C;{OzXHA_{vsi;;w>mW?nXVa_P(v40Tek;?rP8xJy#y_hE>tVpF}KR7tRJIa z0&(m%vUaShIS=E4>~FLQswW2Gd3q6BM`)^9DcT{HIRA<5#I>G^K|(RlXW0|m%!c>i zYkCU{XyTYwsy9)E&N`)R@ZG-kysQRb*wC!OxXhT`GU21CI^v{Dvs#nHgGj$vFPEM6Qv;{tyaWLHXz7@U1O6xOW`k<+iJVQMuXiEM2)8c=(<#x+u_=jF=Ux zI{C+8ZJ`J;2-9-*@7eDFyza$9*}#;L7s&3h4n?0p;Rnq8Kq#gTmw{mNa>R>6>UJ%JY=>Piw`-!chtN;h5M-XYQ;s3$XtEeWbgX70?SN=76VmHF*q<~DxAf2)+A;+ zLNRU>F0&DeMF67Xs%lT|0rnu2)K7fEJ`P1~&|}sVNo${c#wf8ig9_HI!2%h$bwh%% zi(Z(k2fE_N2Ad`ABl6q7{qJOlB;2cV;d4c4lee z$0F_>?jqhDZkxeSQu{A4GT-d=U{QnS^~zVmuzC;Fm^~r|E6v(ge)v`tb5+ClFxM3N zvDIF4lBzUm2}nlyC~n;-cR-Zr^-4hM67v{cMU^=Q4AiLxL05%j2&?s$jRH&7dl|^I zQgvA&L9s(&A$wM7B~{$GWC$sc2El|9R!D~5C(Gdb3vA=y@{t4S{Ts8yz4{SLnbf9a z$dz6KJv$@=;G2-4<^vbs1ic&J$BgFgaq}M~Ri9);^u`&!OG8pes2fG6IbtkZarNLD zn2%K52gGzBCekad>y}FG_XYPcE6$aV2J?Sw3uthdQHfq)9xL^sOsnTAKE`QLgMf7* z3#bFnnuJUTFAuUQ^hEZ0`HEi)%-UBVY?aF)k|M$^{8=;57;nw+O6IZsMss)ON?OKh z7^{dZ2fv6mT%_Q_3>O6s{wQMyP(urmo@iTYiAW?XEksh<6NZdwI3&YN>#Hd%7ARta>nTBRh&tfuOPMNdRe#QRw}Bzqeb>dxq~ z&+j&NrHc9O=CalLg;+U+MK8d|FEi>%xG8yBj_q#IJX2{Yh8dY)wAghASpDR4(wSrxzIS>vyVo2n>G-Qb~VDM{vRD4^DG z$gt0nE1ZzB`=xAjIYh%VVg=N|%i7R}W*0R9ti+O0+vwc@HQe-FlJfR)idOM=RzXrS zxojdRR`gT97|ZEv536Q6vnj??j=3l%$gKQbY{1&vF&yL8j8?Hw6Jm&wSs>;kTqv(s zwQ#Jiw{&3}^O)5I{E32k(vn75P3r~}Sjyh^Q15Cp+kdRA8$~1=)JkP{Fi8!*O$^dX zrBO?PATp2n`fH7-_8)ghagUg*5?It*ABG{8&VL6ghKMx_Y=|-Sn*wm~=c64L!d9b4 zT*X+AQpX46CwJSDafQQX05z+e{EJ#-gF|JYbmF8!Q@3bnFq0;JIjBV&pSjI$u0owD zQcw&;sD;lOURZanMV1F`&dIHN`3sLhbH9?x-iFYWI7-ge@zx%*O)E zt43*xrHk3tk~_A3*3~bRx?*t9rmw~l^`MqElL}52qWXR=qu{8?j;rI3`Au7`Z1MN6 z-5jxa?kexX0+b7vFHL?vBO>z#XqT8$?&%V{=>@c-q_Lx35_uDAslmf}xtMV;aJ`x7s$&BwEm*ISF|m!~rcl218G*6_7c+JiDd$y=c-2z9Th~nopn-llS&Oz|E&-;?=C`T>GOSgzJMCk0cy1_%J zuB%IKg049CKF3mU+z4HAxs3GZZw*hXpF&B={$rBsVnj|jW&fj~n}z_d@}KkH1qN*4 z=L0`3zE=A^6aMzbl;2{Sy^guUBk1rQcr-#@#O*beY@u4S_~KE)T$WutlQh*UYEqj;)cgxdQ>Ra34~#{fSl1UjB~SRHw?^^-%r~S6jyZ>=z;qjJUTD?t^{n5)U}ujT1w|`0t`-t&E6-J3c*QAmQ9{+(#;mH4 zJCWNcl>Y&6IA#1l0vvyF{ujW(HU2*Vj=ui`z~LeK{{V0_MJKIc1U+Qg7wCK`3{id; z@bY&Em_eKTT+f=mB>4CNt?6MpsO)BeEcunipS1bLU4HgsIe%`GsIyOzwwylG(ZalK zlsSMdJg>KaBE^qKKpLL1UJ!Dh9%C~3Brkrmi@xD;bbh-(7A5(4uZQkL!~Kg;Gf<|d z%XOw-!aYNPy<{pRwD+R{Cj|hN9FS$Qxf>2 zdWouYo?%PcTZeizF=Y1}JZ8)$HA zmC13Rth5#Q_;;`Msnl_JXh?;Sa&E;A++XV#Q!vJV~d~bCEn*oH9VK#WhFZmUYBIu zoOmLCJ2idNc7J?+D`7~CO=J`u6!gBA~5})A3#yqW?*DBb4M*-yJs^|M$5a+E<#wd}v703qFGk3LL)5T?XsRqcmU`N7o%YD~ zQ_ST@_&#}CKG74^@lR2r$UF?9UmBi6D5K-8Whfd)0WIuL&o)%@nN5X4kT(MUuSczU zelx6fR)li}eLvT*?nmQ+vQIFU-7a4DHrn8B(`f9QzWuB5(PT+cEvj-KpM7k9PL>%Z z8l*K5i{EO+_L2K;2VqwWj76F^x;-Yr`6Igd(aV(DOj9@_SBu2v$QS>rte8CHPH~#j z9Mh?Q+^XRf&upynI6Y3R*4=fh%g z$SGIk-1k^NMfJs-ve@HMIjw@B=mx2{9~fe~*KS`Z9XpqODYYnC>m!c8`CgQtpL|W* zmiUvzF3OPUSb06~Xn2U-1ib*w*S$^HukaeN2`LdAE((r6^GSaDnV0^9_7V5!kdBdL z>$X}OQM7XU+c}8RUrqn-E!%26>2EDmt#!G_MRiHi-(A{#YTRi;QA?URO@|djQ3j)- z@@K@6O{>ch(opFRiUe)+cR?hxvC^w!lr#PPt&HluqQ@G+a7ZWQ;00`gB@s&Ld9u z;;slMg!K9SEZPNss0Vw({JzrKAG@I@Xt9@OQn6QZQZXST7yxdSQzy-)TiA$CzWX>! z4iP>xPn>rW10SyuJC|cMV<0gt*NMc-DtP)D)AQB5n=E1-2XKEcvim}rf~S{ij7!? zYA+AVOW`w7CeQYRNqu+b%m?4)F;_XkYkNj_?=L8>fn4<1nx#6gy~#i&!O4bzM!nqZ zJtmg9k|p$JBqBK+EQJy=@1#JR>O&5~yu5%>uE9A`U-lAxdH9>zNYn@{ALh}Y*HBvDs%3B!-{ z_yR;(pSI>H&KcKfJ8P}3Fb{WDE>0b{mN$}EO_V#~XTL+$NAbaT5-j9Z2cV*3BQZhN zkSfB0k{Q@mD%D%~&_`Pl)!T?zv6bk2y=g}8_u4zoO+*YwP z)Ds!9%o?-*xg$Adn>Z1*w3QjV^14_Ki+3E4A-s94+MPp_vQA}twsZ?N1>#9d2TF!6UP(J^JnkCwWpJ)t5wAI-!OmS&Ybe)ps}{=MW_8ECEYpsrZJZD@Fv@XHaftI5cX&D z2VK9(eYDOu@giz2WI|w}V_z}?FIm#>S~9Nplb@zk^an7OhGOI5^00;}9R{4)mf_ot zyJOrgCNq&NCMog{7%~l+WZQkcEdN;6d&iX2;XJvqVdpSZ`c#gDwA+Z&7_LZbvLq{^ zC;x+w>BHLjs`n(y{|T|LtG&#+3)Cign_^xwDunViHq3!ws(0ldo!{a73h*F&shu*0 zsAxKaJYeUX`Nh`WTlvUYwb1%Q3#rib zcnZ8XAH1|I5Kn^9HE$LC%PrjBDP2S%k00Q2pS)(4^&$V&+yN;LOYxkzI8y&uPCdbO z0h3QAwRjM1(`noyZFR9Dcn1-nKecOdu`4S5dp+}CizORLIlI2p!9TX8e0<~DOMD>1 zR$&mlpl(1k^*I`$AQc5HXm_LwBRma1a{d5Fu+-vqf15#MbuNQ7^exed8Tvy@#NzB^ zb?5}Ql_jXSEJ1LBQ(#aY@cY@er!OSRogW}Op_AsK(c%1OUBq8|qxF%s)A_dK>5f~N zXBlOpuQNqy0fgX{lVwn}m5c7B;+> zUkMTib)(9W2@SnPS^1mj>N4c7N*Zjle=~?i{N|r4)r}K2PD+)Me0Hf2s#mPSHJ{ax zU}BAkILO4rE4v;`B=29E2Jwcr9_m^b7uAmZ?7 zBU)Y3+k!|99l?wDWo?JL9pa>~DV39CqqEgUmfpcS-YfK6INZH}j4o-I6$6{d4})hr zojev^N%w=zJvJcZ^R7fq4d+9X@*(sm8wUz(rp>dyMMb@`I4V(AR{UZe~9-(%+ai^$xmGwn;L!(ElB?e z6D;6Vr&y)%min{!G`;XyX9_KDLFw`=zDtWKW`NxkH^7b~ zayp7gcpdYsvf>YJ!Or}A88!z|t(hms{5fh=Aw@P?^TgB`ToJ_Kioj!s-b}zz*svz| zK1H#T_0F|%Fi}ENp8Flr?kux`zhO0%Sp*&iPipbo;gXH&n|fZ{+w6-7CD8D(@+dlF z{+Pfwm}SXL>OsAL|I;C@jZlGg3P!Va21aw5=HUQ3#d*kGE8?kofp0RNUFih9GU0T_ zUP$VCFT#&`Jl-*LfHWj84uG^VJ<3gG($0?BQGmFx;}RUx;@?>9 z#_TBK^Qq}fmPX&%YB-YOPy?1wm5ubjpWe;&v zX(cVyI$Uvm6ggnF_xo4%8yjhjZVzi`bX`w|a;PldZeE^pWsB%=U9X+^h1Dq9#g&Vl zebAJssHXmdf`QJ7Z3aR=7n}a>Djq^|trM8>n{Uze@^(?*!fg>zpucb6^+(@C@7YJU z>z9*W@$U9pD*Q9IQ&CfeQ^-Jn_`NnrtP0w>-E3YEBB4%}rtL332OjkY_p(C!_@* z$1ubf8wHX?5q<>9DZ3X75eupBbP2g>VzWK^G=a?A7jj+my^V>otTp*H@$Pz>&^CAm zyYL2e^!+IRnj7=|z-ylt63DTatobzJ;UqWHo?W~+YuHWhVa%WLH?iclz|T_=ApESo z!{q-wz@%?%Bp~|lcK>!_EoL*~DsQ6mUvq=*L{oBQB}Yw2myqNy%TL&X3n9HEoH|Xt zB@x#)#vm*)N$5VUNoz+=D?QsqbGI!|rGc0{e{QQ2;ndrKRZJo;PtWHxgrbCM;efZj2c;W)f`?5D~9T%y(D!PJF*d1#HB8DK=81yP=0Wp$trkI_HT@v1Lbo-N$QHG|7OAD|WIt@u$hZK0`w zwunYKOtc>Kz20U5vmK!U(M)(2rA_GRrUwKal7t6p$KE7+gaqw3%) zhiCr!i-ddIX{pqh)jka`?uqSy${7g$=cV!z?F;z*mKQ`qGq#k78oyV`Y~uM~H@Z|v zYdt#NcDZ*l-hOx!Shp$h>%=Lq;ih5_`$E~HQ*Yx;9jzz9NenXd<9TuB!K#CU=EX-C z$)Bd#Xlo5b!^gx(h(M9NHlMqT>1aNBx0@MaBH zSLDJ?m`BwQWFU%Y@VHscY@%4($v3ftRnfd>Pm+N{OMvw-9*?RW49295xcenBT%)C6mdR z9j$?-og-kXUUIS$3whp9g8R)-8dik6?627wv8}4kjK(fuikw}5N6W(^nTc`_YHvuvE zufRUq%$b#}?fRc`9g-8IJ38{{xMA=JcL zJk=>XWF@(S6Xa-L<6VviVT9(K_?Y&;D5n9ECJRmnMA3->DQ@~x672y({}5Nu%10P% zIkHp@*)>7%|Bk1C5{a_JK|t*4`5bV4H+Nzp-ovJ-xvRanpR??@?8m>LFR8e7K7CT5 z%*sEgw|*Yfp5xqSC@UmKDwdPuJZQKo7__m5KOz8O-$`cwiYe#jZuycoFPgI)x>{QGr{?qlTz1F2Pzrpb;_8hJHFdWeiQ#*hsIYC4Or;y_FK+*}wpD4-^ zjinMg?U*NAjeDCk+pg828lfJD6HOyx*=ILR(CE22JlS}I-5L}NgLw$`G5JUij{Mpu zl_)hmM?P0-1uksq3WkBI%#g-S)OlAfx7wbKmH5t*VWamn_scWHR8r^Is;(wFsiQQzxFz&cgU(D5!&_ z@K8O|C&RbEMsbtu37 zrZkAl@vk1&!Y|Jxwk2hOU32WanRl{)AAt>GxM#HsESJZnKrSN zP&RMdkwt%*h*_zFt6ivNn2I-WyhK)f1KpluL*qa`CWKPUrhFz2V`RLE+@ZZ+uzZGh zMRm^d<3yq3Ov=4*nsN$*vC)=az`c_Qpfe{tMN8emRt3OO)X$N&HY(*xD+SgJpZ!1p zm68MLYxYzM(TGSrl^YPyn{pC)sBQd%306auh7@(DtHez`{bN@3X zyAClXwm`)wka^jIB4a=}o#hW-P*`Y+mnUfp@PKE*YOLZ*7Uj>dQuDb_p^L_kPj}W% z89u=G9kRDybKYLRopPhBHKvqceU8iOjeG_6U#ZSr%fD^|usr5Ek%NL*7*plA{&xQ( z7V68XbJ1e8B*SFtx|0ptzxI}>r)-Vd;Pk2=^D#ONtBn$a9yK1kHg;o;96@wv?zt^@ zAklitN0!;!5d2`>t+8?u%qI7QKOuu+XhlX?+o4Gz^qvE~%Zf=HzbxolK41JZ_GNha z$GkyUEqS{%xnx>-d(5OJJ;sy)ID6J>+Z)#6F z!dr&v0{_)>njCqi*z*f2WMcZ{Yr@QUzSlMavS;M-Y4_K=LQyVQ;U~E*HDVMKiz>l_ zISbJH{JD9|(LAzhe}NDkIg6A&4>}zC&sFPLUxo6fWeFM9xVEofF{KL%a0EI%;KMJt zxy_$)&6IwaVL-)ZacokKnU+VJcHcbWWhh?Cu?n*_tjTEWB7uS5D_zUcvYMZ%8yW|8 z^5~(blt*ApI*QD;@Rt3F5%e~Zn0d$^}O*P6=;$Kc^Y zYgVGWj??qzHGUyLvZZEV-8>rH7qhmy{}*4OI8*(_L9Kl!2{dDs+g1)g zCfJSUf6f|t;8kFE^f>_UHoaLnY!MZ!W>ev5-fL&j_EO$l<3j{GafK#6!WRv<6GzSy zymmINKh<8#P8e0fg8TQ@$(q}LNCpJw}S>yr&rP`C|tPjZqZKKzN zohdfU(DJAVWXD8=+7e%bxkEHq?T&2QkM}So8GZ#6eu|^W%{h{_aV*H4m7-*na- zOBaDbk)Cs7i+#R(-=2MArh$;gRv_Ed(ni*}tMTT{b@RRPRqO%yoM43cgF17)?m7Ml zbAQvxrht}cuQCdMiCC}hH|OWG(qx<%-9X0z?1`_|;hFDaP@X1rIiF`WVo(%mxgLYA z7`L)8OU3Vl+JE8LDNha^1RJSx5}!_>P<=NQA!2137)O@%m-qc?>he8h_$E4MOM{_c zHGtCM;6A!!{)LYgkGAB#!AH!e@MQpk3ew#XHg_O+2&~WYEo1nBL ze7s%qypPiByOO`Mzh(mYW*CTi&_N>Zh|Cwt5_%$LSe+?iXwgXUq|zhym2WKjr$Hx} zF=vkpLu`)Ga}96z5ch{ELrNK`d3~Oy4?{x+gaOCS0=ZjwHg?ZuR%P!J8ewjoqOLxQSba_9W=!x;aHT9KIaWzetxCD0y zHoySE-QC?Cf=kc=g1ftWfZ!eoPH+qE?(XjHJ9)ped-m7#JY7}Y_uQW9r+eyDxoHi( zwLNUw`ZJZ-Wo;M=cn>>DSaYdr)bZ?7UK_>mTl(}8d8i|WL`L!lmr9cKA^jR4$)LFp zSAbXgR!D7GwXwa6EvDQpxl*tZenCBk={5Akn9M+WsxJJ)mMqui=cW8 ze9)6hvjtVm;H~XA?cPOx&Bvz!6}{F+n>73CXt$>m#Zop>X9g>J-r`Z*AFn73eyPk+ zsMlJE`&4o9b%^QO+cMp|gOQkn~xG6o_758KpI2A8qcn zW;P=q+MdgNFV_v(R2(FA@j~`OqF~RjaJI(q7knoITOX`5!$=9#=OE-Y1R8ny6geC$ zqX9z>PT(W!?$Kp29`Su;l@v0!C=4%st>Ag(LaAXS8j(pQ6)wW9vO4yj&5hkR;%#l; z7vbhWETLcu$sUS!!q&DyxyJ|j+6V$F(gg%plXj^w6+pT3$f>IneDzH87N7zRt7hT0 z%XN@oQClcnOAjrW*3Q+Z%U>i`^#K*r={hF)_}Z}&^ZyKum8(FurAuDyJ%b-%sc^s? zOM8SWKUHpTAFXX|3FgxHOZ!ai78yyNn<^{+)JuhozPXXyh3e=2G3=Xsx1q+LLqi@@ zt-E^TyR&VtZMWXHmDJoCc%I)%+(f&bzR{MMu5QwmT*i^*xR8koE?W4VTO38G3b9 zr<&7HKET$1pdtcUW2vZw>UAaIFD@32Rm!}I(y{H zowlfYT_nh`{-aAs1ySm6g@gEx;@;=6{b_41<368}M%GY!j}z^Nw^%tF`b;^(*WiLi z)~Nm~kNSPq6t7rpL#sc|({z4+TVjh>F=1pus=UDfXn_Me#ZqvgS&?73+o-+5>+whn0t(sr|YQ6l=s`&xX9-fzQ|p> zuqrxJey?A;vr(3b_J6QGb40Bb^_SABo`RlQZ1YLdna-69_fBfl3uD)cr8^i2%cy}$ zjMzhp3BeFWS;7gbnKIZq=ghc~w$J*uGZ!W` z_3)}?=Gqg?FHDK?WiBR$9MQRu^@*f)L`d0`!?oBIfN>q|s=F)G0uxd*SF^>6eAyxu znjPLu$*;~eY#-VZ0+US#m$%KQ_FLQ6Cyf@4WjRtVd!hFCy`2^DDJrdkmse28;kox| zTj;h+xy9u(r{dpTmyX@)gq!+whMn8JdeLKX48fg8DIIPJ%x zA?Iab);^l_5cdK^;{sPlAK3`@qDM}FcrbG~jiPWd6q%05!lLs0%Ig@Nl*&elO|(;J z{sVBgVIn%fZDqB8C&tr3RDFY`+!@c!7x6HMs$nVZ9UUBamn7ZxwSx$Fo>5U@vz+u* zt;gA#hPJA6EgAGBZ}d2t1ZL>)kHkbFy(+J5<^-RRQti`ED>-V1q>fmoBb(D;gz~Zd zXr7I99Q}a>@>52S8K=Tt{&q1J)MiJInd#qLl$GYgnJrWaM;XY)PI94tQXVoyLx-!F zc+q#~?wC+uBiE{9H%f0*8e2mh{~zj67HG_sT_M?LWpKGecx*BCbbqG zas)i?W@g?L>Q!ymz26^Ks&ejMT!{tnO+(h`wn*Gr`jUMWH|gX=pi^iGT7AL0EbmIO zYzK06GTVWHfaywA@=dWPKloEU?e&i5+FPP;I8LAcf|@b=K^_yYoPcijM5!$k=Sb4O!Wn6 zVpqCYRj8*}U=#9&)vU!I49^0Q?)%Me( zjY?mpBbB^-MxAZau;==}wPe|>xUqZ0A~s&}(2j#DJ<3i?3Hb7hZFQ|@^0x{kidIAL zCgSm4WHnmHzp;ek?bMGS49-3gWrB7W#d95}#t(+QV!nx+6Ff4bqIw)LexV5qZc8z- zPj!#GfYljz5pQ=L%biS?%Y#l&*q@!iX?%Np+{796c# z)Q7m5U1f+1vacPf8R8fy#^GW7vsd!&s)oP*d;C%b+5Ax&tL?M!WzF9Ur~5nIxXkI; zgt(19n1*gXUoFwa=C>Ej9iVU>9tqk5T7-TO0 zEr-~FT@#b`<(kMNG(JjoXD|QfPi%=)8~>1R&Q5cgA#Mcm_Ur+A!6=?SAQi2J&QAAq zlHh@l1jB5{j*r)^c5ju}$J_3UI4fBz8F69{js_}C97avb^2*Pbvh6x)7bn*~`W;nr z!)wVGX(sim>0K#|AMLMg({-t1$7jg!Pzo-L(vHmltrh^{Rc`fDIs1;T+-J4Veqx_u zUut}3vQ8~dJIr3e_cd*3GZgXXVp*X2SEh)Kqs%JG52qKW6+X~23Xlwx`+BuHH}OCM z1jhO`T{|6IPmN!F_wmSan9cONF}T{EQB!{kxSbA5>3p8JFw}f6-gLdpd3%z1FU#@u zeZ1{!e^Yut?28&W+UY#>Jvr*(oL^Z<4=ih*E9;cW34Xrs6l!}Y8Jnn@j=1l5dn?Dw zl$yw{pSZsiG7$8B27K_Lk#siIrCqzBz5n!`@$;!^{@7I-kooeV@7q0rmLudlPyYM5 z9b1T!&*SznEKQ0=jwHWgGOq$v?QCSjEb2q#{O9A#-oio~!IPZr+w8r5-1}k=v`{Ta zPwT<&Cq&0rDYH!U)`dPGl->KUyRyo`Gj`DMPptgooQgC5`-(l|eyv)#O%FHvG&#cwzV ze7~sNj(91Nu6n)aHgWrTJYCD2TwG5Ge#j}Rvs*=evHq5RaYb z0)E*h6|YH%kvZ2B$=p{P+iqGWXj?fX@3(I~2L#4)fLE?7Lun;j-kq~XLi&4jp@gXh zz!VG+6B)Ce5g6((9w1^eAJU5%Z^z3dYie9&L+nHWKTv z7!(C8uY`$!_(rHCZuGDA*;QbE7 zw9#RxlkO*PVI(oSOVS?kVhj#D+lJH>h-esn6m@s5qNrb%shCs5{VV2n1yTO9SJ%ak zj9(oOt-1va8{+*Ha|Od0y}BXq$?mHSWk=_40U2*&QW6dj1xJD^rOg}O>Il6Rx#-ZN zulofi0NgLV5H}r9rRd?!l{wKFcVki^!lOxCLPq0Uq&QGD!t1a81Yi}IUU{~g+pC_E z#!KQ7xfGoJZ*rpTJF{hs9hOI!T22>dWL_l$E$23^3tGkWi`2jtwx~qW-s) z!20Nja`SjxsNOpWNV)VT-AG3=VQ)0_>Yowid%1W}z*{h!(WGm-C+goz0x-%duAJ9u zMg|z%LWtO~|8CI8#*A9D-r&w{*!=-q(a4Bov84>mwZTu&d9eA{|Y~s zg5vpW9Tq?m(9FNN!CMnyklyDFnrh)%hYY}Wdx!Qz|DT-v%z}^!pE)lbj9FyIJ4P$b zj1D9qNfB?uS9AOR_A?WLIWMI+Vsxhwr+o1Yq(4x9hWY94Yz&bL>8C+Hbl%@&5)T>E z5G&)OCWz7^!|atjS60WQ8A5!~DJ?`(=~Cu1x-49X`rFnzzDY%mA^vs`WA{Q;HECmA zEna#EizBTAw;w(|?d^azw>$9lMM$N^hkNY>RZbp$Y_;20CSCWL@o2}WY*Rlt00yJuNUbQinLxR0VF-n@VE!?Y! zzbj!8ja`g>D;-6jh;AcFS7+o*6D))P(c_I8gmhF+_OuZa!RhekAj~CxXPOd77Xkp? z)&aG(^Ak1bz(jwTazxrJM}28*NJLFSb>5wpcuOeE3dt{YHg5w+A|?pZ)xd{;_JXes zD1W@rF1Q#{9(4phRejh0&`^CZhjB96YeB6jB+^FQ?;ds$(3bJ2#vBbvIccem{BBJ7 z1Fd}i&KPH}N0ymd^Y=+F?QlejkwJy-LX<`_9$ojUc0(m$`4=wDz^58(eIZR1-wLQ3 z)43L3`jpp?%>Okj$Zx8Re8DPl8p)*PU9Vsj((*4tngL)H6ruY{Ry#yS$t>VVN&6e; zH=Ygj-e6w2R3#+H3@VV-tW~YIF2ZCf9g<5neGV=(J2|RE)|Ziz5QrFBhTQSwy%|w| z$-9VL#gx4na{qnP7zBue;cF{0VI&T6h@bQ$C4CUTC1LzU-=6BxbDutANcYBfiB&Wu ztZRrt_5SD*qi;@FpDz_Ahl3?C8H>3s?uW%>p}j)ke|?|I4aLWI58o0zD)UW-;}{$iHmQc3GhEGuBlwJf%!#hS=^u>(14G!PZ3-QN zPsok1#d9PYgMw{hy9ua2D7=~Tg#6dsJt%bI;8YH;2?$col^ZCn2@s7&AqqywLiQ>( zj%7Cm>}9a7H;%1`7IrtfwoF%7b_)#+X(xa5%mnO zjx)b6O;Cmq3F(QPNJi~2NtaR271eA@+Z&=nWk~ojMc`bLmFVvQu(x$4#i)oKb?$Y+ zVDS;JerB1$Sdaia>F(ox_PqJEc69Q}ID~n572fTZ@Y^9GTY5oaNBw^;y22y>pHc)^ zsW?Ku)WwAJC8 zry&=5dwLi9pesO_HUyg?mxt3_x^uvJYnYPWf`)*CD0u68u$S$IL_X8qB{uQ@pKmr< zC=nY2`(|(Nb^(6mRQhy(FNnz!5C_E6B+h?mfZ_r(h}8r@P-(RAlc9d&I-j?9QaTiAi(b9_!0 zJN)KP#jlQTJLFs7Akj4_O9$2vv|QabcUk{)&%-f6;rIk$Uf|!e{b9{fT+MJ6e`uZ1 zbT|7ptjv#xEiBdgGawZ2FmH_*eBEOr>VJHU674jrw~idfUQVan_0V58AtW3}|9r!% z@`tt@;(7@C8DC&yZ)M82oG`e;{7Eul|!2^ldD9b`3T{2r<~?F5vqfm3 zQyJw7)17Me9^xm2mP+G1F?hr3_Yvo=75j_59>O-Ib*4g7@H_tpX2S<`v=RJ(!%~Jx>N=)foG-}$c4UtoAXI0d&>J+(IvA!f7dgULQ$t54ZkfO8uQpE zH5E^tPiPB$(DF5Z)twDe|Mq~zXUdszQqqbp51&dfM@2YJcuR{fasxd|E%edm?)lXb z_w$!s^wn3KR49MMSub3w*gLsXx$?j@1PPJi08a8F|7eo3bfNmr%`a|?R}83C%fj3J zHIbSt;PS$$!Ls3ixp`WiE;AAkO@6RZ0n__)`S_BM(a? zdKSG9QZP3v80a%KnVq!ZWFXtIZ?OLIyp&ayoyM*t6qe33nJZSE0d6E>xAWFncd&kb z4i|d@S6-xrc4C^)BMg{cq;I$bq@;pAkFN$+t;>HMH+K#mLLMK%X@mY9hf&`jg@(gq zb9Ucv!k(OLeZ8Ok>oak2;f3<|+8A6d9&^x0lMU;*A9?)zyib#2-+q(YcZ`G`L)V9` z+0svcbh_WY?N9AE4<0TsOZ;+vu8|Vj@Ot{<^T12y@UkQ~qxIarYJe1U z9vS4;+y25tD&*Dj^rZ9NG?63V<#E_?=yREKzUKFS8m6U`>1Sp}x9In=g?1s-_ICX| zlKJpKizRsE>iyt(vwu^P$&@2x@Zosj2l9QnC0k1y!mTCtc@wLNY%eLkP51lQy_ylC zloo7%J5cb9IAuh9ecoCdAcS|jP_&e6v@7nZQ8pb#_NaKT{TarN<$O+(|J^ z6PZHhl(4!|W(WNF5>|kJsmwnM-$@?HIHDuy?t8Jp#RQJOXkS5<@oI&<*+E%PI_8BQ3xh)ffnax zbfZ)lg)X+NPRO4CBW+YW=-cOb)$F&k%yfr%a_Gd~~;5P~dc`HHm{ zDX-XS7Qg~MRQ@gCPSB$5sSy(G*uCa1Wb+2-9Z$t&(BhbfzHpl}o+Q*?8D-&8!x*ifww5uCUAduSlq z)V3toHzqU3>|k3<+0?OZ=D%b~eY!M{5DcI;+Y?b-DKSoVp%Dv5{L7RGo=@P+VLc8$ zF1XC(0KyDv(>m_x(F#ZBT?p<%t9~X7-TrNQl%>gW0Ao}gSe`)Zzfpz2+^kOqlQj_y zJzerz86DW3#85@h^wZ5$u-akL-}<^nr(6&uX35-(KZMO1oGYBO)L`MW1G=2WkxRdt zcLbEOF)v|DGvm_8%!$KBwVjR29$iA1W3O zBs27QEDeN6QpmG>KwJ*X-3Q2;(W5H%S9-b^2|g*qP= zu9FZG!M6kaUKt-M1Rbr3Uj7&Jm^Kpd83dP|Ce5F2Nt~s? z5D|8~n)fr&mqfjA*G8l@&YH(FQSJn=1v|z>&}SNLZDFSb$G4R|2!f@oX62<}4p15` z!O%!2T4|U< zR?sfro$~7LVWa#l89^RS&CmxBC=%ihrx}~GP6mESP#DB@&k9(mdq%wsY*)cDc^Sb8 zBlx3vKZ#AI66NaIc-YZp(thRi(_VoQ1*rA70HXwcAu?U9S-wa##RWjm1)$m zSiUE;W$`<&kyD-TK1Z}h{uo6qSVQT-qE|^|u`5&rF~hUEVY0YTFDR{rKr2?ajja)6 zJJ8G~u|(~j_mcTa%CASO6~X8&OJ}Vbp_*L)O`a7iayrV{d(W7c z*O>VgndUw%NFMLPIUykT!ena;1!yIxEE(4$m3#a&kfkg7-z?#j$cry~KhdQaQisb5h|0^F7dYV7v4gl+j zc_P-PZci3EsBgmbiXv+O zu?)+;>eU|p;uoEK&Rl9zml_wd%L9GXM+eXBm@!f}j1Bz=*`OMp=%)?z6$bU9wZDvM zc*A@$ahvrcC|9>o^Mo^ac@Qd1GoTK;bzjf7k%30=dZtWYNG5;Ln9q(jqyajOI5}Z+bb*O!;%+mihIZwt+O?B+XOu^^2Ec>MF@Hy(g2b2 zDXe@`eOyw4avWomQ6UXP7ks}zp)Pc0G#7rNh^_~COedV{ z(QEj=_Z#uJBOOIr{+gQD5w(nD9%qGg+I?d4_fOXXy>h$PJ!GKA82qZi7w-_Re}WkQ z@l*(ihfN?Othi}EPU4!U)qL^e5apQ7XlF{#84p|p;F>th z;ZU>!hitZ>JVs8(SUT=1>0cxp>*&A#<(yqVOPeACChA6CjN!?LR{yA8*$d07YO@eY zw;Aks`yvC(=P_Q8Qa+wt;bg?oV^x}uY|+CByTWv1Ktg)Rk{sBQUAo|^RPHs}jQ95m z>u6u^m4kyFoY^)^6bn8>krmE_n}lQsE4u;2M}?!am-HetWALHKjNr(#h+sqszaS{U ziwdK$WD!A&?n(33e7gk1ox2(ge`+9rBHIqC5F!6YxjW}ll4r*^`*26v`F=mKKsfYO zpJb9ZQ4r=+#x{3GcrD)3E;{=2Pt8*p50Vp7dYree<^iSY^%cg#9p*o)*q-y0U2C~^$&VhG_PwoM@{I}GDmNMAj0OA zE&wMf=cR1$6Lc=%2$cfy>9tr*tPAfZF<;>JvMX}7l03Cl(X4`%D|h2NK8FZR!5W$C zgZf`^1`xG^u5SpB%olax2?P=6_XHAcL&D&~>f`GJ*-26B!$mBsDE7~TTYZ;D$@rFg z5$9I<(zdRlTWL>i*?)qFa=g*>BhW#_u?en3?7(Pd#mGyHC`%;U1Kiq;g)S;^uXBwHqs zzSDjH`Q5tGCqGACus|A7rCo~$FX{r91$7Tmv;Y}ag16fcT?XaOvIzA*kM}qx({@|LpcYpIiju%*C`zu` zKf5g*bkQw@3k}|z^PsP8(+t(E1-5QGkt8+e_Pr_2S;sFSTHC6oYj)9!F#TBxx7*iH zLE`L~4J`@M&lrh~lA_cfX`GnWjDKRLhGGiwKI7tJ=O-NA#T15)k4qm$A8$*l>Q%P) z9qvksvc7Dv=O@IMFQ#!MW~VhteESA;&aif*AY1**DM`(R`57+A66oqd(cDwC(4p*5 z6W-KxN1AOgY#B8UPV(bK9wo#t9$WfvoZ!%Ivdu1s8SKPO?=aVlI(S>P) zG|cYe6o}=75&6WPel|dU)b?L|x5gM0c{wTmmOw`ziag?HhyRN^2B>Exzm0d1ThKI` zq+YJw0R)1M0PVmLlK3A!_qc^0BCEPv3z-XC_FFFlu;FtNt?^DsL_2(S?qr{0a+xl{pT~wp%|>}(o&&a<46r?#LtINgP3Lbe72vso6toh zciNd>wDaN^{7l<@%>*!T#K4=`5v>n`&*qY5iawO1P60p&dI~wtB}@8?vVei@t`yDS{U~Wx`)q) zVl1}^3uJGaGr_ac<8dI8n}!#@hE7ab5Rc@r2tt)&z;`2G|G&waMMywrWUh(y<}KnQL|^DPWS&C{LarTj{* z*|5LL%Dcv~?S1pKV7QqxyXWrwp7i-d$(jLu#bo@g6tz^fDYEvM+%vqgzmBi%2W({6 zrUr(fAq*2?+-6_J93KReo=T7&T-9gF(@T@o-^77h5@AjRZPw#!s7w|-D`f5(;(lq} z<@@PpmnNF7;O;&Zu(aueK;7UGdYfkZg^kK9Sh9VB%sm3pu>eF#_ zI0+_^r59+{jmH$qFb?tf=P)VyB%@u3s8X5hTEtphKz+>WQH+J0)cNcW5Mf!8ltjZe z%jr0-mdz9o@cV_ zm0oc+DY&BW$9QAKoo?5;Q%#B;^hkO%)}4Qme?1!kuF3c0CL6+ENtyBjMWZ={xeCR# z#}-3+`v8_TySA+|QDwdL73Hi|vtt6s_ge%z))bh>#7236nEJ+#(2yTQlwlj8$GcQc zlW!7VgG?9P{K|z^?6i0T=*wb@m+Qk9gOB$ttDSfXDyC#-sdo?-Fb^ZqM?PQQFR*@h+hUExQ z3x;o#MV90XcOX$ih;s9JWjx~fy3pg<)W1wm@Ddpz46@Lo8)rMCt4X1L2bZgRRJZo) z+6RP{@rRD_xBz4!Y>G)Ir^9k)w2kCQg)or4k~H`h#!L3rH6%k*18gBoS>4vq0&HRX+oGsZVN4$F9^nI7&6{@MKh{!8d(q%| zxCqK1Z0QkkB4eo8M-)wm@LtO6EJG*A&MMu__DRnsY}iE(^Ls_ zn?J0IJ4tzwk4Hb>N1h6i{)?6@su zm*M|u`vb;ZA0xka2Zrv%s?4pq!?#OVTlF}){NgpYIvsb2g$(50+FEdn-KoiZ0u2TY zIGDKfFEMQswVJNRa35^VyTz{k>zNH&KdXO?Q7fW#lht+|VzZZR9{#ysKaORo-FVT(jd^){EO6$8`%qhwA^diH|_5FXC{Sp~{IoGsVK3Jhm#js_6SO z2`BK@;FsiaY24;!NTRo&2A1ldH zcuYhb%0*Tr;vb-h0&`&rVAYJnjI@ZhcVd<%5$%9P&sRf z?o4L2d#~E6ocEn=<197fUF95z>1k<7C`)3Bc!N>_ao?Z6Aq85Kia5XivAoR%yiO1t9?O2!*qY@GxK)=x8|2WF^Vn{FjY?u#9>?OdD1qOb*L=Jik`~{9uMev2bHm8lSPWG~Ig>H%>XnP=6nTH%XgJYi&K^Kez zlo=RhBfInv-y>)1$zta89oJK`^!R}|LI<{ET3X)!c)T3lF_CWU&u$2BW(&P0l<3Kc zbWxdS_uK6JNgz~tzfrUmv=!VT1Vn<8k++x=<3;O^X3;PEz*(8l1o*2>Y-Wf~^h-wA zG9#c~Q^lqNQavJbMNZGlf-iXDlLrORyaWBwZUk@eCoD%__W#6hbz_%>I1zE_7~nQv zT%o4a9kfiNGDLjEYX0ImxJ5%>tY(s1^cC-SUZYTE77y~S2`P^_AG8Qvqq28qGRwC* zHe@PQApn>$%1hYD}XjysmyfC8t zEMqgjHdX!l&_A8EO9YZoT!Vt&{avY8Ca*oBHtH*ZoP0flkg!Wp&=3#yh5xK-Ok@%- zKXikwX4?Vcq3hYu!A7}r=1aQFzX7uL^GHP?&s`A#DoJSq_O6GcbeUPk?tet?{x|&3 z^R@TO?{m)(IF}z2Ejd6iFYKbT`qgWpA@5~1$7uL{Lc^WjV4j684l?4>JVK@y=1 zQxY;A`S$WR-#ru3TG@l0T zeGc-LUwYQK35%TV$P5*NwRve|4A#I~irpVvLdrW>U}g*g){jJ%hS(nZphbO_K_ND% z@wF~)_|J4&ohNj4S}Z4~r^iOVq$uBQfR16-af7|7^Rq`9$r=dHD)yNavIe*N!5T#z$*C^}ygq$Z`X~W+3 zz3}27!rT~%=uhP*Ca~*V=fVzA-L4Kjbu|e2T*v1YJfADNy!wd;)uoV$NujGv`Li&f z=xCzP0`Io;((%WfE=_K9(OjnsAGK{O#LE4HkTAp*yCgc}a2^)}p%YY5)+UJ^Eg^wl)BYa$ zRNv3UUwYC6E@KZt7xE$ii%0gESzC?(SLmLXnDu|V`6spj@wpb^Taa>7E&&fyL0YqT zfb$w`H?cGZz+8GVBJ3c91#dVv1jT;cC?{3g`^VJ8gMpwdQ^)%~IIrV{p`f?d%U+z@ qhs(`M37SXcF0-bXatm~>+wuQ*732MSdUTca-(AcHq?+lZ>;C}|0K(e< literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..02aa72073eab474abbea8bb7a118c6457f19a3f8 GIT binary patch literal 10536 zcmX}SRa6~K*R>4G_Ko_enlEPV{h- zJ|5~gNn&7=x~7a=Hm_WNn-Ao^-xmK8F8tnk9$m^a)XGVqukg7_g10CabyfGdny1MB zMy9C9@4k8CqSfvA!Ty*o z6s@-E>Z4is9K}5Cu{LeUx96wJ<=>(+$EU}oZZ3wra{uo{>f#yxTY0&D@(;&Jqvgw2 zic#ZJ2jx5+%%SryS>yH>XybXV-#@EJ)NW4f^yF!PVN<4VH$9T}I==lb>VldGmu{-Q zF7{lV=hOd~NIe4|Og~I>o(_JY%I!RMOq=5+ZMFLUe2;%Wb5vRRv7+lY9=6-A`@tPK z6^A5iv)y_7aqD>06L`6~nZJEzfE+nxbhC6hzfNj(p+R;%{c&F!tlxdtEKK%f@Y1_! zb!1E*`7sDpE5%NblIO|8Si+7M^&X(Ha%Xq~YGFIsa0_d!va{zWO0#4oP5sgkFosrX zP#MbRzdFZ4J(rU*&3XBm_YSzHop<~+;U zVi`{U#fcj?S-U`^*}hnD-^LplzqR>XTFv>~(0|)Cds(0M-YdlH>v84p$nL^8$+8}E zc(BNQ*FN6Nc{35H=R91_vBw2ou1vq2Rb$`V&hZIsy!#zxRjnGuvu;`Syx#2!H(qHS zg=zN?bEg#!3=$O_<@rBe9oW0E9W!lN3jeyfcyVy^R#BhU@oRbS4|xwrNh1@!K8bRp zdz4cWHh#c*8yMeJdoi<1Og@oEdK)~**77uYd7rY!p?|wl=#k*MO!1#6dzrco37d*J zKEKq#9k>L{w*3n&%h^Oq-F^t`_`aQ7>(A-i+8F2L;`3_!>H7lgZXs^Bj%dlIpZJAyN&QErV}*0O1y|0pvq|?Y{J-;mj`ywp z(|%drYrF#u58T}i6y-hgUu~0i`uBJ^9w-Qt<&xx*b-pFNCy=4VRn{IXmVsa5;bI^J z(P)@?Zx^|4_wlUUL0c9^hB}SrFEld!>E=||vApWr;jj7e3@mCqHG3QLCnnB5*US?j zc)IN?=P@Lb-P!hiDg}M+8$X-tZ5)9n-(8K zV|e}$b$-ttUY0%guLT5u2l@Y~8fqiNBz5cgI+n90u&5+-D_io*asDu@Zd@k<`FaPE zkisl38yua#cYu68_f0>;p5TajsaY$zPvqs9$@&h~8{R4xOI<1{{>;IzbWN~Y((7WS zg*I}GYYjy#vHOthVKRN_MaXJ*%^JCVXZlP>k>ljapYY=cf4SUqxgHiiD?xR)8IlRDE^BRDfm=l7T`%+*ULRCzqO`la7CLOALlLf&PX( zCUdwhqYBQETp>Qwl4J_bK(|q@CV8D%sCcGQwh+Ou;rsw@=awg_Dr*-lsE5ZR`kQ5eGzBk8q_DA3sEM=C!6<}{5f@PY>Ir~m8bhI4#Bf5hTR0NptU{-($ z0cHl6&-PkS^v>Y+@Q0T$*zXUy_sN0va=C3t^f3PK5Z{pXZx{4K=pN7D%8m9D z%P#9<#d`b#FkxBvoM;M1YRSmM9Odi;vfyF-R05DgncE(1DY2i1AjXiTnA>i_?MF#S z8aB((6br0^a#qBdUS))|T!rAEZaR^RVij{n3wA`Ve^xfIp#dpcH|C0^n^q&~hszlJ z#@-}%6EhLU=y#{|wf)4tI}$Li$)>Qe!jE+_J2y7GA1gqbz+tqsjAL;yS^qu%LQ97x z?Frb66V$`>01<`T(FGvH}ZWa;w&b@LMV+D9FuH5`{YtL1baJj z>^}RXQa99!0K6{%LnqX?8?(Ag)zo}lxVF$)c~#g(sz&Th{t@=*-M!!b#r&-(uLD6& za)PLdE>V=?s1{V@N5_I+`HvC$q~S!)SrU68lE09Dfhup zlf*`c)bE`E}VRh3YYCF%DZ!E$B^C<(wUttpIDJUP6H(kNmy*Z~G} zlX37xL&?JhnHia&rqH45Y0Y7zdNH8u%fKZ6uWte7e|<3X!TmH4QZz&(s%OW9cx-0a zMFSe2IoYinK{zodx@QNIM67mrr#?+#ue`(-3z3QuH>G`A0v#JwLd&=;c6!Rlx)}}P zg#7+R0~U-qZKT_3MEd+I-Ym;^m3+TJa1W{xOS0o!B5*aLP{YlnGNCKs(*5OEDRHWp zEKWn!3<@i29~aQGxK+Wn_)1%lNnwTVTz;>Up*FQzr;IXRC((Fsdxe zz%NK~djxyjDZc~U#5DJ#&akH~ST~>(MQyA~T4+g{@nuaW2lBbdoUY51I|xa!jT)Kg zCqBDjDu!WV8zZ7oN8FIg9;*jYa$Tz@T5cQkpJ)Y923LFvsB}*T&$mHKmhZHERq5Ug zp6`Q}q}%EHny_^dtVlyAhN?t^fXkObRa}G+8PE3vi;2%HHhzi*Uo45=0*mR+JvJVd zmac!0FPrVkO{@$HyTJKk*tGjXV&()|68?(PIq9_-OZ30Ei?#pPt#pJOMde_qT*c@c z0Bw*W?SJ`c|33duf?P0_T{lW7)?N|)V>6@?X__kc$&>xuU`F3eD;N?N((G=27!&Vg zLd~1PQ9i}<(o&->NTS(56N!{%L7?_Bt}^!4bt7y#*Pn#c4foKR)I{eC$vfbA|8A&F zR-_f$M9Bn2UZfpNV=&vmGy<~&OhXj;UhsI&K6ZLQ$BC3bnh;yy0yX*@Oj$292iRAa zv-&Yd(!mBgu0VkR&$7`KaQoavD@bpwVl=fGl05hP4gqt|?r`{9EP3b(Zp0X1G_~=I zg!<+Ul0$1R)$B4O-0AtfDMhUeR@f;&AKOp3caYvK%Yz$3Hc1qca0L)dFij&S!$Bvq z($WfjyedH_2@6B_4Z2(~3!Zu;9|FeKgYIY7Jhr7+1vO!*7DN5+P`T_+wPWaD#TA2L z0ZtdFC%oSoQ0YZz*~Jtj)R{71yBzq>CU?L#nF&oQ90s;YQ?N}UgKaVZY?D)?V0e4P5^!rYA`C+^CH;_QhHV;=OH35zf}HCHizL~ZcwZFi@v|KJI7M0y@!&z z73R_G#JQW;#;5 zB$M{8LDaY)hU$86{@%quN5_*l(W|)(amTlS6W_&1wKXe~f@&Kt)eXD>A5}d#gO%=B zVpWzrGAtDbbH)s)se0OkF^Dle5`WlXGEYpaof}5pX%i(%6hS}K{{@b3ka#~vlBC7s z4Et|>SbZ@@7Qh5|-ED1^vE&l}#}-drCXcRJBbQUZB|b;dL_zhGhub zG?;P{r1+L`=!IB>k#*BAh$(%70d`Q&z#obRvKoKJhko!tD*M#AwK=$xGbHW|rCFzI z?2ztMNCc6QG2JsJ2Ok+S#P1Blb*+mbc=!|_h7vs^XdTKp`ka+?;T;TTccu015jB0= zP_CqMZs1Gj^e@{JD(Tv5Mmqmc%k-N%w7HpOmck}GZ=+P-we7|w+cc5D6JD{Gqys7% zv=4>v${Cj?%uQ|mpUiM~;qO@fUUmPE3x}H;9#=ba_^s8hvI%nmB}h`PKa(@y_F*P7 zZnH=7+>$cree>f*=&dVtg;LUH>KJ33FziRUFbOe9_T|qAEhKK%eiJj(c0GST;qRjy|~^8Kl=0|n@Dc~!|B@%xrX zcR}>8P=@|K&%4P6$Z3fvh-W-kkOOU?LblE-EGKlo<_+p65<@;sa+{G z@4e(Le{VkzpX5B^b&NbxvON3jt*IU1^BzILkDI+&kXmletOjz~`-!;0XhHVe0d_N1 zi*UAl$AH1jv)9Y6{rB&3mS~IL3QspZ?YXjJe*lGRLGD6CkK?X4%Ozu#f9}Y($VlIR zL|AM2WTx8h)D8VB_7_Yi)KS>fmnJmgI1T36=Np4BM^bm#=~`;95c#2pu98Lf=&!uvtzcCZ#Fr+U4r0Cql08-^^eZ`THY$M5fywQ~w zpfSx_I@U7t*F3R-z7$7A)Q9?w~q?Z)fN~tnBe`+K17Wl>Nai z`hQ#r7UsU1nT*L}df?vDacY~B8U;1XBYbQFsVDYkqV~w(k+F za8<2iP8X#{B&Mdv zP%FgJZbaaK?`GDYWL7OSf+%SSab{00mXMFwsK;{j(S6O)Es;P?3D4SavBw@r>xD@C zgHwwRj=$)XNI<59=V=`yvQ$b8ixz>!=0;7j5xx7rSvY^u$wZ`M8k^_;`L=`ZgFs)e z8No&`w?~W1a2&#bkqVti>Z5DGY?Xp3D%*3LzM)QpGGJkpn)N5`4xHNnV4H$zEHQ-j zHJ`(|9Mjk&Y=KuuQ8d+yzMnj*A7IC@8fK%yXMwAf9MaG9pc8D2dxFrYoDH)`+4)H> z7}gEA8f%e_Vw<6K?8uUp+0_aD2U&3=jZ~&ASA)xk+yoEW5o=mh_9J|}OIaI;3t|SR z=)?V2-$lO`WUcbu0#9JA0q~HbX@wE08+>#wc7v-P1(mBW@Q*39*y_3* zsjN<}3)grh*&F!YeVGPBrcHJ8VR8L-4`!sBz^BJVo_OjFF*_q24KRmaI z^_6Z}p5WEi&tabBz1ee?p7U+ofzj;C7J<#P>m$wFKnw1`JWu8)!)Ac(=a0N^nweQ? z?Jqu?zs*s1?G9mLx5o{y`P{Ro#w}`2nj5W-8un*M&hBNyEQZ+B;M}9u08@2 z8$wzfEsI83PRcD0zbYhHjxt{2qes?Ie%}i`g^WY^38DUWzf=E+%bR)zjFm+b{XXv~ zU|0f`zg`BXq>}UB{Cuq2@zcPtlcko0FMj6L5b2L66sv546ML`dDT1T(`Ccn^A~YBC zz_Q*6s9`7H@Uh%|8D+tp?ps8WHGaWsly%7^Awoa08P@D3)G$RJKB|?yON>P;V3@`r z&6PUQrW(-%!pCtQrb-Tvhw^7Kt-GUQeh6x%%RI8@y>dmXBC)8Ty3ahrsu*5M8TVx3 zuv1L<*#ySIk0GAtau1{&^%GdeBw?=#b-l9Kd;^ANt8LqYtTDAJv(aB|QW9p`4f%cy zN?R}4B1j|}xh~bzaR-DLDQ2TVUX?2}P|PkGo(ySBo=i%4D%z<`<+YK3YmJ*nzN$pp zC;5m#r&k-b0i(1s9KlH0$rYh=-y#zU&Hn)`RkdX4^^blmuvyoM z71yJluErl-ma?TwEy4*+Suq(Cm;lx_o&#c=JNutqqg4B4Wp(V)4=mT$3=DZBAiqCb zHpzsq;~KuKQHW9B#-O)!l6A0 zBX^}LKShl(mt~^WqswNrUeZo;Ou{;~7;grOvx-znKd)%y#EsJA zw~XqbjRrB-4iOOO`!z;@+s;6e~(k1}n!l5$jrI zp_c8<_QqEK-(DH$E0~A!ruJ4^+Bs3kxCGuc|8JAFBjxAWFqmv6^X9wU#@iDXem?@i zorBtw>5rO$x9fXPWWvOg^b>#+)wXJ%^1gF7{Q6pc%-LI}FPDBS=lA}oOMvx8zeS^v zIV0@@Cf{5Gdh7rM?m!ilDci8Pykiv-chMW`fq0kxHcJDj6!f^|)QB%{l_#>0o{3Dz-9WE& z97tVww>ZO&)A*xMIM-K;-C`-=Q02gDNKCCQw8csy=}!c6E*sNy$Rv72nT!QqbZLhh zVloXJ*C_1plEN?xX0w5`phD&ez5>kj;)bTZvsI*P<{+lyN3WE52}f4lSF^$~Y^b?E zZC4LmR%rc}{m+V?#9~k6GIyPiOwdGJX%-af8HtZ~uuB-{dec?jDGyvN=W7qH^9yUU z<~mb%PhmgcV$*zP$bz7CB{3z@(h#`#+5lUs+u?{Hwa0li{lA@KlKQ@XiT>Q!fv{<_MJw zBQllh@(it_BWbus@rNvBe`F!ZszGJ$qxV%S51Z^pek+hc#hCbT0eIz@aN*?3q|z2D z98S3aFU2y=y?_~)yoqh<3YK&7!{+Tt{B-zN=Rw4MLtRs&!gdyU?R;^JW29D*F<9Zh zs&g4reu^49rR$_?v6g3zv|pH|K4L5E)}c9B6VVxcJ)!B8W939xpis0QhiOpYmoGrY zQA~6RKUNM*^61~3EU}K1;jf;jxJE34&6`DOr)g0R6B8$C|65ejav)PG$sf#|q6VxD zL*ZutkI0LXASQ36TJ&mnOl^?=&KczRz4WuyO{S30doZb{DaHl3j7i- zmeBuuG4IbT7kG1*%Ik-jcvK7$22|H_6)#;W`1N9O7}xOW<6!W5&~=t06y8OT0R%nP zNvM4htSQf4>4~WNUI^8{Q&%o)z_~f6){4cyxQ6Sl5uBjU8bfu~MgXdOGn69fHyz5= zazndeGgwwPhsRi^_^OQzf|H3QWsFt0n5?k{z=ucMlZAvNF4^jxgSO_fcSK6WKz(eEbq-sUuZ{z@;=?F5&Z72C9VIi7S}pY7mrXgk zf}gnT>AzHq$~Jsar69Mu7*OlpajlsUm@?LRsEc6CAus<^I+01D@Z#7sPBu}k!Xn{B zPfi_S%-S+&@P?+EU8QgeLPoL$EBq6x?&m`_>uBnT-3v(GMTIP?qw{Y2jYptP=HYd%1pvR2VOuqF?uQ&i|q22f}h*F_>d|S7-kLan+ zFeax(35GZi4Wt4?$$%4{&)_f>wYVaQq_IO)uO1jF3&T;4IK%vmwG-8~aYbWY-Dm?8h8S!|6z;^&i<$!yao{3dl!;1Hj(A<4g1lQgLcL&?I) zhfoPM%%d73XqATuZAU{J#}Ga)uL!J!$b+;((g?^JJt;!mZL%+)9$n zq;L4DcqxRaE#}z_qtu5cJDuOWN!I22_mh_JWw@2W97HWIqq*=u2#|c>B98{f>31fx zEoMO4B{LgT32ObybcDrEd}O;2bwJoV+o1c|sp);a%LTkkQyscFOJSSL$Z)kwrUy$` zrgGWqE>#M74eSTlH6@_>Ca+4?vR-rv{Q>+Dz3V~L%Dh=W1Lydlx8rh%kmak@irG+SqP#g3k|tOv{lA)+O-@X{qL>i6{AMg!fi7h;1Qf~Si$?qx>qb~P?<a9B8C_O#R)9TMjZ7x2W;7~;2i{o zWZSEc5bMWG*@s4foA5@Ny>ze9(Fk$a-41Wl~!yPQ%;ceA>9hvpp1 z291fw3-Hj1y3@{1h{uCa=|nx|Q{@iUWsVo3F@w_>WrH@v<70g+%XSw459jmbIGvIR zgdVH9G~KRsa@^nSrY$Xa6n*YiI6#}bydNGPiA|Q7RMpn=S~xyJ{V5z{-D)~dDNAa0 zI{$7P$LR06Q2osji13lvoT#3cRaAb$B^NGetA?4XFw1uDL)S3knguPX<8|OCp(C}PFW${CQM4_5jg<-U~6oxd~}a6mGutt3`ygstRaRp2wspN zZkey;L>zHYKA-}8mR7*SL%}@|+a<(SL0{lO?0=VFg&fkzc{qTq zXxC?oLY$E!$N2C)`=+yBX!Rp~nqxHSC~KeX=je0G!11|b9ICh`PFg$a$gWSeq^l6> z$yc_m@XIqR5iCv24F5KRGw~#el8F4x@MwRzxXYObj`6|Lck2>HlsH)|(f75j&XDE# zY?^W!SqqXlbu-HipS6r zF7XP`jk}asl2Bxe8KsUN@U@I5!cofNTCrw;Z`}s`BqX*`$%jY57E1_3C~c`+~+;Dyx#2wOd{;0rXmk-uimAka#`7pT zs;VsJI38jN^)YEN6E>MmQ1}`2Cx?yHrmbiD5qY7i)W|)2l5Mz5Pi_@z;BqudVAsPs zDkX~Nfr~mTuzq)+(4HhF~VTu=4qDPFf!lA{%Ykkm{)_El_leMY^HGuLYGnijdn2b%RK45Wt5+5p=F+_Nro$RUZO znYwP*HaH5c)=uAQ(faZgI8O}GN+tf}(oO7OJ1^J79XG$b^<3VIm;FPL<2iAXSXX}M zhK^BSf67afUS=xJ#v12O9a{}Xo=TLT^h%vsz>bnFi#Jn8GAm}v5m#jx%jCS(zl>xo3C25CXEb;Nd zEA?`WkClviNgH!iC-GV+Ylluo)eQK)VLXbNOs$=TqVtcBc8q%bn;|jZZl=0Zkh^Av zgf`7!!xE)a5Z>J>vEJO&-9*mt4Z$chl}xi4kl@nAAO~;DQELv_eg8 zQ0thQO`;Ev#Fj#^A@KBx@EGARO3@Ip?yHhi*AwRNcoUUsgZXN^xiumN73*Zu$ib{ddx*#7r?lqD0uj1JcAe6tGwnUS_&FqEa<`e?8_<;NdKR zYNC@y^*zkE05!uVwo)YN;P1>Un0~9ccobtaK@p&}2w3mHb@ouYOHE`wO%pwOPGp1r zTH{M6m}*3vbO%E+aB@ z%R%WZ%|jo3b{o2kZ}@BeGJpLj_1RAI{d!;73^Xec`LJTAV4)Q z_|%yq@m0?uRIXeIp$~AbO6HJJx)JhU1$QJN zVjDL=uB{Ia0#BV4NfzXx)eKLw`H6v^<4hU26=<3g(iq{zLU?-pI~4;4Q1FS9;B}QK z`%YB=*OrY=fx}I~-(l}kTXNQmyP+$m|Cbf+ZHEwC7pjwN7?KG1`nft7SrUan`Qv=+ zGlQu3t^U(le=;A_LtVpHW2BGhfVjm#;r(ic!6B$7|I}UGRYzkcGivNcvolj?yFg*tv7|fmcA-EcU>Xj~;tt6y2wcFS8j91O&M;gjgg4{_BCZ{a@lE9z z_yDdAq+nVzvj1x58-$!&4tt*3hG|f^p2ebs@V}5da&ewSn-;BWC6r4_E4LKy#OmMP&Ppus@-OsteN4d&1`=I>qK~r z^eDbWQwE!sRCIW4&_Ja>&((SV9k%Ua`;7S=z|6J?;2 zU0dLUj8fw455auY`ux0OpqO7@Kaz@GhB zhUQ5PbgDjBxgB@>?8aXYdA!r+srtwtc}qLUqVc(DsrsPHQy4qa2En?ek+u4GKMXlK z>iYp+1iNN0^k0q+#^g4Q-LJ3xCH=Imdwe|E_QbLzPUXMukQCjRv9oL!;?`~(oPrws z_2o++%iI;*96hO3GYswr4-Pbb;xl`CS$S<%MO$v-6*&hEHSyffY!%W>>TK?kB8rlki?@`W@ho|Q3@BuCD%gE&poOp0OHz+ZU m8%pod8vLd-ybY|X4AVrm-*P$cQBt#2efK^3gsd|rgZO{O{CPnD literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt new file mode 100644 index 0000000..c1e72d7 --- /dev/null +++ b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf b/bsp2/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..9adc84938e54ad2bc6327351a85eb4877b1df504 GIT binary patch literal 16763 zcmb5VV|OM@(={9$XOf9+Ogyn|Cllw0ZQHhO+qP}n#u1y(T=)A6-u_a%t9JET{h?RY zs;aI+1_k-wa?9%W-b}!6jo)j0M8_{o&4vjsg>$AfBrIy7*LEDkSGq47thWy+;!qiF z>TEVjG#tH}YP~5RDE1Sfh=WyG^r@@7sEY@=_xZZ0tfdERd3W{pc)QxWyMF9{e^p*? zejsD+>h5-VJKddcSMqoDc6RcAeP4WiJ$*fG0||FNpI-R8d>?FeeVo5Tf8M{}zrTUN z;cZ|c!_3dyYHKfm$Jfy}|0J@-cZoiB$u2*`1lH%{{btkl=kxh1^dYxX{d-Q^>*MbI zWHquy88iBwlJ#@(-IgBkT^o7Bzq6&CMrh-EIQw%yi}~Z?_(G`b^R^GnM9+wHWp}OB z-OlpycK*3I;P3rBKv+BHe-tWR_3eGl#r(NtAe@c!{j|F~l5AVz=lQ;m)ZO*z@OgOt zti1Vl{ZK;OjZN&pBlLaKJ>~cPx_LSK-%>MW64-?aL;6De_D0^==Ln$gR@}#pL<= z7-#K)=stD2sN}C=dFnPka*)%-b0DyC_4JZk7uU~(XE&WDfTI5#Drgar#K{x6PsNlm zbg?l!c)g(*a40>ET$e;#@QN{&F*#U$jz&Ku(a0s)I$9GW8Rah-^i*jGcIf{fyas+E zCUT_Z_eEOUtC#v}z%q9?m%L&*pnFj5^qhLRQn=qx^|bsSkbk}4p)2-yg4E3}Em|_f z_jkz+UvGxk@usj@MGbpNU(=D++c7| zp5xF*q%gqSj?+#K&fFUllQK`HsX-sjL zw_P~AH7F1=PTtcd{$o`BkD>if1O5-tI=wY)Tn&l1aSDBTJh*md_p}#9>b%PX;~~#O=-?kDSO~R=ipui=ws38~Lcm2aJlI(l9?#7X<%eGF>xM)bkJn zTXf7DEa#R#X{ofFZ7(Y^dup&~R%t(ZE=&P{-I$ zzHFc!F8{}&_d=2p<5=sUWoRt)(kSCdH`a(y9&QMWZlRwYoze?C$K(LG145AS^2L>K zmch5zv-ex7*XOD@%I1B;*MDn4AsnDABm9I7_k(y}Dxc&1a`s7D9gqb{_1-b{Wt>kYw^iWhB%gywr6B)9)>U(tU zJy&}DwO;-sAoc?0*Z0iKC@v}v;oy^2CAK+j%-mJ-AdI9x3<0sq{qfAwv-=@g6aNF) z^iYC`*vS-FS^**d=ZL&t*_U#k$!hcL>GXPvb|(z}N2GwSAVR`MAkYe|kCxL6Lctp0t&8BH7&$v*7@-J;iMKT{8yUwhm z@&AnF?giGAB%1Mx3yky#n0=(fWU0SbUBsEp30?}*Sp*;Xn4N@Us@kuzO1U1c{Z+FU z@!Yw8);AB{x8fho8LFt3i>KJ^P$vV7RPNnNr-gKq#FOjGyndbsH$TdXY&Cr?ta~YE z*%oa*%9|)^JRYh*p`skWHjv&QG_sL%fjZb45^(61i;{F^1nXqR#t@7orY49xW=B!p zK|{eDRmw#xC=#s#8>-jM_bRq`T=QdZoI5AnOHy0-6BQzwPXq}w z@E>`+({+CbC#HTNJhyj4)1R+K>*WeJ0+om66Z#P5_`fxw#)*c^`iM&t9XR;bH%b)N zvself5kt9l-pObNx=-+q# z?sTAHVZJx{1I+tykq`IIC&LFz@p7ekqwixT=Q+c!rOEMo^PlZ4^Rp|48!l?RI~ma2 zlP$d$rPKQnx}V?pFiKybWehju7t))#GrmG*I3|1HWFMZyj73a{u|C`viiE5TeoJyt zkHH)kFZedrLM_jL0>Nfw6IaMvkm&1f8b3-oMhPXHl~i!lT@V)P=EnYv6GE@^<{T!m zZ@OcNKUYLZT(I1tj8-(UQb}U2Dk1ek3+S4qbHH8GNQbJy{1jtpo0(o zaa~ZR3jvWFy>y2qWWD%f%@xvH#_XsTq`+#y*QecVbZ4_D7hMQ>j3ypM(2{{&JcGKq z$`lp>txD5gP}&*jdF6%{p3xw;r|b+mpPw(YYE6`3jP?wcyhJZ+q0K}j$X7%@tr8NP=uSe0yf z_b1S+%X;5Br`5^Px3xs>mK|@ z|Cnb+kNbJ9GwQKskSYF=&##Vz6QsH18l<`$9X7z96edq@;rOVTCT$Z_2*bB&u6a=QKmdM*#b(zjFxq%kA3@>+>v1(x&<= z=&@K1FwJgV$bo#z>LSz^gIfPTQ|TlL@DP}-B0<(-8Y(a?l|Cl`BiVS3ioaAGaoCiG z8w5%nmb_c4E;KSkZ9o0A0j*CE-bhdL>E@Ns73Pk6qPnT1_FwD9#4%_tgs>aSL!QKb z($n7S>Bw>FqSe4zXf2~CL8@PvOTPki*tmE0mwxFq{G-bFz9d$EOHbN ztJY68k8x|Nm^oa9eD*Yjgk;D~``XzY(g7Y@8+$3#s$FY}0(r(~?+^nI`r$G;TsNn1 z^d52DI#+?Z#PXr-?~e-ALN9&B<;7(X(XF7!whO2VFKc6i@HPTW`y-$_%Bb3Nz}Ws>aeQ!k3kOeRsKNQ&&S#|A=X7S=j*3zWH}-dbt2a|A>cQm%72yh)` z28{5-nG8HtEf>^cwn7Q+X`~gpOHSpS@6DcX4U?Gg=Y{O-?0J$-+^&d89#yoSEai^mPNa}GvbtPH)PXwPT+GTus_Rr7&S2ZR{R~g9MZSK1H>eTNoK)w&{Ote%Ej!+8Nb^oD znOZoNNRp5z0#Ec}i~cCo*MUQ$=t^3Udt*7g*Xg9KS-|h3U5{*Ce%5OqaCq728^emvbs0AQhWh zA;$w(QiVo%+1Yxp?B&0%&Swv$Ye}pZS}V2J$KT~mc6s^p4zUrKjP)`i`4E|8t|+?S8G?BZo^J zCDQU8_eXCSMI;>b@|nZrwv;!(xB}wrPIOrbFA>#% zE32s?$@7+&_H$Iu!_vW;7^T#rY$yLqc?D|elT+DBVq?sk;8;x`my2wa*IgpQ(qC+& z0uG(-34-8rE|m?VLft36Z&8DK)_;+}p*}ny9N$LcKPJmdH(S}cj=R_B8-1mj<0a2A zqdzoEKssfZ2qTpfOW+z)D@9^H8jzLTzM=r(^YxQ>ABYW?1&PT~bX?T+!53oZhDu42 z_3S4BvCEo`DAH!3r+v_7r$cDL3dG`@-7lOL$r?E2Gkh2E*m~wO4Qdp2kEYee7BP5b zz5P3bp?FoZ<4HI??U6ODCJOEzP_n5Ls8MMkSRDarwzX1G2OvvrFm~|n*4}GMo=2h9 z!XF*mgC6H7hDSx-y_v7O% z|Bn(V^;yfq{r!2Q_momcy&OF{x_KX_Kag{4%=Yiwe|FM3Gl`!>dQK2n=ly!2flUAD z6_vsfAdQIl#pf`)abUrNig|>r-%&~Jn|UDY-`OchWaLP@@!nUY|WPacK?sj0}KI_jR15+!jD*BgtRXW zmeC9mO1vk}*NvvJ>eSFMw{PVAXitLk9!LI;A&&qliB{?O0vQ5Y3bR`xl>-7PiBW0! z@BjAL<9M6U{=$lGG**D8yB45auAHr{Zg-Enr=#!c4I=+?5%=#{ecfwn;pvu##=L=F zVEq%Uboc&5jLtA>Cy73u4q_8Mi$;aZ`QM(7^)DpgfG~K3;rD>f=UF_peV(LKivZ?Hs6XNMgU{1s!pK&ryidfWX zbh7z_)>5NYZ-1hJ>_OzOtGlFh2H&gq_)fbf(>M2(SOkT}p1#{kO@0UEj3-Da-R1ZA zeajV0ERVmJ;@67*Y&zP_K1Oz#Pmn(C6;GtCRT{c`asTMdkJIm9^4kCC+-p_6V{7&I zZFlMUm5|t0MHrdfPUV>%WlqGw*f`~Je3 z%hjUWIrO(}dP_`xI&P*GA+%1_xMjF{rP+MfL+L3Srfe1CjeC=AnGbMH_`!PqB8vod zLBVwBUp-N$I^^BUD-dnr*qee>!Rx?-43R35Kn=2SNC6ept2_X?LVocw z4*@}>y_U^cZKsbYaLZ(8;nhoVDyWp zSblHH-%6HA!Bard6QnhVD}S6q7H{yw?PVHg?9dwOwH$HTrgb;79sGJdq1oD3$i*t7 zh!xrG1f796B+5>tuT(GDh|?f#Y7$jC*7pSh?H&V0rS@?S+&%zL#G3^Fv z!za51{&gYwpfLe$^31lGsD#1wKOLJ6|MFs%q~j(9!oA(Klq#v+G}=`3RHP{2sVC1; zUqCD~NYHSfdPK3&z>6IFslyU&-Ftx74+6o9eC#*8D-4YuG>e zl!X?g6|3oUEui?>irrg{$x+#uCCj9|?_V6-L7ujARAvx=9#2@PpZdOuG7PKvk7hEr zDV)##e0Q1sc#Sj`VaFOFv5dI6iAkdz#`}ek4?Vb%@HYLI;~sG=Qm5L0m-8GP_Ggc| zew<|OGLW2cmh7+@8#OR(#n3HpzZfOp2&C+-8NpaMeF7GX`S&gkqi4`S|fpABwWuGSm zLojpWR_Ba|-X>hnJPHwe{}4;k9Vu5a_@ki6UIw>I+k5J~9C> zxWv2yTM=)k8Hwq^c@O5sX57Kl9{nx?VT@mbo0iwTqCbl^}?nxfy!W(v}ysPi^BXDUjSE@X}`2NxT6bOhHq*!ILxTy1abIW83u=+;M~G^Dp_!r;y^To>aIv{`sU zF0rBl7W_4<;|x`ifZyCHT9i<{iR!`h>!|uvd&_P-x>+4tqAW2QJKJg~F!J4-UN><2 zdrNa;%L}m;TDR&RF~46#LMiw1FI0|R9$F3gTrwO3K(A2Zyn<8Er+|gCIF2J;SDtWZ zCpSPzcob@+TR43c*@z4$slEcMHM-fvuu3+%rCL4WlTL>C%`-0Gy*^nc=^S@8vz+64 zeL>N+xP*vp{_I8_av-8(&5jZmVVb~R!&#`V-B-9VlCWu{yh z-N%<0jq=8cIaXX#6|RG+$9)@a5cQtKmyc&w$EzSDIPmTkakXg6w> zD-Dc!{kfZw1uq7r!Eq7Fv;#p6Xkd7LBKqQx%iY2pkP6rtaZ0wjVrl;aX(ES)8L{pS z6NS5&4Q=`P7cFHElUgFU+gHsLm67f{WATal+N|U@+PVj)3Qq0d<9`43{h-ryH)yyu za4zKy*mTfQ+j2HSrW-_JWZAP9eY2f8VWx6fqlvx;Xw64%>=OQQ!%fP1N3gf|MxKJA zWAy3mIW910%`d1D6okte1FsV#3oHo?(x1t-9KGb;j!#+*b!g({99lc9hCneNaUF<` zI`3rwCA9UMSf~xeG1$(5;b3H2i%)^m>|b^@f)?#Cjp#?>R;-_{EvrTzY-d{oP*OLq zhOSKcEHPLe>1g0>0e`+Y9@VA4%BUW8zeUryjdl!;4x@Zs&g~o7Q^1aQ??}9c^kC6z zOaD&%t={*mv4GCDpEj`@)ea%DTF2O*NxMu8vJE)qT=$U;tz4r0FX9xDW=ehR67-zS zXw+{dyTC<;r?f4LFw}aMNZ3*_WCV$^cSdgB?!J1 z^N_$^S#}Q`_Yq#+nxOVdM(lyIS}=ogylxJy*p&-(g1(r&MWZOdV?ti>N3;iI7&o|a zMKNxlI%%J{+YMDFu!at${1=maJ;WHAl9Syd)*g(_6gYkMgJBGB#B8Wov3pLH&pJ|0 zKsgU$;)U}Ci-Jsl)POXxcU`4_ruOOF5YluAmkCbkF^39H=?B1C&`72-3)23VlY+n< z3HxA70M@7h?)2KiTZ~>Zn<+G>YN!LPOD(D_sCf)I%qxlvF`@Kt)p>|ML6?b|+JcM-HXzgb_y{iKM1AR?Mq%Xd47(>p=%|#c1R4;rb>P!mYko{bWW~ zQ2Edcp57n^(6ic-zB))NLlxmb=jkkP=1Co!!k9YGV}zW zc!#G^3p)r#)aTY0P)z*9bIgQ$QkAB!6t7#_#qFHAt+e~!HrJRwE|MKuuf%(b7fi@) zBv0xfcu7H5F?_08Lt`y%nOwP6A5`$O>r1fL{Giu2B7jRze`gPfy;|_2TDoD~&A48Q zrFXh2*v(I?o5CvpxZZ}}UWmTMiBNmyWXIio>=I)iUNn&@FT*_UemtBuX*6*1B8V?< zP`)*46?}^r$aPrSljmBFVKP@SjjnHSE76(8O+4n3o z>_)UzSx*{*^vA(!9L}f=(?@G2cxs+Tn31_8{Q#s>cqZ-I7xtL+A%;0G1#3_4OHYS3 zAo8i$eT&NAZXQk`@@3_9k)zhi31xi)k^E!nn4S`<#w!P4>8k_!kZx^et%P1FsZPid zViI|H^wD{u*Mb^DVJwoA$h((VShGY7270K8NqQ(eXGO}?!*eJbG{_y{b|!7# z6n>RA>RAX^n1ewZ!OD=w$=RQw=m>D5$-f?g^i zrn+F(xY#jXG{ZVy2TYb4XjeL>l>0U6!vO1U3zRr_`~$R#z457a7Z=1_lHg+EsBz%Sm*L z&S4rg;k3-u7wWV6e$sxq^D-Q;681ddK%f-F2f|i~EYd1vurq?ww4&x^?t$|a^%&if zS`Crb)x7W>>BmZ)tbXp4`FUOJjdiRS0)Za74!hfN^Ep_Ded|el>wldtKeI4j{9Rzm zWARfT5W)tjv)H~!jrQrAx$;NhpR8)7#G=1)@|-VhiH#u&DP(7?l_ZByb+;|;fcSs* zr)kJl9(-Q9_pscrSL=t=69PnOlzH~rxESKQE)OsFMp(~BxARLspx)ooGm|Es2x8#0 zpP)(50cd4zETrj|&@TxItyh~7ko1MAg%!l2gT<>z07SA$BliS!ajL%^#O;eUu%&M3 z3$&2u$R}6k_gd+Sh?$|q)2F1EwztFSgZ5jBr5un0NQL-rVkmFbdaiV9+i8w0gzavz z404^qvD(`Ek)+w*F4@R~zbjcWsk~4C$;;zugFziu%ox_jziLXG+nM!U&elU2!dp$;u1U?V<1>Ds0~&75d%s9-otJNmX6iRT3js*q`7?vMRqw8{I5;{Q=6W#0vKzevx=7S(%CZ@e-fAcho=+wMge`4N;E$wNw z3MPqKb^m&-E86ZX%KcEm@GBUIf+MuYVTAB8QL^cyt|wg_oX37ZaA4l@$70>%Bkbqq zLb-`UNx_pt$h=XnZl?`uVn^u3=X10yzqH%6Ovkm|(nN7gWN|Bu7Y#od2+!K6SAu+# z3VfKLO6PFC$o9S9W#}KM;V(hW$?6+&Cahd#=N7e!ss@j$*~HHF&QXe*^@`=vJ4aUZ z5$$_j!&a!6BvV)5z8*B?J0#r@?3^8C{IxSA74O*%GQeYb(mzg3h`AGTj6cNV|K&+{ zD9v4VfwfC4ESD@%U1{irZ{+o7=2gUa7nYoy90>^~o4~l@ECV^eTQ49? zmY@g5Plfz+06P8^&f2=^K&toyiqnVFG7jDpV~xZ(k|6`ij%O_PNA44JyINE%k*Xcn zF-Nzjd08)-BGhN}`N=*X-pDz|8RS9VH#PM)-{J6tOm}UFgz8~@jkb`i^y}^ zb14ojE#gEKQ9ey9iQ*tIM6XhVh}iFccPBv3philmn5lyq0vqfHpPwHjJU7tNdl-J()HtV9Ht?3z(2s0r)xI~L&d~m^@YgOc z3vCai(W8s`jx&+HBDieP4Gv?!gUiL2QrZ^UdLgxO!$9ewrBMPgiwM6T>x~K*Ba#}^ zbqFXB+T)o157n3X&*Xtlq_Q?VEBI=xpgTF#CPR`9=9Y$zesS?WxsIB3_Y`oDK7fuW#(9H_zR<5B#637hkBI8}Be81i|-YJAuP* zWQXrF0VnUYe0#Q}VR!lg^qi(|e(-jmLI=dC8JOiIwg}aP#H=fA{KUs)EwcICEP`P z(-gSCiOLevboAWjp|VnpoHTGQFHZyDRn)k_mPD(7@C_(eXJ3&6@c)MF?#YoXT7s1% z7panP+|jKFw61KB3knqBYR8eBMRkxG5=Iiv(C_w=bWt)8As532 zOIp}~6E5QpG0`$>jSVco7~@S~Imi>GJ-dL~dB8_q*=q@R`UFHXcM3_XXED;+`!%_X zFY7)z+XsSYe|sy@*TS^j#e&=6i(UEoQ=jGM>^+Dl_kroX!g%tt7ly0GezLawhujcn?{HqdN{$z0 zSSX0BiU*yvVi{!gGQ7F%6A_xg{G34<)lA#-@b4MB4y9{B5&pOfeC53tdFkq>FJ!%X`Dhw_x%tS@-1*239u|3Z^IErIWyIpv>@X!>o?W7r12Ixr2 zP}9gQJW-Po^mJ6#$Xuu;qwJHXxuhcstTmDBfSEA9I4j3GUq-#me`Suos^H(Zw~lou z3fnFoZP~Ez2(HSEHm!$zn|Hm}oc;eoS90$N>R~;{0_ve4A3U+?dKdQCX@hi#Fk-%C zy`WAcz{~z6uOv&?DwL2eAVSi^IOHLDYEt|@x>SdWkeVf56EDF1oY|9 zcA*B}-%6Q&O6lxE_BM*daS0=#5?V53t4SUvn8t8rQg=Ke^*Y%MMNBm8702U;PCGiC z!~2|*L2L0asU^M-Y_>1;NGyjB{04$64-)DtCBh7o8rC}@S!v0?WK>6ET>!+oPmsrd z&r_S?R+p_w3zaUZLY;^nHf)#?8)GD-wGCLQLPp}W1{+o{q|_nCn~pwtG3GQ+|CT>_ z%^*Q*Ar{3^ZwT={v4adaaI^ZJbpHN~Gp2 z;Ak~GmH+`Rd+v|()}!`6)}C8^bUNRoo7oEdayK?%Hn{8CDy`f*TO4ZYUVGOgXV(X2 z^DeIFUSZ2e)(o_~cCN}!u4C6F9ozLvJ}(WI;I8cAuB{?oW-=@9>cq;rkP~Rkp$*yO z9b1sS+BJ%DYSn*&rpQdV56@RKdpnmi7I*XRQ*qRdSo`aY8$BaG@-Y+b47TQ;=hM8q znP;}!Km=o-SO#?%0z)|ZnZ`+^0Fu^aK z{QU35I#b$LjU)oz;e%$Eex0Sh9!;`58mZXRkNtc2LzoT06JOh%uIzoq&U`txpW&{i zjeDP_j>0c7TDmBgi*Nm-HM?k+rKbc0t=|$=@}pF-I=&PurP6*#I=YIl;vu+`VWt9M z(AHg~Ts$zIrGq~_8|8o=(v;J9xu<*ZdY)K^iqzb&WA`BC?oT1w*}_bZr9wLfUgqbv zC+Z{VSr|SWZ@7VSBCl}XzY_$)=)uicpS->IM9nH9>D}nO@Mh#`#SvuU0{l>F)k6|L@=<- z zUqb^{e7yw70n$G=+onSHQ6KF?KVkO3xUCPMnRYSXI&HK90(tHI% z82#Gj3a%KY(=2vyYtbZrf?3Y0K!Q~qbb}fhk+Ht08T&>J3U%2R$1a=dDawyPjF=O%?znvJEo58%?rvUh zw~y<`k0+q6<}m*BJPmI~pvoII_qiiC6aU(Y0yOt|JTd9M9LBTQ`&1OlGrq;t)6LvI z^IAJL(&hu#^14RjklnwEDHF3foBQU~BwL_~sBCqDUimNet2;(0S|yVs27`H+l{=%3 zw`LMesE%2^f1go~VzjPfFrzCAMocB^HvUmHG^9;bRKH2MZb%gR1*{4t8=FEpBV(rL z%{s2Nq*pm)AL-=KyffD!aYbiD=1aAi6feTj?JIj$*R@mru5Sa1nCYQ^8^cMjx)>_A0^j3P2>p31h+aNzYI^Ar-Q16rmG0s)MQ!Vmp_mTsi~^F7STMjZaHLx806mexfJmzSr6I zDaFSxk^a@OR;H(Zb}$SFtTQqWbTgso^k)0B-pp<5d!49kd=>S4!o)_1<2LBSyS@zz zE!;RDx}(Y>zkk!zC0K#_bx_YS zV37SSbln>_bH?UH2S*+dztE{nDaoPcmv3^s1Bb>%PwVax)T^LaZq^sOzEnV04U5>r zb+V(S*8Q9fbrJLmW{-{|j_K4udYI}v3U*~f)M6KwS#fH@7HGv5XjRNP3yZt(ZJYD&L@{Pb2kD#rK;A37q{&VQ zTZWL>^8U11A5|FkWWRtjkVs^VoNyzkTh(&^!Up<$55%amaN@%65+!KqeXL|Gfz?J^ z)3nFmoaA*p-+Ry`n6N;k5ceQb#Ga?rY7_oixJzEeD=9m)gjMPK?n;ocLQYiTBgU@F zVF=ClG5X@h82Nb=idm{L~ex9fkqZr-Ic&(}Wa{X$q!rFH%uWBz>SzAN|5d@& zHl$ccO=W`SaA`Oj2gQ?Pz(&VU4FwS-7X~WEoo93e1-dId3AQ(5wWwBR1Pz}fgZ!~7H_!{s;g=T)0Lq2fFX z8c+08TZmd?1HRVe+)L<>YA!~^mSXnV!0H7v>2!MeKxkEKWpmy>+~{Edb{oI^ zQB)EUb$Zf`03rP$CM}!kx`rEK)2O&c;ORhLYqq}ya=1lgzO1vXBXZBVIvEB%6~VGu zT$*`8+)efmU0!iHiz9{n;G9^Y%g3y2P zN>*uEWlN=B1yYPXNieXtULpzoSJ7sR69{_J=o+kvJCj>OHQ`g8chGOk z1(@2xWajnbj~9Ngr<5t*O-Y>pYxtiQHql|*D64)@(iQ=V4myA_7J1j@8XR@(>jlp

  • 7&1si=Mnb_qC! z9q#O%OOKFMYbtMx2yb#T;A^iO0nX9^00&ozz&R$4w9P_;6*fdE=wBj%i> z=NJ7I{=T^yb6bmSZXI1@3i6l8G#4LsIOIm_$+V#P-@V6^m|`=+(a839c2F8oQxgwp zS2Wt~CL}yYe>Izs)xpWxcAkRf9aVgwJayD>ynr_LpvpMa^y^c*@^U5?P~jHOppJ^Ndbm`zk6rd=6(EU)w&~TDc@EZdgA^<7ldJw=?W91OFW|y zZrFsr(T5tto_`G=X5}~0nzNyqG6|Ex4`DSbMv#SKDL2`n#F{rY^U@GM>GtTi`6`^rqe z0c`S5!>A~;aJeE1@R{!Iz<0DYi1j2cU~fRL^R5i%|BVm})rl z{W|lToamhK*Ni=6Put}|k=;S}QqTzEJCgl@seXG3LLp{|9KP+q5OF#z_V>}L-SLr~ z65h=qao-$i$gSr-@{j)liNO%MOpxyxE^Ne7pE<>NmgIbsK_s`d7a-P@sCcvc=VLPD zZ({ey=Rx{;0^>QD^OYVk&w_g52{E)Ahv(Y3_Roy$v#r2)+LMwX&V%$&ncl2j%zdrZ z!#EzFPY0++Nx>dAeqy*_QQ&cC6Z{CpGmYaIC(u!N-cd z`S4QYECUZk0BZB}JHlLXZ~!hFd)P8_OWf@vxS)b zUVZfhk3}~o#3*!_DC&K!Fb{SW3;p9E;k(73e3;b)jMd7**M&k+3Z6GEp<=q+utpQ& zro$iB@sa+K*Mue0{`A+@p~GIM-KKx)!n-+X|5pIF8A#?27T`5FHX8I-fi_{#UIE&q zL3q5XX@Qo!3)dQ}#FLoO97`2O0F^K>IC@?M8i%iRrvB;WP=f z0qc91q32nkfi(3dgMKXN)W5^fb5)FgzJY%jGN7J+82G2ecy*2sQi<<|6aQTUzh6v$ zz@T@;dTxXa#2O9JPK)VgcQ3jpQ zDd-RL3_9oUZ1=+rI`7p;Kf<6N1lk=q9%0ZquOj`C2E7q9w%0?3-MFWebQ+0~44lu< z9}YF>oXgYS9&XSN1daI~Y|wdsdmD~@3_9;?NZ;F_^NADf(_qkF4;n~Q8w~oFV)|l( z4ss@#_l^X-1IK_N`*zR<4cgm48&YU*MS56CUx)NsCH)qp*D2{YBfVZpzX|CPC4D{8 zaP8713hj+ZKTAn}8|ei~8p+ZvI5;QdxeVXJ3Fr1QeKStll=MwVw=3yyBF#Ck#D4=P zPgc@jNBT@9{WYYYqNKlqG|PULLPOFSo(ax>kS&O*>5yxwUna^;LmvE&HG1F_c9P}@W?L(U%ekS<+*12d5^s7K3D2l zj1$)yC^5D%}9LD zlfUhLU6p?t5})?S6WfI2`yR~s;D5lwzX1IAd-xZE|2_}@>EOTD!@mgp_jvfv0RP<{ z{>9+`r-#pZ-|Zeg=YPNR@S}ODhkp#@f8*gF3;zFk_>JJ-=HVX){;xg!y};*MHzH@? zJ;S{L%oBhy*LO^X=Ya63fF9l-ay`|QRnxXLCza20+ZvRKSpx#sK~1_$_u)ic|C4kX zug1xLdE|S+|A>cwF8Cky@K=HVF%N$w_#gN1d%*vMhra^+|L5U%gRiciBB=%5)BWBf z&ozKMJ$$Z}{K3QLn!q1DeBQ(T$;0P8*j*kz?_vM!;U5bAUp#!?d*AKh^B(*j4}U)R zKlJdQ2>y>e{L{eyiHCnG_&@dVPXYgD9{%IOH`ii8O2WxF`?*Knwr-mUmeW|fomFkMw1YX6Rthn=ixsL{J(qn2ZH|(51(r%|Mc*=c6PsqzaRMK zdLBqg*cWFHc;xp1-&}7@gmJ<(Nj`&7Y3liTNPN)4C-yNo?g(Hm2j&_NW&-?IdH7(L zo{i(x9?VAYU*qAAga29&e+>LImY=Ld48>aqKFDT~! zdvGi7+N#OtWb%2Jd~Q`mF}QXxfSAwJ6T`61#a#NS8UjQD$qe-3>o zL*K6uACLGqh>t;h2ja&d{uts_h(C$A8}VlmFGu_Z#7hv%^{+0Z`AlCvyO7UN&Ig^( zA*Fq!UF5pY&%i$g{96&9i1;?djfj7T_;HB;6Y<4}KY_Rh@uv|#4e{p?FGY+fdPBT_ zbaLY;KUq~89KDnaIc7k8qnEu%=Yj$kX@wBaAT-cFJKT zddi7*i7KYcaoJkPd9sZ1%Vfz_u92~tbL4}TjqCe24G)fQ9>X`eHVuys4^Fyj%hED1 zW<%gt`M82MR2>{2-MnE8QKZBr&Sqdx#2<+yei#!Uf*cG}|8xCbmrCe-Mghj0<$JebJ4T=-XpooiFZ^=_@`9xNc7PS`Awbq&o zdPAdILse#1GOEm6Hw|wY9;1pY1RxB|ZU3{(|G+_}Jvc_-J+W*vMp6 zw_bJA$U2us7MelPcs!ESI5aV`Wq3j;)Sb|$&|*~X7BCgo>z3TPVAthsF1Z;%sb-@^ zlj^d*N>UAjqRv*yTwX|jU{G|~Dw)G4)k?Bm6}RAma@Hy6s?Gt4zQ(e#T!uC-@oye$ zHr;FtT0~y~)oYqwz+)qI&8VJwnW)BX;VmQ0$B;FnY+$=mw;fqbhO9<5 zu+|;C?V*W?=+Z{AHsuq&Gr7 zv@DE1bmPXzS$t_grOUu9+xW0n+C~&W{AK1_u`4aQ*COz57$sz`mHpr(kwTcf@&Gmu zMf=B?_!Ry?HrmCoZ4vCrC-_|E*~a*BEAD(6WA;IAOPfFc4E*0TF?dEiAkQBdT6=t{ z0Y>2X&O-)XmfG6~TLu=j3=A)99~kIg*x%YdG(5C+sDD9wOZ$T1VF_hSU*H@i&SC4= z856@>s%7Rgf6KEs98XO2@8|w+YGh0HI)}OqgX?W`up1k7NLBt?JdoNi`trp z%WW#0o5iTQf8FT#K>uia(|p_vA0PD+o96cRwwC6#Lu(hdw3eHP)-EUyEkcVlH#H9} zY8_s*K-Elx@=}BkeDjCud5IUg2{Lszg0^67TXVVG*4|cbZ66%&A8u=2*xKJT+`piy z1$Aj%OF0>+oZcKO9~lX&UpQ25Z(A^2Uf4gdVC{nT{_@(Pg#%6f!_7_Yi`x2IGagIz zgIfnj@nb|G_1qEN(%#=R)IL;hZdo)`9vWzAA8c!F8*EwIzP5ku+Q?H?Phihy^N((t zJic@*dN9)7zzY8oZG~6YxxsFm1gCVKl`6A=err_DBj(x5bJs(5dj9hNBGRA5i+sO9 z6IA$zA+^t$v~h(r2GVQ6vof^5Xaha(dUB4r|a=YlZa=Gf6=6e#$U*R7H7v5KgP~Ba2Nz1@9y$r58+HB>^-_?=xRrwulRWNv~#WYbJed_Jq2FO$!Uk_vV~|H zdPu3lKMX#faJ8lA%kwE-;U5O-arJQ*y}o*J7OLm#*Aq=4D->ez)z>w)xah8YU3PQf zZFII0>9z7$zgqKmwU3K#E0eGNYb}op@1lzreFk^jzmM)0f=){o^!N_HLSrzny$bsj zc8Hvz@P|rahhm&8#=~Mf+?jDe%oDTys8+g|UuE^U=q~*(yo)a8SJ`}BbeEnybWClx zJ%}TnkMC&sDTw=EuBOw8C{+sj?6m{iCvC?D`C@)W(uMzs@{=a%;syWwc;kK~(IfmV ztKXHci|*3nq8oZpEfF4upV{GxBzqD+#DJ%hT!*KPDzhm@S)xrnz4&pf#@^v|@h@Ak zh^OHX_i?ZaX0btvp3C%&Z{#ULCH;||q%T*} z*C73TCA|<CaLrNN-cp&qw-7CH(@VpQog+M*0dR{X(RltE69q^mCN-i;>={q+f#c zSC#ZxNPkI5;|JO+H!A50(qC56_|5dnSCsTqk-kYuw6a_%%}BpY zNpC^=rAqoTq_0uZmm~cWCA}5t7c1%KApIgG{amD9sHCqz`f4TpJfvTsq_0H!n@ajg zNPkgD!K>F=UdJyTiDd{1k->RgCk$#Voz6j~}D(R;q{XQjqA=2+x()cOs z$_JG6`AC0INuP)Ghm`ahq`#x2Tao^*l5RozdrG<)=^rTRGm!q4l3s-LElPSJ(%)9n z_>uO?7nJl8q;F8t%aH!4l0Fydk0|M0r2k7vuSWXAO1cl}&noHVNPk92cOm_0CH*v{ zKc%G4LHd(Qx*O^Lr=(XP{Rt)AgY?Ih^h%^ZrleOP{X-=^A88EBGj%DLUe)I*1uyY- zC%NUp`vPf#YbOb(o&>%Om@3fn!A)G6&vG?UPd=K=mzyOa;Dxf~`BZrqi8 zZf52=zRwsfGw>Wobk8o&c|*?ocSKRx2TKyH55qHYY!|#r5l1#E?68OXgW4D1{uTCT z1}<8eeZa)BzcX-GwTa!?w3!#j6NWtHiZ;Rk%Xi6kwj8rX-n55&d*RAGsE)J6)LfE!$bIl!7$$a##a@#j!mw|=_ZgFD$&buI5`!^?k?}1l0WUveoDJ< z8riUU6t8rRkAc8YSbPRXTh-zNqy3vEt7|u|>lX%3EzbKw>0@U9=KZ7@h>st8@7Qi^ zt2G{nN20{r9)}lnVcF@lgUkLiO?S0#t@*n2?5T8@eMEg1(LpN0OT@a4z(?<)Yjl@= zT>5LJyY$~0tqstF^>VuCwdU)>yY%lax~rViMc-ZZnk)HEmz}3ekIQbZe&nK0S9ye< zXthckH>OvAb{v>(`dxMw?X_35R?fkUfwgh9qoDu6f#(XHZ9Eif3S=@+zp&5gnb*o| zwVT{736&KbQAqV?+r@Gn){SvhWt6_;< z-Ql~ty8Y6+@0mVPuV3%!cJbA(;MvFPxnh@keZJnYXHSr;*Jg7?&gZ*xr4ISZ$*Z&%(l_4mh+WmuJC*|+ts|e zVzXUcPG39h>azIq^Oe)b^XW`^d}5xC9mD7cPI(1ek#6W4Ghi_rp=W$SMXyzV zt@v8=trhPo&ve<-rGI+Mxqpp)n>{n_+rEBUYx!OEa?xG-|2_NfpEKjC@WbsHy0F`$ za<`+){w}(!U8aj(YreJOUFDoB{aTd&C(%6&ewJa|<{jy0+big>6}CJ>ktl3X_(9Ya zioP(L#{&*p_JPaI*;Vy%m%!viT}^nKQJ;b%%u*?=xEMfOF z$D1VWqQ6d*xrD8U+lb%U7~ffo@-_8b?4X-CnSNhf9`;LHJ!E}cF?Ow6%OUmWjq%OW zY0+cm=d#mTHRkutowO6aXX>pVz6I*#oAq*~AB@ZS^*Fvaj=N(0@z=3Se~IbrU#dUK z^!AwlhdBOw9LsW^63cN8T;}ZnUweWWi9^8 zH@JMdB#&P)lILa|f0y4|CQ~L)`czAvp}ObMJKY#tIj1|1Y0?+9ARCp+{3ysf#OK^75Pp<3gi(LA<;GG; z%~k9r+BF{(Twg?vVe^Qtg#O?5&y{PXeoFD!7SV$K1U^0Z=+rz3RmwMG(`cWs(418y zi^&wmQfwWorhjV;^lF6#Zs?P1rfYky!yQ3?6{C!s?cP27zuhE}?FyVtJ76ffo{V=VH~VXj-GnEx2=@21 zv|ln<@N2X6^$y|~rw#i{`l^ohG6N_0)jHbTSS4L5k3_Bd!U$lgjTk`Q-M!`N5~#8U z`HtnawFz7k{OqZeqNp4^Fk><8FvCDW7=Av4ZZO=F{+0s5Hp@3-hyYTPMBv(s2T^>A z5aMYfYxTWWmUOI~#r+w_@9#jpCTWG14tv1k@}+EE2p?XVEX4cR%M0uFb=Ap}TXeFL z7GzA@n?h>au7RqGm?Z;sJ)+V?_s5Y@Hj80A?xm&U&{@b9sjlfFL6sKzeE-0wGc%>c z5yDVFxGwLzp_jN9XT$T_hiX|9A=_x_P@q#MlXb7!#9}r={e)W7H8^=>3A3+#4%x`q zNm{y{OC=BwmV;}1&!yQO1?V;r7ERt57|fhHv_U!m1+9VsCnrtRjKEacM3mzqlhyUE|T`3y~*Zdz20Iy+~B!DqP&^&pbo!o7$;jh|ynK9Uh`~nobOH3w< Rmrvea#Xcxc{s900|Nq43u5$nY literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cmp.kpt b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.kpt new file mode 100644 index 0000000..77fe779 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cmp.logdb b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cmp.rdb b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..9ca287025b5b31d792cfe49138671f816906f469 GIT binary patch literal 40578 zcmeFYWl&sA&_4cBC#Qg#PbtgqlRk1#A*GmbFS@n_d;IoTS_eRc64Xp^pQl&pJ<&O9>KNM(3_ zkY+XietVOEd5h&ENRgl_Tqs-uHeDSUhCoEzTK;3eHM4cpaJVo+R#OUPNqn;;Z4Uu?dG+vx7$#4 zsvehFff3KFumAReO6eYt821~Jnv*PW$qWDKfim#L!SwdPne6YIy`)FQ2~dS)Rdu_% zm4LjN5;P6_?`}~?ma($ih59a{Gnx2qEjrhSD;|}tkrK_VM|tROEk+mmWzgXmU*sPx zsDUf*_%0hjRlim_TgKhcvp{277MeO?qv`yT86CvAS#`6sqA2MWIMv>_h0%d-{ zY}dl9tQgWK=?B%AT^wGWD_5_W1bqiP`|XOAjpCEF=-CZ?o*vdxuFrd^>-Yy(eT9sw z;&t!tm>A_@zmY>$<+Bd0i(to~)R}wXR&vwLKvI>&$O(_%P{ARl&zOBsd4d73r`RvQ}{iFI|1Yvvzb4L1Y#~+rd4L6{~WFu zzQ+Th{p~=l7N;_>7+_Y;m5`vCD zgRn7(nmD`t7NsC;>(ydtm1%rV8==+Gc^@PQ9QH{(AD-S^3G#HQ)Q~9cknI9+rH?*@ zu_9>+6o3%vE>@D=8hHtlo1cMys>Obt5RzqZhh(`KKzt-|z#&gGB_8U`Hxs&=X+HQO z1Pe(|VYJo)E{(EQx_k`XMskm{UCoP(_GxcYpZ@mfmC&f-wQSBf{rg;?Ve=2Xz;q%c z!R>uYOd(0h7ppa?vw@E9oRl+%QS~hoM#dTM_0b-_bEftcP^k-i-_FcBCEGcD^yQe7 ze(1IA629AuJ{|9fYD0)md$1ylyC>DRbL_ zt_sMtB-$-ddJ3caFdCRY^%{4$@A-4jca|AM%nRZB5)b!Wqy+Y)-D2ZC zP0zmXMa8Sp1H0*1Ev)%?Y|Jmtd1AD9m$`QM&`&_JDSK^)jGBOA(YuSXQNM-EGg=$g zxD|`qTN-?7u|L)xb$((f!1gItD&@mCi(qIb-dAsm;WEh;W&_=I5v042qQOlb^Gk;YI-uMJ z8eC`MXuG}dz$yFo{uq<|yAjSd7gw!c+@@~zgo*K`ledoXL5YASv|nsig}f{j9kUee zy-Eokxn^{&dC5XV5-F{oX=b~#jLv)m zUzN*PgyK3~O^{IDiZ`y7i1NQZY;hqA#{DJMElnPv!Fy;`r6lqtW6qQ(4{pF+mA&*< zsz-<@qda_5j&IesFMQ#7jD?gdh(3|}i=A1VSh3xoe4W-JDUx-HZ46(e+G9#+bI#hw zL)@Sd3JrqmMD~oH$GRLs8yv}B#h+8gZ?rI=f&O@%V2W$cJ5*;;xYoD(*kd81i8)s! zFf$YcsI4Njqn>^!v~_6{I9v?AFba-HGWil2U-FmzRTqQ(`@HQpM4+5*&^tS0aX}=B z%;WbsyclP~bMTjhGkLc#-7QLCzWT?Oy|II$wHlx>BV6nMP@_4tj!pS z)?bh0)$^fssn1teQ`zojW@ZB!%h^{~3)#?vGnE!2gM|Xco-3^g!8h%Hx$qNhXG9XV z4Ju?Lj8D*KO-V4HUL0qww*3B?V85T0iLyNTyby1O zpk^&jxxX3AYmV>hfRp1O0B7P^SJ6!%t^lPR*GK4duF`xnp2+&*Ketc7HSv5IotmhH zaYe25aqsIDj7Cw_gtDU~>2btQomUbz8sA;AT-o!P17xtujE!8%4x|V*^GFVZ(dLWB z;Ij6=q{YIByq{m~1fNk{?w~VD7|yvg<0@1{+@3i!CFs{7o0UkX%xS<_u589 zLg45!J=K11hY+UT1xQFwg6^tT+a5VG$?oAQq1~-Ue#mg7&E5HocG-2>ma#&laj_A= z9utkx&mZK+^2SJ1t^ML9Gj0gW3dvqLyT#%nsXL%-09|+Tq8GSP{weiF>bzi0{6$zv z<5fvaVLUGz`*QZvE&=_4><0XY{1Ob&!MyLoZ>+W*&q~tceQ>0$+a>I!bex_I-xFkD zgrjpZ?dMvrE|T%@)#8D2>Jo|h-Go<7R!>d6@d6pIQ#E!}SByBs_CB|7e@?T=Q!#T` z%HaE!a1Gvm!or9pLaO2t*D5WPd-90h>Z+~t-Kjt>b*SZ)eQ#78izx2Q2hpgPS}=p6 zXW=0U)1-kd?qx6x(-+X)h>hI1{<=oC|i7Jytnjt8kxrDea~biS-w9I=Xv9#ezVk?jyg zvsZ4!?VoTzoYZVFx2eqd!9UgAS@d2rtsU2nke$ryjB>M2&>OgI1%_c>0uOUz_#DZo z?bkW_KZz1Bg<@^t^YJGtR@`wV!X6VPrD8JUk?hW20$8x*rD<@P5DVrQJbLuc_Qd&H z+Kx3Rk#vhauWBO<&T2L`1vnX!H>jU(ysij0z&Td8=$EsWlpl~Q#EA#=mt<@egtzky zNjmJm$pe>o(N$M3RgJ(Nqe<{j^LudHK-)slMS4XQ&F0uVz9w_&AH8zk#R=~K7qd#? zIF}0XdK!JV>0BhF-`(of=9xG{H82)8i&AUGU<{QJl>Crwb~WRj3mGAl4i72pMs{N( zY{7fjjC*#L3lJJ3#wzr7q%R-;~UysK&HSRe7SNb!Q$e(`LuE&=8E{R z_b%ew99`oQ9bDgwi`sMmO)diVHzW+Wsp9_)2Zi}!vW;ds@&>1)?P_pl=jn2bf`j3_7iEY;uS=(q)O5xiD8*wXDK5X@1XxkI2*S4Ijx%c{>U6|5HI;93)z(bz zAul*QDlTXwPEyZ<<7u?Zi^dv1lQ+_TUily4*NFA45CzK7;l1lm*ZR&``Wn?a!cX%{ zrrv|NdqrIlXQH}i^*G$G&ME{LXgBf%vPH6cCDWT$EzWk{{hF-R*7k01X!rSxrD#M4 zV4FenY>uL}!u0%h5CIK_+;=OeH9ZtFW@o`D&nHQCq0jnHkw6q*<>#4tu`#@lXQ_Xa zLMpy^77#TWHa|M1NUx|6qcx-GQa$CjZ>QGp7<8?HcFaFOH8(Sd-g!Qw<9Al zjxtlnS*u2Qp258}$0{L4itD;$@`{BPbX_u6V3|-Wwc!OCWFY@1TzvROG~`ILMzNp4 zCa`ZmB>o@oT*159&(4T{yfIS4*HM!XbpLp36tk)*=ixPqE**;d^iDTF_3DSD>Q?OY zJaihN;y$P2jg9p6%hM<1cZkov#Ion_q{TD1OnEock~TCK%4rv7{V}6D&oPYmkNCXEOeAdr|z*)R`PVuHmEEH!(qGs4aXC^$37Xvybt_0{2%X} z05js%zv2IQd)&K`4F9Vlp4dn22_*i1RG@g@oBZe+6kz!u-thl_y8k@!|HYX(9aM?A zv)&RUUExhfQz>GrCdS-T?^cMx?9V;Dw7c$L7K8LKMIjomIqYup8M}K;0 z)0h8kt0iLNk6Z?;4HSyw+=Nbx-@f*2BaIyur9J!|naZQv?ha}B`$DX})X`!nvt*|I z6{N$+39f49>O4cTBlba5+Xa5lTm-(ry$W!E*6!%jc%S-}np~F+B8)2Qw*upIyG{aC zWg9yeM=I~kk~IzvLu%?x9&KAicuZCYMr53RRrPj!8jCje6yCEuoFrys#5EoDyLFc1 z#kYq$*Y}Aqm>YJD?IB+$(V^V7wKc^Lg6rShFi}lMVRMLQNXJ42d9YiaCReH}r?AR& zOq1Wl2t?*d!}8?XZ^qpwUS1xZHY^alAI}|eqAMt#^ygDAD)ik3xU$=nCZBP z9&Cl?&el9AG-z_%tcZTEeZA6o^mXm_YR8h%^G%tibi2{HQ-qQG73=+hX&yjNxtVZW zZhZFY`5nZtn4iPv^fOHKB=sqKN6qvjydR!BzIb2k_@2cX$LtQCAzo%P`qJ+IFSbxP zj3=IHuS^!)FsH^Id1)}vU8^0Gd-#6?^B)599OEAUgEO&lU(u}jM)-jj30KD&vfRM` zm!-Ru{?$O4!Fl5>_j6qG7Yl|XM<2Flm(Ok}9h8l^!y08`b!*a$cmglxWHdNt=_g31 z2#my!Xqv6i{#=C!kU{ackb75;w+~n-N~;#Yn2I7X2XZpF&M>aLuWLA%rt{!7kChW1F~lo(eGsG9Siy=O&?Lik5Bp?p^v~{my1kA+rOy$JimV${3#&8%ZGWTXrf?lQDvN0 z``W*&>{;LVfP0fdr`zCJ-7O{_u{O&uOcte8_l4!zS1v`bI~pwO-^)(kjGAbj@QhkE$F1|h~NC&_v{$}~xE0S9-l64Oj_upD9XEb-OH{)JcTJ=hpD!0Sm z6u*1mVIfi!Z1JtoaS|4ICCIOjJen%CBOR5(N78siEGWAX8+)azZA=rU+}y9Z1W6zA zd@JuSSm~60?Z-1}?Fs%`If*XhS1VJ}o-WHgj&l-s7zl&~UaEMJlYh?+Fy+R4hGmLG z)6C6XmeC2FSJDa1n~luJr9xtDP-*U)v1{(H4CEs%uN+xq5?%96r2hDukz%>C@s5gp ze$!g^(@b$@Tri^&%TE+RC3UdM( zUt%-m$!^(Uunmv~*2}yzeV@XlPBx>moh)nK`7x-&izP9acGLcYpbX7V!TGHqM|(>@ zRuR$fN*Wg}6Exc^lhbTnc;tWIOK8gCs8+ZvxcT9KEV&gEQx#DbBf(LLN`Sv^4!3`~ ziH0vRl!)$@kV>;4BDr*Wd|7ptv_j6{B|j8RPsz(NxAnvvTM-LAjfUi9>xlj*L2s{+eDtLcWTq)~0CcH2DJwyDEKVRG&BgKN1uR7%IHMLz7>a=p(U2i_}2 zYTsjB7x`JH?ez-9ro_yhGIZJvOx~X15s%v0dUz_Hr-g14Z1e<4i%CeZiAq6gYt49h zaxQBd$_x-9CGNKg_Uy{eBtt{#N3y)2>_5;8(mKz{{qJ)Zd6}~d?!P)8pt2!D#h-f5 zugv3zkr+=82UH27) zI|uNJ4gHS${qFZ4Hu&wp7VHROg`2*%J9XSqet53K9<(`#RW6?#B(^W-q3{g4F#0OM`02c4)&D1_hdM^yg4lf z^7OUjJbWR!jEC1B>@^sy>xi|f%-WbHTw*>(Ss-b$b1LSWhdNB%_eI$>tu$=uC+$Sw zH5^U#S_jy(rp>vFlpjeXdrDe50W)`MQW(U&a`5V_qNmAHD_)6x_c2mDRbT!OANCF5H4wC&O7?`+ zJlyW%k;Jnfu}qL8f8tdomX}|8#Bvr(%~z!ov|r0iCbf>+z~8+$tQEn0U|NDc5)1q+ zNv4_*al?FZ=EQ&FoL7^fWP-*KlYePn;t>?B7@N@>Ruzr$TTL!y;`5bbOEltLiN^EV zz#9exxSIT?BnWb|L4t)gF{V6R;lG#rX;jhQR>F*{B6p+BuCw`|np`7g$FV(CNs{hK zpkBFCNbs0tU86zCk)f<|<-B8#UjBOjbgzrSBC-rMH9U${P+h z0xD4px0<)1#k-)%2yd_Bn4R5)w-=Ku9P^P-Mzu&EMq|_3G-hzY${E3C>F$CS_>d+d zRq1F|m~x7^h`E-Sv;Nez{t_2@J&9DYXo>b9eY;44gU1yuHGMUZooOR$zcK=SBPklY z8+B7*NOdJ8YLTyRu-?BO{LrS^TO00GfA!;S)3i$FXcKRpT!y9V5|i<)ZMaiGh{a#) zQnE@-(L4s4hJEe2{^U0SKbAV3N9XgF-ijUOs`u81aDf$krDY2i<c45zT_+-u3|=B3$`J4PI!kxgTfM02-Jya-o1d1KW3 zhULna@`nvn=Ihk^BTQ(DUo1F#vbcDsn8G6}Qpb1t7g-j$ewfF|B=S+3#Ks9fO>HjL zl7`%uzo9DLA3`4+X$<*rOuXSP4H45&3Q_@#`>K<-oJzjhD|2daDsxXS(A=quwyG-^|Cmmkb(H25ffII~!jF~w2h z5+(oLaIB08Ht?=CxPICoWh0KuX7B9>W^wmN1J4mLN3{PD#fy0+=;u_VGk6jqnVO!N zL{9eLkv^uyLE%`kPO)F(gDD+*mS$q>F;>9^)b4PAo<#0{8_(2=-|xX0^_gwAIWp}w z>e63lXrb}t2tCEVQaIO(uD##p0n5i>_~Nu?kIzi+Mejx>~l>0wa(zWC)6ub zR12L%{NhOlsYCMU&5Ele=34z!H>GsCKgmi~Ya=!^x0DbMmO;C<#Za8=??e*XlJeO) zLS(h!#&55Zcc`O2ulxzQd~u6A|4s1P)omb@jOh2<>pU_=Yx`CaCdPe1^1D63V1{>C zOa~s^pu%69(+ipcgv~TH!vj9$UQdKF41F&sNck9pb>?R(1uE^1H(U@a< zpZSe!giJKueRtDS!Dky~ni77Q8_s-lTI8`Sb@I(qgAe$ieE1CCXsP?pVBc$ zaMBhecm+SnCvead=w5tPg0Hz>P+d^s+UX)Q^teSXta&{fU1&CYw)RywH~Th!jK z_SJQ`m1V1TxO6Is#=z#6T+-@BYhn8m&vg4;je2sZ^}(*wfO>cFEIAuZ>+za+$dMQsLohEl4y8!IwWg@FlR;M(_Uv(ZkNoxd0_}E%bR2@{YZe~a~ILFMqw0WPo zuGV@b^cG&XEt^Qm)G>N4NN{;k`3ypx2z;7noTdMMe=S0Vlbr%J$fx0&af=v} z-_Tl0|GvEtN4FtnTK4`?#z_3gv^{^yNG3(vt+b@{$K|g@Yy~_QA6eSF$MHpQ-O3E!UbhOFwFS1ZnMJfs5Hz2A1r{=hQ5veld`+Ee-Z+~yDOpCMs?^hHNGWwYFQ zVJbF06){@fs(cHV&B6;3dLax;idIzkGL(Z zL6w8f*VXy}F&+|IE-z8GMuDmOInn`@2Ftps5*hsgrBUqRMy0&$fU4Kuk~Mnm{htp+ zCpY?{=sXSFlhrQb?@&Z9HLS)P1D)1s&;Aiu_9vcbYl zzB?LPl%iRc+l@I`AZQx%G|ktXG|BKc#Zc12uaAGNT8UC|(Q@fkYO2oeeA&@uayU<# zTsE1D^<_w7XYLMud#k8@lXUK@7P-qfd&&$7JD%|*1h+(y;<_L=|+c9Ejx`I zfe-kYZj5d?;q7l-XS^7KG6CAhR;2VFk5rFrN9SYXd~?v;JZwpf&28-jv&{_=8VneL zro5HH4z$#*jqjY^NxLm7Iw&gi_#2S9kQQ))i}d6S$gG&)HLv9LpE2GVZNm_})q;wU z0hpb$*+Jk_@}%0xPp?@EN8=bvV|hmz9lBJpUYXgGF^JR#`ks#;-#DOc-9I!dOF~OG zln#C}HwEuu7?i$1_CiYDtW5u{_@Y}n{ESWcrSFG=k1Rc6)_i5aW(Wr`eEB>1h~Dm zFF7<4C;kc1wZ_bbDCgCea zGopXte%|tEVmMNa!N*q)_p#1v$Ex>qpE~tnoA?vsV@>5XF(6!Nq52^52DhYLHsY%Yqigize(z?5FonFGUS0c?qdG#mv!Z#u zG4A)Z5!&|-wxpt&=xa#{v6XiQSsKRTU%p#}(fSN9lW*)B>{H0Ev|I{9o$)IuTbi4( zQesPgy^eCxeKT78OZxA#*5bp#zmsGxqDPSwNtma1qkgudVIp?LvaF2y2A`E``~7fX zkE2tFPVag$@}&Yu(zmHXV^Vvnylp@U#xJtVpZ5eSluCKNp-tfQtpg`*lwRd8UmbWH zMtHaWb%(8of0UX_maOx3lYHCuwj{sgDaWwPow`~{>Wlgsf$16wPM4dUy;F6YNNrMO zlc*Wdh$S^2yr;fW^_(xR65hoYeG-alr2LpB#hP!XQ5H%*!CfOCH}o9Zwl*ob^GFeT zC&0dVe}x=x+jMRHrM|84h$o7);UMG7u*HYmqWzdc(WI{J_Y6^^g&dwa98B+r2RL5} zDf?1oYS0G1Iloir7$VG)Or#>dt9PMY)MiNXOByA_oszc%WzQt(IRwJ*l}p7jB9tk* zXFR3-%i^|teH@YoLsa^*)y~3avmWg(XT=UANCtf%g|5cGl^6BsqLQvANRH?Dkyvj3HW7zgL{ANdrJQ#EbeGUtR#=2 z=iw4vlIW{adw2u7@I_BqhGp7;a^~hu#;T^eg8)12g=$R3eDXV1WN5<>gyfa&C@hRn z$1G(#%A9YcHtk6K`mWm=Q)n2Rw>Z8&bZpe|iLPQh9k-QHE#ozO8(`8f8Ah4R(Z z6^6QmsxoDff>iir$e7Gz+CBj+%xF zINrpXzm1Fjk~wql$38Hbj}T&5Fcq5W6McxcoA1@tAFuj~Q7@+M`E7{Y8R%9T-fs_K z>f)w=s<#`%DG-(#c^|1ozfr(0p3Tf;tqBaAER}2h{0=SzzYvdpOY>46J%+o4=g#yt zx8(y1(~^4LQ-Oy4nEG_gT%QQj$mdtMxQvY69V=?-yB~Ka@I{Up@7$g1m6_QB&65S04G^GzuH)=S%V& zt2i%7fgV;>gCySWg7B&x&J0eWnO5CI8LgD62j#=+6q<_~`MeLZ<0-q7$1Ia(?9)Yt z44F4)5>oukMtb|9Do6Po(Mx`BF=-8-Sf#EhDz`F$ofaaD&OBx3R`VxuwW#fuq=yrE zK1L-(MsJa9s%8Iq-hJJ2ut4*cWxggIF0M;EqjDuObv{r#U+z6xrY+cSDEcj`Px@_F_2Z!C_@lTx?`ccogK4{kH&wTd(Vt`FC+n@GgnvWMNm&>hw zWo_NvpW5c_P;*^*$`h9B3vyPP%(+hX0VN!kZ@y~y$>-0L8FOuKy2RaodT-Za)Ee|> zyDwmKRK5J7bNZ`n&xbK)Y>rI6p^2!zUM1s06Rf%!=hx4lC+P3u-(&NkKb6+}n@bjd z^pQ0An()Pt4^OiksPQ5>J!?O-?Ng}4*R4ti+&CS_ceQHI+qG+T=kVu!odVt*97(>) z`;B4S(~BdFKo8@0oW0UrpqH_jLsJ`Ch)aEIGo&scs7V8T>Ckm+x%Rnn#w_rF4Bs-k z@9C{qig(8xRD6X~%znORbRMY%xfmuCL)7cz zvR^5kPA@KI!Id4`g!9JIQ#(8mb7w?+E*}HprPb!xhyyqvCGS!Z@3P4y#ms^OGsL$~ z<4#C!zgVN{5^?o{60=Lb=ekXuR^{WWsS8I(`7<_}9OH*7eH9Lqa0H4_b<2g!a;^JT~NJ>>u{IN4y~l|B?e>E zECnf2jh8CQ8^!;T0ST1cyZsDIDHh48x#lf(5+NX?Ql%ckLs7#zgW-;7+}+dMX`$y- z9u2yu(0GK?H`?Ti&XySVXOU!{B-#v`48PP*T ztc9Bt|D2?R3>xe}+GQ7;W53tm;$W-R>f%6hJm=u#0|O9qj*ed%cd9-uvw0Ur`h2Go zf06G=_dbJ>Tl06?$5Ea4HK|V7)_MwT`Fhzic+j7xA+s8Hd~*A!g#6sxa?^0;wWNV- z8#5-H!W&$;vu_ibBo8h-Z#usuljB_5$BESH%F_`^DN2is?e@{}PYlx<*J#aq#+Kf( zpb15p+c@|CCj8O{MfAF;z}5vxl%WD^TMes^JB1)#~`8?2LWvrUHG#FM_=R0!Nj zU%-|_YDFVSQ{`N3F)YW819Q`KNTc<7wmvSLQ#soq@O^a(>sY;1vpx#YUM~N-eUZ+D zC-3%i$F+y-O==m^pUs8bXce6OJY{eamYz>c+Ujgvy;4#30RM+G>hfb0vXaUY|050f zi>IyH0kPxohN4qeiIneuPTIs?-JM`f`d-DSu-+{MqaYgH>GMxPe)aM{$vvkVl!O1f zl{G;cJ5Vhed=n6#?q>fv{ZurVvTyWIZB+_o@~}n#j&^`LEp!nNYToExnRlrxw;u;7 z+k}G!ptJRwccpn`FfThf2P#5W0ZSGK4&~=QIM^kW##SRDgTl^4gR3`cQW;%?8UeF8 z_Sq|=g+P8>aS=-9(phf*d~na_IX;w-AG(-M8>qd1h!6cxT#@JHsi*?DQ#otje>K6yyr*GBMTB zE%7C9r>h;6?laRb^wMKWH*u)2>emRxnsF*uS=v#d0&3F#haUxkmf;-Z>#2X$!Hhb6 zqA6}*)$Q)&?UGBiYu#DKe%sFh$jId~gi3`^C}m|V#ajM{3xYFJ%Y0LvKZV!7;(C}L zTHP>@s#UIbDvhXO<;B=LsT%8bYP}zxB()+ntwb5?n;pFD2pzkjh%;Ills)!P)SN7B1tbg@xY_Xer>IK z!}ZDQQ=C_Sd`dXG#ND-u@_@N<>q!btWHIxzWoH(@d6D}jiNZ{jo`z>^hT8Q`*Bx4S z%*G#0>rTH;K!n)ZY*)G2O0VWb?#(!vi6y3uP~%BcxnVM}kUf&YJ45RSWA1PTOMRu*bz*oDmKv=O?$+6u4EVbdIDP?JZ_a zc;cxO3Qu&TK?B_usSxPTO!>uD-3kM%^DZY-_tcIm)%JB=QlwotFbi&{BPTNPp>EVF zf?cFi4>Xgiu)~o^tz7ppjk}t>OD|OY98K1!y+6rjzx>6hao1FQ7=&QP3>H&U7Q|~q zEsvL3C@;O+w}@n4Rl1Q@6%TZ78AW;fJ6L#v$E%Rl|Kopa-KBK`&oZY}KkQOfp|#6u zzjHL87Mkg;bc6M5`A}Tz?vZva^ziFMYFZMHsjteZpk(lF|AMjf@B|K5(X8D3Hr7UvdN8aTY5%gX_y;15RJ4|a{qjf+dASi0$AwB7sXUtcF zi&~{1QcFmFA6;$edX4fW2M?`^1bQ{Y*o=C@rhgD(R5Mz=vv2a&k7c!7H(}P7og@sy z1e)`*+dl{49FR`PKfvjbEltt07(I!2UymsjXnF%{@^6p~t;|`}A3WD^@DNgONQxPi zD-UvXJCv*Bx!Kis{~%iUBij+`s;}N4ej-hNK}G#eJgbaE(&eo&4#suPX>JzrJ?->) zw%Rm|ygE7uQ*Ji6hF$r`BZM1Okc!^ciN!z)=(Hf!yb|x0A zWEX8o$d`jRviMO2k64qq}J5$T=VWiYS-p^7XrRd-wez+J70%Rvz z7%uI$4@hDo6YxTEA<9ynL+H};LY+_C!AJI!8(wa}W+b350GQ+PNpwJPUy|TsUteHE zan3T>z<{Zw)$DJx^zbnOxM3LJF+f?S_~N#l0I^GrSQUYv66*x1voct$pL(r92G+3e zKtI#Y2YLP8^rv!Wt}TXH_#%FW00SG4sSSV&8_4m7t(MYJlY?U#db+YEya#j8L-~0l z0=gON;9Wi4z%CsknSDRi6eBJMkWqzP^H0%({sMtKh)>1{@W~o*L4Xv>@NZv)&X&Lh zIVo6tjArOTu$;__>tp3FyKBHmB*27xYXHCg)_yMz>#~5ke+xZ;oU=aEiglQ7{RH&G z82135^j*Q|d&9FsS#0DDUI-Bc6EOWSAn;xfc&L30fbfv2`R^_&n{|1u1@D%Vq72D*?(rX@!WU)NdhVfZVAP=Qjc9H zIy>^DxjJ7&;B;m+`wkKw-H3h$oOqC{Yip31ZlF+4dga(ArUYI){W@w+JM9Gi5xHt} zmeUA_bc6vFVGzz6mHTuC80CxGYyg;6kl84buj@#kru%ONEIfYxu*7-9sGn;bb}w&*Yg=u zL@3Q10Cq27>Qq5AA{YQUBGQ`tY|_lHdHN#&PzN1(Xu)fB4Huf4)Ionf0@zrnM^p{R zf3EQZ4)$IH^12~;4mljCVEJ@ZKhE)Raj1Gic6+H({(y?c>Do^qy&J#}184>)Sv)C_ zia*Xd^*PHE)#cK{hl|;%f~dQsdpA?FfR4E}?9H+KZo(r)80a_L2YDrn-MLB(XvexG zKp=ZR!N*a6@<7w(*r^08!KV+v*j&7rU3Fj6#|tqBu>f||tMNHq$3MYha2W(S0%98! zhzP8D4A_P-x`J`Ne2{QD?2zR(?Ax$yUUpX@cgG5 z71CjfNRi`>(esEFb|tY(U)`#&;pMzWN9J zzZx!P|Ha(~`5fK|EN!d-4yX)wzU%3d0uo|SoDQqm0P4SdnqY(?K|CqIZHR0_!Jr8r z_=rHwiafqmLX}Livn~Zof-URPjsb^g4ny*(kELc-Q zkRXrGtfoE!Vpt%$t}g~_He)&Y8>)z;>*HH(U&QN>XmF_8J!GL9Xrn`@qPSiD*?y+F z(DcwH5%MwwmHrTu!!QNu zYBD~q&OSbeRR#dXaLsq+^pXHETeXTui&QS(;ARv>V4tba@GUfX<5MGk0w7D+Aaldt zlxraJg0T%LWDg6w2L7yJAFCqB9_BAn(NW$36!AkeQ2w%Mo3_eTI3YmHTfrV9f$=r$ zYt^J*hK-eqa;B~*IglGH?C#T4jy$Cg0Q&n8;KKr?fizerTI+XDZ#>slUH?hNW>VbO zY2nM0pb*85VA0Y{o3vxxFtX^(jb_SF-U7f{XK}QbLtdh zHJ(xP3>w0YSUf9`2q6Nk{Jx_M3PgHl%OM$GZKd92!Q5^jIzgqY%J)7GMG_(A5Wx_Y zhwdGKmjDUbSOcF!<~OV_7|Fo{>JF{Jm)d!=kgm}h1@WEK9+u|6(C#3qTOTH_+#MQ=} z5_l4zdf)iqg(`L6gRY5AQ;1ZAr>(UP{=h2zo^1<7EKrMSQnhfEoFfi9J^GpR31))G5-(5jacTvGW$XvTE{oz@>D28~ifvsVWA3EO1+cmORp503j zAXNY1pU*O=X4U2?utLVj01fK@yOMz%bz55-A%L50z^(1snusma0T>g%Y=g{ne#HCv#3h%u6o`T_GifeO{A zB0a@K(K3O5{#3db1^!i#R3v4P1c3Mu20RcT*&sv@SD}2jVZYpVt2Gx>5i)mOltIyq zEL~ZEf(!T@I}s!v!Ve_hWyXRVAG#LvfWug1*lkWMgr!R=q-**kOZ z2uu?oJtHCC#ft@*hgR7jKW;#sb051<*n>-SfB%edtGm2m!44T;1I^T{Kg$c5CmfNS z{0Lb>!Llnn^V=1-F);RiEr%a21K>zgecGP8J4Iy`K)!^0N72my$vLnG&WawGkVu@( zn}&7J&_{q3TUwc=iy&2L?r=9j3=YAcFN9<}!iF5TGS)r=^LMLs(oZ&RPIKnyI_Ijh z09S&p2lv7gICdPO+D63W4`T7Ed{Y79CUh5AG!8b0oLUA&=Um^d|NaNz94AzaNP~uQ zj_)3JVErMAmGGulLDZn5yFpYJI6^RUNjqpQRzJK%{=0pAHT!%0^TWa+V`eYqeZwK> zKXOrwTFL>HyVVt-?Nv|>IPk&W6K>gceK&@ZK_1=B{znis5+VFZ?dN&NWATs#PxvV# z6#(^v>@bEgm+K^SAq1r}1`Xk}`TN4OS08X8#bo(c=;;^l+2HsGVh;wufFLh>pLrNK zwTk$?gSt)(c8n2UAcZHSqvD z`gKaVhkO1=2xD*8+<2D-yaNyDj)yTemraLMS-@`u5y-Ln#j)}#=LpD)-mdUg2_V}E#ZVh2s?V%>BF=hl28y~OodzrJN$Fn5X>ze5A+)bp{5Rr zSzo214vAb}C87@bwhm^bmL7psf5wA6>+KR1L|owkMZaKT{0OjjI3wPb8)Gj(+6!bA zKzd<>HgI*6@fqtNl<{1VfSNU&n+lmD(L)%%wcHP5w1X#zZ{hczTEo?S!-2KO#t+-f zcz|-`>dmwdC^nkzvyqh0RCoWC2vLa#Asp@^O%-1o3oI$(3zh262MW~dCqY+V z^x)v8y;Hcg1frmN2G#>iQe^|I$V=^GSc2GoD(u4RDY7*F@{sp=7RlWsh~l>!_INKY zJU+za6i4FwZs6!#51I25v6Qub3TyE}x(UGqHE+E|UzGj@AASG}pcIm(OB*V%oGB8( zGi71wmVW8?T@&aam$iP)h#Z_EKmi-E{d}410Tj0XSsHYzB-RVdXB;nnibQ9=W2xS| za3Z)n72e-*^_g0=4UQKCtm3^cO0mq~ln+tTjHe$!6rq#=NwD+?I`HH%-vf7a^Ay3# z<_F-1GK9@NQT{-72Qrt4?ELdnHQzsF`zt+(nE0BT08EewNzhxIj+~@}CGf)p#{*b< zSAW7z-GAQD`YBt_QknUvA;KPqG<{6{xC;m%MAU#BIvAk{Ea!n49}kDXMPC5HP7iYv z+pl0fVJqTO|NHjk#C$5PAGH#zKu6R*XacpJe9RYpUXU*RXKV0KlK@^!?U(*!sBbeH z5AykO$mq`zdDZ8#ltKszdYBxR87`Y(V($RW-oY~hI5vt?Niy-axZyy4LzMt7K?R4{ zJ%B!30gP&Z9RZv#-OJ>;5w{xn9g+VRZhA3TlhDIri=*%_gya%BK!ck07o*?<3RLZn zk$iaVYC7k5{})%^7+%Q}>>biEZ1qZSBUkZEoyrY+D=K&OQHoKfKTLewZ`W z)6?D6T~$4;UmXP>aL3dz@Gnlp56<+wx}Sog2s@5_KR9ocSH0mD(l9qHcy_a(^eN2~ zU-Sna@-71dvV+pTQlk31alViVZk{=A5~&6AUK5IBJ68TmR2act_u7QE&fW8miiJOYro5ayCqCtZd)Gxd69`a=-^OuO9AE3~m*m#~9 zFZ|Jax9^yquShRDtT)Oy-YkPZ3||_-zuFMHWJ3Xh&qgn9&>wP8`VUO#n72C`JiB%O zv5V$ElJS*Ac!LZ6&15^XW9B2z^1(}RV+GC>CKmPGoSH7#H38cDw=W>?bSLWo9Bw00 z@cSfi$7@4F8CD>&|R|-(3c4FaWO@(MtSp+vsK;F|)KKbJJew+q=`vKoY3wp-+DhL14 z2LHCn?u`SA($)|7Lqe~C?*FDLL4DQzs?w2$4 z2R>pKy{lBfoVGdX&&$AP#E&vfK@X$1G}aGz!kd})yyZCh4;a5S66J62PX9)zFB{qK zjp}cVg@Eq=HPtXIEgW##k)iA*SNwwc7|SxK7Z*LTKVz{uO$*iGE0 zJMXs!&I?!^_I&U6@Q3d-==W3%`=EsnLpf+UStqIj&Z&M zaK5a=zk!tlh5CX=_})SIRt*2n1pg|+w*C_Azd3FaU^00L3a~r=KICWnaKZgr!Tl0! z@2$S0#^jsa4zZ;@H#!c%}{;vz6%5CEbJ| zWg@f0D_f$w1&o1u6$B-tWpSa+A?N&-Zj*y%2Y$llQ?~Jg>n?Ld2nlTwUvrCY&v)zQ zxs?NwqO+5ycMt`s-s7?H&GxmynKa$4zBr&iXp)~HmA|ARlIFjW=;1?8^I-ZnWZ-8U z`#42zp5})gcy_NNUhM8om>#!c|NJ;Q-X`(%IX%}wdWjJQ#;S`enpvbvW&Tip~e&!1UR}3D(v9a30)C3E0t>4#*4f$Jy4G zjstUGDv=0kOPeq1+mJbBe34p=xcF*pOYDMlD{&0aLkVtctMuIgRoGCneS1>JSsy_w z{mww?Qmv-|-vM@0pFk4B4D!qp*Z_2z7@k@M@wiFwU!0;Jt2+I7NdC@1{>4A6f%Zk> zsg;mWBLl3vk7ix{qk{wcJIgZnz#H=5hR#%B-~abB0heRT9s|aNnYiH@eiB?~#P0%4 zWqGHeE@WzR?O;U6Rz1VqRc$%CyiI-6SV*hmqj%GeBB%@+Uz1wre&fQsoMiWPS(E)d z$m5#+4Nl@&(KoR?-zFB=)|RRqM$4M}Wd0%E9%=x|rAx>Dk;%D3&6fEH*q7eSb-;@8 z@9x>8_wm~{3K+{`;OP2)kf}s}D5JcO`2OsGYE>pRx^3B@Qn_TtA38LLD--_!!OPLk zOOHK`0h3HV8PY|IT&KZB_PNy6DdSXOeZEENmdWzFqeS~9&CB!$beZYYDW4sj>i4fz z7bdWpa^#WI7d%0&yMnmtnq^i=8Rb*~2=I%eBKb$~YD+FuD}Hqy7gMi@ANByV;fc4Ff7W)0XG9Lm zCj;3Af?8J9Z;xniVP)KM%2hbA&}dkJ62WyT_Na1ZslpW`%F}`7{rPjge4XBn`m|W% z+g+5`a!%Nw6)j5h%5-1yx>|iqx&$|L0^YEbc-AIMaQ?JKiiLR{`L)vImM$aoJfd8b zF2sv(+6Buu(fq`2L z#H@_*dcnpTA7v!eK#Em>)Layea5UQqd}#fWeIrW?Kl%?J1BtL)dAN9iVk&F&sRzIb zGyCw2`hBFlQW7|sEu=w4Yxz?(9lVxB<(Xs7hT%ycFw_I&?x~Vs;3Y zBk)&gZ&)Jb@|AbzsupV(v!$%c+T>^=e`)i^#)}ne$Nct(W>F(-P;Q-a%yF|J`Ga!C zAgh>>7vE)k28|er?m3ZmMH=_Ze;I4p9)YrC8`X7BzpKZ-Lnzm~ zKnZCEZ*tn+PPT37f;;XqUkb?q&MTNoG~*glnqHibEISojTGj!olCIe2(iGAC8I&0qmPde#`oI2hYoU1S;d;FcajC~z(OEUs0ckdr< znk`uqlBJ0u+mDhPP8LyD-^*go*67*Tpu$EGF|K`ch>_ZzieJ*GVbuWeq5!sqw;Z+s zLk!OM5v%5##*%38#5)vrQtybkNd+PSXCMUOkg=gcOSH(z@4lanm6I27P@4%mTHq5( z1v`8Q;fGUKstr9=6N?c>ARs@-U^vjr87drwGK#~hGyF`EI0%%-i(C={B=hf8F;OaqnqZh!zR;h}?hxZWh@KSiH`1d+5 z^f2ii+onA&!IGo>Kq|)ZTsNZXzsZU>9pHFDhiAXVnTgIC{|!jR3AUInL=A}r#NI+ zoj8OPdZD{+$BH=_=V$EN4BQMOFK}zKgq@k5|ItZo*#>m1Mo6b~#G)yq z-PPXNol=mWHy2fe^*`(+O~x&wDqf&oqA(vXdkPS82=*(o7~8ptgqURkAA4RU30>mO zq=^;%^>z(cs7XOVHZ)Lb!lY?oZF16=Cv6OPUOje;IQeg>ec;;c$1@=68v;JSsyCl}NFkOFRMwbH-bMp(B)?QF6 z5E{N<6sst45}eBMUudu)nYI!oI4DQC0Dd76G?~}eF>uymgT^V`G&u0thPAK^U2}O- znRHqP9hUx(Bxoc!m?KXH>mtA};Zk$tP7+H`-cTZZ?CnzQQs<<#3aKqhm<|k~EFmh3 zj$Op|Tdo=|z6^Zc^gRCLjETXkQuE{EIo_P=P&$qpoMIxQHgq&I^^A;%6IDtgiVeHn+8!5BY6Vl4gCZ-Igtf5tpPoo==0~O3gWeVQ z5(}&c5mbL&D(?rMgb6K#I(QjzPkh}OlZe{7g~kq90z1Kte4lP)_3JmGq`i)P&8Pj-R4;`H0VgRq%<%RL9Ex)G_ZWh1>pp*7U=>v@o}BWSq>ddM+@9X z>#u2}pvXj#0O7J?;j-f47Y<>?sK%C#`Jx2GN&DM)d3fdaP|%Yc$<=dKYNjm zqDFrlf6#nEkqsaMLS@84WyC|z+xE&W!f%}=EDt2sLQcIxXF`(D+YvvgX%8g@X#cmY z(fE)A5@=G3A#)gPFS z2L8Sz5f@jZs~Av{;|1k_^~6W&22^%Z5mI|VDBv&>CTbNG6>W};lzd+TAPI<%jE^Ki zB|#MN8*7UjWHvIh%%D#!dtf-(F!f%B55SnrZ zOSguu{(V$$xiw;kpDs_|SF$xyFiS9k3OQv&s5DD(^dIp9NI;U{Kj%0x`08(!9uW6~YNo&~u3LCRvO_^o$50Gvkl1)g$i6E; zIR#}xlS=k#J)ZEid?1M^a#^$LX(`JZ5H~G?tTT)HD+0$tzoPyOP7;dvcLc8z4$h2%hfNqLPm2geofU>Il}nPUfZI=zl~Udv<-0I&BstPjmEfZe&V_&5 zA6@uyLxkru&?Re*aV$d4WPyv~AmI3*2=)-n&8U4h;vi)Bpch*(h6dOmw7a57rNM;i z|=|NDd zCXfjg&=P{esa?S+mS;)?ieL(WVN||t6D(;!wn*Dh<+^nCad_T%=h^PTZ{{$IQ`+l~1HJe;4(Vt}1_#YiY#8S}O?ja- z4H>@{88Io&XUefpvhW)kFC<@zRXoh=@O>HQUk5V3`)~wa=T6%Q7nPsQFPE(dq|W&W zxXzuOd_U@XGJ)R=vj!6{=tl`gA|}c3P~MyINUrTaWi6{+S~2m?b(v?+!|jCI@CO0A z&^%Yt5?&urbE@rr5Y#6;a2T`@TL+vip2YK>9>5DaN5T?SI}QvpipkO+T$>H1f21?) zuHwoBqj?JvUwrG){TUT0`Z65xw|nJ2X4Tvd(%W)?8pSy2|cl2k_)hShV>VP6EW@%L!8L(moKHfI)gQyPnM zNF&z(RCBhZ0o`lVs5ukjQ72j)aPA88Z@hG~?ZbUgL~95)+fyJWaa0P8S~*7J0sv9kSa?j%;%s%y+5l0G{Sqs{SUHtg;f>V?UXQrXd=bs? z1FB#ono_HJabzNHQx;B1yCX(|EU#x5bdeYvxwN$FL%ak*;a3xWJbT^wZB~muofaxHRHYScfGlXo9JijNE zZkdj4d77*y&SBwA9=Ylhm=A^}fry&tO`LStbc#;5;d@H~E7&5AHv~_MiV9lD6laqJpR%%XWc89M5F_&d5 z=DYo79!vyonZ>KC4%E3F#)z2Rwx2jpJ3WHyHEQm3>~ySQZtQl9TekUl zSLMoX)O0$btO*-D{X>^Xdw9AGmR6k@Y2G=X)#i}uh_dXJo4Y!7PfBQM)$+*jZT67f zyMGGXi<3V->-a#nSeTruxycHvAS&3}kvD<(@qlJ=jhoLy<~Z+A0-KVO#ift=(5ks! z7!4Lp0yRk0(>f+^xJ8=;17C=rx@rTp_$hVtSxyFlJP!Y$nNq-B$o=bXaB;w^cD=8F z-%Q|ngz27}z|D$|n`QU%R#~(&JmS4OXPaGrzH^{cdz$$E=Ing19m*!A1TOC%IO$)R z-)aO?hf9m1mxYafI7(7taAJb_S88;wh3Sp6bYLLRQzDUv+n|2dDcO20@T<;HVTpY< zcMJP5Tv(HQ&VnuRgH4a;ay&s|v!kQ`3+mUgSAQw+=u`AS4)K7u?n7ixwhjWq`Ugyh z3R7$&NcEZ%&pg0MHc(&>nU*57hw6OdeGx58t^UM-NjaILBN3>0j0q*tz(|oN(>fAK zm|$7}b_vKygrjkSN38PInK_x5h}1lytTv0gH_uA1{OdH!vEjMOWk$<${T)Fp1rH61 zBR-y!tHLO%)OZGt?m&9#Nkrh3#+D}`g|NU^WD87a`mW3twmWT@*)6j;+FSb+N+dv~ z-Ls?T=$ONqgQ9+SW{l|F-gEII@|L)>_|>#>WM?4m~({BX`d zgns7UhHPN(CsKPMQmF_V#Q4&pxxouldR*cYY_hY7bkPPcA_faXPt)I#VB(V|)XfzQp+pb_|P?l@mTqz#A2cwx=VQITRbu9{l9E4|PnMuJyj%1F9jRazkPoY% zDUdY9PxO9!(|rqEQW+B>IYcsXF)0+`gmFV8#0n=H$UK*ERmM5rK=j27Trdom*XtJ! z^+zmgQF9!Rgj?aoXT!SW{&S2Xj_VxuMb}sRMwUQ)jvXs5B8OJ_M&@6QT&*<{#I8o5 zDQW>jiDpUTgm7$h6;Z~P*oHNZ_(tmB#RJ)(-9M(EF{5u*(PwD#kF~|EbeAja&a7#etrC{ zjv{-~-!okU!%6dt)KqkzH5yb(;wg5QD5~JSf0Lq2{<8ED=M96vEf~Cy55kTxNE|+; zXr7mI?@x}kUDQYbb>iE(9pM($;SDkOU_^F!Y`Ul~+X_u`njl;C{qteCD=1{WCYN**zAsEqV z4{BQg2W?I|HQ~!Ae=6epjP#+czN?@f(e1?oU3=-0OjmI#HzGui#FM#(48(#gB5Nyx zY807}XbK$8+u&`%B>Ehh3DS@e64jbkVea1@mhx8U@Gk3!kn>&w?ll)bo{v_`qwKR7 zlvIns@Aw3W6};9rO&!1Vn2y9re5#|+shkAJmhTt2{j-=wlrJ&nsWMO)o{oP$#b}vQ z(-rE6fhwlOPGNEu~nPZ)_y^R>N6 zvi#{lmBHT<^h=EzQ1(|M?+j|Rj7WqAGuVkN`hArr@HZJiEU7jz)dIJj$p#@<`8`M4 zuPWVu$C3oP1c}4R<0P4#Q=D|n1A209AsJeX3M$*dBOC<+%1*WS?7|>29+~fn_#B*w z;4xTzetoPR3Q8sdt)WQj8pR0=2uBK?Wh*}!1b-+X!~(eThCptZn_BLlCx3QM!I`7WByRa8>Om zET(t~Ki<_^!gMlpDMV!;1g+SX{pg=Bgsb|{0U*z5Bg}teYFO{j>O`=ypd=Mb4V>pN z-V;KLDIpJPCG%}DIn#PJFN1i72%*gyT{*Sz`jaZ+OQf`?8b(g7>jvFz7iZL-BSU{8c~S>b`$D-b)lul}KB$FcLD>Wyfd14^?NV68S)p@`Y* z3ZRVJ375nM-$S1oliMomrulCPK7K>}+k2U1$!NO-m?ysw_q1{kj+U+_}O?QdQpD1V|87ph8 zUvjX*=vbLJWeeVON(?{dj@18+FbffGJOX;TvX>zHI@AhNYu~mE&kXG z*gE{6i>FzFMr?zZ5Wg zIt(a76>h&yYzcW*=+xBc?062=1*+~?2py#{p`vRm2UHIJATG$-L zFUm!^oX7JKX=<8^kXW)We;To}q_eq+z!y*W7h~3et<7+18;6i}3Yk+%v`nh$ zltND(U!0U6(d|Ey9W<|c6%NY~auu#_q1bSy3$4D!>{o3z_|ShtM70FJ4nN)cgBRL- zjd@DV0Jps~`yQ-Y+@<9H~(Azu^7z9#qGCtZ1$o z$mN*66sxVteTO0k9r%k!(%lLo|3o(0v1NzlV`lpkr#l242Jl@OUAyrbL{cAIc4v~| z6LyFcVMVJTykj@b2zXhy3A_~Z`66FEp8AMwtwVg@2<{!M-K1|xKIy(@yy>0KzH{r* z`KjBkQgRh>!;CrEuxydft&a86{!nhV7MZTsZZ1g5rV17$6EzYHe`>|$qB2Nmke9mY zNg}Fw-$$^=C&XJgV8o90*4oIO;|f84wN*W)BL<|qD23X#5c%m3x&P+%-pq0LuHC+d z&S(v~ROD%xcTSFRUX33{ppCmU{+Uw@Yf$#{@}V{R=faZ`BdCih18wCA)o=$j&!l$Q zwa$<;Ef)(_*+i(iS*Z4oy6nR3VIxIs+#AUkr6I^AQ3Y#*UD26#j8xSPs0TUfK#7yt zX)W>g7}3B=+lYX5t5nr$!6G5cDPscD|7F+6Vr5NH7VH-J&qek8oJ)E6)5M>`;8|l7 zS8mN*eyU1zvWk2DX;g(VG*PGh7}2Eoox80aV&k(jqhE`bhkJE}`zo6St?j83fZ4e3 z@>hVO-PGc=xfZQlBw||8kQ0Nx^UrxZrqD{JGp$JYLmh#)Pkn#_HH+z|b?ncwF18^* zM>l+&0N&>gb?&lboIP2?gFY{;-(@)g{1TZ9Itc?Gbe|3y=W{N_+}*2dLEo3Ce(R5Q zbjdE>ezcRla9GAec$d&z1wo0w)aJPG)Jxi+*la&LiBz%ObWK z2XkR#8N`DP$vMgzKZ$KaW)+&KwdfGcm8`qe9B8{2o5~rbugT?Kcrunxry6t=OiER{ zmK1nq8)hO#Mkp=1MVFkxq3tHtMk0<_(EBmZ5G?Zw)f3~m%UFApd_o4doSJV)PIGz$ zSc}MSf=CFe_?wY;Uk3jcs)R2gAyMcB;=jXW%9pQ1ly?>+5V113Qh%f5wC5^YRCM8Rk9<_+6gHZ0b!2vt53XEz z+{!kbT$1S2DcfipOzc+NjE0ac&7Ubr{XsRhFM(nH;=wfV*B!k|EBjck%;#DOOLQtO z&AL-B!f@fPw}H&gr9J7q=fKxi8Si^sICEx1e^6=!|3|27V(Fg6QyfRU2SGY+8viR@ zO389(sIYj&4x~yz)6N33NFjTn2h}bwEc`mMRS6B7Wzq;v-ftO;h)(T%qhRXBYh@KH z&06BBD2Sz=)z4WhuYcZ;T!h06%vZ6LMjbGqCKe6Y}!qShR{5qG70)33Zxl3E;-BKi-#FyBy9vJV9fS#Ku z#$+qr+%2eSlpMr|rs3s+yPj<$rhB85ET~yPQl1ZWKHBsDXBC8@gKAxZ_-{(oXKC?K zwz*)_OHvRf0BSuSmK&Ch4J^;*@|Ix%Q!capw-JnE>AK<71UgYs`U+ww8!9b-J4yzB$c7 zRuxfPGU(6a%TTw5>jaj-;D+Ik>CJ3a@Guc|IWH=s(u%ejzu{dK{r2kcMVxam6%mdq}@?K6s==($d@|DS`V`pgA81R5y);= zSH+N=tM@(9Rw^JC6Eu?Ag8Ez7Bt@i>kv(OBU0RCOSOXq}06i3!v(jEi>sDdNJX`kx zBWLTES02cmpV!Yz_PaciIp4!1J3(Ak5(kGXj zv$h^c#bkfQ2B@8_Cn5MxRPm_PSkiG_XtK}FUqK-AMT$TCBQT15t_%6|P0D!GKBg~7p2fb_H4mZ75NRkk_EbELId z!R;}_QWTM!MlXdA-R^{36X9`i z{WoPwR@n(m1?x)m-D9%A(X}l3WKpwBN~hTmQ|@kW5t%-<)RKr4e={u$;xt@}6=GDV zho>mkc^Cv~JmF)9?p#@8*suiXTv*iLp*vZQ!cAYH8FrE=-h_NTUR1OwN3TGh0vkq> zR135TBb*o9g_G}@&9v{<6th!qA)nR>SM}cFtlZ&L`|@|p^eTB%y>rU9xE7#X+C=?J7#4TUTQ z&)F(q{BR{x-#790+kf4!DaUbu%rIgJ1psT7E=WgcQCR?I=N|j)CBRVAc6fo4pc~xT z3W~Wp4TJW@QZ?_l&a+_Y6!RI9+^nB~VKx95=&V(NmrIxQU2#i-MyCX2TMx2n+pD%o z3a3Daz3D*m?$v#NerZfDmI zZVw2nd@D5R7v-xQ|B8K49B6}HUps9;f~@u=&L>2ED%ZRXd^uEFaN>=5ioa7{FzWon zr;E2He_JF~1dl!(*WrfE_6oPWz%Tx=3c5fPb!iQfo@gt35oM}46y%BSPtH}(W~csx zE$`iblD_sq^`S)eJ6c#~mhunv`mbRznP2i40K-@F3Bs#8=V{amQgX8o-wrY>-azFJ z+QGGaeTOo=boWt{EZ#`PQ*Xj>_60zrxE^wF6SKz2nC-w;4VzTNXh_?F_k=8JuK&s! zlBP;kPE?K|(aJs#k<|d8Ubq|b%=~vjd*HqIClx}$k zs9ZHh;HIhiQpP2gIGEgBxf%jKX}%0|%qj|Fx`(o+Rd9b;)WCQLMN%LhBycm6uu8`{ z;$V$tb743X#1)C%JvO(6ldQ`rW=`biBSA@#GAQkqeM;a9B%`Jx@|{tlL^5WD*Fh8=X8lCEBf<-nY>JfV8L9rK|7I zCUjWUg1U`?`5a+uui8W0MDKk>)-@&3VCAPMI%PDWn^p`g`XNN#+($3l7E2wR%85Pp zm7%C6Nsc^|0-ek+2vKlb=f5gUU3~?!5%A_ETM)18PwSVvRwq=S=UUW-8_cJ|cYI*= zA*j&vGr?%+KO9he%ZMriu0^w}Do5S|wM zS_9;}ou2Jd)DbVS@>&BOr3W%r^RZzE?rSY+=2XluYp^SH7E}!=l0U1@I|wZ+C59a1 z|J0t-vHKO=|1adI%f1r%>7cqX)Fq?O7YW?q^WfS9O zAis@Kj2>Ty(IMATNiSSn>&> zaFof&1WE*MEM?MV3<#Whl-;&clYA(86#8jJ9N4(w zUU!jSXm|F3Tu@#GbMvQehE!t|nL*@tIy@KfSlg&~Av3M9#O(#`BvNcktWcg0auj0) z73U@lo|5jCXS7h@M9~CUc1pw#=%sh^b&N=-dc7nkNx5bXu?cxJ68BLE#>I2mjco1Zf%F1W4$8 z*vh$BYMUA#V*M4_w3XYzLDbCWx4LeDT5Jf)(AgKUIV*+Irk}N;h{kDZ7 z&*_c!RdW7DdeUEJ>zEQ1SrjrWW3PmqY8bk1kD2gQJ)1Ixf=$5{7s&jr10Iq~-Be9$ z-9>dQPG*270+krJ{$-2lSbTK@#oyy3uhb{5HX--5*?##DSBlh&m#%V5%;A=%22W&3 zQx;**X4((VMu>bl)3Qs_URYs+a{F3})(u18;w5zag99H;5EU2Yms3s5OgRFX&2q^lY-^;E@t)MX=lqLKK znh?xU@YkvjduICH&P~*MywDg{RQ^HEI9Zh!+rG^`{gV?LV_L`Rf}LF+b07YRh!$Hk<4GRzU1V9 zH34qBcbPSRK{_};0p8QU-|8RBHmLce)EhwDB3g-Bf<1J7bh>#r5h(3IS@i>qA}a1m zq(ope&y|%SonF}dBL6wPr(`)Nz9Tc8PC>=miyXiIvIz35D_^q=9cid@Y*kEd7EdEy zjxe>PEtDcMG2%*z7SNs7h#K|$&__sWFHHxwaD%KCuW}Pf4WyeC_5gY7#SIZ$4oj3Z zZhELdvv@X>t$krHgzr|uU41FOx@giWJ;uxFqz5=w^tbD66lhG~cfR~bt08LyCN;xW z1$8Z6Zp464jUW~uika#1{5r^nm@91^^x+d9c_UD3>?}{uiy)DsZd2V4WPZP!z3;Mt zeQnH@j56f(&^?e%RovQ?$f~dRajGzJA-y>+Vs>g*x&t!h_a}ia@)SbklXQrPkLQ#^9{xok2 z^Nf3CRsd)3Z9KJ#Jfc)Sa_~3{%}V~KAEB%PlFToff4voS*JLcj-+IEaF#2yKzTqJ( z{>4Lt5xCtg1S`$trhm+l_L}#iqXF)TdBlU*FU3iHwUk>@*Z;Cz$eb@?prH`!8!xO)7&2w%(0S2B(8A^wzZa6r?G%1xa0ea@7 zHPLs@cyM;7?||v{IBp~Ba%%jC6WjIJ^y&22ea_|d_TFuiJ5tm=Uyc~ENRC_JuszQt zl1enWfQLDMz;uU_EUjyVf^nAK)=#@$kU)gu4t@XY(7c z!D*m_+PHX{7mWp~#uLt3!ss4m3qvMz2r5ub9@rs>my-jAV@RfBUFtT7fE%|D)Dzp_ z46JnYCq@!bE?(H#H&WpY$mQdZEtwl=gTDrM{q*pvm+K%-yX1kK+lE2^NpS!S^uFwx zA2k6^&BrwK^@o&}{b^~b<1x#1#)3~8?PQ#*n7@q2R0Im@<=@2HNM7{Y!V;gODMhxC z^C|lHXX?IyEguvSN7Jk44V`y|7F7#_%hiXq6}E4kTb(*$OS2zlD$O29v2D+>tsdd8 zJL6-?0q0R9%qC8JUA?_RK965G{N1u?YwFAXZBrD9iK2D6!-hg8P1p9uZ_ zWW?%~l(XpAqIKDx|Xiy#&pjw7zSo;e98!v?qEjUTQ zuBrS`csU_%BSs`KZf;wvcnC$S1wN0B2%5Tv7j>}4p;4-VOPLF78aHF$UXgkWqYe~# z{`GTtZqMH0{lrlsZ>F+5`O#sV1m2M!`~{XtyF-l>hZ!e8kUXMWqAC%ZTS33xoH$^8 z+g6YlIFqYRRLd@f75ZTL)@er_?|m#y5LukRwO}@R2aCKG>l=$x${@r>uiwwyk&x>@Vfr+& z-^DD5owll_btV40FX9Hy;YylvzP6I*f0kaP(WNg$(Lg8q;`g!CCSaBVRzM&K!D~ie zK2luv_>gqk9l8;qy)eONA#57UyXq>$k_RZOo7kUk2^6y-#BRs*e!L)}JBXN@H}z$sSXy zhmgu=+cXVh`2FKlp($7t@35U_{6^w%ZkLA%i&O3W0`Fd{t*7h>=`unE^m)sb9?>LeQq zplih~@+FlxAtAt#n|J8Jnq6*U5(Ci81Yz|G;cok1W%#^uvviDDd*?T>3Z`R9k-S6$ z>#{+-TpRBKJ+%BD(TGL5AuJ)mPpFJK!E(rO=LBK-a|f6|swU(~HP*`V zk4Hsq!F^vah>k##HGgcb?nL4OvUxVS@jr}e+g68zCo&LY|KXIamXSBByI(YNTK*+E zIyBh20QU~Kd=PNyR15R_cSNwKEfNrv+K~tfmVDyyw0^IeU*_%|GbnAaf26etfqhgzw1zzmWal)HdL=9i3^V;Q1OJ=I`NHm(59u|xxb67-hi zp^ux)p2p)!-CLj%*UzGE{)iBN;W;s}h2tfVrGN?`sk&Gs*7=!=HL{!Ig0uEy167UcR9 zWc67nM^xzj^lBen_DH#;yh(G8W{c`U3KiVsB2Ldf1WI1eNN8qb&y2K9P-*sTK+-au z!c2NaOR$P63TmItWjIB3GuG(%rHwk>e!D!*vH0v@QZtMkWy&Y1@zQP_6#a9|Y>KVP zZYVI!jzZ`)2)2LWa={|AD&z{`pDM~%g^f}bbOL#Tr|=M{i7U?>KkgrToAm^_8!Dx@xZ_7W|<6g_*x&zOqUx6w6 z7TAS}aeAh;*92fb4ZkHN4tWU=RFM(&h5bwC`ZG)T((f|B?u68GH6H-d+R7I&lr^n;oz+yn^a>C;nt(mLu2D-oq!5mRFeSH{-S_%=qr<4(`ZIKP3_KaK=Rx z21d!ZSsOp+*@r$R)8-VeZLn+NPY26t84wumv8lv{1GW2Aa*(Sx{5BukEuw#uGqsIy z^aEyhX+c}s&f%|Kd|fRmV_P@wx}Z>v*+O0an8n6+H5L&@0qcSjf}xKhU@~*H(C99N z)HRmMn`93sGS)RoF6$N`K{pEZNw35 zj}|_XdE|h74(feAAbS(H#_NO95%8G(a)J<_qX%D05(vROvP2wc9BDrwL{euDm62(w z#&_gPPpn;2+Hgwt;fEmgt;zRB9})rLy7nQaUX@BFfgO7=UJVcs5C`-}+~7$N4(mp9 z37=IddH7ygprXJ`G_G6HWg@|gh0+_*d2o?WN-i+eFSkZsAkWpWrJ|fgcW9I_#;dR@ ztrG>LS)7Z#4CzSKruDP82}w_h63+m9mV8$5jeXK%klY7+tnSp0|Iii7?tQR%G__+! zI1bnj4#SYlbJ2?opFqCVN8%ntAwiF>4+%Yhng0T|&p0B03E<5)2d_Bye~)-XxXzhx zVaRx-stX2zUd*lLG&+tk$L-_^aj-yCG-hZ z2l|+Tzi8zcvH&j$S4AUQ^fplfrq?B*r0er;m#T*F{@r8 zP7dfi2{*f|wx25$HM-adgzbSL^!UNJ+IUt)@hoj%^j|={zG&Q(j2vbW{uP(&;AzEl z!)b_qD}`k{&ZjArBMFu^{|ygAeN|?ds=}^dEYEtvBRh+mqTAwJE7T(J4_e$PNKC)c ze%$Lxt0lFgO}m!E#`1q1rNqn|xq}5bAC_pe>GCFxQOolJt9HQc_KRyBwswJgi}-t3 zbz==UyU%N~J9}K%l#`vPc2tIln3K7aLZujy6xV+c>HdjAXotod=RF6{D#mbs1HGqtJK~pla`3tf z^CdmpawILZGP|qCvSRaGg-1mYm^fEMuv`S$>u< zL981LBDWuRQ}A2dky+#d`l&%*fzZn{O#_*m&1BFiC8R`rLVo>*dj(>x$Rn2*2+n&GbZz;t4()9r1ta?X*HQ)H07UXVrzt>|B>%VSmbNU zb2`RO3(?w(a!SQb3pHey9-bgzw4PP~=5PavKU?}y??>&W6(0%2q93Z>Mo=3px!>T{ zF;+%O>+A26GL{(jSLvPB&IP0hUn8z^Tj zWV@yW*7(m!tG`JmMDoB3wS1Ti0p2qz1I`e$=0xI;{wu}w{{%Z4#O2G5fVE~}1l67w zp;|n<2ZTnu!_$M^aUIF-2-MW>HY`GjdPecg1Ml-BV-pS_4K5S8`Z;e8AT4#BWv!A+ zU!FLkl@|>iNQn#%o`(@%-Mu|c(HVfCZ)EstWEW)- z{8pT(+OX->`Bnj%_NPWJvfnB|(^Kw~_+63j8HbgnvED=`TxnZ^lk_zfNRXnLPl4zA2&?-9F@nVn$sG2KryP zM)F5Py5nJ9n$7fk5g;Y(#$*y(y#OhZZ7t}Fe^qs&aH;uL_U{)S{N&Jr^A7R8s?L*+ zZ9b`4)g~DE>P%-}8RB+j2oYC^6UlCpktwcQ-`_ZvY;tmq<~XA*n$U|mCR}l zxHG*NJifr6{EDnO_l_;vk%T{aMA{2Nb|(V2O&1XYa0KRF1>C(zQK>)*=og5C-)h7q z1=Mu^VZmKQrK^$-pj6rgq#a@O9V&sl`a)4HY_9H6R%4zIGDy} zt?NV$3m#}q&%;m;iS)XTtr_T{xU{b0Ulu$h((8N$iI{L{{}%yt>K4$*ST|+dMX-ct z5Fn)_2{8BuoN>xrZ-PmegX_0LoqOpcd~`jzyq@^8=&(xR{_|x2dAl86wQ>@W#itXM z9w}4Tb9tgGDM4S?ojIa206`Zoy0b_`25j!b?%UpHpZ^M1?=A;}-}Hx9lj;xM!Gs_D zAGFci%h9kmocw?E5YiBWays$H~1#|VDX8c;CJ*`B$M}-;6<*x-K)vR z56n@gXWe0P_NLn(`d<#g>Ej1|;_c`hPcZu*j>d1h;Qli{-Rqsd>Yn`}xf)%M&v1iJ zZXZ8(bZKldaZPo>sR?3&qmk7NpGAI`ZN4~Jnp~kj^7s_{SrUz4dL|3>v8X& zu6x6?_etfm&$$GtjtHnH2ao^x$-e- zs9*B&ns<(aL>eGD?+v=|Q!~+X4rl#H?G9>6PFt4W9!`Dh-1bx{r40D}_UOCe)#bR? zJx{-vKCh!U*p%UH{J0?FS)#adzqYFkH?6$&pzkyW37^0Z+`sn7r5LXjV_bd z{Q+Dn8TYRGco!Se_t{j{2NeM4N+o%E^ycly55Fkfe%8J0p7rH^lC4&f%Uy46*UJCg z8;`u#RUXtD(!^|`-*$)R-}TQYZ+z?3$bufp-`tazqXA@~=GEqd^+vW$y^ z5~d#YiP89+p()kFCo}#IN9|7fBP1c(huy1iF`9O7h|^Fj$yNUX;pJ@cNkPhu1Y2@wtUGxxE`QtQm5_y zJO45uH?fUeR`Esk_)Yh!mz=)ozsCJkbekdK4_4m3p1e=C-k%M69=2}zG1(pwq z+@JmGIm6PEc-+5ulRQlJN8fo863707gu2&(^#iIWtUh<{{4F@lQGK|hY{er5pU(Yz zFjqX#QXB`NU)I(hR5$2{p4I)e-jovVYnhWMf9CxS6nZ_{-}#9Ms>S4X5yyn zB_|=OOCV4PTi+muqe-&)uG=3lFgzPYOj`#>r(Qi5=B4+OS`Eqm`8+pPAFR)N;~W&} zrjH2fC_|lz$S)^F3n6(WcaOW{?qJXxNMqsFU(a(dTi#pXcxx}G6{}|n@pL<4JaGSa zzG2pH5{FL^U3AYT$(&4xTs9vaCsokDxdrvk+9&U%0k_)iDWfziQwIEV+F7hD`AX;nacXhI31pTNC#Y3jUr8QU z-)ZHP&T;~=4&aa&y!Si?xx7q30vah(P38wIrk@lkGLKHRl0R7<5xz9j;*)bRWg6ap zzKuM^tAf#%Nl$%@ zx0P{w+y$N+jZrV>W0l~^oTSsu;v-jDg@EVoX|I<|-}kq7?vzj~+ItqY;(eg@AEsq1 z-j#2?zeS}rrO06P8rZ+ME>jA<0L%ay&y{oc4cK6~>%ImFwZFMa!? zi~d=%)f)^@sYzmg3+3?wptm>1H_XHby|*L0Q2n+)!57S}2hC~?mu#kk##3A|r5@Zr z**w5CX>6Y!Cp&}QTX+?|;Y$U-+4vrnp4EdNs_Wl#%DR56x4!4}W0C|~5i-yFwW4zx2AG*)9 z^X~OvkYr5dfRnRK{}s#!`xDQm%5S(?suc{A3RV=l7#ZRj))&rgIaR8 z7EJ>*s3`43-AKhNXh4>{r9=a^v6?xE>m9|b@;A5vs^C9&8(w23EeLGcdHv9ooOO|Uu(do4SerDpp9zuk<3jG%T&BknXLYV z7EXPy|M|F&J`|_Ezf|oRY1JAaI{p#;b!Wn5d^B$cs3xa0VNW2=~X`;V# z=NI_NJqZf(VxIo^EB@&E$#z_i3E9X^@8uJ#$KjH`WuD&uOOpUSw}r>8Qm_Svb7 zt9^1R<7%IqIA$b0Vg6;(%_*^cznkW1Z{2C0_I@`LRdD`cOX`c33aGIxmzUPqK zd9IH$9CU359!i+n*V7WFKZ<$n{isA!`+zB7YM&WOnA)en5~lXKzJ#fLA@zeVzJpW; z1zv{zzU}fuIbVBsD(7qOQRRH?ovNJgkK|WX`#!ExeXI5_kmY>sOI|r&`>I#Y*S_$T z^R=&i<$Qmnd{zCC@>TUm%2(kKO}l#J`^F1(&^}$2vbE1vrEKk!RViEhTvf`}K2?>n zwa-+gZ0!?ODO>wIRm#>rO_j2>M^(PFEjNSb*1F{LT9d624(rF@X>Z+WJ?;Hynx}olO!KtQ4bwdB zv*0vO`+U!?zWlCKRr>}lVQTNWB~0x@w1nyH_M0 zdEQPg%D3n3I-TC0Xj?r~0(<*%Gk?Qm#Sm-vz1RPq1Wv_YOM z#v(W0&~vCNhZ5=si&4+6^fD1Y*Guwg@$)My_hqjoA1;Wf`{?lYVf^f>dm$@W9t}pX zx>&=`AqjuY4RU-eKD+9j`+bh&m;L^*CoAANpFXork&~s4_YOOUb??Jf&q#JpVpwn= zUH;AH2?kv*+GZ}`mcDfC4+R(D{}{V^}N~hl$A;EHSaA=AR*ZfTB;un6c zuP%C{h-J@=8DYMsNikhSM`+IeFq3zb}ruSo??8>F_5Y>E&@(9mC-i^LB0 zkXT?>JK|Zl?Vz{(I`Rm=5?8yy3wf9GLcf?l-N0Z*nO(G=TE!jPb9OwfY`kgmrH0}L z00DulSoIk(tnu7q_K&CSlg;+t?>f7C?e@+|XX|8Vv%Rz3!FJt$+>_u!6R#Gv8lsC( z$pKFn`$}a|#NnPpo%yd&H;0vGl<-{E$zw(_2#75RK^B!Er~ND$;$f0S#k(F>D<}-v zow1oF2a^$+Sc%b(<;_=W=+>Mq@Tn>-+VV1TC?;I%&hMAPJgfbx9<5f)-3XSH{b} zO{4)f@6*}Ln?5~n-p=wayvfiMFzdW>WGP9LF8Q?hH=dq1Nb>+utg!U+5*kZCFHwQ# zr(O%@0=U@mYVwlfTG9f`Ss`r$x9anfJEoQlGqgvef%Jc}KjQ!!sSZy2Z|`sNxPWeQ z_c^w59*i#VR)uD{^Qbq(w>ThU*qaQnr)u(gG)`V$56?&)JDXnh-(Jh+tRrk|D*L6q ze(gI0+T5A{>a5GHhCTX30s$LqyX{^1w(HJz`A@jmg(Q>SFnM)-)w{xZ9oQbPKRoZh>z{KI)*&>_ zlK#q(#$DV@HAs-*4Y#`U+c7t}L;K)}h_bTDmzghZ3 zw6ZPV#eipn$dL?gz$eK4OWd_Rf^d`MyKx@|nc(b(LGN8}kUXcd+}C)Lwd*TB(7)nl z?vQEHb1lK6Mj+JnWrDrqlQ*LQwjiYK-}Ohnb!d)c@}`GBJVIow8|3oASN;3veVm^l zO^UIltQvg1-o&1}knL=8jXe#A*gclioOrMd>4|svfvl^^_}bli=gw0+99(GfrawNv zf7u=5^nji1O=t>F#ECI)Df89YxPR&0>jWEGm%3P{Z5}N{_yP`h-`h6z+YHg4Wr&_9 zyErBShIoN5AHEsA?Oi>5bv=I79X>pV3ku)c1Om6DR=JtJ#NjtoquX1Lw4$O-E-WE_E#WqI=RLseO+hm6FaC@2|=`6zR?%Zv5u^8e148rZv1bOrD`t7S84mEo1Jq0^Wt3O>O z|B#^QaTx6s``4j7^v`@>q@47@fbv8_!jV2pG~hk_n9>e6B-d9=x$MY(Gag|G{R+F= zhw-l#&>nlA=6lc|p26M_p22qi#^+qeehEi9_RXT^p7bnP$ia~s=y-?ymq&-4gQIP9i2X~lKRP+s-1j`>HuhMzUmW8G3cdNPjgj_m zaHT(=>>X^Lyy$H0A8ny(kjUO4MoeHu;sv?Of7RJLJbu>h?4IoW+>#NHw`}h{-`n2F-auRL@eD8I${`9Q zVnYU={f594Oh&4|#N}4Cg_cNfM{9hAuh-Ub7Ea?{3mg64O=`*CBq+LH@b*UWZpvK6 z(F_lh*2cYcZbqH_eNxRlv0i-Q=Xj#Q2dd3`&DMJMfuG{1?Y-UIy(1VJqWn2NS9oU& zcU3%5){pIfJSC<|FsXt5L&tHqRj}E*-bZn9-+esGLu?!b^VNs9lC2Qb8|$^Q8?n{6 z-bgm2xSqXFMRb-DitNANb^sNeh%}^?Q{KDjr($ zuX!h?J`9pEiY3!w!D+l3P>fphUMsI4{p2BvaRn{JC@N|Grt0EaTHET6%a5NGar;X&Kb8U%6Np_J_0?e&+>VHM0onTH+cxGxFD4jYmluq@8 z(&;l$I(5dPXL_dUncf6>NQBo)BpVblw_AI`MJo^1cm~39I;J9`Vbc%A=u3Zrj;SU$ zMxR%N|4v1pC+9SVojpDGDWm}R2~zN{xByJ;?e2aH4(2`u4|AV_i@8rQxBt(5f-EUN zf=}OK{H+FA(mOc{ocRPkrV1>i~)~X)>RtIa48wm{g@2)!D~w z$=z!#BV%ktOwz}vCH=5_D zegP$?iNTucy$qf1gsgg}$b<#Qh;HUolALW~>z8Mh4lDBpIXOwYdxff`Ajh+NKfc=u zRWCsBADGdJ)|g{yDiRDp7lM8S`x@2xs53f~c}u18O%Mp$9Fya-3+I@T(bJNgSc>O8 zP@A;LUJl?4v4&V>0jN<3y zx4`HE6TsU=^9bksM@Fv7OD?YiQ6K#(C00030{{xOp AQvd(} literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.cmp.tdb b/bsp2/Designflow/ppr/download/db/vga_pll.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..58ebe403d5d5df5c9084c95939dab5ec31953d23 GIT binary patch literal 46331 zcmXV$dpy(MANVVkit;I+NQ^#wa>*^^I_6VC7ndaWvC1vEPlh&AsobsH<+4hp5|Z2I zGRb8amP>|>xy^`e*)ZFT-@d=!Z~NoCAA6j0-aD`JIl%e-PUR$mOBBj7J9V>yOU0D zPIg!ri9Rl6L*r}Li^5tMV_uGU=D8JZZ2L_@RTG7My|RU(tk8C30iJDmy83H$eBs9X zI+o0pn$Ef-!JfE>I(I9C1wORuhLd=8{7FcCM$1XZXdOj-ow?&wWNu#6E%W)3>hpTx z&#D`aJHK}!$Ldh4fmPQlFsjjBkuE&*K{sL-XU&932lKG0F*ipl5T?6dAJ8t_QIxEc znzr?{nQl#8p=WjRzwVq{-Ieen=d8JgDzLWY={qqzIwU85W&gv2M$*e5PuPhNdEKn< ziwBGp8W^5WL&$MzcRp(nEH04>#I+5P2HKjI!l*yo{V1@o0+*X82>~_{aRAQ5V*J6oKTzI zS^0a+v36IIygZ?Zhrt@SH76U7RrtO#@L1-iZp+tfP^zzxix?XrG~nY(Ld*y+abov~ zAn6^$Aw-J4g$n6Ho||2`$qXE>Awi8J!0$7@cfE)(~6dQD~KZ{AV;7CsqT zY?%_Rxmlk$RU`D!Z1EcTJl{w9SC&^1Wje^#WBBb^sjb9Jy=o961Es6k#xt=u8`vR( z4=>LZJdYRG3^^T1B?N5z#hNSVqS@2#+$j?9HSw+{L~MbzBG2`%McWmIeRvk~;t#Wi zxvypU(EgjfQB;mgQ;W+h(pHU~mx7x=U+uqW|IcB$$W>S$U2K&A*9$(|QJLmHkA;*+ zeXvdk)r8nZ)rWz*?@z^=(46wUCIX#r&o!`tk!KV3wrlafN6qb&em(hN(lxHD zpxmr#&@0A!w49BipYv%{L^V!7&&C5S)znyWPvmrW<>}qr-8Qmi?FaWa<8T@BcEUH% z0RQim>@OCNBEH6tyID@+Qyi1IuRXKoDtF&Xk0$M^Mz8&m|Lr!Qgxqa>6eX1dmIlHG&#iYEqzdFX!WRy{qD>$cu9XscjBJ= z9a_Ug_k7^K=Y2{?KDxZP960p5Y$nl3!#+|^oKvu2YNVJmk4l7&ey&V+QO-TA6BbMu zmKOW8W9xV$SvzM1C9#N99V@LHcabad?Ei0*F7rL~SIWNo@-lmymc73`FfNYll=}5?@N06+C+Vs_OGQVT<_a`J zGw}JvbGql3_7k72=cm1LVOTT^toz0{@Ha*z4r3)M~DE7)~KO(kW zWA7gS_GwjUzi+o}*O-u}Zr%v744qF(y%WWjOlxhcrZ!y<|L?zdmWzq3Xx=^Y#5ii!HdBb)I*f+M%L zJT|cb+NDivl-C=wGrb^Y-`U0S2Y)n(E^?q0qx4-^U)hiISusX4(bbcNskkDQVCk`I z)Y_liJ9C~>-fkVXQZ?Sk`jb3}er;W5(%a}j_@Sm?Zu`R8^82>=?|_g0Lf$lQe0s&` z@AwQ6pV&BoDVx3V91PAd+Pp3xM3{?zJM|?~j{@ki@(;61(z#xSzjM2?;`e7J6wv+| zMW1z-!+*n_oTl}5EL{4BBiAq&^LSpn*y~w5I`(sHf6VEXk#~%)G2iGhsk?|QhEO3v z625>?6Pzq>Nl0=7D9YlS_F&{E?z1j^A?O_{O=D<$L93R4n%5k+m!Rg)oR}NCuONdv z2G!dB`V#lWTvg@qKWol3M4Y@JTVa;6tmXOXU`*}Y&$oFG9CjL4>l9ipY|_OgQp3Ol zN52f<8kS*@@mNk}qbFZJqx+TND~XleKCC( zZB+ODOaG4bs;<3{TO2OQr;3SRe{aHiRc>iueDbl2g=R|=pvApRPaO6NBv!c*7M06y zZVMi#K8rWC-whb2p1a*}co`B18BE=MmJ<^=JfR&6yA6@2Q8eD`nk1CXe*Qvgs`e(& z$-70z>T18ND3)j|AHt+QfZ=5x>VmF(mQOGUsqvz^y>Dv(A$mv0KL%Isi1t94#@}hgRQb@zuteomu+{U>49HYs>fBW zTk2h~Un^ff*qy|DZ!N8R!?^y($u@fg+)J&bkdd;b z9i=ah?Pb$Rr|oY-dG97JTnI@#m&gD2*YK`-T>R6c8eFqrpX|I{@4~Q(Pxq^<_t`Yvl2lU&cp|0hiu#k?sm#^vKj&{x-boL?^dU5 z8~Ps!oBa{exv5?d@K45A@-sU?V4F`aHUI$_PGqESsFr;6g`fFd;F`brZ~&0My>VpW z#Onta0Z!hN@7y~I;)aD}y_lQ-3W9B3Je}#eWiQM#eqnI7H-^8?*?8$fAYO9ip8*8KHVJpL> zRS~;m`iloPK+9$CKHVwDsRbiI9Lj0XhbV#H_P1+-M$hcv1-b%$*Xi*_AtPhVHmCTY0v1}!2`Q_b#GG7o?XB6KG_Q;PBe`OYteZhwM>YGXFD5#@e+utN(g%V5d`Cv||J9Co$Ld`^TKpV>RB7D5*&MPB*+=1u4;C zoCXD~3D}uti0b)@>}J~xiWw!ww(}RQHLSCxF+I7t`5^ZpV)wtJ)Rnb(0Hg$>`1z$* z5~F+gL#3BFZ+xUwXax$f=;mgqfXGIWVGHcOUWJ!)mDz?X=E8$MtqV-Nh2= zcvsJJCAIOcpp)@8zAHyLPG9vy`aM`&qwRPRLB>_CftMXOdn*-NH67MVrXEmYXS;nX ziioXC^k#hSbw3!P@k~k_)_dyQ383Yg77DYiYYzP9{x4a#Ut-*67vql;#I(vhNAdlM zI#Vt2{qymU)7ZSi%VM6=?B^B|;7+zVF}I zZ@NZ{=}4v~r26~8{F$xL5XWf{R?`+6U%J{$j%j!p-(aGbVx}ag{d?rI+HZaV6%egx z8IB%I&+)XrOII6vw#NA1j0NxqOdxqAf5YPfavIzHD-KclS&ax>++2S%Px?v5YpawV z1*oaU^?m*Iaw0GsMZuj|)hNTYSZF6erU&qqZw9BN#(ux;eKL4JtNXcIPDx9A;O?nH z!JrRFhhy9AU;1?H3sK%8PGCL})!;}^AW!U;cy3Ih3N2#9xI;{h~RevguIwWUj15uD%1JrqNk_1*LXqp z2Bg2{LTa_s^hWs{#V)js3G0hRaOpq^`Y)j(ZXGw=Ijki`a`9fW?z{diE& zl+7`vxY8`uPj0c7)VH;hC&@CQuWSUL|9wKxUyRrvpYnx!Vpu|Yy}vhe?WXoJV{XHj z7?u2%u}J*Na*c2}8y%_KcXbw8JvI6wes=P3NT;v`{}IM1l0H)Ag{zl|Y<)}#L!yJl zMCy0qpSjVBv{2)qd#43wNK)v_;A#W%fLd>Irp!YW<>v11#wp5Cp}QxmC!%j*8IG8{ zIaz_k*(%XWAL*bkUOr5Qr|CwngM;^%k$%0`PiQ97%JzV|P zcZlWy#9Y($<`j<{c-aQv>rkdncPlK)V2(zP7(mY+>hcRt0jB(il~X{y*!<)D2r$L0 zU#BWY^vcm*Ievf!8AHBLdtLRqo!sg?cY|GbzC6jXbSYoEMyzk_NFksx0H%-uaC=+i z40mcPCPITdXgPtME=M!A`VzXQQwu3&S2WLbIX3fx`xyEdHh(d)&I;R)s)rF5nB9>J z@V{r&UPdAUlE5!nKlGi0kA59Grq}kn^L8^2nW?R!_BRx~(5$`|U-z7a`QB(m>lR2# z@9IBgT{x2QzW!l1Uf#fBbNcaaXG!i_q3Q3&w8Jx?>^0NdsTkR~&kHw(6>z9P*743< z1Tc`cBIejtI9Kl7^7yN+S%O~26neIy{JWG`VE<)o{#^4%^xd|@r0Lr#%ou=@CT6N- z@2>DzRs9R;NT@Z=MN%umq{f!nP>3Y&ef8g@Od(+9h2s;Ea7djANw2-875{v2MFCQ$ z4(r5AZ;(ORgcz^W^nd16-q{u#ty0<>iX_egeR@~7bntD=tq)U({g#ebL8Gg)&bv$b z2b_5hFDJ-d1!YqUQ;)g{<6nFJqOd!cU1k*2ZGkN=RGJpK7cgUXhLbk3bWJNC#yO`D z@4}2$a`d4_w@B1po?nbiuBNX3ZqxhYNx|Gz5Zueh5#$rmASG5cm4n&jooB+ zhxk}823SSpkA%fKMc@8F{Z#Tznt;_igm3IDMoptO+ z&--z;Qc}%(1&9Z!<$XS9?FmHUSe_2r$X20ayL9tW?EUk3CCQ~0m~5c_bzID`#*CmDZSy+Jw+j`b{*33>cxKra`1pT&WxCMb5xGnl;j>8UOa36sqYsNZQBO%<2$Wt9~UV~Sk`2|Vbaz!nBVFROtDWUzg1TF z7M&|F#bov_RK1#*eK*+pZf!bL3O!QvGJIkbCn--KXWo}@r*@EN!*{F1{!kZs>Wkk3 zN*Q;r@0!9QLrz4N`$s-Br3v7ERURENl02FV^Jecc0k6lcv>Hja2d&NzKWV@plWt4Y z38NL2Q-WxWc+&F|F`D~Y*SZm2QfQ^9mAhnn`n<>C55R~<{Orm@?(9dJfjFFSeM@wj)!?JxP!kpOaHbT}C*ZOr1Sji(ul4m@ zovAn`T|OA$_G`RGv8gy~A~Grf&p1@soJnL9z%K)R>|iY~mK)9S?;W>8jRU_Qt0siV zmbF%>k*|e#pvKxWNA!VzjhxflX()=3`sxd0oB8O}FcuZ&$A>ZsVVpugamd2*P<5IH z4R;jibFp!|2_IXNeh#~-6#RxDXSIq6Q~)j&0TH#Rd-&|$Ou9Z$^+e+xB9Cm~0Tu#)B54CZ?KXizjPbQ)x7Cr81NbZeF#z@eyT75R z_748w?ZN)_mAI!zDPn&zSvCGgN2VdNSw~v@#pa{t#qk#3c}b( z;R?mNu7kt+FVl;M^JLcD8x*Y8SL--NStL^|zt`VCs*{J$OF`Xb&NmzU0f;MEgAs1# zzw-sCIPZL!J5wFTnhj9q1h zt7_+0&6|bhGhLjKLW+)C3Qz$XoXB(TZnW)=IchUroz+%NX)0!@u3h+$I;kL}yPun@ z>wrJVBq}@Db?UKP{?H=%#_MSudE>;W%nv$M%4lepDcY!%a`q28^0qusk!kYZWD!Yf z_~B%t=Hb7q{V{T6m6)@u$^46MqOrFVrZWoJxOYvo`3g#c*QZ06CJg$qzOG|q5&ml# z3cat0>~&Y9AgD|2nmvus=e6Exu-6H`adYd#T2<3hI&7h0TX7=g&IY$(A+W}&O(!4j z->m6|v|vmy!D6JnpAe+}Rm{N>E^}!-Oz|R!FgGnps&`q0MOm$LGGnJ9J|6S=?_bWg zw%x;b01D44(L5>Qg!$=O?}@|}a&#eOq=wY+yR<*^>`#wDky$eC&Xl`Cs75J-TVwO8 zx%+nVCf3IP9e29=E1|%v)1pYR%^eN7?C@U6_v7>UOHA45G(O;2YaV&3u+=*~ow1nz zHRhpTti3d$W9_1>SNE^GOOcGf;BvBm!_u84=lgIV6VGBh6(TVof`mu~cfFo)Aj>2e$ zanm<(5(=yn^$wZw73Emf!)4qGi;=8}v0SAE7j#($CDS(lTeMsm+BXg-9NJWF(q(Rh z#mIqC#m46QP0uz=Dby=6UaYoi*BUEPcmINy+t`4@KTwhq!Hnq4-OYXnolM_yi^u>CW!ox8s8(G5t0&W+^8PI@7B!=g#?VVKWm8khYT_0=wH7oC$Agg7t zF+lo6UEO+ZJ{30Iy9Vm74is&j65pI@QKI3$oWsQ(+EZN?MvTBhbY4>y59H&Q z19Hf-3zb{xf(i9TK`qo^@LGBsW6?UtYii>)wD}u(pu}Vv)e<6@L+0HU=lW}}ydhS6 zNudO{Gu%65X*+srM>QLzd6qHVS7jXBI8$Os#hvj%oX*U+|R0888}AE@phsA@yu5DaW^ zH69pe`s?Or*dN3lr?6iVL?$IiilQBm)j5cYDDRq z&Mks@#~xryxB~sKloCF(r!n^1Jm1c;T0)sAv+Dl1E+K$FmA~Am!LM20p!2($JfYB5 z!?(!(tA@u^sU&@2L;u2H8bB+4W8Mv*1aF#Zkps4<3PQT+@x{$oq|as=RnA(h1scH1 zS8rcaAo0b>YjHp`(M@s3@+XdW!lOxVBuw$qZiu5?KDYC6K{8p7$C8zEz%hrzep|Bb zDaQs+B{)YvkRCvUu&G~TapJqCx?dx^jSYi*yn0p9jIGfv)`8(NFx^?=%9?YgW1HjT zrW~3pPzhVy%U>=}h943l!u+z+9nql4lxH_B(bjB_j~}V}3bwfLsIPnC!mS-TJ!Hty zUm1(GeRkSRG47VBuJIgx*XDdprfweVu7;2Lq9Qgm#iY9k`K-mYEqPwq-`Q4u`%B_e*6Vm)mkS+no@lZMI-eSK+1T%Zh5kN zA+>LvgMD&|Ggm%kezx8%uFcF|%~f<`t-PLjwjjLO9k@-IY|o4AdQ{fs7lGQ%8(ere zRV!QIt&j6J(Yd85y5=k;e^z@n>?05S`bor2=>{Uu%8W}3ImPdhCQUSh%QcjBc!~yZ z(8iJ_zJS~eaf3B`}e`;*o ziVTFC*J*H%=PUfG(Qt7E24~wV5VL%>S$BkW_PnznpSET@b*k3>smO_XAMGDQFlM2& zP|3buyvCd1AE?dxZL6iLt>zuk*lX|@tD3f~m?BAf?8h{1LI<4g><{bD@1=QG=pFA@ zl!HtYS98{zFLXrv=kXaCNYHLE>iXixAtHQku&^_h2mXLwTP^O3mvaabT?>Tyl0qXni?k9!FOsUdm1XJQt{x()b zK)k>IWO7H#fLERGVt*>8f27te#ekX?=8vun2|X+x9xNR3=W8FX%DNBSKlSe~l^Kgo zhe96B`$d98Kd7*^+a(81P2L<#eL{c9m6xLy7lH|i!L&T?{=0EnuX<@s-)am_{f0Zn zEo>|`yn{?Lr)_cf@GVPRTffzUwSmX!VIjF2+ZyMm;VJyef@RwT!^I8C*R?d-bH#XJ zzj+~KsdhV1IUcdV8Vc8oh=$hxGwIYjP%R#%z;WGiPQuZp)}vzj$)QqETuUkSiK5pF zu3-Fxu<`O@o90dI(cs;@A1|VMi%^g+N<%*Lzn%OBpRTHNtqQ^GfT%F;ce^$w7;t&i z7_H(PbaW*A_&QKrP88%v{aWH4Dv0spN2C33fR_WSh4)YoSO0|6<$u$dOSPBM$-f?V zaxIJF(eN_dLG-UUv4vrK24kIM9cpt(Zy>ZS+d>HFMK1nXFHzRtBwFKRDjLQS+v0_= z7lla0gzk2+g_4sKddEt876;a;W4DpTz20Wo@U=a{CY*CSj1#{UBg1FBT#E~x?#g2j zgtr3->x32YC@r0Mj=bAQ%w-Vszy+=A&(Rhe_I8~fVISSMb!kho_~88KJ0j?%hgi}i zTkH^cpaaymGwe-Wd}M|j*=OoV!YFF(_qgm8fk!|RC*{Vw_fy-R=TOcD;QZ_NnwR1I zvUiH2CWoeFXIJhL*nfOdIZuvrL2TEXv?y?+=_#~73e#fAzdOuYkc`=ff5|FeiqX^G zBu1cnw(pu#j|JfDEX{Hhg$kr!zaaiL@CsN*K?-b%POwrKS2Eo#Y?Rl`lHsMdnPKlL z51M@Jpl#&C1AWo9jlTMATBQ@sL2OQnT$QHu;~X;a4+q^VNR$kW7nnjXr;HGNiUeTf zI$`Wxih4d&aXIRC=D^k$@srnZj%S5h+@2UjH@>;cS0cONhAPQD4UyAv3LnpS%w1?Q zYAnjcKRy;e-Ov6QY#f4Cp*D@*fqyr*LjjEaX_2b1kVljji=WSX%F)%&(&EY$Eg>9e zb!+D}1|o0}AN}%r+GeG#rN0dpT#X8<<{YRohf-el67Q-w{PQwgA~V-Co%N?P3C`bY zBC#2Zfip!%aof00(0t{o%1FZm?8vL=twTv5@H&B?qMK0lz<#bPW^dmJW6^*AlZM3&66k&B)^&5|zg7|r4*JF|2$LeC zO$+?nZ76QvOyW`bh!7=ElfsC;H|NP z^anLGL4Cqr&SN{~eUVv`#oxxF>-E`@ICUu_iyg0Hxa&Q-K5bvF%&d`4FItvz+x zeE*po>R>1`=F4B_2InmAzW+#HL}5hUrHpl)J4wZ%^dt4j-_PS`A`8J(X)S*~ISh0? z?#p4>jd!+f9aqv2dHA^7u7e9zxoaMZ)oNAj9SS=FWP}=_=#JdhHEUo;I*^_Z`;_LN z;&@jy`fn<~CQjG3yLS%}If0w{NcBFeiQ~pVy!Qk{m8c`jZDeDJjIFqH)FcD!eI;IO zV9gse2gAP!Xz`8I=Yi%)YUJNNJ*a!TMX!2|yJIdZ)^?4HO5lJ_8# zG8jpXsjNCPkfojv%cg%#gA%HBx&3pf&EVDYciwrtE+h5)l~Z?H`!j_GZ7s4`P*i_x zGm-cb1@C*HkYmovmO2Q8*aSM)s2>;Rr_wWIHxvh|j_2^E(RS$&W48~P^ z>vy+!=3`A^%Z_`-yrw=VG=pe8mV@*rnyuX(`6)HVuJxOoj@oV3fBf#{M~64)hve>1D~BF+qt{w<}WxJ^SnG|6Q&@TwMv;JtZ)#5~pv(cG{P& znXLgJXlAXE|oNzuhvV*efo%ihkN}(u+<|Hw_U^OsSp-sZ-IJJJPjR zX2@ytO|ffyOSTK6+xU4;{nURj$TqLkZ9#rCY`ohB{3|S0S8wu-W!U>wil<_OytuM) zb$C&tsn)vE?uclJ0nn&_jtJ|3hhqVrazeJ{RM_f;w}7%b`R4ESvPN{8Jfre=xDIJW zB-VQ*PCDqAzp1#ldmLqg(;V_;DLFUm#dNEs5hbv>;Vwv0BEd|7 zhjYYLt-?4hoyLwwAs1b?a}a~hwE3&#x}HD^Jak4_pzijH`~;axnFx;HX1OMJWy$~> zf@1<WY;7;h=&hI^=EZN$L};E!U)Q z!v~SbfBDaL;B();ycfN)?;Z8S|0K-zdsMs8n~sW`EREdUNFfF%syF7i2^)&iYJq?P zHv^D=6&ag%-#FY6;Wqlmeg^O^t7f~D)_t2z-*J3*6cu?}SgF})TQlGPbJ|U4>kWS> z20e!zyw2!eLmI+DrahuQFm;45)oN*$%Pi+O`vyRkU=y|6Yo%b?)>j{ zxJGvKnZg1=A;#_lq=cgRUAt)ZYqcYjHj_t)h~|4A%-sBl*EJ2>yWadag`PB{g;H`- zgbeh}$MG*Zynp{iNj#BL^tuK?r358D&BG*qkJs*?@in+fGut-73l$y0Jrt7dcMmtA z&d_;~K&Tsl>?ODfkBK*0KQE&ON1oGu-odC0 znF&T4;JvmXj}B*Sx_dV-Zk&0Ma-qhAtK@{4 z0@~qWr-ngaz0xfa`*rNJPXYUO)Hp7XCFqyI(cY>?b5PK9+~<lGz5_5&vtNX&k>VD}!wy9%=pbZL>yP^OjJIeOu(l3a zxK=pc>NXt4B&-F#oQ-zKA#bFZ_95?BN67kPT#{Qi%;Ht4oc}B%@c|g=r3BqrXHur~ zAA;)4_HE{DqUM)X zAI{kNupRaY8F!uo$-lk`8;N`D(VOyl3B^G8L9RdF+ip7PN$aMBkDxTW_g5dYR*|vjdaCI(xK5N+ z^Rd;kg}ePj4T~trTQgOgF}TeB-KL!d*mSNj@8kT^CCd8ZAN1UUb&7&LMA6q8EhKtv zxgymbn@*Gu{x!4eI873zy?l220?G0;Pe&Ccy&LA?i>-6X&TUnhjKwTJv!iy%-45=? z-GpUsnultW4anaHM_!Xih^Jbuz0&yI_!qJiU58Lm4Jf~nkU!%Nr~WuitFqzkSadD} z)Hh|fWC#)5;jqiX7SKb@Q$`jD1>=v>B_>-3Y|IZRF)m3lg`Gl)e|%)F!#?_s5}O{z z|74xplQ%ILVN(n(L&`>c5pfOWsx&=usxysLb6^f0;UmHGhB~>J16jAf*x<^(N1KxE zspQDjmVj=x>zxzTW@BaEjF&6*FUFy7T7MkcXx7OVoBmx_> zITv_a58W5wliux0lkQQTP!fB*O2dpbl9ZWUHdr)a zRcHVkH}WVwuI4yO6xeFT6A3YTq7LCtQT}gNVi9A;D>q6YNYYTvc9n+9J4`?-qL0zH z*leeuv^R6J5l=%+j6D3rNMs-OGpN9MBKY-r>f( z4(&)eT#HaM?lYB*1=F&IRr2ZXo+m|aXtxH=%2QU?4Tc!l?Mk%MA@|+GbL7x#bC{rU z^CoDh#P0=I8*MUdI8O%3d3Ygo>{v-gBJGuCEh${p-iyCCg|W!o{@o02%MTLV-R5~a zlOrbE6?Zkna?RG}V(hW7kO^6vwk?I21z#pE*1=GT{y%-*m?Z}5d`n$EL@BsXeL#7zrpwX_Y>N9<1?W8;$yx?mi3sM79G=WvoG*^jw-l== zv0VD?%GRqoZRJDVNQ#_oxs(!aTv&Fl+teU{@15J5OLW%*y#=uUwAq;LRQx{9T(02) zJj`{oClfpLDF6k6hYlt1(hR@nf!1-F8Em!NwVCI$l@oX6d9xCbDekpqQLx<)zbR7I zf7$k_=*Wz13j|KSF#WbOGSF6t%$hxgYQPwihFDlX7SN!0{tei2{&U#20?jilT0|J? z|MH$jz1j!rtFA^gQ>z#a*>ODFSxsu|-tp-bl6#nOgu(_HoeC4z$3jSnUg>pMv(WH~ zX{l68T>Y;#H0@x5CMjHmGWor4eLy#T!`C@Bf0?@Fa-#J-ou?Zcj}q}ExOovr*d^Hu zTS#(3IkCd_0f3$%AstX7sz->zx`K&5=5oYCjD1dsl63Wh!x3*RLfx13B^Z8_i?(81wW( zm6$_FCl3))=WCVB@MXggE?Z%e2%N6YVhdPjTa@);gO2gNttK7h25X&|O8?Xz+shi# z-Sv4z6675qD;D$8qvCQ`V&tV}w&blA6vaK!3ye=3&;ggVj%XErsNJh*3x0U%VExPQ zP|%N+mX;lv4fD>&RBsQ8ef#^s6AH0|KCaPc82-j{&3UYh{@oO&AVtS?wor)? zZjlPS%*!ai4B5D2Ldu4s^b>_8Px2}@%-nZ$4Nd8;@ohT`O`HTwT)ea83aH#;(w@1TxjZ7czhDFEzAxFx$CqQllL`5gyf;0z37+Hd@C?e5?Benf zEYHNNSy_qHqtY54eF34hqr_F*wST7gk_aQ2=@{|lOfA;9dJEGIo**4nh@;>~J*xm5 zEqsteKwLYXV2G#`ztnP`sks=G{_LYt?@{XGs9@d+g0&!PKID2C#ULec$1^TIswKcq z=E0x%^w{Y{-<5|t#_YXOdJxoZ(#0#SHFFD-fXpm7eV_sG%W$d$DY6Gjv@YO{n7sm3)281v8_R zO&InXC{oLcK-$cCFA^(g{sJGh*^pe(#I}dN4l<@|F8yYlT{MIJtQwt`rQ@uKTqCLk zdS}Gg$#UeH(++zIvUMUsY7nVBmk!UvMZz-^+fFssF3(04EAU!yxAG|oyqEy=<&OnT zu;TC(wLvIY3!*4KU7bR^1J*Ws#LCPZU@ZQasf%&_I+Osl2GMCsd-5S@N5@I;1=MeYm%vtmWG&SmIx#HQ_7#hpmvsV`sMAhz%l zc*^EYrL;BA5@d_?UA9$yC*D zoG$^Od)%kdH3-wC8WQ)Rb&`hsl3-bkxlz(io6z@}4 zcIV?&&Dy3d6HPEF57s8Hubw2u@h}e9DZTqVM5h0Pn;FO{qZd55`-Zn75%q$_d`<2C zg#41X2C$i~2kH%Qz<)DYR|)fRR;$UhNS#aKU7zyu2r~jZrOP(YhIO~f?p3p)(zUTa zQL(30K2;-HA^$Xp@~rg}cfxQiLNIOSFy)}Q;)jmI{2LRGcdRXYKG?Rg5gX(%pO@=i7TJQc z2m~0P2jfUz)nT}zkdrd=|6$A4m40H~7cCHJc|lj(*=7@KVbhKhTM_mO3z5`#QkMc_ zF>m`}^*-J_pLRc@`#)qW-{_JGK=7rI=f zsCH>$Uqpgx*H`%OI(fqLE8;}q z`go*jQ0}b_&JK@trCFncLHRU)7R|}dw3Hc)=yRcGWz+RcaY^$n-sENjnRC1)&9t~5 z68bpPuZ_VH^O0LE4c{_5*|C}%`g5bixW|^FIO}Sf$>ecOFQvhSWDakzK6qhnOmTMt zWNAJ5tdN2d0r`6dsq`c4$Ws&Y$LSkR-n_{M+u|Maqq;kYoPh$ay(k!?dMZ?>4gUO^ zLz2k+#m1N&2j{FrfAfcX281&M8SdhgWjP(L#71*0PKVB!dadpT95qFW`GO_--q%^# z>5y<*Y*WQ*4mH(-;yhgsjhDJWkJ`=>5Rv7>RrjP!K5`&C=Cfz8MS+a=mw)2yU1O+3 zsIGrw5%4yiWa5fG_`7n_myv-<@RcN~mT46c7W8}|*@@DTzr0!OHb$jmYvtZ!RWm}(Gr}rN3(OlU~@u-LZ{L|Aw+{MYga)UcD zuWnG+2}fphdLt|k7~B4o+DKjN_LpPs`yJ9oSi&P#;jl*uudVZAJLmfHhea#2omxBa%217`$Zar7p6&`Gym+Sk`BlRu(f_82l72iLvMa5yK&1!cokioiqb zu5Nf3$NgBkCAs-pSoAbJf(D{mbsr-fK1&P2wKVuiDRal*{DRl_J}@sqU&xi-_D?gnZV43u9`m zAi1iEwUm3)&52h^+-_$e5VnXp9{?nOUQjXPd9K5-7FrfA50nLi!iN~O*RZedv{~zM zC)VcAaAk6Ua>WeyQP#oCN`r4f;yZxmC-eRW#iWDCif=!9BNc;2zS)3$FLW=Hdu~s; ztIlWB9fr^0;(G#lJNom4HY+|*a=HK!y~%e-=n|hQ*hsWTwbFQ^lHwM$H~%+|<-5qL z*}_q6XF2?{lY;C_J5%1Vu+)kslgf9g0+nZr(WiHo*Ql=)AY`oTY?Gwe7bRUks4(-t z1!pbar+oSgD=ZILiX*Iq=MyY&*(l`t)k*{y8mXF(&8|5+BZvsFH9mL^C*kxbe+HC4 z3sX6o>7Z~vhV)mhT+k4)jd}YTuKK&1al*_5^Jas5*K=Sz6E>nPEbqaG8mUZzoLx${7J@X5gcWjGJd zSxO)!*=(&1#3*HPGjD|T)tf_c<-i8$LEugbnx)2ClT(^cD}`F^)(l)F2-ycF zhcm939-wZ{1k8+oOM}_{*T~#04mCD@dV~7%6MniDXcTc!`29lZQ%!VW>v15W(LTqd z+vb2yxUs9UL-le*9>;!AdQ$bWP?RqCue@3Ve+Tu5K;OVUZuJIS$L{W-1JAq+H{1$4 z3dPEVjB^)-MO3-DTb>2@cjoDF{!Q+Wjh!&OFoaR%0 zn~BhF_Mg=|fI%4NSZ29eIH-EHz$OG+SCpQAaN05zY5Gc%rNP7M497>S;Hb`~!thC~A=z0~q|zS!zK@b$Y#+W@!4 zm=cgTuyKN72xR9Vh#YBTfn-9l#u#%p_vi~Z^Kd6)&=M5J7+@@c#60`zEkAPz3rj1; z^VbHU3U7|a3FGTWVsa35?{(sLR-kdOSl2HGC}|sYqkVZnqN1BH8noQd`T6yDXq-&3 zkQKEsz$p13Dy#NJf$=7jCq%LOdQs%ruPwx0BpT3n#V@3f^|eRMh#ejJ*FX&Wcn=T9 zJ+X@aA6(aX$#7xPMIbgk$=fq7fvNDH$U)RVyA}TTYQyk1ecQP+(jFL)PTz^@|0}ZY zK>ZrKR1%M=euJv)aALkMMCJsqQG&hA6B-Q;YLVYKbvJu;(6=7hqbLcmzC42*e7ky# zE?>^p$&$}2W&PMEpG`2FGdXshYliBKj3n>-smAJ$$Ri>EN_j-Od1s`LBI$9F%FKFg z)BrCU!Sy)#E5ulKmMgn3K$zdx{Q)h73;;Ws2l^?UU|1M6`(V;-=3xtqCOb;2okeCi z9el~y@^;he!>#EhTZzaunU)2>KijReN5_Nb z0+Pb_fyb~tbi}D>qb|_=<+<;CC)x7fDn)!f8!hHYNx>Sgzhkmp7p(R-M-BbhpfB27 z-C3k*gj$Z#@E~z()b#Oq4wUqa(4fw)H_a3!#|n0v&&&(J-L`^X_p-P@Bx6Fl1Dgf^ z4DS@r8VznOiKXq5q1T?Pz}@>`2#YO;fe38tW}=cfmnXU}xy<@Q-sRYTG;2QrFU(x2VZfOgq}mONEsq92B|xKT0)ep7OI zp_~NofqMW9=;g(InO*`7oLkIo_%keQ~qG_T$pWFN6sNYeD_x4$611huFau;Ln9;+hE+` z>Jz>msOLh}D21%PIkhz2(bvK|YqLw3o2Ky0SL9Q4<{{ny>|8XIJlK|Q)XwuT!+i@$ z7@*FAE{oz*EoMkcO`%!MHa$OBlaGTnTV%w{jc^g73HCOh<#G16-W+}0qlM$Mol};8 z<1QK&-+b6|UGZyv4k^(RB>ZWVz1^yJ{-7TsmbEV?^hJyR?%cqs zJhg?@9Z;-@vs44>d5$DLP*foXUEkNpdfwu@8^3cQNNGpI2_qstAtNzxFd9eTGZJ zyZ1Hvb_l|EEBL&b=vG^pmmG$rd(moC8!<`GdH*zmP|tZM8pW+U;QMmvBVF76FIzl! zyNTmDY76&wvanLY%6p;nqJBOlrab5EYYe^CQL!_HgDr@4k;c1-Xm$~upJk(+#8`*DkEgEd5Q zDCg3@cCj!1!`5z}>I|Yw+=d#LB$~##5gXh;3PEGoKiM_Cs;<(VNE8oL< z5{yyU!IBFemOr$_({A*+)?w_#KuQIp#fXAsuMoq%(CvMVb{&fPJ1OD|63RP>462vf zLj6CiQK?|?PUzo#je2pW4|n4;7aE01YnZC%Jlej-iVo3MRFHfyNYwO&V9@a$4LXgx zM7Sv8S=JqaVw7l63u4fYeT|A8@K#yqj!C$W+li8*R(p#b_^7tVnRSw5M}?@52ZQ}Z z$eK6av+l?{G~86^#UPsnEC0I#s?sPax**;tZQbF%JE%!2IO~OL#BTh`f{gqQs7_;i z)H8DPR+|()I{I1NO7zi2&b5v?iJ*C@VCeIlz}s8m>w7b8ZCh_vqD1bhI{kB=);zc&b{k!2Ouqj7Z8tS)vdmI!T0`15Et5n#(F zM4L8Ri-1P)P*TCMM`x(>5^3wTo=}ncis#b1y4b6`h_!WH!Q#)C;3EZ%@g4tPQ)3}9 z+4$}bfk=S&3z6W5rwJnqx{5m*%o;(-&;OsYDM-S;5C6M^XzuG*6Pk7Cq#DSOcQt4(Q;%M%)Wt_dX*)WAeA!!o?lXWl@E*CvJugLAqM4h z91SedIy~HT2$P*~$ZkAyK|-VgyBguv9pv3XRFTYOPgo-8UQKQ(`ETB=XbTJ`h-_4> z(fwKndH*o$Kw{sV7~Wp4viZGc19`Q=c0Tk>wcz5BiPckaB*>$-3vj5{4W@EV{qILh z&~SN_LvYp4K_CnUc`yhn*44)&pKbtm`nuq43DlcS!Fs=lJH=yJxafi$=vrwrU#~C> z_SH(bKJVx~Mh8oVG2Bf4b^xaXbF9r-A&FKlm`AGf-K|)IPO5ODJao5wmV5mGLN$#T zp6yujcZ)t(wexfGJTm+?WwEnI+LEi$L_2ehFtRGkTLj;}oLTDZAaF7i%=IO%#Yi0G z>QbuShoxz(A?DA!&naDpiBd5f1Zl6D^K0IN8sPJ!W*E*P>gnXFUOJl|d2OMf&sP(c z5($O^?zXMb>7gF&ZhfVAmr5;t>LbuvjQ9Jpa{0#8q2gb)avOmj^T}y#SLEh~4;`n? zuxp9U$4A|YJN>pV>Jtt?kLR2WGf)$B-mf?K_mRuD81u zA5#A7BB{47fSwiv&1(exosouLu)J*+qj^~6$?m1?Ryq^Uv1Mr6)ccDE1@E*)Y0Li{?5x|ABj%Qz98o z{MK#b`5WZ7xe(6_={X12OuoK-MJw~uhQ}f9hJHr_u7s`atv#*ku#M|4I0S4eGEB^* zBlDO39FPqc_WjcY95A6i`0vTCDT|s*FwgnvD+F0G{K7IEcIktM)C|2AQa;Ja_>cZ@ zR{$p9ccq2jybJCq+{kXRI;O#9r3}I;zIQvKn4a%Y4dH$-h$%Thj!F8^m6pFM2tX7-_5=-Bml-7@Mcz0nby*QJk;i!){%#=9jQ&5$7@hlU5JF%*edt~M5fY$Z< zwha~0z8Nx9dN;{HaeSc}K;265+9)r@vKl7@3I&1Idt}`+;jaRhI+9&*S;Il;> z_XJ@bTCHef7f`J{yQw8|84nFv63$b;*;HTtGJs297W@l&O;AbRKMu<}JD-nEMNM>_AJxciV4BKyUVU(+wwdvhW_$e@m}9EDOOqd^2u)+VhWY zEIDV5VSKLpeLMUF+i!9q5k~yGwIMSze>ucZVp8hNoSPmr;e3!2MRKHZOfdH9m@kXu z$paB7Yr)=sP4|+{4jpjkt7J{AfAjm6H>Jz3k`UW7i#h7OFsJsjBaR-ik{|BZhL3pX z-_*QZkGSx+3vVgbWhiCx>`sxqM%7+0x&EhV=hm`WkR|ANxU13My@l_}@(&J~ z@Jz+#PNChHv1satRm9t78Shx0ly@xWtjyfGZN1yLqmHIkK{>_i<*j(4135U~W5}g+ zHn1~M_RwR$?>H;|0hI(G$_bjwFZ&M{<&`TZkiova`O29aoqZ)bCZQ%NsmuLXzjv>F zPLKS|dpD1tu%tsgX<7Q$GNeF)Ak>kmQan`{avn~*E87~eAauChUJT0J>={Y%3aAIa z{_ur=GI}cyG-UQ``N#6?w2XC*f^8&|s%u3WD5>*O%t`7yB!WVm>a89#5IPK$V0? zjTxsD$vPxkDa{%V?UF-samRX=Q#DeG47Gpx=5CVve&A9@SFbmh5?QimE{EuzJF$0> zaa)_G5&XE#t5S( z7iudSdPo>M8aS!wio22e_#RmMv7Sx3#4oR&vsht_mcKF4VRETIDtpJ#981cBzH6hz z8?m<H8hmp}v4n zSmO;|CQ6=iS%ruG3VrLjRHH(@_QtVg*!i`x%xp)Wqge~c`f1`E5NLzGSO|J%Wo&=g zQHO#tO~O)a17DJ=icf?hS_(^ep!VYYM8|H`RmDFhE2-;=OO6viDjN|F&BgC(A9xJJ zj$5EU8unLgMG?L}z=I--ug&@l&;+Hr$3d7I&wOF`Zngu&upisjj_$#Lj~DQF893H% zCBY&bTXR2`+c4l==`>eHjde}vQ^?p5KS*M2eDfj`< z$=PnVcVTC#n3stAD^pg3khEd%VF$+VZNy&J;e96j6TMOE21WTZA^xWcWk;?J>%C$= z952K~%*ibh|BeNH0TKL3-`mC`ujN0LqW@lKh)&BdCLHC)m&5^xkLMtrO!8$wGcITx zt{w=|FG3Ow`bK(cKT96H)-)b(UEpWSQb59#?JxF&LjQ_a+S9agcgl4s7|?f|{GRBB z8yDBQ#$V=}W~X+0JL{&;VUMEf&w`z_w?Jtl-A+p%^r|uTTGmxLr)rOUdkr%FZs2!P zDf|ls^P1qcpR8?tFUGOPO0ivOJH~89nocRK&DOH8Lm%$X zGv<5WPE_lkQyp%Quvj*(wNIkOJP@M-UCfTHkLGo|wlLWv;n-%CRmk>9WKDbS;?T+b zQO(Hjg64Fm$-AKiUmI_{Ro8baX`Nx*7y;X~HH@7BLq|lHJo%e5ifJ<)im) zhx|9|eH%CRDy%-H3tzA~ydA7+ePOb`oXN+E(FUE&sq)t&Gy< z3iKugj2`I*DUK-LB&`bUn^lOy0Edi-570kjnIGG)y>(@kUEi&bDq@b-#6o_!S_d7N z)Kri`!qB#~6OXvJut07=R&Dp1s?f2Mh`C|mbib|<;kns2rn2gH1D+-X=@t#Zc9bD4 zrcY<&g~(oSe)BXdHOM@n#Aq}1nwS9eZsQKR^k_*Wp!^+~gF7i$!JPSvxVmVlhdU^Ph3N$}wrk2W z^_>4SR^5K3{o@E@7cNUW{Ia5l?Zq|R>=m;r<#{En@;*b(&Yw(y6ZLoxzhB`Z8L|Z zR$y-@yR3VRyD}8#&@RU<_qCkQiF0=-3R|&I-p;5k%m}jb*#UL`|O z%FG!S)IO>i5%U$Z1!Rm{DaK5U!`Ek8NCP|+vxpS4iBlN0GRZrzd6R-{9{2x2w?Ruw+4>PN7n60{>T^Ua# zIHaVNC>m8cM2@1x^)VhJgzZtZ9x*TJkK%~g`&_@G#v`?!{bhik4XnG>pzj{KsxXQy ztiMj&sk}^)_KsdZHtXH4IMdfq7;!yc-MsIUgz)nY!(!@R38cwg#>{r<9S>UcDHrdQ zqFd9)*zlL`dL7uo|};oTH&U_@T0DiN-E-wveB866#O z`$r#RA8Q~OD2=fLC5cuMp>g@vr_8%!PEV@>b>+In2&08E{WoUxeV$UG*B&c-%X^s1 zYCLM|2nBBjDzN5{^IiJRQ9}#N9jdgCg;4j0h>SI-g@scrKcCTq!u@qWf0{rX-o2 zC7KY>Kh{14X~Z+NxG@vKZQpKxW36?By1b@`-)TD=Bw2IVc^RdTxxcu*omvnhd$aoC zL8qHaz^GQp`a3iVO7og zI>t(2PIh0M@ONcjoU+osdRxWKadRa&WBOK!D$WJiNICRe`liJMTrH2a_8!IPmgo6i zZT*vI$lttnuvKaoabY3`R@u zs@VW+MJG2BE_0cmv26bOyG~=mRBGqs+htP@sahXSZufS@FZ*7=m@Sya6w4!-Z|eFBV-M_cjfHBaRwtjDRlE}3mV zkmH=GtpX44Dp5d-XAmFnjX3ik4qNT}U}JV)Zj?oz79~vKvqem;w{2c~=fc*$O1=6= z+O-F2lx}h|uhnykmuH-L?XU4!`Iq*>g02qh8DXI|J(1VGN8ay%HVpz+Y}v~gpZDP6 zS5y^Bc+gG^*8M`!ao-d|d<4RKmD5WwA=vww@NuL4Nts+aTf7E=i#qLFFKzK4 z!;ESZMak-4j~E8+&vf*G#P3?uAAt19HQ|Ps`;Vlz9AQ`0Qcbfb4Wz>K&0YBus*{P`vnhD7Bvwr{4xlN<(qW1fn3#FHcK<#&9lUh8HcegN315FlaXc+>?2;+Q(h+ez+>B zVy)J?20~7cH90p3wQwc3Wb=_PT3&3Wp(h`SN8j&CQLcfs>i(PnUNl~qmFwlHHF{X; zp~hV>+SuyYraiD3gd%AMOlv)wWM<(Stj4JrP=aJeq8x-AO+G3l)^wvmf;MlzbZY}R zL;Q?6Gk>U~FH|q0RU9GA<%c)c9i@POz7vHnMVD=rvFmSy0GH-&QK*|&6qNy=+F#VL z7(L3%W#U^ZY&%b}O~TLoZFpdCf1Z3UV_D?58k_geFmuVCU!W(j_VV}MRU*$yT@4XC z-rz%G+mZO&Y|70-J8U&>Lide*);F$M4)uBU?9@)vX+_iYT?2iMHMzo_*IKliEF6Pc z*{x~Z(!|!d<&VOyrR(lfeL+5lQExTiiQttW=%ePL$MTL54W!(VW2*#a5i3oVCIhS>O+vTtlL9NZn z%6Xeuo{sC@wD02lm8H?+Fgtnn3DoUDNE4pXsX56s?;m=Wfj7J@6S|bx^o1aWa2ugJ ze#nzy%2+Wj&)6n6-%EzeZF22; zp#kQ=qpuL`VQ9TFuk#6yb{<=Q1!Yjxd@fc1*J8azRF2sP9z;cP1F9H<#1U=m*S7ND z7_qPK4Aliij0hKY_exBRY-tBvi&S@vi;O;VuDm3}gLhEtC-{{=wS9e~UYUDbv6jqOW!tq|RjW-z6G-qK9}o+>gWfop{Mul-Y{O zeaE08r*SHPtbQIry{5zvO69JRje=SuR;xB~k`dP)<^Z=~FWphQsbthOxF1VzzG5z~rVt+fX)6aNQke}apJB6G_?LQpb{RtANm*wsMgF12_ zmf7;G*+GldB$m!Yy?2M6Z24-$v}leXr+|BE%ib?dIkf@1!S1a}PXsG`r$1q4S{UY_ zq{U3Fk|0|!-1_q^8Mi<8`+UqkbMNSawx#5w7IxY$IN`qGXD?X_3L5yn>MeGrx4!*m zE17fTdvra~sf6}K9cs{#;wpSI%v8SD%uRAa6_DlhTHgpKVj-tC-kMpokc^i7HdmO} zgif?P!A8sLxNO8bC4${j+Q9xJ*fzv9Wh^7Kwhm;PMX&8_I1yph#43p#=Ka~TiQtHJ*4vU23%3((YWb8??r=i)SHiGYS(`;#X`2Nh3gr4;XuZL`z=l%zFa_Xi#<-%C*!3)5!shu99D5 z;}Jbo)E3hx54kkp%vguGK)n%x!Mqy4Yufucud2=%T<`H==?RLLQF3!!q6Sm~aLs=?Y z$QfNU40*J~&hgCfBYc`)4-tw7BsKVH4iQdob8<(Wki`v#nc6+Z&}gIpwS6fVhQ;zIp~ zo1CGOFNtD>=+qIgCm{r$;?rPQy=EA=8ELSYSw>}+q8QLeDS-~uM|G|9wlj*ihlH5z zA|iI;ianF{$ZjcN0}ccd%Kg0pF)ZIxu#dRi)s#CaIaynUiv7rj+}J{0-$LwiMpRz0 zSo+P5}<$)I3g`}6ssgS{nCL78ia5eSsTSuG*@yXOQ!B*pRaTp>&<|(7DGYsLR4;|4KH|xz z=zwI`-;y$ONIfq}pcQ_?U$`PR_9*09z4bGpheM5avRec6`Rw@>V0Y9EMA5;di`Ans z1*pu9cLw!DII`217A`4twd`d1MEWHXZ``N%&aL8gHz`rE+Y06#lXW!?WQFO8hfHrFYYvKRFURQ@PfF>wrq} zh9?2J_RqiRIsxW>ywaFiTt>%~2mWWXIBdHD>sUS0K6TCZhAH=Kh=N9|oJ;2Ch*^r9 z{1!aRN5BuS@4=4d*5*r!RwH6+gz&NGEWc7#;_s2fIv>eu zNE6AQd<5)1@Fa(&Q|@rE=`Hg*W?Hvellu(oxJK|S%fHvsrf9}TCs(snqE$(HUA4;D zOUCngjM@#;SlHXpt=W86N@(ctir4~5RdB_VvYHJkMZw?u;F`4Kmh6|NBJfKZy(J)` z+7LHVgA<6##fBe@RN-h&e5#Q50nd&>{kA?(EHkV9oy|RR$N5S*2>XfGEvNt7w>3g_ z5A`(fDSPCcqC2w^z9!x+6mE_66A%$93?X{L8 zWiXuIJuqs#i!WW$2r61S#alF!X*hq05&m04nV1{Zo&#s__w$(k#7pN=ebADODSFQf z-;SWA>-95UZaEZ|j}A(_mk2|b&SmK2RJ*&N9)V%j!#|F{Ul5+j>*LgpD4(4GjER0F zqf^=RH51u0-E&iU1`-743679ej7;;m~4s6=Nt!lVZB;8h1~? zw=bYkpXLDPiHOVGgMXJePx1Yw38LY{2^sR;+Sss*u9Q-0(j7_0PQg`3IbIEBj=%Iy z`*=l8pVzfzH>6QuyglJObzaW^XzC*&BF1`?nUzA#{`U+Y@Eu9Yc}g?&&SZ6NX1DLf zBL1*h3i64ZkbV1m3d6^k3#f96>BZVyqTjfrWNltY#Qny~M}+DZg__ofYhTsXqxv&Bnfl{vSMVxV&7oW!*JBy zl_GZlKvSz94(2a2x&SY#SqD+;s}O_vg|vLUgS7wTw!{ugj+Dviw$~@_X{OFcdmr8{ zwR7j*BbVPk4^utSwtwI2^Q8s5-|5<&-1n*m!1+ZC`!-IOznYYV3vtnCVoN9!Z{Rm< z>`1{kLH)8$1-TC3NXto1Oh@WbbRrcZy#YcmG(02Oxh(^`KAs#>OCoyYI-yymv4$Q> z^QINHQTv^9=&ZxH*3Cvp#};3YMQy#ln`5r3_`{@%d5wD_A>ett_}}A|>dHBS4;y5f|_|+>l!MoRCFd4)6i<;?sVH?B>ESc-$x3|brJ=M{^8i@T^pvST4W6%$BN5h z3V9ECYT+KwZPZ2vYCx&F-I2@9u7%agh{D-tKHhUzo39QllWs5HLln+~BCkf(7+zFJ zRqBWzp{70nm>+B)s+bk4r>4x9LfXOeBPgS)m_qbw!ZDKHSnZ0Hi6QwOJe86`{G4ik z#Z<(dYH&}klp3B5x`PF;sO6>FFPr!jqG0dUMs}5-PO-tRY^j=?VD&~|wL%-$CJ@ki zejORc$OVm*hVb5ob-E!^bw94CxxZ(6M!(&*TbpFck|aZgHoRZI(^2PR{gg?NEhDK? z+`@N@k*041ekyl9&bqK?hMH=BjWct!6eD{PQHWj<%8&wb9W6#QY7wat_l>O!N0+x$ z^FRpHcAgtJ)ndHgLm&k8g{SesOvwv_37wYduoM4N_~EST&?t0*xdSfeL(_!7SyX+yxRSUnJ2Giex5H-^j- zGNW1~qpmH)%!W5oKM_M>7@|awbm=<-cMye!=U!ZDl_H&+T9P7Bl873kShc`B0pDQ8 zt`LppQ2*Ns&RDyO{4{rU?Se~eVJGT5J5`H^Hv3U&(^Y8`r~G77tzuRwBsIZJbZv}S zq?Brs#dy7~XPlo}KWxu7*s}VUqnc;vmmK!vqtF!RmL*~{8Mtz=sl%oX$drD9iLn^r z*WI}0$FV6p`oXy^b3Rvuwgwut?ZAmC42LtQ`juDL@RMpIk7ZL6=51U@&r=kHnJB|2iwo8EV3LU9@#X`^gi%$GWyr>uche2OmO_i(!M?rIBO@w%XG`9J z8-F?WAYQx#?iPd!UjhN0RQFA^9@|&YZ1Fj}eeiZKwmFkeKk%U@58rQaR?_#8kGsy_ z_#+M7pqAcf_dhOO!olD8sEf{qUkT`=%_7<8pgK z%?iEdlq5}*;e(F_)&$__(lna8k>rvwq*HH}30%ubGLC)<(K-75P^@J1BTY6$nr|&` z3OH{npI%1X-BdY~SAE~pSReO3-`wGAAwh^GZWRqi#SbFm54vaxd=5l$EQQMPF>{-NkV9!omH{{CikHTyWja^kJf^)gQ${qC8L z*5AsPAQkgknRBRPuqDL3iYy0l;oW$?=^l(zC%W!i)3%`c$125AxN3<@+Jmr+dZha> zNN>yDX%nT%Wl%*4tn>|-_T$#F4QfHV9M8MLL$9Vzh7Z5fWVpHXn%zlKxhZqe?Ea>t zHXF9@I(&1>5?365C`*Qa2e67CvJl(+l{G7Fs;9dpEc*86?~jBl2ZS_HaKiBCdCi`N zbNTBHZ|zn*+|%!Ik;eD%^*a5@jH`jnCUoMqK|H@xCt?xH{qGztC_ikFUUV}@S!-ZPbunCR-k?$lf+}H!8yu>`{#K3m)We>!nErq0)gsKIw>*nMcwl5Fg`XwtQS5pB0 zwn?jsABG*sBgMZ{AS&$vK3K-ScnO}lwS|@5qA45qAObqkmA~koo#565jO!*?XC{;{ zw9PBR_?qg?UhmUe7sN0A>)xY9*)xvu{%s#aHJ<5P+Jg!3S;1=y6EB&s;M)~gLXB-h zB(IdUWm4cPa0rXdgCRVAZmw&yfc~e+MSyG~fb0Ss0vDDSO&T4lJ;Js&NakrOIp_bK zOChk$mc#bOU}JD_)4hmco-NR5HVpn9rQV}WGS?r4&@4OEIn6Krdai)sO;HTT)G%Al z6QrMuYOUiD9^?mAmop-E7Iuu(ef$W6Ix}EEnk%AQ-J}hl)XBqn15F-c@0M(s?38yQ zc)zfmsO@EtvNZcOaTL?f9B=~CVUTl}ti-Jh!Qp3z<5WiUj?p;ZtbJZT^+U0A_E9g1u0*+Y2Z1sXynV`XA%Y!)W2Qc9>HK-5>JVIXItjOF(DZdIkFVpyb^Wc1-Ul z6k&k<4a4#rHk4Fne)gwL-|&)9x_2n(HX6Z={R4iwRh@sSdJcWF{>$ZF2c@(f&RkPQ zYei@)(kx#nX!;E}+6iBn$*MX*&-ks6vJV!T+q$PA-kGo#%v1j-84@2G#ry-+a#3=; z6O-`maS{GPJU_olkZTKuNhmM=65ghNZ~txE5{FRbrkRheJiQ?3s*S~e;m;YaD-Y3m zau!sSrUgw|WtTW?;5OjRp0HL`o}qW)V18>sp-=JH zL{8+S%DQAUQ~1T#0rf+P0IeU)h&&rCqhV$#>@#zEHLgQYvot!&WDmDm_kz>Fv0ff- z)1d419FJ42#0l=?jIjksYnwGF@Q?cUk9(8(g9Jw1{d(fOC5C8Lzpx5vDko6;sUPX| z%2;1qo@4#YtWN7@*sY3o?y~$pgI|}QKr}7%&Yo^Ed}+;&RuH$1)hN&4qiY0luK|Y| zYX4&g*xriE<}ES!8lv3VIiYOFxn!J-+ZD4)&23a}c@aW$8*+=zqQtbFVbmgi zd)~bO;+~?~O!TCw*Tzmjw0I>SKNTSg_P|)BGC(Ch>ujlOiv_gD22lMjsglnISG+;Gv>R zrIj<6wK#sEys+3Un;~K3qunjv1XjIcR;iq;vy!Hhmqod#<&AY z?aM=*E$jCLHDH^sdOYfP7nMha>!e}rJEy@DvH;RBn?7{(H^$x$cwLTWFm3?Mc%hs> z*AT}otq&TY8JVtwb_xb2x4|PlLX7MZ4pzs+T$1ON;20|wduqqGMc>JVlH!7_v2E5< zA0XWY`1+TK7%b~za-!sU4QCb&uIN}qn}cHc9L3nTKyVo1jz6G*bC;e}98-bC)W*t| zGFVREe#Zq(Hj8ESAITHQV zD2cem5swWM1C3nn<+);v(pid;sF3!t#Z6vty0&W?+P8he<;MfgfKh(W72@pY5cnsP zIv@uf)Q#};!X3lMg|s(GadCrNPsf!I(F>g;!9|$vKdk;i$#puAv9FLg+fDp8jF&TG zG=>@HS3rFElbSQLSYzSqQ#{#za>*FNJqR?Q#&fX)mIgJ=vd`URg1Y#Gff{nCvmG#t zs@q7M3$#FSwgjovCZy4K#HAR`<+yQWb>+!rbT9M7sA7nNG&A>BEipO3K@%su9;eyN zk!rS5m@%MRRzSYB#9@M@a1Kwo&;i=RsV~b_L$vRL^(zW%%K2nHo*LkLtJ`4J;B_(g z!TI%)QYUWJAXgypHMj6z{&7Qa+k%xk4mkCX=6g*m*@q|M|ElJLaLhz=YJDjo);Pc2 z8SPPtdn>#rFv>o2^Rs5IXe+^s}^7e02NjU*hhvs54qI$#>HY0=8!^!9uUxp>!gXli2sU#e!d&& zt0iK};1H{XhSuEr4s=UC5Ik$KMD${YB10~v`1PXS6LA{#zk#J`Hrd8b^pn@9rsx8U zQ@$PyBn@at3sZ*a#2Rx#16u%St!Yazz`2Yp8W{5$ z=f{aU#%<{1-b7UO(c}_@W&Si!RkA<|K{Z^bp({isfbkm)!BzPROfzVj8;I|Z05=!l zgB9F7i%d8!piZv&7xB-z$N}xfq7}m*;QdpY^7~1j0X8UO2{^P3N+VZGBq6{-Ze|gh z8z5r>dd6b%%QVncj)qo!W!5{jgUki;ZdiXNWbgvsr@<10X|WZEe5waZo7z9c%05)N z!SNO6G|?kh@Q8qlSU)fOKfi-4YX1p$L`xnVTH1xXbA|^VSi*JVqmu?j54mi$t}sLh zdmSg8$i$`3hV~NBlV|q1Lys&jO>&~PqfNkYA;x>6`_46WWscAuQH29ik^eJV(3N@r zrwS9)gB?_I51o{X!JI-k4-ej&&g;`MR^nXo-|C*EEw=#`1Pm?}eC`Y}O&>56GC08U zwD6p8J8yY3LwgIIcIbJ=>Mm=?>VIv=?=lD9&Ejf)VuBn>A}dq)x8vh_=r8f$enzz& zo&S@07FJ=7&!=ZGLwVT&0YoZiTF4RSEeUP#5WuL)N5PFUDRL`Fke~_+~M3^!g5wt8h+!KcCc|Js3_b zPtLBIPcml^P1j%DQc*7NdyJ;VSm)CovafS*Mq3Kqw7<3dm{S+3(T7PP;npZAOF90TT;=V zPAOA???~K*-bt$L){0feT!G<;Yibn^N9d*fM!!RHnrNjs+-$dBrdwhU@uiZEjuiU0 zu|<&(vdr0hHf3;gh`=_7nj%~hm8h{NXLO4q5(TOM0$c&9=vhrN|I>FIH|k~yE#jZ8 z%7UL>(MbQmX~YtLJV}GW$h0SOE-tUH>xi$?YFm}fjBo@6oNUi2)N4eGD2xTqKjR~q zpt59#mL#7xXV6~r1R9<_`3YN$hEaj=;1mWH*g7|zq~II<_}LV34~H9NRl+no#);w) z)UjfDa|NurMeezpi}UqJ6pxcV$^OY!rn#NQCXUYaNB-n?eg0YEG(>QBRSgul@8_+P zYaOFKc5%4e9;UWJ)UUCYqn*}+l8Di0KIe}zJq9xS34@KIp4i*Wje%6~C6=Y*g{O%( zW@6#(iHf94_n@cB3g@Dz`b|LkQs~3u&oTPo_kPjqsZ_npGoqO*<4w1M`J(I1dBQc~ z{}f)#5o0Z~Ug1WEUA#b_V35z?c>H~8=uwvjHpU{5KZMzOZ5{vV>o4Iw<;mv;P1Rfq*U&4I~Z7|a%5*=1}YJv(@Rs?POj6p^fE~_~t=Azc((RB!M2?DqH zHAtT&NHe6@A!}7QKT1C>I1)SVzPxGcsQYoPoh5^{1mTkz4%O zJ&v6>0B=~Eui`0F-dK<8RuT78`i=H`s?iH4z=-@K!0ep8PjyKUuE(kzh5l1Y+9N8_rP#u9U4|I;VjsWbXWv||-YpbqIPoW;84VGWi`&UPl z>nCx`dbR1_g1?pa4;RnJB%5nI)O28{VPxx5S@0i`1np%A@yANFpL}#Kf#SNZ7xvqz zIqr3s`~R!~ub~m0edmxwlZ~upe)}!O8Nzj7t2dN-U$LK%$6!5Pf}~AB?jsQ;oO&N} z&jpS*T*f-U|k00e9CZ>%)9xG$3&=dfOe>sd?MVZ}>SEIM@)L z-lX9Vj2#Jynp`$zU=vf}?-GtD(!3NL$Y@_GWx+a1e#^a%858ND48U`QHAnJ3Tidle zB3w{ujCCGkS}EW-F8}&Fa9uHmZIaJl?yb7B@2?)KsE-iA6{L|e{I~LE=-+#*?xs=4 z@~IEaF-kbm_^@Mnot5LJd+IST*Nbf_W@mAIf-GXm%+F?&%k47@M@QKB-Uye4R(kygRKw# zTeC#2zo7@s!}(+FwbTix4J)Tg92SN~+q=+NzL842@6~`icYsvK47F}YV7?>^oYdA4 zu{{Om7#uh==re&Jp6ii@64pJFhsC;%yl$JmTP7r|?oBM6Yx<(~A&z;N<$o>%q0x|d zIdB7=;QW)T#OrXxxg!-Zd!C)927exvZG=%<ZBT29pMek}dJ|M6>k?Egb;y9Mg|KQt7! z!$oZPeBYN_2LBVcU2)mzq4u$&7@T(uB#*-ng$KwgdErJYsscg0Bl03zYD0UyAUBWZ zC;|~>It82wL*9wKlt^3NpMMB>M@al1CG?Zt0o1n=>qO?}6>q&8oN1FWYU*E^BK~yP z|8;cK0Zo5TRB8jITViy#bdH+R2!cpRNGK`Ywb8Ljcb6zgDALjm6A%gMmL5os?YHmm zzxR2&clUXBxA(bsckf**fZ40~l|NMR`l71;Q^>`C6Gr>V%{3~2i!}<}dW0(7Z7WG* z{Z$-x2(gSa>a6MYZ=-U3o`zD|$U&Z>{M-i*6tKvz~~R{ZOxk1L9y_@8ZFc!1O-4IM!LADM#1IHSMr`x{EWy zY(KjA^K2>JXc?>E>^XqVqri#v>Xt5+))76Kd1`_iEiHF-ONN^$<_m6ggqz`r{<4k2 zA2MqVKJ48ij1m;rLfC_@%r{V+} zBe!c!u!Q3%QWXpO4^fJIqWcC?=-Ktg*=@Pd5uI{MMIA{>?4%X*x@<;_MM>Ia*XRFK zk;pdTg!oR&`+LFih=pbvDt}!z@m)Uemj3bUFlAf5XV>rKbYu#O-Q#d<8_GhSg1!-_ zocK*YLhVaP;UsxF`}nNXjE65V_1mNap17AbLXgL)<{z#BK!Vt8m|t`>qNUiTTu{du@3u6#0pU`gYd zx2#<312`=o56@Jm-i|n(90WkBaK_t)?9 zDMsqRzG>bQ>j(W6dF_w1`UH@rYXBj$JmV9)@7o+{f=XP$F3F z1>1ly>MzY=Q}m(0R}$(9 zT`FRXls?ZnPK}=#^Q`(AMGAh00TbHnvPAHFV55}^rsZDVF1sA-(NSij;j6tP4-S7u zG2p`*eD&ncG&Pj8<6iJyv5uT$jQi7l75xv+Jf$Qj$YF`dfJ4PSbfS_LKTDMg$7v90=`aSIeAdZmy?j|g)!YJr{e^nUe?HTb|LCDy~MZo z5({jD6fcrSH2dp;WR@yztmENCe=OlGm-UZ>Mo);L3NdUNw5s8f|7n@o~I=V*WJml_Da>`ggROo z+CYuq$g*Z~)6Mi2(i_3eH^)(-D`%piekx=?2y>TNjB7oNee`9D$L2SwqjF4J(KVtLPFH1lbf`-Z z%}AY=7P%uB%jl?1_qnwGUTj3qXJ^~FuZNeF0mMTUi&p>=Sq3$pal+RAm1iv^kJx!9 z7YLkXYS>^J(OTB|By0aO>_ZI_yc(2~kt58yx<@s&|D&#<4R?~R2&&znG-tF~e-Ei8n8ri%k@ zIA3fiBQkTXeFeT@JhzBy*At2U)W!#vq6_aLOMLDMX@|sV&#Dz-l^qL!^bn}g<&Wo2DsWFB1j!Lv@JQ4v)h9h__bOXOi^&4L1^>jP=|l>cIRGCasNY3 z7zIO;DL7mHDGaTQ&>t$irw@xp=krsdu<^y(h-XaE)V1J;(jwzzx0Rn5))D(HApLch$O;5~r zJhSD zLWDyUM8dR@xqCa+*fS@l_#5L_Ow%UrN$7Ehx)r~ z51`h&Yi$0%OUG`y3J66uS9Fv>E2p9ZeO#+?!hwdpVn636-n9H^@d$}?iIw+0$i3F; zS^RL!*nqRC9WlU@LY_~wyS5{P^gbNt%0aBQXxT@jFsyYh~OL!sr`Nhs?Y_dT_TP;usL$ovyw*TQc`IFsklVB&=v0iWL+h-V} zF}fGure78lEQ;Q?NvG^IipMQb!%(JN>hiW7pD%-Vapi#h1idYONWU>wj8Y}^^?G&H zSfZ8fTpYt8f(2=Ajwa!IyN@tNJk%S;>ZAkm-`Q3n0nM>Aa+fUa)($(gN7^Z)6L;C~ zFQ#Na$}YKo*Z*V|t;6Bu(j$B4Ma^b>5ZZ9RVNXXT*kq`Fq8-`ajlX@Ok?h4+k?+5-KxZ&`s&CBpsf=D$CGsWf+7&xu{lJd~`Y@ZjS< zr!UI7-?FjjC)v{R(mV#Y236E?FlX-_Lb_6&naunumI!7o37=e$mvBnX=6k>fSSEJP=#P4jUmS{cF>_aJ@mQ4B?oQ#I)UMWfPn9V^+xd!nY~BZ1&L|8y{Qc>NIQuR z>GKSg{;(hB2*xDZFo+sMy8MO`=}YZ53t_p7plSUdg<{jDxO*pt$FV_@;S3S~M5znD zWNYnz{i*XvUqvdDi$*FZE~j}7V#oG{<>Eo~Xe)rsH1a6*+A4%)w4g4k5_~-f(Lg;e zomP2l#he(!(GVlBdVMLONQh}8u36O-Tw#I)k?@_PW&FXDAsDuEG}8&rZs9T#`&nS; zfkHo2h<}3RIGL&V461=o6z;VlT9ks02q)PC5>%6H{875EDp>^Wgdo_jc8Z?a-wYX!)Lyx^aL_gm}JaJ zCc8qP>=*Q=t|F>HX__q6tw2$M+`Q`b^LFwnRs>KnFVlE;jfwmoqPABT0jrBI=~TIKQlK0b=sjile2TH)AzJ%olx@Y*DLUPF0y}@0M>n;&@fBHP zw+85V-zjwd*4!VrISDt`X);YT9$1VVW!=G*rr#aSqk1|cWq=p~BpOrG!g>C8NKNWeYn%VdN+Lfkg%g|tZev^@GiLa$^Ba2c@k~Yn2^+S1 z{fF(UD8O9Yl+6otJx%KANNSBB76C}wKmL5k%qFz5R{>NCzhp5u)h=V#Rm@tiIP9&1 z+Hg0gQ#iCjjoXPrM5`g$S>e)uI9_E9-#vq7|9PjGL8hTJMh z6gWSMqUHD6@GKrXJb|J+)&O>oM~UFNbqPK6D;l3P;!`6+6GK7N!3Au%`>Yubt*hqp zM`7YeuZ5?C@*{j51ezRpd7$S9WnhBkDZrj9&T`Kq(jQvEFF@W9R)@RPP6QPHb4y{9 zL_6lujf|=DOSZR{dy)7Qk0F{E4H+;dIp4Z?etG0x+(Rd~nc1@84iU_cgb8fO{gnw6w|aZxh^SS}hM z!3@xc9P9C?of2vfS!WnK%~PCv+?#)#;AZnrYKZ6K<%7Ru;K84dj1QuapR4VhS)ocp zq8##U$15vL7Nr?Sb;6Pw@ejXuv3WiRZe9u|OhelWcwsf#Y2 zJNauOYn0ho2?+RVb@BEr$Fzf_?n1B~HJ*IllW)~hmkM!1DY|;kD!1)%>#c`vR>(Ip zNC>!t>B>Ylwio8db>F&8Sbo1Q?HNau=hpWdqo9}%{9_?bO=H^oo!J*t{3|0TQ#Vg* zvqXZNJ3c7bANo5lDFiiyjHhZ0ziY8FC*xCSf#`qXur%KYEMJ>_K4Wr{8spu$xfTJ7 zQ7RKnWoZ?pW0}a+zVi#*ef5O;*Pr_BwRKob3=NA_NsMIE<{Dl*CF85FDob=?_f9t7 zi$a^QPeGlln0VN&n*rU?%HY?du<5&FhfBYYEnVtsm^IjKrsne{2C^ktEn`LTiYMmB zQvU2Maax}vc-kD~t$@LnZf@yIXw~s?E)wY!-aqZ1yc-$)50Y3gcG1W{I$x;wpVC)0 z!WF>1otDSIH^EBWo43bIJtV!DJUaOJ_xpjc1%d)d$44^Qtsg;8=IFYm&Pvz6I$3qP zNk#N=!|5_>8;mcRR>l=2eKw_+`vO|`L@zhcalv_d;acq5G=IgTa^xKm`6?s?6T9>i--#D9?eS&x;FSqJ)B(LWfA3aUJW;7z=^cs9~FAbPk zs0`(q613wJm({+yhpc%TcU;q=NBHBF=owv^RTm)5)3Hul0{hH9=0T-=v*8!__e;c< zN=yH&pQ1C&xMhXFeGe&Rk8c(DpE12s$~=VjhcngVIQ=aBjiu>Mqqg@ z5?FtW_HcubV;T!j#5-uww?$u3T71^6a}ony)sp1)#RU;)0c*Pp6?iR_XLkPvYC&@J>k z%`+(P*ZVa(jv#SSARSwf+?QIkJl&dH#IZ11N}3w`x8J7WEwA}^72(Yk+||FHB&Vvs zUbU!XwqQQI`7=6hTAFqpZfy1)OT-aMaz_M|SEkzy>zCny_;iviFORT&2N*<1h_SY5 z-;U&x4VH^UUIe6`+YBl6`8l%^Ve!mfli9bKvliU|(3PY*k{id%j2}&16#LZw@EWH!|yRIE#+`qsgYQTw8fW7kjXccY%70 zsN6A5I#C5Q5kEZv7yNkhkH?qxgnsPm7^kSX|D_N{w+K%JdH=uXHtm8hov>O2V;zMQ+zO ziew)qANEJsI+VyVsI<$HZSmi~=wGW`z~#M|?t_Etjzm!xyg3(GO4^kJzT8 zcRDF-Q_L8np#N6bXtw{Qq~qJ4DWKxKHN4wpvOEUtwjEE*(ZFsNYN?FB5~-Z&&Z8Q{=6|)3$yO*!j%#WG z%fnN?_TysQMQ7>O#LIcvVfU=ighOHZ!vpU&O+uefxDzK%WSoSI@P@IS=M2few2U!4 z9)3))Vk)D2Ni0n+!*>=^wryg1othn-9R6amUdJgnZL4gC@3JDhixySsa5rJ6$Mwuo z$Ly~8#Fs8012Aw31`~1ST+23y&R5Z z(zp3%U;!m5 ztyLe}L(az!;33``sFCKB(8%eLMXf=0juoZAhr!GZDROEV?yUbXpqpKtmc0cn80x|h zQLz~U2*>{L^?5-)Y5D~1cmj>zcv*w?pXFcq9o|tl{p0YdG$Bg4$i1DY{kh`(0=Tte zO8?LRnC_Qcn7B4YpD7B|hA%OY306|ljXQeIw3NXY!)m?$3NV2FvZ{j($SM_vw&JA>q?bObXL1%tVe=?@*N;b1Q~L0Bbf07-o};QF-l<=^Cx)4o%P z|I{hOEOr9{KlbN5NFGFf@Eu<&At_hlH^Bs*yciC~rj86&qnK0DB3v+!OH=R|xBMla z`}Uj;4Sw>a8{{Z%-#{2FpF)QG6evgJS(1ism{ZnYdj5&#!{JL~byr|i38MwNMWup! zML;yDAUN>BYo;7=+=Zo@odQMZ2lQ)&m3&>Y?KI*m%E+6SzB8HI%q~7o!dG^UXk(-u z{O6B7;#Px6oM8^WeuHzEg_3>)UmuHS8edOhRuPcFL{ z`C??T6F)zsIf7mwOw9i^Tbv4Vr9q9XYH1&;=TA5?u=>g8CZvYYj&e^b!*R8@rg@zehfzGFHRy^%Z z#Mf5l=q}Bgis|xxz9N*Xh|qbamtdn)LH97gM?-du@df%*O@%r-``>( z-=Hibis!rOuium0{?_d_pPSCt>Ck;KyLy)zk7pCyT}WwSgBP6td?H+;Hg9 zT1exqUdVf|1-6)_ZEu~QdF{OtspG?$NnN$un=bwDUG)?_Yc0JRp586yq^86OA-6Xl zoH*$z@n^VuwXVN&(NoI!W|2FMcb|3K8T>mMFm56*#dAM5XF8FZO%SQfKts4{Vjc;{ zzPk@4+UP!J)Ll>m1pd z*KP{r{)=+)`b(n6jRF&}+T8pn|=npq5$JKpRwt4_|Wf?4uqxmFJYWoXDH{f zq@#0)w|u#|xCce=;k5Mop7zN1=t@T#r8-{yoMGKaC>mqNz8Q1>I@Qa{ctmL{;l%z= zYR;aGKN$0#mlCyMLU^6(VO91^B51HrDp`3NuXZBowJY^?`zUyQViFwu1U%I2Ni48D zaKh?JZqYpb#Lbpyj@jeAM3Gm@I$Bp{M{~XGgs)329BJ5g7uK}jNSx9e$xg-HV5g`Qnj zt@QZw0ff*Yc_*=c=$a3w{sOmMhEYdfFBk9ON;7Y1t*D(~{rr3G2%gPjt$J|i&=6~^ z?b=r-NnOLC6{eSlOI2hlh?C7@S&*?5vjQkA%pdoaQV|Y&0wkwh5BmhT789*BlwYcH zBq;F4qv21$uwkG1_wcRti-_*extGO|AmTS>Z66i*m*#r`?? z_&RvyZr>c7HTUmFo%iI<8{3zwf%Qm3Z0u9xOhDLxfI^EbkNR5{`x6b&H65j*p(S?) zk4sOg(svq%c)I+pV={f?Y+J(3;o>}Uhxq+CbnjZ+OyJY$`&mMBskLT_q-SqG|E5J_ zUHAWC=JDnNkB1F&k#8@4*W+D${kE*-4DG?YYW`Q!dfrFVpDIAXV3?oS{9SRca4O+E zDnm)~(-Z%PuZ>ye+p_w)?jP3RRjLeIFuhtJpSl=@+8t_DiG-o|D6%c zHfmhsi20|~13RAjAvtt)?xD3ycwUniY=Pgw4su8uSOm0=u{S1Db$$%O^u9V@OTO0kr=0y>!EJIUB` zqx>iKeWR;amZR5k7NaqF&BhT~2$w=}!{0#2mSk`SO(v&=WfWZWxkdLlHCgXRqSrxd zm7>oN9=*>01J;4nwt%_4UG?o@C}kcR_%FAvkt;S33wJxzr$_Ge;)QpFvDgMZ1_rz_ z3P1U+;bq|21AgJ~S&O%kgfQ-0d}M?76j5bx!eCVVFQ~-W>5i!kJi5K!ZlJUvZ0{B7 z56(`i*Beq!?}5$^MrprFo5Y8TYi;BsJ&sqPH$)QJv?F6tZiv>%=tUX}ZBu0JYUEGT zLtyQ%cm5p$eQJVjJsXnF53y<6ES5IiBJ(uc6TS;HtqHZFL$#~t@{e;KZLJ{-i+hWk z4PWcdHH%CREHrNkxUXDLklIgoDoO{c+&9f@&5_w% z@RLpd&z@fuUh7vq(KBiq=qHI;h9z73hV?Y@h0Y(QJ79W>zXD@$XGD|Itei`3B-@n- z0Hu%74~w;suW=iIV8%pY)$T7Z&SchvYQWFP^Z^rQJ9Rrqd&`CTfW~geb`Qmy?KNsWoqH&c!5z zw1{EL1Ca$b=O&MFo-PdsM327+aO8IE2?Ia0_Ya|WDU;`*hwgabXbw~x^TB?Zv{A@I z=A86I2$>XRN;pzCoR0PH!Jq#_ygajOIN&OkJZgh|uqMl-_$W-mFhfN3d+Ki?8Mdhk zO8Prtk29e{#DLe7Y=E`J54Yt=H$*5IiuzH%gl>{z1Qob6(C`bqi=2QYKZ`I1M(B1= zpSKHmjhjCMPVtI|fY-By$hZe$24zD=gUo|$nd(A+NFahy3o2c45wvcZcYe~y2OitE z^TigJ+lEY5C3B z(=76}z#OC*8Fq0E<>RhuIKD4t99)fgycWL*?EOj}4;{(SKvebkuyKSVdoLj{mJ5y4 zFRGtZ`Hi^wh5u39#_^DGMEO4mboTGzeyP}c`GH>dJxqaC_USFX>TR!Si}k!nO3lBg z=53?vGC4kp*&)u6Vh+Bof)j>`*#dNS+rsC~-hSJhLbJf&=7q2L2yVdB& zR=IsCR~J%zP;c7ricY>wW}f?g>sh2BaStnM7LHgZDAC}${3j|*)yhiulXJX9^G{2K ze=vz=yL~Nd)UHO)f*%Ri#~D5_EQDr_$SAZ*?-pJxe|4qom=NJhg6(f;e|5#0%rfUp z61F05Iv|Ap9hq@Vi%C#j(Ub^O#CH__hVHJ#usU}}HL4H5J{WZ=@$^RR6E%xP`P1jIDA%bB97WC&P%Gds;l-(Ly)vqwPkkpngUO?M6csTBL2 zVz^$?;&64m>z}AyY0d6|5Msl)fhE>X*xYx&%{-LUkwEsXO2t5jOki5Qr*(3BFjMo- zUm&JSUUs^qMZzO4yO#^`2Frj}k3-uM#*QcZaB0?J8b2pN9cLN!tzS>v+xYX$e2x!V@TUc)(cx?TV2E;%Al#B-&iiH}NF=81K{mpGF za=)lS+6K7FCOK)QI<-M%9sSgVZq{kIaC!58cDZk>4+W(|d}YiOD;sCoBsD|0FuMUt@7whKs%=zSI^v3;pO z)8e*%4rn#muf^W74FHltvpzt(UM?h`vA?l(Zt!H{fvTa&p2Etb#fK_Jp8`lHlghh) zi9#1{?ULb2_yzD~S`F|*KQ-u%-wi-=a8Lg#>VT^y3y1%i1+zvb!O{I%4M^1wE-nzW z8sfZ`n!7B&l4Q>>(N0+OXh_h*1c=ME`p2tdOmL)r4@~e54%|!URe{vuBJVf$ICm%k zkSg$saR)|lGnE_eq6fAq;rCz#lJn2S8bj(5jX)j1cxY3~CiHxtnp^PX%>)SvI;#g4 zFnkZB+8gc{hDy?cjZeg~Vdo>byn+s#$X5vU^GNQLo)OqLMi?3L2{Wm-E0;v34+)mh zfpO7y9xT5v6z6GnHso&=6?_tD)csi$1}q6EAP7->0igLaJO(xf+IA1=_@%yt4C*3) zwix{R;PA1M_n%qQ*~d2BrJ+p{5Rf837`W%(RP#>SCOzC`ISEI}iczCSM*=rL?s%wo zlriLXgR^)&*N~qr_p->?SU8g^*1u7Yz^??7N1zBD0}~9UzBC3d^JwojIWp6jlahGSBe)Lb9Y!oPt+kh0VoWq)3!noUM$+2 zS6V?k>T{{sA2v7b4+!LuzqQE0C1hyvmxaiHKCoVP%j8q_q`EbL${e|Asv8WJ=aSi> zJd>JHa26;TSdLa_Dtb8&+s0MQdFK$U*GcS}QXNNy;RD3Nm4#Hv_Z*IP>=T&{WKDIA zl9qFEI~%(Jo9g4KYTS~=fm^cJ1eK#zt}CgWZ8j%Y3!V-s#|4QXK6O3P40vfy{SGGF zrORKY52E4wUSo6j_DXmrRE|eIo7uvik9QAttijOkN zmdVQt%SZWul`+B7PJlA1N;0%3zXn3^+QLp7MWVfei2DHH;cF60+{-xGOlnUP;a?g& z)!-!TltjnKAn4UUi$zAN+xiQ%3SSPhi(S0__ZQ+;qG5}U3|xqwQnxibsN|)NiOq(F+eIu;Q zaPN0KE*lGjkn$IcQCZ{AF$ow^mDqmL4>c6KF^a6BZ+y;NVvCM%WEZo*21WW1BIsY7 z>h*y+375W{s=1J-Ctsyp_Z6u=*j zAK&L8)I=oR!Y=kH`c=IV=Rl6AgL*N9RN(dvbv*y5vWx)#081VXjh!-eeEcc7qej0O zT%^P{Q56SMW1}}l8)viRrrM`rJ69^qjicf5P4+R2T}(0rFcJUEmN1$ELElt1qgmto zG1)4Q=4lVDB#))BvE2IMaG!sqV2#HM&gcx5p0B!`(U(UXIAU?7fMf`12ebCpe{7D3 zP3ZG*X-#(!;%Dx45@Z)U_8# zu!r&}4bEuOic%c2)U>;hr8B1?F62+a+AC@noY6NSEBqm!|Nqf*aKDPLqzMpB{pcCb^1s2!4Q2D`_kB1I(l zqsD*@@b*N1PYoAVGO^i4=P^$N(>s|vU$_sYS9XCD78 zGX=P(uo&ELeuEUntL`t>UdkKbiiA_7uVyWuD;BK^KFDIkBdE(K3sU8}s%v*cXEGm8 zF`e3-(2d=M-N(bj!(#pKS)|H4QLEAqb~&*qm2iu3@05z+ClbYwu@^YqPwnt@U;16& zc50Xe`9hq*Sch=3Lp0S-soXc`b$V0mN?!jk-ZVDp!!z}t&tiAcUGs5%kH*G4&u*=- z$BX*RWu{%rxBn*isXo%;j{s~3O|878UKGbd1ya**w#wa#W_o#ka);2JM~};jjM`7x zidx}H@pe~WDF z9Focv3=%?A6fjjIbwiW#%r$$|wGV?mZ#r&xzC#=$G9BKEpG9ue>~9Grwu`f+-oBrT z_$~Oz#H7q57Zc@IN>B>)?gLkWI@S~rwHKd%0Tg-SOf(4BKYZ->3~yIj7Fu2;Bnj+x ztaNhNZcnr>S+-r|D&^x|$9ew0wOrm)ccPjmcWHLVae0f|svj3`qC! z)^AD=nzghiA@Zw_WAQq>*3Mv}l_pytsKrTj_H-W0N>f zDbOUOq|=L%9D~owP44Qfo^P*PmBPZm+wiX9aeTw8O302h`r*>OQ=Uo}5`-B@z5na0 zwntu0EcHI=9lH9}eu!jU;ZLLB7akk690Ga{^_LcTODTq^*R5S zUY-{SCeMdxQJVGo5>ds(P=UBYZBR?#6DW~&dOIxwUjiQ z&auD!o!PszB`TgVTP(_WqWe!Oh>)P6Ys{2D1)^=A86szI#<7y!%z%~5D{pX)Fh7aB;W(#p1!sjk))Yogna<_JF zS0>VLc9Sp5uWh%Wm7XM1Rg;A{Ej&lqY(y{8YsI}+dE58I<1y{G)5o@}U?nVN`5yhz znPX+*o*DVlwi(lf#AL4T!DH0y=fQDiWT}lD@ptQ$?7TZZdqBOk`^Ri`uim@8@n~}k zY0L&bZ>lx}71otFR`?#Xo+Qv~mW+#ZHsX0OImZrGRN5H72j#FAH9>`;U6S+Fu=IM> zi__=I-VWqv?gXw*S^SajvglU~CHL&Hi}~KNhp+Z+W|kA3dcjY*vG({ARp`n%q`SB3`gYi z;QC+)Y2(F2=O)$~N;aGqO9DkX_s&JMY}PQl(@CIekTi&0Og}?hX!G@Ac&Z^-JE6pH zlO2ol0`VB0*Gv|t;T0v3v5zi+{d;dUx45k#ey^t+jFfSdAc0Q-x&q8;ze5MYXgeC4 z5+vDfubv=RPp!*AW2o`fCsY?B=+3f+)R-8v+|(4AcQu1K8gpx)oMgy#DfX3MWy`H{ zdO|pLx!{QHo!^PViP`sz<9*u7@{xVlR*_`Uw-krL92$84Jbz7fa{Y^Do_}I;2Hh-* zqyNzA=xP04KzLlasLwjJf$}-$HwH{gUS=>$~ z54U3VOAYZDOnxU9{*D%-XH45YT5R?1l-L{hEy5HtAJ2`c-7HsGD=js5 zT{xFa?+T;4bn&`JWao7({)<SBj39nu5j`e>2 z+(H{6Sh&QB*<}^^Y9FF+aYooSck>6P1i53;JjOuHrP1xS(5sE|>I4mp4CN`c0sK2H zwKs!rn(mZKLKqY6#T;37vE;4>elS;41p_`|5g^qBfZ})yqqwfK`HyXZ`2wz}peyf@ zM|jpKQ_S1rjD+3n?`tj@9*&y~m_!rN_iZ**{B|iLSK`y=iYf+=&{hlC=gd5w&FhlB zG`-IxU~#Vd-Zu^1GlRQZ&bREV zf20(1@W5oF`@QMfw$5)8*ISAujQvb41m3UG{J_UbGw*2ua{{y2KSa(sJ*B5!PgY#_ zx8Vmq!*o-|1+bNGwTZ$WGvpnpK>(e@QpI4()=F!+&gr7NH&Pt{T12VX($i4yo3Iq$ z<5Dlns+b-HB;C3G{Z}@=PgC*i*O6nOYlpDKFz4I)m2Qi4m8yE>brr6Ayn*%*GO^CT zt5!n&+Pqc)W%ZV;#~=Q!sN`15E^kdB&F6pu5h=>M{>PNTtdq`#o$_T(b;{0VVwmdR8~P<=7PlW&oh}y-~szS@&9* zR7n}8(j%<&)l09G;uS`m8%5piY^IzYfxAb zE}m_Cb)6qh`*xiiKa4M{_-l8huQcSjX~>GXfzK9=hu2C4pWcwYIbY9#mJ7^$YTM8j z@_ziJ_S&r4E2O=EmAS@*7+@l zTo@L9C;C<$f(F6F_G@F0&GrCmliUl|(JM_O`!W23xAh29{Cp!fe1&1-|Lf49WWs;r zetV%_JJ1O@`QvxH#5P{q+-DMD#=40)!B_ftN>1~oVfN8s>)4+a%K6%)-Pgv&>&-o5 zI2R?M)8bQ9;ntzPWDWf$VE zO{$Vz1_%5+Avg920{}P;Ji-oSr1XjfB!XgDh*KHE%-VYac4NAhInOHuQN(OuCwxw%;X|$^ez7(#SkmEL2{eFhvKJfzQeXm}&)g z7lI=;)8i{ttgpNfCzJ3k#Yb5G&{P0xFLS?>{iLW3Lt-90ei}YtOGd}}?^;qhEOd4} ztEOw`Gs5h*vx2Y2NRRVdGgV_?K7*oQaq2MbxLsP$@BR*hg_bCv)Ex9nA%R^cpC@&0 zXnKSLFFcNBE?sb6Q;Wfz(BaW?oLK&`9}gn?wR}q=eM?$#%HVIF5^9wATcZc-y>7}@ zUQQ9`qeN^?QVYOm5a(Ro7qbkXh#BP28`nD()F}|A@d~}9)Jq^#>#q%nyTj;rW1Npy zO;+UAo|BN2W%)I$3_O@Xdn0$Gr?yVTl5OyS2)1NMDgPPX8w{Y*&2Q=Z( z)C8}Si%#$QFcBcg;b?2YhY8%kD-DJ9J~-OL0=SNeKk~pE`rqC(6URWNve=33>Ha zhr3@)>@KuK_OPRlQiYZD2!~2J4o$K;>(jLuCMEsB9C1iqzQv6@cfx`=rDb*q1k}g% zptpMi{Y~aLoe|~mvk7K%-MZ#PBeJjBjGKK7=i*|;^EL3M$T_7a{`qxHb>M+rb2R8ufud3*5pEqd_N2i;Z<5S)oWO#F%< z;?lo$(ncKp=iuqHygJ92o{2@S7wG)ue3&bFl+nh*C*jnC?K1*?o6?w}sCa$y<+iSf zt6FOMs}8Z%9x)aj=Z|}`0ZwBu5@PL)%RhRpenty1g&U zWoNg`To(CD=|p?7+Sa1nwTHJ%lKZ-6x}X*2KMNs8s|t#Pm3wH0;LNLtx(PuPp3e4? zKe*hyogV5Q(syX&61rPm7E28|DI*L4fnky^;K?n~Bi!cw5@+dsjON3I;^9@kwX^K| zt9HvI7lux8&RMkW7l{6pXkg${;&){?&q)cvP&CXq;!^7>Q4wJtlsm28wnQEC0u&JV z^Im|OY|RD)rqk=+kIR{!(0mF7ecFPv!}~}!;Y|J>Kr&f{Ri?db%}%mYhp=|I(U(?2 zICIgd)`RA~Kmd|D6cJ_7K2NdAW`f&MT8kNgTW`luz2ST8A0uk7$m$?aiM(ld7L z)LCW7<1HXt5foiIU3)t1-+n?zbv?+=2bNd_9 z(9O7~6r0n{?%~9-tLSXu+|>6`E+1|jlRDZYzq3{dXvH~W_g~I>V6IxhF#g`e+V64ZtBf!QSbgDG zbs()XiqY~jN?T>nTf5@Ew0lF|boW5yJO-MJQ@D?FR7p*9-XWb^T{68a`%2@k<_bD& zT_wAPBkl*vAlD;B+DhBFX=Y8U?B!p9jKpQh18Ki7CCQeDX0*M1E%Naa)hgtF&Wq%7 zN|(ZA_MrEMl^K0SY!~-(Kjgg8nZ^@LwdGB-3AV)^FV&<1$mIkBs+r(+a-g zW!;X2*(+1|W2%NGVD5LpWsyI-jz zxF;2H5{WzyM-~@SZw|rEtuqqx`49h!hZ*%?c9fGD=2Vft1Df9f?C}M9mR|39aMT4B zz4SJkxJ&iiwR&UJb|wc2F9h1wWp@x%!xR^W^yZ+a2h8=;eIP}nQx3X^>KVE*Z##e% zc$Sm*_A6Ia^9NP($%g8ZkJkC)Udyc65l5-sBH_+`%-;w7=OfXojtVmxc81FMUJBuj zF>!r*LvYwDzN6FbT88?taD|%syVYavg=+xhr^i&u-LayR*<-c>;bX3?k{i{NB4UP6 z{NzP{@|6^PBJwxdVPwhfdYg+Z50kN0&_?2CbxhEz`AWM>aawY^0;fGBkAD}}?62-u zBO7O}>1ck6kyV&qy1UD-Ys=T~chv)>!DN!Iuh7(Ny|O8$Jg*7sZK06Ui!^B!jVCV6 z=K<%N$*Q%*Y(|JSg!{(GhU2KO^Jv6&BUMrlFR4u9z~M9D{vnF(mD{;hR;8RNXYf?}wr*>Cab@S+YT|kn6V%)~ zjYeJ5$#LceJMa?x#cZFPO`ekV+hI5AnkJMH3VmO!7qUBbw*6Fovn-5B$`i-SVwv8( zTUB}cG_H8QuxsaS;_%z)@85yBEkAu&IkY*v^-O@*`tfVrkX96tktzOq$RcPj3{WE- z*NVrAP|cO??NY=mb4I6JBY|K22G4=YQEIBGrs+#k=#;F>!@fiI@75jjJu-3s!D=V? z3F>%iLTT9kANSmooI2pRC92Z$2Uq(DiPJUy>loE$zPMcw$4KIVSi|+>dH^CR1udM8 z8YtF2O1Zg{5rrJnVM-=xgrGkjTH0FnEWr#jTl*po>Zhyy0wbqF@yKqyo*`PBu?niK z%!|Vse0@8eq_8F7Cvn~Ks?I9Yg%KoQewX2aPzENZg#%Bs)B)9kFB%z*)@C%~PFo5{ zuY#I-dv>vA>JpkNtScV7No{kooa|eXz4c3!TqjmSnCXv_R)3H z9r<-{lfnW3>V>t^InEIMH;;wGw-{fu$FiMif+I`1`6faYRDZ?3Pg69Q+j1N=tOcjc zhZVV{vX0`MmdH30l)vx2AS+E4w>g`hsCd5^Vz6)^1mHU#s{DfmfKoYBeGOEgdV!|RI z9tcrvCiq-YeR?zU*6g;hKr3Q%{)97(UFGrk&m3hHt**$S&zi>(?HNDaLX(%)?OWxM zo#fj3@(Y7Ye|bh$mrmXi7s_|n#QqD*K6gw|YkKzCdebGMLAeGG%$Vd^gS`ZIDaw)U z1*LOk0yzgQb#TNR8FDz|?3*?nK=BhB1$4QkYR;Y&$^6Qv`SE?ki*!Wbyd5QCeJ4?2 z+E=o2xu0l}e9=im)bi0rCx2Ct8j0?lKU_i43riVOap?SlN`^2#vTpO5qFVh+VlBQg zpvak}o}1`Jj`7*58zajs{TO6}dZ^UUfgUdU*XlNci_O{s+n6Xy=Qi%i*#b4urOrq_ zn|H9~Ks+lmaKILr{g&mCF%WR6SKaw>B5FVyAty>TjR)w_m{aFCR(BTWZg~{eycfOo9_p$yl#C7z+l<5Yu|aMs45O5b zVtIP0GW@&0Bi3M>mN+zQ+XA}qbNJGiy@K8D?^kD;kpPruH6WLDTvo^n3(yu1%ndfJ zHtvq-@N&tM>Zw-CndyVRy$mCWrYf6dk*0D?92Cl3{~I_#pNj6E%S1%2Hck#O7bhO< zwwDP-cp*}#l==OD(^k_RGf!%HUBEKeB1=*XB5zUDGrp*O{s~7vwIno`n3^CE9@PitSDmadyP;;>B|*fajhz;%*M@Cy2o^x-#A z`lc-pMhl11P$^kASbZw`7kvXY$6aW3m7seMSR!hjw>_f(N}n{zk-$mBRcPXyxQ}HI{qNG6w5 zQIYjE2bUt8rr8qjJaVD2(}D zXO(Ly1CH)2qP@@?Mz5eRYWoFQR1LvZpUwm2O}14|yMjfNB6SPcKt2 ze#23cY#sQ9c^AjaKA@s2#-#u4@iXKz=39MI3G9yw*t8+uy9WMLCA0ZkJYBhYgSf0t zfD^@d7ddxJlKI)UqNQ*KOGhzaIO@oOwGF>C^qpywUwQ~vQRDV+pk22W(PD=%B&7tu zvB*UT0k$EYHEFTtvpOd&FNsbX13N8;8|eUSGvOD^bPOL?JR@s7xA^xt85A14W{%R0 z@60Jkm0o(<6BlsRTB z19VOao+>hhsfyEEe$s8$v$RE=RIz*Eav|*gwa){hfDne+;^Bb^&;dJlojI(S9RHdH zf0`F~h-b~VR0cyFhjpX0tKolAxX>a{DVUL@MjrN?Z*T+-qSG|UlmLq75HfMgU`3U$9u2}`|Q;f)CD$yzP$5A`T_!Pqeg zW9Tz_Mp6j_S__!Vxb(o5HzHASMJw2cyQRf48@2DWdz?RGm~w-hOv3C>jS8CAlFR9^ zIVJMC)`cc`UiBf0Dy&(O3-e;T)joY1qh39dgRfc~GI?=P&%LR3DUZ97g-S*Msn0Y4 zFx-*J2i?b>M!GPM`a7-~aSB!Tp%(ZVzhM_f^DSl7&YGcQF5(G`QdJ9#h9o6jtHa<^ zaowFri9FGtq7QzjbVyu+;SH)^ID45JL5&@aC!NH8vQ!whS-DX8vgU7i`!np#~dB zp<}G1z9OgTw}{;nz2Y>i9%aU^stZ2pg~Ma?xAJ+jhlhWqT=(5((EkN4D0Kb~$ASZ>v@2Q>-PA+%0Um=t_Sd0nix}98 z_=o53`qQU9e{g&x(`GNMonABO_AFzSx48~$)_%XUW_s@5I}px)#uy;7d>lajE#Ep# zKBjOb_uJrI+Nw9UtASQUQPLzWSzpUdQMO0a84hdXz@gQVb|e9X#0HNXfamlNNmM4` zvINVk?|I42beNrPlh$#QjUZ#hjzhz%NyHZ%i2m%DAtN<~Yq^&O|iro82i@6xoX{ADl6j4@_ z=noO*K)xTbb{`;VV_QM0>VXB0+`)j9$PF>zQ3j83zKeHUk1zdAyoGl3TuARusn&<@ zIVQ0Tx#*9ge=!PNPo@=E;1di1PvS!Cn+@B)i4%@;25Hhv*6V4cR7^5VlhZ$yTZjEP zOl38kmJ>_t7xd$XRg^%y>u9KF+?y&m|UQagrtwAbvY)8Xxt0&RA z@P}0GAk-~FnZF7^S5ys~(S9v;{8E^YU%JTA%yd!XcAecmKaS&}i2c0pcXFHh1?#iP z1MZM-3h%EHGC`TkoL+CX!&&&l!n(D2c}3#KHln+nml4Bqj$9^2&*u#6n*$67-}&BJ zv^FdeG%3=fe+sq-y$HKvlPfLXG#I!7G9>n}yJXI~BO>*(SKXLF*AQGjGWYGzZ(}2(1@2H!kGew+#il z>bZ0jA}8;Hp}fvY~49$hDsCkgK*bH`tcAo#yFdNEiR=?9Az4=Aq2HRm9bEm zNNh|)&+_;=k+oMD`N}ShdkU6%<`6?UOy4$?AuuY$#DHGn>BvM+bcPTKf_=ous+W~! zl_sO&@W^v*fsT#5zxd>;_p2ck2k3B&_QyTNby^o$ks+C^&=aN<5`%i%_hq(2Rmj0K z95B7r>S-8W1SjrOtA2i$+sV@C*V1+a5O3ip#X={V2$;FxMulI8!lB_r1cPfi)y-A?y)mBt zQ733KtJ>{U+$AaF@V>3Xzf(M{?i2S^H+rcwuhL{?Y3u2^bZiGLFCn5yP2zTo#M(s_ zBi}@o#bQAx{#nL`(xYb^kO1Z(n;B0Q2xjm&vXlZ6bRKk4dt-9!;ebY=Y1%Kp<2lKz zlv@j4DdXz+Km-8qPB@BVUlIlu(00#o!1eW1Pc=UU^)=tJY0?-kvdp{TJNeg+p9}5y z*6m1zU8v%6Y(^@g?-bizBZvZwLF(@p*h}@FPZMcHqA6K=iAE=58C{%=Ju95e|GKwy z8dt@onzt(-x_7AU6>m5kZRH?TZnq|FYF+(#W^-92qlG(u8r0{Rr^33V#$wtLwlq0F zrx$temU!9v2LB`m<=1&?^MaLk#PxfnlXS&l>JAImDP!y!fRZRF%{kC z&R2PSEB<>-Mi>+epP8IpAma!i+10=Lg$rEqL$`o?o-ROxl9|RXPMk{~QCE2GcR}kj zcRDr~G%fEDmeKQ}1-e+e?{R&V)tO4YI^K`qzR|*OF6=!b?Tfk2qfM5;x|lJpC__i# zh#&nE&){ud123$p8*nkk=%+D()~}%dht?Z&vw8d(8QRRTq$@2=PB3-)_K#oKCD@h4 z9FdW8G(NKDX7NkrHz8vx%a>iLtg#w>VVh{o@1B}(MO|fjKtCpWfP1XST3Kw(ADaG{ z^P<#=9#Ov|5|k#Nu7`5`1rNu)fieb$I{NK);Whmm{r6|~stOERb=EWr;hnjmLjw=* zEDVr#^4k1~->ed6Ju{Py5!^MFXyI{!^Yj*;xO`!hIHFO(tnXB{#HDloE;R{)iq2Z4 zrvy$>$<5IzJ9o-p%UcR^vGCxW3qp%wcwMsq!?OdMNj`pqc|OZnJ+J=p#+M@T=Wk;O z&2(|u>I+pjJ8hAg3YAVsWC$3xbnOYTZ)f*07N`Q|Yq4J1bNDb|F{zzY7j+28mvly%=->UX4?(YT7N+oH9b3D=YS1s&& zPAF#G0Q1Z%>a={O>o_uxk+~%3kvQc;EQobRJ*cfFUBsjI)!(8q9h&GFGxS$4kG~aN zEVD;b54@jB(8D0Ps9}bnR71Vshn5pkEZ`Id(Qs+wqNeX7O)=>7H3Ox9XpwsWm0sp% zd@DA;KK0GdOA2#m5(rxF9Vt3_!rfO(;4pkP*{spFGhDXlX~!# z!u-d;sIpcSqdb0l9Rref73+69ZLnyB>fy3(1!1$c0cY5z0ygk^fk^FmqhXu%C7U@- z9ysL5y1P>n-tbL4W)^Gn6uh*8jJnGLu;Zfty`n7DT+Gn_lp|y#q z;Bk~%VgQJ4ld(J(oMWn5Xj#FQxW2W(bcwA?iz3j1wVuOQYB zV~bs&UikjILA%zNlzNv_(ErqnHfZvb805%6x@@7ElSwOh68nzctIm>DyMT%>^4E59=X3ERZO83AO3ohxA$+rd4zCwm{?N+^CwRp&s}Pr44`sdEOPfO`|BJh8Gu<%oJ<`pQh$$SviyMO3SH* zA9LHN*eM|=Ij(0LO(d#+^Q-DMxG)sXOH9;8A1}*G2=Iu2i(CswC>esI9 zV^Ohckwj|Z-itJd8O##M_rn3q>7)9136*k?EIPw1vI!z-7Def6jKhKWrL1l2SAOwE z5ESlC?hNgpr>liRqnE}oe`c79reTI;jC}Dv)xPUVWOu=g#sGkB1=+-#9dnD8b{hSX zn*Ay*Q8ul{{%@9yY>gM=1(cUH0VBIp2^9QTty+PfU}t@y*jTpc6TcGt1X+W!x`fR} zFgVzZ7XWt5MG=1tAU`+sQ2n<4skB7e+Ipf-(>U`g%(l-;1+8>Z3|Mm)7jG}?Vy!;0 zI&Q$~)7qJLsXxCM?~s5HPdIj8GDuCd_iWMX{h86IB6#E=ArnSNokTHQ{jtI6iSR<$ zp-N8fuiP*1-(|(l2bXP5xIOQmrSA4AkHAx^4*h~*OC@`!x6EpJofXVEnOF1zm5u^KP z-YW_}>vbIfK!d9j8)b?5Z72Gfk?%4|=|-U8bEVtX7?xq8BO_{&u4@+o(_5<%7$J~A zbj@bsq>C!I$;s1R&!qz@07x*4Cl7<#l$(Ksg<)8%hH(arf9UW?8|e7wS+}u zeFCf@LNg{K4wH|wrNk0eBl&LS#l8a#W(hl8MZH3zrXOxZo@QSk&0a zrM3IdKGgI@Ge)od>AD$YA>l`=*ucl{FM=0FK5n$8U~Ee_bL@97R4>{x)NQ*zma2IX zFTpaG9KH2jI$v_GFf4|o{_^_9YQG3bNW|*zj;le7-{X7oD#Z@m)UA4i&BPXOW5K9L z)3-fw-N>x$JoV^9ezZaveKBI83uR)A+n?)HgX8$!>?|4^XOZ*vu3i`Z$pJoJk&98y zwmm2SiFxmn|J`ZTuZL!1(Z-|1u?r#UV-3?v7Aoc)#!81cUapgJ5g{*8Ij7^LU`M22 zs{>gbjN>-+vAj-ew7w~k1lw_HwH`Kp@9>E?n8z~l+(A}XN zwhgTd{4agUhtmB5uy->&k3g>INyHL*O@^)(r$Je8xs6KFi|nAPZQe(M2&Us_(V1x4 zCSa)^cp#$E;0IsTLYAAc!_~K{+edPi8hghq{TS|ha=K1(@0j_GrpBW(mZq4uU+4|H z!bbYea8}Rs8A13Mzg1g1V`0Qkaxk$oc=}-gqN=GKksNDpF~Jdk#Qy5uE7~Jvx)Emp zc=(4CbgRt)C97}(l>y@S6JGamib9$c0?l4vU9dlH*_*;88oBd2uKVZmHTPLYiwNAB z>mi`M3GRLRL$)^Br8HT6@87l(s`fO9UpKl|T&c^>K&DI_O*8K^Kl7uUiE6u98dKq^UZS`M;g#AST@y;+Tw&{T1B+Hu%4S$A`#l!&F z=6uLZ-UQGiV)CGvqc8iXkumf6(XI1l-T(gGdi(;gnIV@rL=&ALcE`L;Hl|cbZ?G3U z&iDKJ%G3FlA#o#Nard!zUVz>F2eT}~+zDj6&bd(gg zxD>}gNp7-b?M>%Zc+#Q*xS3Tcnf|#~eU|v5u(W#ky}Mv6cMNO3mZEjHClN@5PTb+- z1$1aFo&}5+)W6^tv^i(Bn$w^oQ+eHA-@PFnvw@dL4ZpGJ)D46g9y@2;0vqmukGmG{ zJalwYX+FG}XW8k#OZw{XEs>qIFXV6fqt(26E@)cH=cJwEkA$!PPH}@*h~pI&mlxJM z?pQm+l}o}+7Ker7m8{S%WY$Bg;_`6do292dL{hf=%dmY&u5^Sr6$Tt09A2oy(3~H1 zBy?n-Q-})*E;1{IV{Fcc-~|8t7PpBi{xOlKLFf38?U<4;|JB$>Jr-djFrwKZ6D1cV zXGQ*tOwmgUB4b5PRi-fikO5M)Z~xVpBwg?`=IK)&lJJ-Gmoy?UB9sP+BT5(%u;u<2 zCPKUj`M(-j;{Qh#6aHTko<6=9#9*ATJ|1JS|G~%;{#Qfbe^jK{|HuA6qV-vOP6X9BxNdumQXPz4I~q90o| zBEC>ICY!E-bSZ7NK~3^r()GjG$}UJ^QK}$KTQ#}W@`>wwZ&Rn;!lVb??3R@FNq-~& zFFY;1)zp=9{ym1jAlG;~wtAz*aIJcu-+f%pL2ky0!zj<*ZPKfsTgTVj6!at|UYbeP zbd3PuAB$hR7o5B&8p~D+%>d1Oz9(o#))@C1_WCF~(Qg*}R+~O;42^WgO9y~VHg!MS z9DcUDe=DEk=Xm@$)6Iyx@J8#^m%bPv^)ud!iCv4VU%&Qc!eMP=lllRMx%yA6 zui5(c+3qQXLVX&u4UUB5(%dygu_L5KYUKM$z_el{l)tQD?RC{47qy3`dBa2ZTDbLA=(VLw zLGI70^_tbB!hYc}tgNm-mu)%QrJs(laadr(i)gxL2&`MJkHEQX+m#Ho%g#|%XFB>S zQfv-FsUt`S;J>KsuOxh;650|veYbWeAz=ybtB!i|@2L_Cw%I+CyTxS-l!=FjwIIvgQF z{+sG7-nCk|RW}bcYZEABtXyBtm0ozxcaheXG*LXcnRrrsgul3w5$a;4PW6^CuWrBc z@EKS#2u%8IXdYTZ*mSwbO0B$;1c2hAxW+(To{`qm9nJRhWTLSSG|>I;jt*l6$j`cI z9p6QiwE%-1C4~TczJSgS?~D_@-g%xjPA1ipR^=5B3_W49Hb}{fts>?*oxoF@1I9K7dP9DP-66Q@#ds{;R4XTQ9m0~JDpN&H$xQIP}Mk1-_XU$d@PcH zKa1;m4jIt zEbI?nkP)On4=4AQSG=vXBCjQFNUxY#xW#$t%#eFY8?ovKtnB9&htr|?wRzyjCPDL2 znTd)c#%W?HdcD{ZvbmljCRyQi{1gYuh1`zENP9GoIzodHYW6(-t!E8_!9uRr7aFB` zy;!ZAB%V=dLWqKO=53y)fs!y|HDghJ;j8_ME;xj=UC3Zx>{NgCT2rrnp_jGvfTn_b z37E_;#^YgUJ>OX7Wv%>ZzDNH1qis0fL7RLPRv)s&iIqd-PxssyEoj#xbpF|jPRT!q zZSvIjp7A`sYVD%or<#ZVL2elV-kWDU_hnI#e&Vi5t1J17V8Ws+XEt`dm4Hj8SSypS zZJ=BK7s0km6Xc+6t6Jy6=Vs$iJ#KW3lj&;$^aKW8Id2H@M!2R`0_a?i9@DiKM^TmU z?&4e+EawbSN9buZgubSEG_KrsRda<)I^&pAb})~?sF&U8S%f|+@ZFmT=eZI0Ndn`E zs%(84Z4Po+w3@>_H?!Ams|4Wo$9_OPjc;$}_oIu)pT$0C8`nKzQ`&6zd)#5NnppQl zPps%~00t2m52~LZJye4tN8H@#Z={c|NSRkpxvctk4TEiqlqf+$%jhgENkxn=kJ#tu zzMY;1fD!B`>sGW54Drc@dCfh1B9}AMN{nyRm~0rejsY-76d(IX#@mvxa}M`M=YgG8 zJ-G6`j|tkVVCdDQ`+V(2&G$MfDeA~mKFiGxYaZci2K(CYsV5zEVq-G1f{j{Uj6^|; z3{3$!-xz16mp`u4y^)fbT+h~S3Io`giU`Jvtle2JroRtEHkrx`iaesL?lm}k*R3v{ z0D;V?x*9*0ZfELN*TSr>Q<;=N(R?OT`aUQ7MZ}(NcSOhILt#Y?ZvF2L%P-`*4iYI# z@Q@*F1)G`s?jW%vHjDu~Mr)APmuF5Nl-^$oVW^0HJ+7an;=*0BvG*XE>3)(F<|Qbgp?(~oad=E*K;ac zoU3x(Vw$?E#7ZiC)c;cm7fbH!@n5=6Q`vpjZ?s;mluTdea&C)eh{KlMTB=oEo<6J_ zTRXu?%9i1GYVFqU@42hhch$#7L$(+(HNTiJmtFP3&1BOeE+8yaDU!kWxJRqkuEr?K z`Lo>YaTj>@wmKa|pIngfI8z?WZorgF1`|rtJ^Q#;?DL=hy#0gJ_Pj0KMx&Zn9C$s; z!Kd0R2sR;2<`Q9^wHAXd+K$Ysnw!40#4S-A@N%McykS%0VEoz=pO%$fAxb@kp@HB9 zj}S|qY7hRj3A~JHIg-wvZ>|a?L)4nrPPqjNFqoOy%OS|uu^<*dm$8PKBd!0b|!|43;J|hm>^f?RAD|$(ko)G!QFo90~UA)|aUE$2KBrSpFH+(xSBPA!v zv82X}i0JIgG+EKvUdX0&pq1>M0`K6jh`aj@QJJ7i8$J`6h24^7BK;G=!KQB`xtFC2 ze5y=9+N)nEIrz-IQ`&#tG)Yq_{^rq|v118-v<$e26ik*^_n}cUFPHSuK|#>0*g2`u z-_$600UyfoqH6XY+cffEFh}3x{oPJgvUN=BV}I&tUpkScPcht4y+d$$r=Vbp4Sow^&Urrd0oOg9!*( z4{z=4$0O^%FuYnk8*i}&0AQN{G!gmI=ET< zr|+#&*BFGo=>?<5`yER@Uy1+TbG-a+Zz?&her0NkgZ?Rm){;{;X~s`2L7P9(tLOXf z-JW)23!w>LY~_!9{h!YrZb9?lM)MjClU}393dvPC%mA7NF!BOsE|%H%??n$*3^i?| z+&>h9ux^c0>>dp>sj-%=Sn0*K8B}x|5qdLlpz`{zvA?j%r(jGSSngMiKvQV4lWq~S z0X-V%6Z5hTEUJa}DFP~(?dJEazlKh>MXs8_T^F8?kg-uWTNxXL&YNCOnZLD&==N?9 z3b?hx$JnB1ekupAh&M%z6Z@zHbza1qV@^dr zB#)o@?k-IJTVc*|bi+9UOpIRc2k#bzGo9>;q(dh~K_jkNQ`djjk^P+%l5yUC1qTHu z)iR+Oi$kpj5MSEUckdtG+xd>{d72d>2JMC8`8cgM1{^(ibzl9qiy{t<%3F*8s>JkF zeGb_~j@jiCk$4UsV|LDN%vH6s%Fe>J$7e*P+EF3qOAcZte0hl_N+D16`ly=_ zFzT2!*2^I{Cx4d&`(Nv)7LDF5GlxALBNq)jrucawD<&P>TdjfErd#4dyjb*{dnLR= z!B`AOpyQD$lNcViT#^xknltRMx7Vt89Z;${#c0&NS%&dLN(kA3RJ?ZW&RFJ(%z@;m z6L@-jA#t({|LBYSA~t^1d&~8ltox@4;75NUgMi|nUqw7K-OcyBUxE5e;uiP$v*Ie# z0uqr9bkI+LwY+BYgaE&B@%fMzU-7@!7=l6eyKKjv<<7Zt3<-r;mD?rCeh!5DmUym^ z$*x3+#049wStMUmpN^;jpy1>_3T$(weIWpa2)~TC%f?DGD0#9MVsYn;nM|tN4lJ+*4H@L!U5tU{- zA1Oo>2uQfWGgDK>NXI*|CMYlrzVfR*G*y<7(op4dF^fmHFo_{tvCJKs^4_s1#s2tJ zlPN4Zip6M6K-<*}AZHCuIQg2j^}2GSdp>Ya?yf1SEPtd*ys?}Pj{|Be8caFJ7L#B3 z66V{?Ys3SE50K7s?i^iH4IcgtNeD6YD1Fp*CI<`AWNSG-XJ?H;kzO@HP2f7mGUnx` zy+P#<4qK~~|I-%2gG^(|Fb9^fIPJ=QWWGFgXX6E&gS?GcQDwp}lo3Z9`D)EQKwUA8E;QQtw3543HO70@XM8mlv@ zVxWrhP~*(HVjsI5b@dkAh#AIcTqZ?MgDlYvTi4T(NpUn5`w$9Xi@IDBHTfAZ8qZo! zwAX8MYejGRtfQMSO5UC_{hfuSXG zHqj(@+ts(BC`My7Y9V2Z?6@e_-t2faYU_%r-owy*iYc{4#jcE+%-YC(1Tk_aw>dz3 zMupZapDTcpG9G4Ytk_5Ac+2d5R?XDRG(1cFm?Gq;h1P0oziIw8}A$vDZ#y$=j|w;q_={ zyGn}_1SkV<6_Uh0Y&#%fapebv^@pZLVuRdWIA&~EPp>j49k`o^dr%q|i^wgz zbe&(1d;p0frkrP_Q(V@R70)kWx-fP~c=_&pfg~WF$KvM*f{}JhD}qwA0A_^K^Vx`5 z4Y})%7E+C-Vjzaii0YaHF594IB|7EJpS+{@gSeztU|1gs*(M-ZowBY-_yD=xt=Mg2 z=B-htA83J%ISl>lumS{1pxO>xY)P4MoykArO8 zP{VQsFJk#DLzdDS3T_V?Pa)=WtEV+&TB_hUTRbKqpvueI}q>&lOX%6U^XE=FU^ClMN# z%kxe>l#$)Jj#CDC`$YkCx1ycgbO%YM?l)U;v{BQmk_Y$OA`p2{){N8Pxq`bx#C*B7 zE9E++41H)MelCuN~QV|s;*GkuKa93)RkLk?Lw#|JWJ@_8*0&LD6MtR z!c>9=+XQ86MZ*6G!zY=6*}3I&@|I|?tvfo6D8ze};b)a24Bw!GJD^ds-L)P^nhMm6 zFy!EkI~azRYPE^*s2t-$D4h_2FTJZZ+$dgUc*R1$ZB{-rbaB+Kt!S_8(WjiVZkeQL z^vqHk$Js?!>0?k4yE)WPnnMy_RQx}=aM_??8}6kJQCDivsiG7GoY5Qe;B8*Z&X7oQ zMdyPrh+?sOdqwjp-c}pn3LhY^d-^S^hxxh}2qV(zB94)u9|uJ@bX00lJ!ygN`Em}X zjc}h9N#Z!GX?Gq47z46{$zCnnPXb*1y}PUev;f%-WL5!Qm=pnZ6uU5pEPL0f9-q$a z0g&=x7dny=k?zuEfOnCNAAXD*x&beS+vrCOFMQqI=)8>|o@?WW7h)G01HX+Qmb3B0 zy0UK6P!)Qpn|G}&yq^=*Zzto=MP=AT-eBRaO)rat=}qLmZ$`uXYgQaimI!0p^|Dp$ zy2yPWdRlt?t6s3DhgV({TaiBx#U6^L#PrsVt?cbu9x0(dp&6T8&z$gr_gTdVjU$YNiipWUq4Ik-d()faFv}n#sc~Skbd8DrcN9s?ZhEwH`{qYD%O|-6Gw^0(I%Hl(14+5h3|Gw zBw7@AwPVN0d4N~F8KN6%mah~m8obSVk$oHbD`$6PDm6^IKsrS?7i4GJ`_0Y{ zr?WPnL<=|$cFeLuPJm)SC1Z1j<5N#|j_iCBy6Ph`+m+*bM_qYDzTE*d&dz!p{)mbO z2tm-18JHuhL{#s!+aC`=Fo^zKcj9-t&Ha@{el!?<3-ZJd%gYJL`|S4OvnbnghB=cj zn|Cqtzrs*E-iPVBr&_$E$;o+HAsm5pQ%HrlfM zD8LoWz&N%r?J2#ihm&Pkq@1o8J=@EpZ7<8oGugaDMOhI4abX2-t?gw52ovXEQPEAd zD{Vn|{;=WJ!`@Ikh|)#4-%q}H)Vs|NqI40vfp}kKkKdIXcYC-^o>|XIm?g-kU1H_R zk-AE47kOJgh_*jumqk`LAaALSI@Qm$B&KEi_V;pQ(*0;9zazr?XUKTy9BZnF4T&+f0?smqLdzi-I>a+f; zk;T)JPQ-&1Up(bVoK1b3_;A;Q480ZDL-u^}ZQdyr4k|~~C*_0c=t8@EM8 za!Nk^%SBP0%IaxiX{bX;S z=!R<4iwsDuaQ5LNatLaN&gPMfR*=CH9K-3Et3+J2Hd8QqdozKOt_>MB72^<`h#1V~ zoZ-C0&gKsBcjak;YG5_Wfl7-w<%CxKVP|tIgriMnLybYCHcB?GQ_efU%R+?3Pu7OW zifZV7u}I}|sv1lBp0$S>c5aLyEN_VgloF3f7bE+?kkE(2VSFf`wGO9>u~7gBFyx$c z>NqQQdaaE3*#8{qRnxHT?naSvyh|NQuKi zO*x6tUyqUeVn>QrshK@SGW?0iRQ?3AS0NF4NT;lpPSHuKBMjdm1Kxah{^G3{Radpy zENT(Ff^JwRP~-}!!q$;96gXjWg{X~ErHicE6d4hrF)&ta*2=tF@zaW^Qyz^KoAZEE zruOotS_N=KdmVA^Q!XdQv83w`xuF6~&k?zoitua`D8r@pO%vHLlSl-@qZe4V_}J=F zF;7k%RtabmF`@?cpvyEQ{RC4KF$V$sOv6qMdS=^enXgLf;D<;(ZlH&MJ6aF zjwROU^^owZEALaK9L#@C$0Odmwkrn-!+`qaWvFSo82L4CSK64v^mn4g$PVf%Nzd3O z@60>&ICsF&-<8%z$^KU~mKk>RGN5T!wU;>~IF+G^>Eit1ccK6~Np->AZKp!3074Ol z2$WQ_cQ1Hbn;iAA3{yt<*EAW^g$cV*bVCPhYmhAlnbnQj)})+ISIGvAgq=k%hW9zy zn`+-CNY{lS$3`s`-N-e=;#C6iJ~z*D$uevyZgsB2%VfBz@zgej0W0qU@p4TdVX>?PO`dj0@btwe%s_0?>_Ia|%;7#ZP<|O{33JS7kvf4g}rqM(yf_#%u%)@s>UI zRN8ikkpD-h!b?!ci*4B?Dk>~gp+>S+mX{m79^0a}9*U`m&hci@2gjT+(Z}owaBTOW`0L;JTf%~u~LOsk@I+gFX&BF2}jd>MLts@ovO z?+hYs$P24~@bIy(le5ICqK$CB-=so1pH%>Qr0}){!(Gx}F4{!V7nc_dt>{@<^3bC) zT;jUU6Nc*B%+Hao{7gz5>UwGBQZJs^`U#PHJ#a7_*6&~B$r3JG-JY7jE5}U!mt&a- z3~w*C6Et+d!YWJ_jUuw~scA664*?Pz#F$()>dL*$PvyzzWlr~9I81(!WcqiUQsQ;$ z0Xfdy7HAoXufZ4H*JFm|b;wa{Q<0SKGIIKho&AM&IQ%Aa60gdp!(tm6M#lv^qu8>m z#_8EG9yM3O%{=OSj^fIaJH=bH8Z)0bI1)qbc;NKlAD;pal726jIE@g13!@FJm76>R{)4y+Lo zVvcJQ2a`(Vz#;^t@!YZ4EjKLm<_p$$P_&xCf0-yq_$0R^DSY)9;Y%U4NrH|~X?Hks9pd+kVh0|MPu>s0<~FZ;K~US&H{ zwDRF_C6+=s;x-FZH#%i94B5M3CX#l9hA10rvQU08Dh+`(7(&@Z%FY4>Ij4#}*O~*_Dy8VNvtnZCBiY&l$GQ$S7g;d@F55=fu z^>8o~LoqPM0^s{zE1jYSRyM5V6?(D><%=7nJ<_W@3B}zI#Hqh zBDTyP7@Npy0Tzn|aAlJ#WuMS*MX@0YzyOFamA8zo1$Y{Qk7;He1?WSQI)NcC4B=Hi zXca(Nzs(@B7NC{209rJZ76`QZibqY0ycTIjh=3PS|6_+ky2!qFgT;*|99xLW5Q3{_ zk+%hDudjnbI zrSbbo%Ms>WGE@d72=rXM+~A;Q=mX&9pLN4JFWwHGDkaY8aA!_tf$)dj4nD#pRhGqy z{lkHthx6DCEBv{6fg*aqNXZiBnp)7VzBCyN%8Onzt#N2UFS0*&jCIgPUy5#&6$UYI zv2G~W$LY#U-O$Vnol(REkdU)ks%s|dvG}!PV`GN zuk`xZJ^Gh$zXu`)P6Z*qowifHq)DB~tT8WiMPyPW;F9fVq{9AP#s}7^P%&2n6#HyU zIpeHTA*V4@uDB0jRG-&ZZ?_hp5B+f`4Zy#q&(JPy*+blI4MRo=F8CtrZ(PD%tT6>*!p_71V1AzWMzvOO2xZ@*bOSz8yCXxEy{5!c*Tx6Wq+(Gcg7XU<1v+z9+Ul7 zioT?Sk5A>HhsPA5hkAJHY_ZtxrC^vx57&vY4wi_7>ZR~9ZyT$JX z4c3NEdBFDaEUI~j%=YqmCq|ue4Z_JDzTEUY2Luv~=C5u5QxHfoNVzkCE`YY>lHKk@Ez+3L%Dl zBV(O)mc$fBMl1?XWw$N5QsEd1MdtxjL#PO*u?*Z`yHYEh zLc4j}6u-Bj?Mf|bO1p7G*lXj}X2tMps+k|fHwO!>^)o2mn2m&;WuFUtw z6zhh);pEkgbkU7|H`!h4<+XY%(v5VH)s1ZHANBV^O)po= z?BzCpMN_~o>Y71a)&fWbB~-S7a^-$QwS(n-b1c9Y@)u{Sq6>)2T#>mL$=Mj$`FljT z;6`>-Sq2^~bh@|FzE1KG%e-wI3j#v|cmJ6d4fD-NV9-+>_VHW$q+jLRDot=@brY!3 zW3;?sk0#!hCDq8BBLOfO{iPl*W$qK1<(|Z@q?+I_n#}5kKclE=Pc1e;&ziF(!OMR{ zMfx_6^iWPeNR$`}TW%?1ILW=BK&By5*8EEiuf0EV%)a@h1<=oz|^&)J}+3917?!WJ#t+@G|IB-}4ByG3Xh$Im!CG1EjvC*YVV zIp_5FTcjIAMRkUKm0p$?a8oW(KteiA`bT^8ZRr#Z&hRHTd=Au)ntZQNxeG;1{U0+% zW$Cif_Tn3HU6?NRIKDV3PZ-l`RF?Q-D#ka6A;&SKU9tntKBA~|C~_^txR8Sr#J#ZC zpNO)l51>uzEpL~^{zen_^GH__@RtGWIJ}g{Muzj6-9lo;F;{>Jc#D=Pdw^Z-9~NZY zbvTIxbWymDHfEj$!Pznb95xnM#{Aqcf>$fljICgrJ=4CGnJ&VYrz__jGjV9Soj9Io z9_dB}dihkzTowmKn!MqCYR{l=MK`ow{tJ%7lb2g-QKO=J0=FTM3R?dnf2v(V?r<<)uyUkVE_R#(V{%TXLW*f!QIYn5bja6ODH zZMTC+4mpWa&bSGK)lOC5hoqb@bb{YuZ>P~2MG;@pN?Kctc4JbAW$zG| zNQ?HyGc18>4AI@z9zKq&Q|OeNN6!lP=pR!o9H*ZMF3&PeBZMbTLb3oHBzrV`Q#G)aME&w-)$ zi5(7F-V`7?M#{E2e=eskRw0JNVPxSv0Q2Sg6Qg54>xInCqEp&8_&^yJ85XH%g}762 z4^Zy+kEu&5VyeP`B)fSQJPCI5q>66ztS#(gsDl0St>xzvMo zJ6R46Xu1fUW^Eb}O6M;u9jdsFLEhQ@)>rb*DvF?jqMjadh=7NHh}cd6 z+u3_RyPmx_PVBvR#ja=Xy^BZw^G!0ZB)hnx_*d_}{rukVx5-N~naoTklgYfU=q_hb z3IdRrpG~t!U?Z1#9ePwBN)Z#|;W4We?lA>4h%a#Xnhs@=r8d23*9#J0Dj7xJMeXuO ziw0pXQE{xj5ik(0?2SIPSQzC!fnY;!_@C2AWg`2X&BFYX_(A=Z+KXl$qsus4(dGL(1PC_*v+qz8YbwWO z7gT505iB+qqTk=O*|2HqX*X`VPW_gN1!uRV3ZngS>Un>4c4gsYE~1nM0-9Hqb}@Z~ zaB51TtM?Dm<~l}Ej`I1PVmDEVv~dKWxbQx=82sBxlGIRR zpg^MHt|h8IU~*Ou@4mZa;N7(Y!etHt(?Yo@f_ttM9Lc5?QX`MtRIE+_rBsNV?LkA$$DndKxz?41{nB>#w0Ns872*lYJ!+8cs++ zHqVIAbJNZG)O81=j7bb6O|=zh5agGlK; zUQ)3==laHgZGcfOIS3~w;@b)=%tDaqJt})wr5UCQv4Gy^+#sjX8TLNd_z7-WYHKyl zxLVx}zZ87GUP?s@)-pHCs(}59QI_~Nh{~BII@8CE7w#3N(di-FlfZ^DQQs{C@I_l< zAHv(Yaik22!(oxd@&$$kJ<)~P9wNBnvMGY>g>dfz46QJa`wc}|f|?r)GfbtkC>?%{ zvMrw1HX}GX%JlK-nKrY~S+*89#`gf0SZ9wwy{nVz03s$aY(C2EluFWVjB-!FAm9)& zi7rwmVmV}S0&{0nQHvK=Cb}NNy%bJShsKq91<7^=bv+`tj6p%q-a|x+n^U#`vvTHf zq-D8u+-c7>9b~}U2-=RGpwni5qj#K z0^`dDz@pSEqRyXey%Xw`L&{=a65m5M3k9jxq3Q;~tdTV?)O(l|9Ot4{&Eb;RNVOs-|{^HZB6a7-vwJW^x;8^6X6*=C-Cn80fwkmTbCO{fJ~yA`oH? zBJd!7MDyv2V^7H9GAZuPJR-3lS2guP_=`STj(M_PzUAoP(72K`W1FxcDTxZkXy1hf^!teFT4J z#N9L{rYiTo0PDzxBdk|X70%vDtl*LM-_)uV-mjX&cGPu^pv3L$Uwq#gjU$9M+|)J< zM0A6?ahzaaP$MN#LIBDSE9PA}rY7ed*kSscDiwRv%sRsJxdfQcISILPa+?*}k!|h{ zNP)ejtw{DF0hpHuNQG|x`U}lm&P@?D@V>y6=h9XWZfIV(Gu?P`*x~pmquiTPtN@s0 zyXs-XevI-tl$!GKyPbo<)2ccukS0HD_-sW>arsmT9e$=e2t_G9Y?vv-7FnvL?0jZm z*v$a$Fl-VE{M8SKeq9A{BOC#U#S!D@Ln(nS`65e)^0sr^`b60#5Pcr5)ZN?L4h@RgVUXRibQ;0Hv8Lg|V6K=% zXBuhtlq_d-g68)V{itYW7Kja#4ubDceX~Z9k2eeE#Z^<;i+sH@XsmcUjqV{g}?(F`zYoMFbH9RrC4xi!-#1n?o9C4KKBN#vLSB^*BGE=UUtI# zKY3fMe4&l5CMy}nU7d>gi#ByqL)+n7_KDoQ{LEG5)a9AY+0{qic;qj>pZy9zQa7QlZJ8pVLqg#0s0@2ObzQ&|L3k7J_<gG>>oJdp^CJXBnSQmVl2zPMQk%c5i(=2 z)b3P~*QLi+yj@JbY^Oped&fzRxj@>oRE8(~W_N{E+om)|7@l0fUfMehr8{_k8dQYA zgvHO1C-Agf;#X;`lYl6I$oX64IhXY^y!SN{%2D}*2chFXhd(!R&HoJFfZ=7ZYU4Zp>$82FN0=@ zVZu=6FPiB}0SP~e73&xm8}l6<%IhewIWv*E@ZETP*u9lkKa4W7fPO{P>e~n4&zHnZ z4l&Dt81WT>Ge^iG!(D63lFEuZ;YbHO1G9$&_H^^I%U>VrX1AxZeebe{QX!kV=!nnW z5{7a$45=r|1Gx?`VuLW0hfqwyGx+!25Z7tl_91I6AV5KOK^xfrn#Hvtw=WovMF9iu zm!l9(dDe`K$BQs5yPVf7G;7m8HEz!%%#}}2R6XG@c{S9y0?jm1lm$3m3mKU)b^{*A)K2^CE z;c)3*pMAtyJz>ds$NLA^fX*H_Vk^0;3z7X;^mXwX4vWbC7!;2sVbllD70&(Kkdm zV8-kwY#wd;tHfS*_do#i2;7-K<-F6Ksy~jMZ>|;PW1k0j3^PdWoB*CA-yNgIsK5?* zp7kF=i+Pos(#A>R8q4$MJ{jO_A=rhLFAI{tsp)=I8DRSgr5JpCu`W=zI#+ZNSd+;Y ziF2ze(k{zEt4q!fUx&9sxU3hY!h8vH<*CF5M=~@CW9nnP_KT^WppP_WQY&|1w?wB_`d>~-#4QtLIQOOy~ zak@9^Hj{V4I)U8=Q|8G2fm7Vh&#cIAIE4+GJ`y9xU6!Gm8Dhj&A5O^$AT%joY>g4N z_*ErDTC~JwE!L~J01%t zAUOP*PY{J|Xs~=aEDB~vnh7+h7i{hbpbG`2;Fhp?lRTU`&l^3hle4)TtQu)Ak(Tq> z{0g1TKccte$=8?|r>m>>Y@|8}*zjjRz)47j$$#VO#wprnMj3AwB*5_t1+tMdA%PAt zeiFW|rz~6Db#2}j8z!&z-J|gk9p$1uc46>@yGcj6$kI_h_ShijMG%cLV&eBwk z>Ezp9+RU6UKUQMcVNacW|0Xrs#i$m|0lM$6Y<`hIXa*s+F?{M#J~v)7AUDP`>p`9n zH*D@kS>kXO!a4`nm3j#NIhmoODsn3nZ`;W=gPs1*YgMuypLhOX1Eg1G<3GTRK;pTU8|vJvmWBp zzag~WUNjH0k=$JTsBa#fqiMxcB!z2_wt}%XAQ^XDbl-m;6H2Ww-*%|noE?C(OM_Bk zQ}3hojtdOCIEa`Y94j`~QHC83W?kj%EV;-h%CG?`l8M|Pj(^UuINzd2iK;L52AEb> zCtfT+B{>;AupID0Q<3G8ak3LvY4~>3^R|oruLhJ~FEwV1QZ0aEqkmg? z*;V)zynHnMf|@uL&omk-oH~CA;=MDTgHQ&AcM}}xe9T~2Cq-8Rg5_w+Jrr&ZkOygI zYi@ew40{=+USUwQEqTN*rJX1go4FVn!@0Ii?g|XM4|VM%x13=YREE_Sa6t9e?=xg~ zrAT$ZaKph;(NQ)4-8D5D>PTQ=?ZDLb#GawdcOYss4WfB5wXL6yGE*CdpkC=HbI_aU zUHSYK>Xk2c17Qc=3Q;T`H$uQd^DH29c5tQs&HTkMVua7xVH*k*wT53Vpt8yGlJ%0L=KxX7=!j!I(!)&n?cDgzViWRc79IQu#Rjx!$dM2 z6;iar2VtGUi-F7%z@q!GFH9FOzdM-y3qldykl=$?Dds&e+*!ha`88X{{En5igDa|S zJ(qgUuz`#j?r$#!#9a+dF9-p!v~@>Hl~cNds8<1#9R!R{Ij4wwog5mXlv1yZm#1FQ zP{V2zCur;&;{`KrSwT*AG8L9D2gsig<~Nqd>!$mIR=J)$Y!@eA$Lo_90(za_`z=%^ zd%kWfbD9C6U-Et;ap0jXwyN+7?yPHv+kpF7Zb&xb5v_Pq0H_lMmgI(SD%R8?Ddu%{ zc=oAa77K;tOR*t8WA$5?xA1ZyZ3YV%xmZ46>(DP(;c)_n+bCqKU}qJT*8}(B5I*`m zpMgrEZb5gEAj*Z?#gGB!9l0$I>X)NmoKHoH4iu7i%l401u$?cM4~P?F%jF>0jd7Se9O=llUD# zALFTq>ro)MpP|$&@mlp~9+w9Z?@RUWL2i=cOUY|$WIL)^%%4c9jhY>=5nz67v!(VG z;5fbLx0Gp%`OA&hR5DcA?6jWHyc-+0ZOU4Y`Lc`Bd|QT6JUx-_xnlR7UAvh~b{+Kwp!C@-I^ z^A~6T%<*L~1}W=xqT*c~7_tM-q?ohb2h5eOUD;lAu5JvwOLz$|+%Gl?VLy2Xv2m*Y z$TGXTN4U9%w`c7C2^e}Ers|x$BV(jlmiZlk~=O1fvx*0E%ol|cAj7?z-=*QEU*#SMu5!=SF z<=jQJ{+9#f&rsCM@-qiZ!t$1EdObj7!sbO)h%P8a!2(rF_1g;xg&2#fcbSc-vJ1Y z;-(T{SVaQ3?y3ZsYTICfbG$Tw3IPGdY&RwBeM#Mh2M|Q;alq!TKm$0uiunyS6^|C! z+^yOulwPj!?U*aS5M?3HKU?_J8Pm=|?7qODC77RrF0Vj?DA6pJ-cwz4Vc8b>(Hzs$TOM&x*gx!1FQ7Q(ifX!jfm8JfQ z-XcrUd$-Q8H__J8+!T!YRYrBDttF+)85^xo)CVe~o}|Eqf)WP?i@I}VXUJ)lOj6mD zQq)sHXxf1cYdD%dIw-ENyXo5eXr1*or5TAV6y#+r`xt$9{Jpn9b>UC?q_dpaUmNPvo4NPt*w-oU%k)$(MjR)!il6);r?`>6^o382j1kepfifO>J{Xsq9J^RtexR zwI6szOF^nbKPj(BK-pt zrxxi0?{rU=F~B)QEYkmMD5tvLoXI|MhBq3IlwDhw@C6Xcp0{k8T(?c*s{=M^{9b8e zMn-uMh~hXTwy*7#Hi~+cVaF)L7Fmjo24&cFY3p3MIWs2H01WG9qHz@4Lq3)>qgb(E zST_^hB{(w9iMOaajPjyDc%Jk>N5Of@uJGZ@Cg>*tZ8GI4c*9Cxlcz zSr-Ur0rx5hJ?MgvS*s~FTa;n+!%Ivdz^?>)S$knlU+9@o;fyFH zZwWa#w^d%xoQq2M)dS8HD#q5P8+9A*aghEdo#UeJ`gI_qtTg}8=>dll+)5%{a}xt{2T?dXY$q6Zmjp2s2|!V{ z(^006(BlcNuP22ip#^8X;mY#6}i)~C;f-P@%Q8?Q}nliXfW1ai> zv)~~2q1Y&F~8a=ZT<7&3^mHMXDC-~yM{X`*GqFut{SR@EnaS7)fbA(IOxp* z+xL~Q7pH(|uti|cuf7cDZcd@VqAUuuU;k8!!mwa5m}I<@iv{HIN>MKY<{{i58@7=S z{xS^ZS#aeWNNlZeY8gr=QY1j%sO$oG0)k_Nb#fVr7B^CjGIq-axzv7%HW4Wsc{U7c!!8%g}iHw^UL?C@7z)h%A1f) z|3kVrz5mUSrrdY#Jxa|Fww9lBq$9R1b(H6#lsF;E=|xdqaMXBPM_F-DjPl~&hEe_x z{aP8VQh|Tt!5~F3(`9Vz8kmSkYlq(v`X$GjM%u5XC+_9!%;<*+0XQ`ke@G*zXswI2 z$maM_LI6%pb$iwB^=jId72)78&k@D!C1wW)0nQObxh^YQLf+!f&ir-3AuURqPj#*w$fEcFx=cH4j~#e# z=%NI`7-{!KJ%`v>GR>w6OwktR92AS?_X?w2WU-lso#+wzWtRblDx9|*5$4Kcn62HVz_$?z;4m+W^hlpa3=>}0krTjuG81L% zf_}}qPR7!yjwgcoTS0I6axk-cv0a(O^!keor87s|hH$u!oAUC-H~4;<2WjR2Mp=V< zuXKID#@2j`G21vDdy}j7Zk$PgcSHFK>fDup!JZzA$F;W&8@t-L$t&DUlUAXvEoloE zNFo{KS@%sMRJvX=qxpQ zlWZ*@O!(^=*2A+hE9!PIcy~kDjZv0>ivmaKa>H^B0u)jXgCwuo(xG&ZzDOY*%5i_o z2}d#y6bSS4DRV2aec-edL1adl0+i`l4i0R7Gw5@B0b1doG%|w>ur=4wBHpZg{v}7F z+$Anw@|qSTG@pjShl#6!>FRMj_(uQ50jh2RZ*Q z73R(){0c=8UCyNQVG!nPGxkex(+bB*DR*+AJ?OoLwurRG&LgHgM;mW}qi&Qc(}p9q z`xuYwDW%#M1NjZ8T9r}Ugi?9|vi^>~Ta)z{&|4{&mpT_>t7L5_#OC&iid3bIoY)Qk z?pwIAeBtds?S$AIHa4diZvGh_txWc_3b8qCEJK%0qbpkB2P)^^7K~*q{Or9?EiAOL zaAWTeLYL=3&c6d9k@FDSGHO8H42irF=ttMU=KF>oQM?L?{2-;6lQ{$StO|1g^w+Bc z9&)D%eo%_i4DsK{ws4cL`6_4*MV3OCQ`GAW>BT;DncV{j zZgrt3w40O1+3}wcKKL)OKDud#nQ#SwR z@F2`T1i~r6hJrDR(4oWp>;Sh3V4`BNepp8)DTjFn&B{U>d8wmSIA|xtNnV!HM4q}p z2c^hjlHx?+X@|6^z?|K;ES6nSSsZi_>vxA+q{2x1LIJcNT-hkEt?=4c(N-ciiY(*? zb_Y=7MtO74EAbyk70<{ohq)_3?-%fdUfb#@yM#Lhk@+h7LRAl3)>?u{OTY%${7hX1 zXf?7K6khUmU?7bm#~H>ke%>>?1EQ4U-igdapTA+V$V4>&`(Gjts+am>KhUxv=#2S| ze}U<%(8dGheqEsbdX%Z{JgM3eN{vsGsy#iJpH4t-usOqto%wVc{fN38JS_GhfZeta zCW6_(k;~EwU(sd7Yk;u;gpD9V^*KnF6%xLcpq6q$^Thl-OK8JM(bM3{HvxksCr6vs z61m}|sB2~(D%7_AEu{)m)!Ps@2T?h_07W^Pe;x^NEwGd+%F*HCw6!Vhl34ZSwZh`+ zMyc}UkZ=~I7NV41+f*pZrK;Q*Aa7!H*DJui1{D$ymd}fdsD*(0ZYo5IvK6+ z+m*+1z*^;UWuiGS-LFQ4yok`nxDkAUSm!8hmzRb!%q-04pxRZ_mj^g)ATJ~KR>v77 zB!WYf*d<1qgSaV#tEh}}McV>QCT zEd#%!w_|8fhjJ$!%BksX7aCMLJW-W)2lrbHH5L(uaw=t_J)x+s3xBcua!D2dqs(km)8L`gxdcC#s)p1Q~L zfO(p>rlki=Ya9c4`TXok`3>V>{$9u{9dHnr`xpkl5I1z zp*O*nR(Qh80UK)~mgl9Es#x2O4Q&IDxeV zgvQp6m}@*3iz0~Tgbr0yN^eR>WnF{xiGaWtACgSjI?8vQAJDrBI9dm6;W&X$;9;Kz zL4?uCG*Bb9N>)?v%S)r(^&}gdXVY(X^*Qu$jI<*pZ zk;NnhagVt-0fT*;Cr{c-&PoTexr|YKoEtU=#%!=oh&|ziCT{G&U=BV*C&YGw`Rp{X zMGP}Yr`zFSLYzl%WJk#Ck*hr?ofXE5uk2G*Z?C zft>$pfNYTSoUItEP8vm*c>^GalpdVB*gy)oLN@M@@@pprFy>}gOnfS^wBPI&KF_}y zvSf2y;=r(;MipV^yK_XS=0E{1lj8_tZIwxiU9-olS`P$ zN8@MAgynsO^U&6bbdDovC>B=;FFO@$Z7O_>QC40)O=&~k(lOF3M=9NGJx+O9f%FG# z@&7(J%>sug?kl_+hVohlcxyIW@jGyw(ikwmDdfFVdu7oQF5FSaww;bTwr$(?ifweq zwz*>4PCB-2+t!z}_rCb<&JQ>@^Ld}Q>SorMYt5=zW4s0CjXcP9jQ{JeuVp4E5KHgl za8x$LBDDoHl8O+AdNDgXigfk|GRK|+Q@!pT2+497wwHm12|Bvtax4T#eYUV&9E5sZ z$zBpTLJ0m&+wVMh3|{X7^-qyO;o)5AJj(a+(tGcLcLmDP1?rt}?rTl~Mn9RZs(+c2 z0V%@V9K7L+}qU;cd4q{(3x|b#5LAkdzev%H`oSe+j|VDcZdQbWG}9l0Lfd zSKc5cV^b}G!Jx!P4`C4wiy_L9T*cHy3}ADclH()`u;nOwjiCq!}IcO=#h8&vNynhg&3K(;F>&a$v{uPN6PhF%O z!!~fjQfSW5SuJM2!shr2PUN6dP7J!SQ!qo)5Yt`VY@*`b&e{N+y~=EzH5uG;LdP@F ztBXjzah+&fHtcOFUSa)UTft4QP_kY!^%8~iQd;3A?brrZrF)k!g_D8n)qlE!bvOZY zUFwx{&fP|P9Bt0O3hjS_;1<)c>2P2Ew7WT-`)LEf^e2_pBiQH{Y>77Ncd><^Za>34 zYs-b7qGEFmByN|~#^yt4aEUiE=8jGffKE=LPt{jlqwbk*KG5UgOO5$wIzVXehAXdd zHF&E=2-$lCH|iToJ{%m1TK>VUu!=5`LD=(iracV_GxhE*j#~hjL$a$Lq8r>BRojk$qxNc`cD^Yi=mGpxl7$-5*_XFu79t0pF=#Zxco&>Yam%N z(w}>T9I3^m460&l@W8+RNPgt6LH|B8vwbMXuNaIJ9vCBPSr5%1p-E{GiHTE%;*{0D z3!nfcGGT7!P!m@%HeBqM+n=Eq%o=wiezw#oUI9kZx&)Zg;CqFd)+4PdZrX8*i?k!P zIs0jM0m7TjJ(`|oz&~SKKSIX$GvU2~%1{!G^!EIc+SH+H3#ki`L@Qj=i&4tD+i>y4 z*%>nT6?gUmyvx{2g}v%nqaUrr`Sx-6?w#V9nxT0et(Ccf^+}oCogPWCjZHnj{TvN3 zgD{Rbc!&065};QXh(IDmkP&@YVsga4KNP%DK`)g}M|c?u4QTpph2f&B*DM*khG3h{ zL&_(XobORK(l1*`iKO&8SVzwz7=9hoL^^NfPMdm2e@Hm}KpVRJ?(N}}(v@-ZP4Qxh zjG_%k@t&l_n$Kb5v)M5j3u}LUkADZf7TL+K6b}h$f)TKca5q?@-C!v*Anc6I}D#E9^1d7M9*=)4DS7A5nxZ?Dn_jXZW#Tr_F|%s(dHc^W3p0f z@VA7cC1?Yl+#$-WY8@SKmogAd<8fQS+G~__WhQagrhmE4X|a6;Gr8TY_leG-I1_<2 zB5Zjisv{P8p*>7>cUbxFfvaJviAPP4WpWK4I{@5HlPa1eMhG`BM34f3m)c-cXt`Vo zEv`?6i+{6A$D+ekD?K;>%D&+kIZuC&xJkPeUdt4MQH@?NHHPwpexj{rP9?kh=k$0( z?6ooep_S3XOo0t+1G-41JSQBpwZ>GT%>~tQ{n9Lk-Q9ld?_9rA#RF}oOMLkf&7Sj~ zFUZve!S!upnF#JDMCp^x?NOhW6H2?%{PQwyYkPFX@`IExA+XjJ!|?k?bvTDp*)_!qM7VQ-C32x^U~FAF-#Mt3I#VPAJRM7 zK=E_957**w8P9YRr>H_rL$|9Ym17*|4i$T^Kg=;;;l+0GefjjXj;U+fJ;N50ID6jec_LZw@U>>0EdP;P2 z_I8-*`bpFjMkcVv5rUEXPqHW1?%<#m%KR~q-*WG*6O3$|H`NF<4By`0=#&pdCj8VP$0C zM0%PPZpy=}4H%N!=(pyhx9KFRBT?Q)oLBUP!GF`e7NZ4S6m_rXxTlMV{(u)9FdvZx zk=dFdM-@jE(`CcNJLCtyL!7;0^ZD>P28A6_!qQL&3hA{C7|uJP-_et$`YCm8^MUo5 zw;=Cf$hS-o!W<|0_w4Gj=-JBPEI*j3Cq0v+$?XWQ(6k(BVfuZhMZ`mZ?);rbeB$Hn z;ef|E_okH$%i8oR=8M|u!0V$Q)&~ElDTp&(^HfKbX6&oh*1-4`AH+TP_65C3i=bUS z!%5Osb8Sg;?BW$FJv&}U1OpM=?-=>#Y^7eQCo%EKCJqhFGy)nALv&w`f)qPA|2aOt!+9Zwxi)HEs=gq%Ir{;P*#{*gxh|Tz2Y~(YLuJVqL z;$@NSC@sxt!{wyp_qq?&)GvcW_KOsT>Y+Slyz`0oL0brJMuS!O@r>C>-e_NDZiAhI zw`ky@$QBk{meJLLQnTaf7g*01!#nhm zPh$R(>C1bpOJ2i)b<)(|e8d(@ZS78Ui&Gb=@gMlN;ryBvY@1Ftv7K2U##$DT@hm!w^gr(JKCK znkq9)AEc!m$@M;ae;#BVUjLI(PF{6vL|M(j5BwYg54Q>-LJ9j#JpC^r7R*|0Q~0Wi z*xw@qE<6CZ{Masx8RNy+^U+%C)k7hhPfL)|`_qXT?-Ve8W%aK_3OA)v^9_!f;CV-3 z{70)PS0emU&<)q08!k~>4~uBM6M|j6IeaofswcO%Bna-7CXKMVpnv5~S?FhAtLZp5 zh37;YPcrv}o`UVz^_<-1xkEGF;ytObB2*Lfj`o_REonwD?}+N)+xkH12+)p8Zaimg zBDmraPN>>bRGmxV6>FE-B76uPjB=06Di7GYwUsT{ZGDqZYOt${*YBa9GYP3?oF0(Z zh1o4DszC`m%B%$xQ_hum7>F+eb zxp8ujWwsxXAz<$!7&!9vlIE2$!D(Evgd;yi4`F-q;E249 zCy_lr4Mn4k#v+eVKy?El59YQ$JUWS%j~j>#A<~jPUzS5+Wyt7;r=?bs>*fhf{9+(@ z0*Mf6`M?VR>ICr~;}LvkFo5&lSBp_}$5~U|3y#|Rq=-A!u12j<`#TQizxT{S-VR|5 zbP(*N6S14Fw)(i*A>rQe;An!?o+g8o<)PFnQnnK{1+E0;UG0muz1uVcOV5-OOUcUp z?dwcC>5E%8f>(_Nma~1SJfD{4*2h5dGTD+6+;$2^+Hg3U{gIv^|9E|ghW=hn{09hL zCgJ|DvErX0{EOj;!wxE1N5U(P%!+2T8ixC`7-QUrpPoitG`XNz{YS9cvQt=1=QC~R z1}H;=zVvb21#ryW&|b?CI*w^(08OBqfP)H2AdY_}n5no#5^#orHk#5(j#L#Q)XLCI zcJ`~War?scyD9M35xdYxv#IGlu@lj{1M=nh5$VUB2R1DGOnVaoxxRGX6W7CbXot`^ zn00AH$1SjG`K+g;r>lAxDTiEdbul^_INA7@_g~X&aDvTNEdn-`P3u$N_I6UW;g_6t zKavWRhBehVSryvtu%66=`}$PJeYY+ZMJIfI$^nWU!{50_3=qxhL!c2AzTK|nc0aQU@HLlV6>l*&?(Z?z|#R zvvUyAgA3w0zxERtfrbN9!2OpsC+6F*lI z%B`?3YZU2_1COMzE}yE9!&}Fpg=iOG+m;KPgBQ{+^^3^?w)v6;#NE0)pOzRzeAUGQ ziIn(Ag&PWCgK`T#(3~CiJD*z4gWKT^?^ibbL>)uAEZ{hgA$VYyvb1l_M-LI7uI{7^ z5(=2Hlky-KkE~PhbvfQ>Eu=l{9&oAc=-L6<}TH@~C zj^hJi>eYB;(%t(V^ft=$*1ruS2Z(&Zpe9 zHDt(DDeXq6^!#o|tEz*m8D!(oEm%T6WJNXMwyzD@)ZEGESu>LRy9PFD>`? z(@)4B?WJsf@9-FkePGbdj>e_n06$+jC8#eHi1m>T3R62VTHhcltRst1uG=l7?)jxj z7ax$HKz0T*-%58+G@>+p!ylP!HuPr`kOA?Rxoo9qLahV@J-zfA3S?aeo~_|G!xhDr zw&C5SfiR<=>{k-I$!>c&1yz+RpxbE&4|ExiD57HNX zuXj$_jdJfWRhh+2O!k7ujrF{y!q}GP%+;WlLN)Z1{5A7=K*e#`%DLW94-Gbh8fFBt zy1>xcs2x~-^@w}NBs{%stq;1Q+NBZC;Zd-6ACqH;jOBm}OdWPm!nbNVjXAsk8}q2s z_`Ad&T6JPGw2sH0T2zUhgEY{kNfWNpZ4J!6I`LJVOtp$ms8Yu}SFuqGd|CmsVhS6sEqk8A(!zbd+RG?+&1ejIEW` z8qJsL<5u3GE&R9EV?pME!}kfK95^%~j~bI5XM+QOWkc+0QL@us|Sr z3{&$Mnn3_k|3*fe<*w`R&6LJmubE$7p?>I4_=o!9(kBDCHKb9SY?o;@@NQUb6HH9m zoT4L+gUX3c6+XC(e+qUI_WIJeMjYA$&d>0PX!M6fE{3GbtPeAE$o2MP6)p{X+_P@x zOYob^3Uzs|#L^P{gJkIn)&yxFq~A{FS?em-IcNIJ0DM}6#0KP{Q6ugXXpbd-_35tq z`IKzWOg+@ioGB4EVuv5>qw=>Nksj~YEyf%Q=P&6ndwX~TyDx<3d5<5J@q~FGCj5Hg zi}~w7nJqNCG;OY{%%{&R_GpHSV0G12WBEMiLZ)MC-G;k&i=Pmml>C`v;E!#-ciK%L{WwUs`%L&j=6Nt)$sz%lX0@LFg>N_z$U#@TkCn-7niblyBd_3^yi zo{Rzv6D`cfHl&OYz43+$VX_VP+l+1Ma8Gz|e1b3YMb&NlE2xN~D?#3~hrsdApN^AI z30k4SA0jx+ICYzWiLh5+CiD*a-fvQxcAY8kHaHx#?*SZ_&NhaI6R{8tmqd~GtP$jS zDi5;hjR^O6`nTP$AMYvaqbdD^Mu}P>bZ6wg(|l6PuxKq=bO3E8+@Xd5Sv0Ef-hYO^ zxTjj_T4O1IGoe$@A~>FsH41jOqR$-6yy2e>;O4Lm~)`27QWiy~0%mtq6 z2_TDq@T>Gp!(c}7GB;mmz7W^y#j7xaRt$@KZ8}leo^L>a)N7Y~PeweTkchXmJjy$f`IQxwy#Pl#)jRR`$7`nci=$1YP=N5*vWCL$1a!h^Ed|vTkR=7y z18U8{lrKM;Z@bTRyuY!At{~|Wqevs@xvS@g_$UH_)-RJRrn-o zA?u&3*^kdr1-JNT z9{Xm~4m@fBHBv8}d8GJy%LK_8in=Q|tKt;B78UTw{(g@xfMQCt;L{{=EgF$S7rgaLqd3Po`@RahIw9N&j$9m|gzLHS* z;X0$X@-UJzi*l`I#@l-ERuj{SVIhp`Ry?RvGXbHccm9-I=-ePEtf57+G-hjHNx!yG zhIH6fDyr??!4<$d(M_?+lm4h>MTLbrSrEm$-2JS9sDyYt;4|DszGv7}3MKmA zjMYjkYmvEK*K3S?ne+URp^91er$i7T;8r?!U>Fk%{o}FQLIQ04jM*=b$y4Yv=4M35 zAN(RQ>A0&3*0f#H0`qXw&OfieHC|o3+f9aFQPP`!#ORZc)gxX>1;-EY$#rOF69}QB z`}DW^vpQ9W%T68i!#vyjvfD7}wG12ark*Ah{1(dG`J`bvW7KaLK;T$iqVfVyzk-;U z0-rc?6y|NA3s&X}X%mfctaZ*-M63b|@x0eY-plf~a8!o~UXW#hhAS91rA^I$1Q)oe; z`x~C(H7z~3ZRpMmKmV#0v@~@IE|*IfqHl|YkG*C$B^pA6&H~-3S9hPOKSPA7_%5H~ zk=6zxBa=~do(J;1s;}ZzU?Ys@yZ{H&i)xYTkhWS<(`eeDoN|Bg4FC>h4k&Nc4Xo%g z1%-iD@4GiLP}(}o9!Gtj2z@CK2+g?(MA3D{u-`jG;Kz()ls0|{SMOoa(1+`mHHoHV zAsc^hh7W)_K~-o%-_Bxvp!6nMU$&$&w56wJFHDPS={?+zJ^JH5eJF?qV6ZzRL5u8zw4Tfv{1I#p`@udF_%=RzY^jc zElVd;lu?$6$=%3U|5uO4yt-pMX>hIJw>TR>I)&$q!Unyk9E8)Ze0Wy9%`K&g1Q)pv z9h#wdgvV1KwILO@aEw#=`zuc>&C`#Jh{zN^8pDKbT69d}D=tSG{i^VG!L2RiB+uV$ zx}L4DTY)=gCeh9b$Yilqo=(+Ng#_C~;99J{L$eo*p5)>y(xvQI)8- zz`=NqIfBIjdm^+$s^&F6hFUGk!6jnGjY>#F z7zFA>ZDhfPlGq|sXdYc=rf2J1ehqG>7FlAu3f2FM!1B6!Cf%GUh_JDWzsQyvq%5 z$giR~4tN9{<%3~ec3znO{ms^D@8+dDRE}TwgwujDk*VqWw6N+vJ{4_(3-{XCci{|u zM;w2~kqYN#h9KgTYmW4o+mqto8y+QzycY+yuK+XtgQS-@_k5k{jJ3Z;fxf!&^i?$i z4t7HPjS5_88|vEjjjT_{vTMU}R_kGQBOG6xOgM}x6+Z7OCaega&;(3X^-tX363ZDM z1qcIqL8DhOa9=7Te_WRcMw&ZBID1aeL5}j0SL<2TNYm3u#ULbuD54yj9>RBj06MTG z`=%?|>r&!1NFRnfCPz|xW)-BFP=&U%icCp|Kk-4pP9>b22#nNvc{cnnOx8I%H2Y{| zh(OILs@5sKqG)ExdZ@=AVLi?a<JJHJC2&J7U8=B<|I}Y#NF3bNfSq92C;c^6{%#p4Z^Ip3@IBC6EUFN%2X` z67?wTf5seV?MS!>I3J?(t3p~C8yOWJR4N^*PPO7P^i(Tn3xOTiAe}*KCw0!0f_C%t zczKFOd~JoIa+;5CfxvCFcSKirsj><^N_2&5K~IK);b3Vf$Wfzii18y6bplsrMxCLVqn*(x<{i8gVNh_iKJ`MG*kZI zIk92n$_!OTZ3m~Y(4)Rl9pulAFBz$u(Q4eTiZlU<3i!pSxQgIEtITN~lqIQZHO=gQ z#jU_mpE`CQrZ`C}s6fbnVsA1JGM@7L=tXCq-=g(hu~1to(jenNugx||%<6Mg?8t1w zVg1X@o7ci@Dmmf3H3B7V5_XN)O6NoBQI=WJh6ZVgg7bdBSWM6vBRQfE5b@vz^+nL9 zctDQQ5A0`=-FlLQ@}E|U!rY=S8fU6)tzsk+8RplgrI{I+7lZ1^Qbnh_Wt1>-b1(H! z4P;-+e3XRZQb`1DgE!BvwB(9vP&09W%aUJ$!QwT5OoZ75-zcq((J37>goH3gi1JZU zX?bkVXvGwhadQa;S2dwxTReJb&;cBEM6QDQ$dJ>MIcR$AO8TJ%PSL?lo_&jf-qMjQ zOfW#@B8+-Uw9_ch2K&s3eK_3!wb7RA_UvD*<%1 zX5q7xmfo0lETs^gw>Va)_{B$pZBqY4lJ^lez2h_9L-V+I2K29d{8p}v<11%{ulLCvL6FJNJ-g>Nj`|Jl&K#lMkKLVU&Ive z#5hZ}$LVx+YHD6~afL{H-rgwJQLa!G>!NGaQa7%$@3nN2YeFj~Hmzc-7`w1_9tRQM zJMy|C@gFTKuWiPKQ*s}#M>*6&vl@j~m{OjQNK4S3>%}zQ99%NDy4qq6lzTG2%IY0e zhGTGWS=p@QMl5s9Lh#TV?J4ttgJMxpS{#X%x&Hx9!~Zqi=Kq)9XHlpZjt>8JP*gPj z=6>UivMBr?P|5jU6U@v1ct@O)6=HGGZ-J9%aZ~74@ejOuPK)BzdUhqKsvso%C|#g_^QCoyWe!tZ z5*gZp`EW^awSX;wbqM@-%tQg(9BuW7`0^dU>0s9A4x6PF9Cr?2!Kad6 zl2YFKXqIvh&Ez&rYto%OrGC5P$ETm{3&7dZPn_ka|Dd>HeqoWy(j;tsz?-f%EGGW@ z6Mn^bd;1d}%nfin$KYL^2ln=eJzrzoE$H(YKfVEZwaj2*-*4}sL~zDlVQ7(K*pC~0 zzOVF(fHV7K2j3s^aMtYEzwP_sdfuF$_$}D#io@aU-fcK5<~wsIU-%%uFKmal8*}i4 z7XJ&YOwT0%N1D|VjYsjW-(&}}2r@~OIwMwcm|FG-SC%+V(Z!H{{OcIX_zy5fis+6- z98Lj}`TIamoymHUe$FS8X;t^hPk7Q-_%)kcnSwEw^Jxe4kun`J6X_9~xq$YE3w8^I zoZgS%^DgcF>wtC!`mxA0^AumEjLSo_B^xfc)tWnu#4m}}-3);0iwWs|(}1T#0J2Xo zd_h~l-@@oF;h%_?OK$G{wq9^}n{GeCY}*iGFPH89^>lr3vD_aoRU6)Im=>iN@rn>1 zFV*bDcC}y4+Ff7s^`Ai0ztaf^OFDM%`20jztpp?T)&Mn^?IDhn-g#F9VXNm^Z>)dx zN+AXYw!rj7-Hyg_A-#6*$&I?5Y#Mo#osi{NTehkyc8VUEF2%PX#&|AiMDd7hC1I}F&j^W znTM~;2!E9NKOP+tyq$hO0TGzS)Ug|E$c%xA)*I_!^G%MN`7#H91jg$1K#T8X=eK7! ztO?fr`H0VEvhv50oEtKAOZHZB`&nT6uFHEfVXFOhNAMRqTMD7l8yyK27o{Y+i<`wU zQ_EHEhTD0wc0XM^A`YMZG11J*+dV#?h)K}4O>-VFksS_~!}&PZ7vAR$4!0=<>FF9I zS{psYAGEcSZVOr?y;aL8E#6Fx#MrhKI?{K9p<_zFuohG#d$#FWcduelQ`Vf-Zt)h* zQlsmXGdG+4%wuk`O{_9!fy<^7p=Z1IUPF&(9#HJrsaefFCY7(>Q14%TG~u~f@xP0R zO^Ho(<3w!}=OWwC>Y;`zepKmy2#*ZX+jv=RHlmEAER#oTI#irDOV|g|!oc$`y9UkT zhjQ*L-u0hmcRyw$GZryo3F{orn+e|#j{6Dt5MbbW)pd&U%*9`>H3p(%X~1VsF)CMD zRIO>r&>KUeL@APAmcmRIB{0r8{t2me8H7jk|K z#i~k2h&VTLd@Tj?APauM%6hRz1Mrp-vU6z9BoX0D4jz`j$|`+;l!iTe z>9}=tq&m4PxPlM~x6dH!L2fYNkUy(qdY=8ockmpQ z^3j@6Zoi^rDpzk;%H%OQx8{8-tQ8$RsZ2}Ik`$M(;?3!3MPI5U4Uj+@u$}F6$B(Kz z9nD(uOJ`@UTJxA$bZHZH)UDB3s-AbTL8X6Fd%$xR{)0Ql-c6HYW=mQ6+srjh14Mvca~$NZWZ;MRy7H6L7*a zP?UsIQbb+^C=ISU!10u+_=%v9Xxbx_=qz`kUAdK?SHA9PSL+gSF;vJIh>~=<;%Mp7 zazI7RhrIoqh@!(a(vY-O)AjfR`sX#aP-ts~Q*#uTi+1(htL>sSbi_Q6RROoLMOaQn zH4Rz2OfdV7nU%i`QR%5ShFv*27R{QrFs!_>NFF1MQWNdChG7wtobfxuy zveZF!k2)vNFA94II~RZQKur&^DfI>*(Sm{d#maPwK*94oM|HD=Rf4frB3?t#s}tVT zl4u#{?Hd)DE&@RLP?c;D{XCS(E`pV3HzRa{#M*Sw1(2tK;&ZCWLZFPj<`Eo($YBZYB13C7^|2oZ| z?iH#uKhID*4v{gI*g*1crT`&g&Ezw!zs~;$$;B6Sc1iA;I$jb6@)k*cL+Z`iK41vw zU-VW>Q!($gLC34B(@K}&vQd;24KZ<1+g|1nKU2nC*}R#IQeCAyb*bG@r$uGGn>7Ny zo88&=bh+wLKB?>FrselBSy|a88p@qa6kT@NdD>P9-Z8K`_KZa6Khbo)((ctPDa72e zBXvE~seLpO6jO4V6N1QI$iThN{Z#1~I{X$%Bca#eLK0cW$jccVGV55bU$7gjjZ$4Wi$JzWf#Q9N5RQwiZ-HvtWd|)qY0Pj5}zh|IhN^=w39uxr%;5(sbx^p-aN2 z@?2UptT^BEW0spyT52HduPm$p(?9{%uR}Yfe))c`TI&RA3j8}cD7qP)tjhc5398av z7M2-4Nx;higM9EDR%Q@@pwlUwF@Ek?r69 zIEf)s*5zAJxee*`sa9R_`_I?WhkV3q=P2uewCGQL@}1_0G5zWEI{@6rRYlYmcFS*^ zQ(r;qmlI{djTTfY)BY2A_Qp>|%Gv>67H+V=Enm%WSwEVrZ9%8UE5HBB=A=KnU0VhQ z8|(cNKYPNxH{%eq9_>JPc%k_Y;zC-xbhv%0GL0@8$UFVx(>w$(56t&3C^D6yQmQ{1 zlw0}A=d;u52i2vu+MSe^xRm5uAIAlJ4-(r{qTuBRSZxxi@&*U5;Bm7|TD5{#R(1E+ zbvOr7J@(;;4-&`ES)mIfTgl^rgAH-YO$X@*tTIML^u_P#HnKQ@-5*MeUHFDwQ7g}o zSqV{wT@8;l7Bb9t&MIYvn(_or`Nv0x+(#ABrHvWGV-aP>T-_a(Wtm3f6t|zVj^T}N zV{w;`;T}NH-Pdg09(|;YwHJW4_M`rwD@m1O>KfD z-*o^U#&S(lQ4}a{TB&7zkC-fObiov3AQms6%}gVKvIF zJj9{HsCl-5+IRr(_pO~w!GSjogXfzbd8<7_d6gDWdLVv-Xx?!{wY{cTBOTJlv+Eb@cETA*39}ryO18`!5qg13(56u^X1cRR53G) ze;HH7wQ73gXI9H$G%JD<0;orxE82{gBL^;KlUAy~Z7NpCdwLM)sGrV^Jpm$4_7=Ot z4y(s?NY+#i(knZe>yS;&zAWU@4D7ZGBwH+Km?6cU8O5d(^a--n@4nFQv?&VpM5HCw%JP>uZf)1F}AEeGyPUG?keWd3!f2)mogr zJw~;7NiY&Po{74^+`EZvsb-U&m+aAxr!K%@P6pyVR9>l1$xBt-z*sF_iR+|bqKy%T zrl{h?DxWj&tz&Y9{+m4>cd-i9vc_^^F!KU+V)yCw@bZ0S74)=g7W2NI(6n0L}oo!uq2I+}j<8jKAzMxlZ_%c7!F=|lCbECt zkAYdALI>$>M%zo;sK13qll?2ct?d?(N$|Weju6PWB*_rw*d3WhysKlJ9b?Utrm1sE zol^H$X!2B{+c3#`c-^znv%jOs8V^0|NrL(_P^saFd~HNB++QbPC>1l`{9iWNlmxK7 zWGMA0K07-la=XPuv|Gg}ri0U?NLfYxFnTDNQB7E5KrE`?$j3@XHpJ9=zC&kFY=Y_= zWGek%!TTo%Idc}uS5{2EOLOCp$f6L{04{w0f%=)(u&bBLf~)Rs4wHC8(d2lep^cpC zJaaEWHjfkPRRCOyeui6g$Ek@dnkE+0UCb~|@2Y%!_9TubF%z@bek(&S9~zMgtS~M! zTdDahL(}0J<6u$bc3h8U+>p*01DlqZ0)0$v9Q1fM!64I}{cJU3+|gggC2_t~$4PNEP|t^}*gg6wLA>YhF+y-mdo;7O7h5Yw13|&0q~=I{K2eRc%mkd7mUZ#piONvCHA=U z-NDk*p6)c_Gf6HI3va-^Gz0s`c@h;43miab#%e)vyYZsnp&Zd4EyHMvWA^3G zS{w;(rWVGW4%TK?;`Ehom#}E0e;fOHSB=XYS?IAHo|?$Dp0RfyIl7VMBvHVA{9-oF zHy6=P9xB7ia7GNU;!WtB=j#7flrtmOk>KXOLd6Q5HB&pJ_^PAkY1zODjIbljnr1&mV)7qZYD!(ev z4r(2$-nA=_0t<@C17-sgFC*kQv78D-Sm~E&z4B-1S{uK@$pp+c<*)NE zEVQe3pbis2X}#;PueO!K}wVg7BEEpW24LcMES%SrYLeX$j8xo6qS>SF&=yDX+O zn#z9oeC(X~TsHDTCPnFM;@sz#ZgfF9Gub8L6~0>6BtD#Z4AaY@<@&$eW*Fm?kI&QvEoV8bu;Wf{`Z?KfIDZoFS?wm6UmtP7#Oe z7h_LZ97qbq?Btg!2;=?;!;~lSBJFG||B9ZK*Wjw+sCFJcJqFDFqBwh6%11*)ByUJ; zGmXbo^ndNLT;SK^G0r)?XImyeT8{8 zN|tNPt}ob#+MENzT5^(8N@l@xNuDoyAJV7AXr%<0@6=1AGmb#CxYRf_<|6GxB@{7_ z3D__;`3s${hcltGh9hNfTjCQYW|jES@AU@*Dy4Cm>$(7M@GU(b$BM#z4_M(nA8J{J~kKLjFuFyS=+u&qAIVb!a#i^|tpHR>yN~ zD&Oi0z_?9}+h(zf;KHQY)_L{U=TI|wDrEGj#(chV6YiI<*inp>i%Yi%$7(vlSeppo zut;C&Rh4`nb_DOqxgjD(rE+v(mMv=EeZ)`t_H*9rU%ROEYpd7caQ*ZuzvP+cO(dh! zn75+ri_f`$YHgdz{t+49TwG43B+0gWMe=t}l=F{$7gtd31=~0|d{DZB4#=2gpdR{O zxJp)uX)Jo-OY4mH{7Ch$eMTkcw(?o%$hBg@8;aQ8jQz}IK3=k8q6;^*%f$CZ#mwu^x;Hs23B5$#`n^jJEz0y^ll0{epX+K=p3z289e^Vyc{>+LSRP)J=cZ7IH9Uv)s6Dp)P8pN zXUa=C>uu|xd@Ttxg>LuKbLq|B$oS?}QSQ`A?d~u7IeEb0)2gq=o%)2n6|{0YB}6>$C01rXG5^>XY+NwPKZcdQ?ol)oYM97`AGDswBc8S`{9nolFTMl z+P`XV_Eqe-CZKubiyjHo@qxM|sop{-^#&mi|4m0aX_yczU_P1AO>)a$-7wogeKvT1 zO?q!~L~rc_n#XavODTrY!DM{gIjUozqLVJXGd0Zvmj?kZ-n}E-)5I^dUjF(Uz{$V5(pK<-(P|Grk;zk9G z8mFZc)+rJOR7**XNT1M5VL7eY$xwJxGLDrsq<1O$+>Qj!p-M@Z3gLOMG2@D8g*O@} z%t)I4iALYj6T2W7P+=;v5rIy7p#?Gmx<)J4A zNL}CW)GD4q>rr@E7clL__$8*{PxguJ6xd5r&iS<0L2v;eot7 zl`}3TPT#KX_(ht3h}i_4JmX=|3)z_le&|f;vmNFf$MI7VyJszb8mWzD@|h2^x`Ai z5h=qC5s3=Eda(wr6lLg{)|qjhwEGCIQC`-=_+vDGM&1G2{FD&$*4diZ#H7N*Q|8R` zlA?2(&XOk9&jjjhX4G!P{#97i$-+e|UQAK$Nt7rq4+_0vta@#wyUiIXsYMYYb;9)?Mg*(7GhVkZ3yiq}LR3RvHWt9CWf z8Z2anq$sr+Dpa>0`HI|dq3#qykgM~5HD{qzELk_Uqdkz&0;+KAaarEDE`1_ant z(McMvl-5Rhn|Ays_s=7dR2?wd7m^}U6u5vdW&PlJa{__axdhMFIOaQ^^9-i4?I2l zWGTCx%o!5h-syH5tEll#=}{wVmFd6_P{0>nrIVkobwRw%s6|J5|Df_tg;7A19I~iv z%?3$Y+GBaE&lE~VFryE*NG;@&ugp{Acfnp%@^H8s{vu=*VTKKuk~Nzuj9;v+P9EAw zwqTTFKql{ASwbX-3pQt0t2L_OF+7rfOJUR_Wix@`^W0J??3M6j%(*!=X%b0V~LLu#3|F)Aqve0@^Ukld;dpKX+<7KFMhJw zEz!|tw+=!f?#P{Ll>vWLd2aWZ(;7a`4~UZB`n8mZL1?gva|F@oY)|)We;+#Ljg5%F z=7jofyiDoHSZ<=#%fg*7K6ARM(zU&U{1BgHE8M?#LVa>f!Muv1yakG^L5qOXBNX|u z$xapJxg5LQf{`xc_8f+zW2)7Tbho>QdRorh>=r~HqLS-;fEv);x(5B4b)0mSJqkL= z9ZY#kdU{xTjknp6lZ`*#KHIX@VP^8rdxt{E_Gle;B)G?IJZCK!0BhS5o2W&O0E2o` zk29Y-N)KOB8*ZyD$|g*Q+%HbX--h<4Qn4alIb& z1Kb>kjAmmZ%eHepx9R^D#}&DYHH%)>K%aQU~!%ir-t> z*m<4El~&Jro!}rt{plEPC7~C0B1gN!tcYnr*2AN zimRNW1GQW4IYj7h9rhF*2~)U$WU{a;0YOsiToFphb#@@Gx3o>ApR=bB!{)BQdt^@isq*TRdOhP}Sy%s&Jph{;K|av|saTB4@x zJ+iy+V+^$uE%mw8H5|BaZmaB_Os|XIUah?`oN)4=zjj7B#a__kERVFI{D*;%QITOZ z;I_B$V$0=5KmQgW<|jb0sgpLtbEHvs`s)+;nXJuw#75eXIq%7vQV;*wD(3?hG^M!Q zFNdslax*!q(c?mBhsTfq|Bs`v^V_ra*riN)d`9s4!3T1_FN{Z}S1~Mlx=oPN9SEf?Ae{&Ne;zZ+CZLIn}E zrhCQ21<-0kMW0P!&jC%$(IzH3=h0Mo4tZb0KKG4Mt80}Wy%t@0pFq`N1HuDecpqi` z8bRi4TXhDttQv-8B8Jx`Qxks$>K+$Wnc7V0=|45y8!Wtig&LRVP;qZkv;oU!@}DT4ZH6mX0Ftr~e@SjdW>wX5>R$xzkLzkC0g( zSAGaA%e|D`T+?-hM(R?iq*VFda`9_0)W8(`xzH;)e1*oB@u7Al1y7=v?NqPQi)ebT zgtr{+lH&&kPiS%K`s%EqMBayt7)OR0JN*F+X)cl>QHeJ3yH1Rnjc=S5h3Za17l~~$ zSH+L>HWfj)atrmVg~7JIp@k(EqU^xffJ?5d3`O2Y3r84&1I@$F0U4vT8HsCsSavO{ zE@*ezC#jKJ7AjE`J-tS$wn~+lrpI3Qq(+b)*y?bdc)#>@DD)(aH?maXkGdX}ORb>% z2PzhFXX1ONjZ#5Q(6g1L-qL~#A<-LJz#@AjhfH3dWt$Yu6YVUz#6Fng^U+cT!b?l7 zIE~G6WJow+68dq)!K|n3S%0VKaO*{-ALSw%Bgy7C(ZicK#2p;9np(#Y{efHKRRZ0g zK@vv)tA}rs>|^Mj^(PNyKT6pa4F5N{M(Hg10dAhJOUOKluqq|cv=YyHV*I5yH=pUs zHQCurlXw^qINbbw=wE)Q-)fsg!jqKq>+y|G>&aZz)vaOz9XW!+BPP2>c0VC|Nr6s7 zhY5ul#{hM~^{!U|do?+HTX$_Jb{HRp7An4l_|d$28U*=hV0qVwE^|n=^5n50=c@FF zvH>%R+qkx~|IlSzN4J+u6xQG|KfXV?_ub?UjsAz2(!E7kb2EQ|g;>)Ty&Po? z7wxnAN{{X;PnpwDKO3ZsToeBA^kGyXm^;+;6X(%miDJ?Da zV##^QfAvEf-KGoVP*pG2(h)BK*%=jHUELA%Yu>w&jcpQ6*)5`psyD9x6$K%q^oeA5 z+Xg`!H^V_*|4MR3f~`L+ue!KcFcSW#cRe8AlO15=z!o7grwd5>e!jGg;~b zRn&f-lY^3d70isBRq7Kw%9 zSVdH2Ww2>#POqyU>mY>P~8!H-gOVR!jC9(T=r!_OzXwUG|WC{A0q} zbh-!kpKpD&lau)Tw6lUC=+Lb72?*}3^X-YFdNKd5FJmY>u(%`rN>vtG`C>Q!_c^d` zuko9JKhq0aV|j;^r8^=S@`G|v|Bdf{=#I0z>bRj6ZK2P0#o+=8NZuW zyvpTz)yvH=q_ayCaoVy}B9gZUavR)W|Bn>Pj%HPlPT8m|&bH)=-$%CHURO5n{rhHX zR^=hXhhXGbpvR)AJ%Ti!VO^s@i%#znque7)KUrgBbMPknZ`1g!an6noG}$~twQ%*`4i7b4;$)O z590%a6fghyR~i?CT6^9pgYr3+zWDYWMzm=YqVGLlTHP|9AKJfzOYk`lL%^tgPi&tn zbSxJ-%H~%7-Df<|ak`9q?j<92vSM=_U<8yM!7hdHMUO@*ZJedlS9$0GVIpiYOEpSz zHZqL@uX*tff+Oi4Pt~K>W^w*z}LZR_Ok7|7{@n;Z)TZN*8KM47>We7-K^5T=879$)#|45P6S zV0OSXWS80E9DWLEfY-J}KUi$gR9FI-@(7KYPS- z*)TkMqnxd2Ant8pArXG6C#BAk)L$iIJsJQsltgOREjuFTNGuhC3ts5dq!sS4VZLp$ zS@?x+=qi4kQ=!feW;xiDSZ~7v&goe9={{>Y-u`(QsP4}6IT?M2m;bTuimNq3+n~{s~OHOz% zW#A1qs$e_ZD)v{H(vd1!A23-BJ$=a{UfQgRo|bo&*8>teB}qJ>a`Z3ZV@^`$?leom z>0i_}uWd?4QPCCvlTkM1TnPu=?n8?26 z&ui&+O0^AUn&G=GB`%F>*$cPMWLebjiKq*1MYw>d^Ce)k_A53>)L$C&3&+icMq|G6 z3OoWJz*5$Jc1}y!QY;B^*ONxzTJfIvgoYn;Z)qKkvJwieq7HJpP1!F`-`qsT4ZV0` zh>1nX42~PUzpS>|IHU`z`T`kArg9X7r}STZeX-}+`h@)&r= z=j~JYeSL-qEBzQ6%pG4yiTueMFGj;=kcR>$t1rUJS)JNQtDF}egfLkZ;f$KeXrPf4s)%R0xvtd3 z$7xPhe0@I6#rLb`%kL)%6vI(C#pJ&Kz_Q^M4GBBLkr}ZOhjefaoD}q>C-vWth8~bT zfu9MZL?+MIogoXwI?T5e*ow=W|BAuI9w|e_x=CAq!Vr8UtMrFTee0#$Z;7Xac&d5{ zbD4xYoJr}uxV)FYtwpQK23P0`et+5f;D=oRtYG^m%RvHIm(XO%*~5>=DD@a!{vfM3 zO{i!&aaSYMJb7K)vR589{W41iJH9HJ&{)(JWHdA;U1sc${FII323Pw%{c&{h8wNmR z;LJSt#69c!BE*8Wwwb$~E*7b99V$f;S%~fEbI77^Kqf@}a;0@<{4J!xghx`uDc}k>_Bx2 z7VrqSw0Gq_|N7~D^2!m}*wI0D0c+!7ykk(&PmygbYNOfiy7r*R4X}-Et^$<<7nR}1 z6S>JV6_vT#{P9Q8-LeU$0+{9{q+i|e*wYY~J6-QoR#QBkLaryHk_(mEzlU%P7O z8!C|IbP$vV#*c}&r!4&(;Agl@$YSr$-WNm zFgv+liZRa$H<^~j$TUvq{DSE1L&vdtuR}WZTud3N1*)b)_B7bw#AI6oI(Y3(qf^J# z?e-AMey(emv54K~rL4RQbU4V$DtOQQIC~LLbZDFLD)`aV;J;=u#mhugO?1#+3(59b ziA!(BXdnsnjGWg@U4Um9B)3$`h?ot1cci`BQ~1Aa!^nEt`%Wo~6LAennecmH_!luQP_t?3YXj%#pG4JL^-8m4AKL4k zYUIJrb9Q0oV#)huA8K+XFo~!w!G;A)uBGn!VxvR4*}mDyl)am@={RYW&hnIvQHNdk z-xr0w$AJkJ4K*=oX9>PXu9LC8@^!P=&5XaIOweMjK}9@Ee@w zd)-F)ORI2#tLLIduLW0QS!_Q;D&h-+EWPLRJb7Z2r++_jP~OzM_X>XUz)^cM_q$!nce``f zd5CX6ay6OnH0Pd7-f`u3?TZt|+4dDsknTa%Tgl=1FGI2^iBEpYzceRpbLtBJ8ji?h%&zz|5QiLSCM-ha(*A|ZA` z0PZ5K2O z7ssIqIB<)63FiRK``tpQ|8MsQyqBT&H%R#VSmX$o;qwLlGs1zP*r z!%y_^!%gQ&l$-M*Dh0=tglRFbOxx#{R)i`N09)F1%-uBpX01XeFvZutFYUoKZ3X|) zjHokW5DV*?n}dQZ`M&@3Kjcf;0{t3Yf1Vcfq-C$;8vX75b<<0$RA_gFT=c9gmA#T9P@ZO*G* zjTZak_p>FhVOXl-FG>2L9OT1mvXt9Z#qf1!TuxT&H^otI1(6NAiGrFLvv zT0Ew|aV$JZ*vC?X9x{zwsT%dG%h4eeF|VD4hT zK-Sy3q-zLrXf2VXSa8tOMhKf#L2e| zUxy{aOi;yL5bI7zWL##bY|ah!ijzAw?^O;ZrT5JIcV>Gin7@tW%!t(j_Z!xD1ktff z#mWqGT?YyXOO?@L5oD%KyWW||C${ek{?g5Bp>-Y=E0>cMZpWbNL#B_8)#YYi&Z0x> z6{crjl@5^27}H2Ka}n)AVvyktNm5|PGMU%@*4$tE%LpBFrqQwW3gtkWGJ45LJp2V* zGs>hjDG;-TA=kfVO|F$v*@TC9q(iiRdB|SMP53nQIXsYgN7Xcsu#q*|ymU;Vvrp6C zVB2aZc%G!)jLQQ_qaexHQ;1x+cC~Hx zpNV@Sw*1``tF-l-{Yq~azg&SvkS)9{5X64RX8HdF5w!4-=+Df}?E|J=I4) zPP;%1r@Tni*k$Xs#9aNy{^yS+wQ$>QF8)6stH@cRE69D@pj9g zr2^c|ZZqki;<%WI4jx48HQ_pBA}RuB&9cD#j_6?f-&RAXr^I|Q9$%Ky5tx&c(W~{Z zzVC4>XflU5j>jrYz&vT()IE&H&?#NPm!XF2c~H9~qtD;Gc9DOVOXU6gX2D|rB$Z{o zu^v%N1xF%jFNqcOO8WgEPPG>HTu4nM2}f=A6`+=WQ6GNtwoAw->isJ&l?kGCOE%Iy z_UGWtlnIg&3~oPU;oJDOh2w%0?V^^W1ad&n_8*h~Y26>z|1%lcryW|0&EyD%K2F#6 z15(`e{{Y=xD`sgPi8v*Y1}X!*RxE0pXldmGI{4fbKmT0src%FNxr`I3bzBS-}Q!MJ5V}77VQfa}@{j+R9^pCEGnXAP_vLOYjGtL%W zsc8nS2e?-0j$!yF<*$-eN@w#i7(SkfX*b7m^MTML#58Brnl(rHnVp$w3%t&(jPgrM z_tT4?@0d#guiy+(&qhYcm6`MceB4V@Ppid7^1STo%7(Tq94)i_y6IyvZ}UR!AZ|R! z5S)ie*<4|jeVe3xCzXjMDCV`_+Etyk%2bCuz4M^FE3Oz>&kJHQi_rifX`8P7m$o&! z=`kr($UW1TwDwL`VKt@A(kI(DN?AcU|D;;<%gjeEX`YuBW(qB>-7QvL?fI^)J!E1= z#`Vf@-E(u$b4)%3K}p)-dEL>lm3rYB3X1bsu&-nggbNAq!g~t0A4(s|QLuj3zw9Hx zuJztrq!*fWg$+nHVAG+TXxEQT3Y(Jfrgyxxu4-9L^jGd&>D;0W3Xeficoq|Ge4kw1 z4V@+catb<>wp}>qK8nxnB1JE8i7b6F{`gG%%NwywRDatyA5v%OnP+)(yvHfj$-d{q zw&aKrTV1Ycd+V7vg14PI-<|xtFJ|wnvZ2G1_=*)X z_v^8iy?bF@KY0LGN+EN_@av@z0}AL-ka3>(WH!KO-Ycqd64-7|+hI|+)_qTsBk;## zI{A5WY50;@wypNwi(T%_H>p0m&D!Lj-m1$|&Hu=F6wOlPl!@GK@45JvYXb(d!zyM2 z4#EWDa=bVQ#L7tfYxRtWHrY!bPQma`W_2033i+`(D|8!spa*n`ex>44=TDRUt7;|s zt(*w0|LDbL?u-7g+V`?dD?pbG_RR(;4%ka1pN4m!WLylBPo=|l!P8&k9#;xX(W7|F z)s?&|O)yA+Wr@H_aFTXS?J%l@V@OP6kX|*&5?Hxh6&I7q8JwTHJw#JkFbEPCIuy#` z2FrOlC!cb+@hEoUeZ~joYm2lS66z+X@12N96`EQ+%H-5U>k*e1=txu-l@EyS`gj@} zKZ$PW^9PrAb;dsLvU06d}2lFHUdjFvPuD2 zLa}v$nK;(Nj`WunMipC994(y|3Mss#1Zz-nC=nLUuio$^QmzOjkYL1~qH_EF(!4Wyh^qJqv*0j+MzBIR9#|NJ;(SfN#by8%s{;S(WQ4@KUyw>JQVT zhPxpdbpo!9peULO!CiI~rWQFl3R0=5G*=!wqlX>b2jK+hLfuztaf_&W z56sjk;oY?Ev8j=$#%#)i(Mis^x(ANJ)?kt`yRO!@ab@zd+7G(Q#lt^dl5dC9j)x!t z(;0Qd<(jhy0SxAz0FgDDk~lt3Id5{Bxe;{Se^ckhaEV)M!s~E{rXY#8f9ko}zY}4+V}MTBB&; zHPr@U&f?e-<&dTc^Se*cml?kU@s72^N*$Qivx zQ`Itpd`IeM{ny9r$?^*7&Bca{#MKXEbbdl9xL9Ytq9IAL4J3NL+?Bd;o zjyZcPdTw(0B6FG?zpm|Mu40de^@ z#>E8mH;mG8gkSd%vLLU$hHF?(-=Ou`>kgr%{6JD?b_6}*iVhsTEhza?p#dOjm$ME4Rs|$McWppD4+I`~5Oc z1cr>znUgm6v03rmwkB35QYQ|0D{-Y5^2V2*3n`%vkU{lLD&-e`v7?6ffZT2Q?*=5! z7}9#=1@xS}6zH?V4U**qpRc@Ck!htv`Ruf=kZM6ISg>LW%A0?r)?wiWre9U)zs)7B zgT)z1Uc*yt=?N`A7?WReUvGcazL>{B0_@l#40`M%-}R`k;MU}tbpv9b^;tNcdnSB~ zDsx6q(3dXrj^qJ`{#1lK@XJ%{N9x^^d^>o<#Ec^+kd-aMebGxnJvyd~t$pBikDeMl z#!wz$81?b5b;dp$;8_kIMkRUC@}KW7W1tyE&AKGol;1Emu?xS(%Fe5+xL&bS3qE$1 zpV=g3v116*wCXgP0v}i9k8S-_HJhDlh%j{b>Xomb2zt-T6D#6OqsGg()bC$Zpz|YV8YA0!PxH zox;Znr}4UDPu?S?7myzsc9lV{eX3V%IVKtN{1se`(mo{Ra%DYuudKozx5uktfEQ0i zd3)L;Gc#Pc|5cbaaHM2jBukcR&qTV`$F9Efnvj}m*89}+1_eoWMXrs+1$0hAqi$<+ zP->0=Ae}*}+#;rU#WE&QK0;krTZpzvp6lz*u7o&`2khaVLI#55l9{FjnSSGl7Nb@E z9Wd;mDtPv4$9+dx>qt+!G|&BFN`@10TM=yjmctv9h^C2nP0ZK>AOVVJ&z~o!TVAfj zSpu@bE6u&T;9Z8F%-JVz%Z!iNgkDhUIlIpp7}8*UQ`kg>t>E(4T_^)QhLG&dof(3H z+_Ytzn2ybri>2iWP9B^96MUlHrU2S^lHOJ!BH zhzf%4pH8`cP;xn`VdK*_u;YOx7lIv!GEYZFIBGp5U|xl5j_^$MQErM)dkXf z84dpLlb|oI!`BMHnkG7DrebPvQ%ALHn$N|Z-iNp(_c?k(dd1ef+82KLDh-&Vc||ihw;U&zmLhPrEmAO-xzbPOE-=svlEeScf0eIEp%iJnQ#~rBL@(n$@Sgqzof%E1&qaJ zpVz@>sHZfiuy$s6)IV{j=w>p+yhv_vueMZ+%q06_nErCO2H=M zZvNRWCBj-f7s2u9RNyVbp@A{6?Oh=#(9{#HU*+m>&+g$QRYd4Lw#Efbx&ARXA_Q1$uyTe*~Ik&Vkdp?|W z8zt^yL9Dujpih{LZ1q@dDG9yvQl~{{b9Q!Bd}e_n**-X2>!Q{-T3rn;=m*X%x83yt z_9}0Rgt>BGDqfz*)Tuvdsc1(ai^mr|%qkgDDdlJJ3Erk|(q1~E3U~Irl4Di7I z5v($&jm zl7nDHGs#G|vM6vaVQt0#_L$XXbxxl=;P(W-EbO5Hr-?40&@A9RwzWn@2KUWD`@Nq3 zaT)^HCg+CQsySrF$wfVzD{q59=Y?qUtcwQWe7kO*MPj6MfMSN-GUHJhocOZG&Q}Pw zC$9F^z+lWs7ZR{OYkDnWKLu{GcGA)Dyg16euH|j7N~OYk36|X6$FcgIoUjmiPM5uH zuSsP})dC^;a(b)WNs5oE zjOfU)P^c-3yT5eYpBOqZdZRfBEv%VnZqB$Y9&?ABcB((p`~je${WfPnab9l-8QnpC zICr7LY( zE*_B+mp>pTfVJVVZr+J)8HhlT)0gy-@A}B&bp2U(`3(|tUgjO9a34CTs_&1=JlSlv zAr{(^7-S;YtrGTgvC1mSmp?#trDDb<#g(mZfbxmB`FPvzH2s4RZFCa+pZk_nj+&!v zv7R-E`4?aClTvLjGu?4)BC031?OBz8dH|2Qc)E<)6FrPZ-zDgnA>-gP6oCc3$=~j? zbvQ3xy>KhKrku$x^3s$U<0gem;^~v!yrx?467>(S*QABu;<@i+GPd zj%GU05s&k4x(#jMAQ@MLCrkz_Y`^C0-;M2Jg|}_2>hDa304%W7$RLv!G}Opb9*1=^ zOJ0HE5v^c#@!;(ErKp?lWlHaG*UbyW5X!WX6o=a&z7^}WWg;c_+AFd>P7*Vtpq2Vn z^Pn1kx!01zJx11HchS z3;=dgLKZ1l_{p?&t-ple>a1YG=z)e{@ew?gh010ht~?{2@fl5v?7Rzou@;%f4%^HS z2vD?&)fmaJb$XT>1R5gtJ27Cj%}6oAXvmBT07?oUiidX!i(U%W>sJbOebz)5lB}u{ zFap(Dm7NebLwbIdP3wB&O2Vl`dwO^Yrq>v{7lBRut<0OWVFhXiYog%D zBu`}JSSSmPs(7BxQ5u=2{GW@yEHTaQ?Z;5hy)T8<>`Q|Idf@|D3s2$os7lM%tPxbF z2UKCF$u=)~LRvht$b@cvPGpo!ZVv3Sgfus$5%dEGTOHw}nMB4^J|}Rc>zmN>2wmw7 zRE|CkmA{944(`_CGyf>+Fh04wRI`MKed<8Oqy)EEQ4!UQ$;Su)owXSLeYGBPlDPb3 z*N|R}WautyH?5ztm(_WXE-E6P;eP7qvi62RW+@>;;|`1W!y*BvJr*knCh{r%}|+^ zHmbFeY&~9~ME&=i$3nXqA3w@!qP@?Dz&xoToHEFUj;HgBlW|_I`|qTQSB8)#|E);1 zwcQh*IaK5|C@F|wPVOIz_Y4b0gR8mbrQw4an$MZ1tHKaT&J+bUt|MFQ3hcgW-`=YT zYmf?P_<@0uM1?tsK9sbdBQOCTxTpI~Mo+ype^SFume~1nWx(^#h~%Xsa`aX(O_Zlp zyx^j)wWoPj)d*PPtQF^Cz$l%1yAly6l*i-8S7_VT%DP=JQwJ-Ya1#fus!c(r&A8(| zr2RpK=^cul+r94GZ32sn0(EoW1(_q@L^Nd8y^@5 zkyMFwPOXXCEm@l2?Vexz25Nkv;vB=iO(e4Cpv$IhV8j5!#x!+= zY`-yC3Lz;c#(E)J{agN}iI=hb++R-&dv|`7nLQBQAofG}j7FP~Reg<>G`M$lW+i^oB(dvfG@r)$i|B>oHS3?VC=f&wCZl^RkYXl43l3*T$Uf4}>@`sFBH zhL)C=Rs7{U`Jjm*WkV5auO*bog>HK+9$|~w{?1oMw51MZDrAXOPJv*B@3*^=; zl_55z%I^qlYy}60WrqTguK)th_K!-S%7fUgoesLiF(hz-Cg$X+QK@4UTjQ4hxzth= zpP7UflY50xYtA!=UR>|hIQ<7?<^#M6H#;+N*`tcyexm)FUOyZzVCm~?8+J>x9sbd= zfpOH#y8P%I`vyx7m$tcVqO5j1GvL06TNhNa3UxG)uIN6*#Dl)AyWdk6RxG4Ity!-b zVQS(inzLx;OgIanb`(%e2T`kaS51umN;JntV_pAj#Y%Wloyq9P?g!<&t;5Y99_HAR z<~EotLLIHJT)iN0D3{wrN#dlKI}DbN+3{w9{M+%56#87h~Xy?ENGx#LVe84oZn z(Cf(_Z`Bd)0i0>Whcx_RRZ=DEc|(z^?V`tHUF));3m52xxnKfCZM{%H$%3SJXy6a2 z&$og7Y7HvbNB6h#{>9L@Dxo?YdX+u7`8I4;@7j6~>AYl$%ietUCG^2=;_C86DTV36 z`5(FVc*REZ;3V0U@F2{1{4P z+NCeeNN1c>UHxQ8N*O9O!gQ}N~D8SWyl47dJxdfaY*p?q*TJj=8icbUlnc3Bun z5ZjAh)g4}U^-wm!V(~%XJ-HfX)VNRRUFmb8{1rvlw@Plfb7<<)zl;6l_QTP&kPTGq6U5?C$NtR z5R7LlEu5@27Vf84n8NtR*?$)0(FSt79iat>x0eT-XP#_I&#`_Dlp}oT$ z0E(CfW;%iN1Fq&aQMY#D{ zexl3>2)dMytefD6-$SL7Sb`0k$EvY-nkId{FKla3IAzSW=KMJ)je9?Ca+GO;LEW89 zx@cc2mvluOSwFTEm-z~x|B{OsO*ckPLJC@&&SMX1te*ZYekGBIf2N>;g{@i@Y=)ju zus{9s*{~Y%=u7yE?AZgcO@(c(5A*(;8_YH^FeP$Fy#wbRO zfP;b#-f|o)Tc2e|G^su%$05YupR)L;mRMcCS@H_I@{qmrAd&IQ$dLGU`V#UV4^yJdK zG9^vT)i3W!$bE`#<7-$pJIPM=%4K?3%cc zI!qAta-|2^F7%PQ!;UW-Qb%H2ee&H5U71IyF9*s>=>;Qb__?F?>Z8ft%Xfp)`PmG8 z-Av+vjD~gRo`&SbMOY5D%q&sQ2b=NADzbbJH@@8|$99(rHyCL-JW9ve6{gepXoiu{ zaK;A?jiniIBhUJZzogDdQ*E$1cAmZ-m>m{(rP0)Vf`8bgdgym|Aj`+Rp91?)JTy8P zG;mpUgcW)3o!G&F3X9U1kJ$%zC)h7n)RA8q#Wv0^eA<$YlN73@Y?{osX4#(fUUl=e zVOrW|98*t(YIxOqwi_j1%0K$Ef(#}b#j-wpmbs+0=MOS=rq}WOX-HEv7r;IM@Fw^0 zAPfCI-3<+&CSzRQ8ZNY(TzThEoA~d;zK^u3IfSNme|VHLJe3ZpEm&J7WFZQ4Poqc| z8|Vhxj!>%|@R2=~3wkXnV9fcRk@{Jh+9MNQdZI0_?AFYr@e2)xQHIo!44Tbl{5`wi z47&yD0r*?@Talo={3@08lJ{pX{d-P6`=3eU$|P9wW=^h;DtvSo_pJTtOS4tGSG)=>qZnPe<#qYu;^m9gGzrDGoa#qhJ!=*WdjW%Eyb3i>hJ|WHL+UZZ z{Pp!>^yB~M3ZbcoPXDeeuS11$L#5D4bWY`A5siCUmj}sE!(9=UFK>n8LaJnxRCwfE zLor5d->T^&TSilH_32k0i+XPx!&!b^Y;ke#-r;9R%eVMfYwIxPx%Uv{qm|0Z?_e|v z5%k>VZXsu-ElkzlrsL%ZIq?{uLH?YF=e&Y+Wd6L==j!FyK@^TLrkoSp}Fdz6yNhb|iU}BMUT*5bFNUrR% zg;#4Hw(;e*$0vrLzvP;a1@V3UVx|lfQ&wX~Yp;!ZQ3In^9w2Pns;UR(-R4;+4_ZtB zldF03cQC6Ht1B4&i53nR2a6!3O?sHC(`n%h)-c#)mMD5=%deEp^s@KWI3|K4aym#< zt@b8NE%&7T{qyo$+g}dX69FyN{k@mW<-r&{&=vH4P=P@fF!&b_B=o)&BJ@uAKfO!c zZ=)p=nvC~?=@6)w|6gZc9oN(s|1BjV0xBgqKvWP(X+|S5q`O8bIl70$kWl&3($d38 zm&j-tBHg0~3`X}Ds4yCQ=I`};{&@a<{@9JPb8EZzoOgUa=MI0z8C*3D7Edj>&+#Dw zKMfhF98B*zSB2*bc{PnYADqgL6i{`q$OIdLW~tY zzd;GTPJIZy++jpoIvx&$W6L-eY5APhesJd({cx3fKEdqRv@ci7d_kVVgyXoD;;AXj&hzD?C>IG=&XQ`yO z!An2NI8IJqD|=j*`7?DOX&4K5$q2Zh6k6EbbwQ4<&LQ>A{dLZboj1Veo`lCD5=0S; zw|Ev&tfHLT>!3Llm$3(z8_m~e?H{!UZ<~4sJ}sN!63H1pYJOifhpJMuStG8zTIb9k zb2ZgpF?tLzErk)V;cbdF4zBO-6oM`DkTus%0i=F7vM2Kj|kT>e(a8MG4l zBZ5D=rhtNXneuz1Wp*vqjt94BB91KB)-NFIwC!dj23fb}>tBdYdY@?D@~lZ?ie0lvq{=Y!daM8r$X8z4xrs8osA7HRmz+w+ea#X}gca&gMCV9m66x zAF~3iBDV-$>p#gYdyT5U%#9@U`%TU^KC2+b$z%iwDwir`H{wNy$at??R68p(d$6j zXn^~kBIb1(Ir4=btrYImAA}FMS2tO%_RH~!?A50vXqv(hk0y{DR@Ah^=*93cPR5EI zO%M=3S8 z1F{fbxB_*R-vd}ZT#FV#W-u&CWSTj zaq^kJL~QnTaMhilha&M&Z&PoknW(Ixb$q8~B6Tk%OSZcTJrj1oIvPCmbs7H zI~}@VvKjb@=hRhr5ick(*8ihds)eR_GFwob1eZW2o((^(3|qhP=h6uj_`yGy8g7Li z|8SIhTJb%ZwRWT&Pl1nvCp7FQv$Z|=RWCxNvR@dJ%+;IuMkW>-{^mSG+MV;bRIW0e z%)?h7hz>N{PHrEf=FaQArt7IJz4%WFQ*2=`MH9bfRV)G<;J zuCi1sDFh|<*%+f+9k6P?$1=Ie_6?hqJQAcqe+pRiZIp?T^087d%q{!&a=6pWqy4aYN|=Bu;p=CMM?sP z%z*Af+0jQr8M(fBxfRS22WDKRm4L1H4+FfYeuL)zYqbnn&>bjkOi(W4ZdR}OT^}!n z;?K+Ki;$eLAwQ%Y@e{J$k?KKsr8)67H`kK@YiMrLhNcMD;f>~|cH4#efcQm$Z}``< z#Q&Bwy%?0DO|7@5m(CW-1@I9*Ff-`otEEsKC@c`lGr}nVeslu!@TTG(iR+qbXHlP* zaBRYff9IwMv=sP`d-|Oq6^5WAW5pVsD7*nMs3zT|JUoqbwNVXm0NLI~3y8G@Dc6i_ zf$W)Vyia1j`zV2g$D7`SN>4Mq+*=8@f-30j377Rcwd=1Q8v}Z4yGA)FroAYq^S%kJ zs+_1%%Ucgk|Dc#F9$)g%YHoU~Vf9++T{(rnTS#ap0D7w{PJ zvkTQ-NPtZl^{}Z#6$HF2G=Whp&PxRSTM^|@fJnZqP$_m6(9SW>`{GEuhLvae5notC z?!ZK2re9Kj2V4*x*@J!=vN;qXDzf?GJ6l+&R6-+eElCccs2c(;hRsu|P5%Y+?TW2W#RBcCi=~T(My8gs`RsYPPwE3O2kigOFJkiahZ{q&nGNEfN?d{ zjpZd#8Von(od=CB27g{R9OMyd8;iBTyH;bZD(o-E2Mh(YsszWxa$$eFu|JhQIz186 z`)kVWW!c|-8LJ_eCT%IQCJ?8>mF*&(-rDF;!&1-`Chh%7h=0q;7bw)Grf>R3W+3)~ zesIuP*ZsC<1@Het7Y2LhFUmzHF5>{C;j>a`tq$EZI4W*4kr0`5=_VbY0KKvcUPcJt ztzsd%!&iTkFL;HoFuVu#%#=Az-+ICM=4W~DN1=h1`CcU66+q2xpcS0>?LPHk?`jhp z0mOExqD;6joVMPY9VUw&BqubmsaaYpLY^QiseJth&!xDL`CnmX zL!Oxw7xg8s~_C}d?3K%fow>?jDL2Q zbglpn^Zw5s8rwF$BsxZqH;wY+B|`Pah1l8-9CWB9av%5SnK;R^^xPLfH|<}Sv7s3+ z!O6i(9KfjiOUPcwG(S;}b$@Lc!dFn~7a3n36g6FU2vJdfs(MB# z`|UYx zC1@sj`g6w4%F5tL14w5|`Vgl>WVr87mN5Cvpt=C7-0m%(nFkX+C%yv$Vd&>2I0Zx) z=7~gZcgN)+X%A`e+^{u3e%{z9v~wpZGOU zHfo!uM=t1+Fz!l!{?s+{HY4SPP6`UwlB)U^%PP-`)_Ra_R)91?;ZVA#0|V`4$GJ|- zPU9eog?Wqv-Fs{v0msH3FT9S&#ytqGQ(rLErX)?6uwxqNmgRNnIc2{?zMonj)~H#u zuy4b-v**N>4TE>j^sG2{>zD0w%6yH4(%O|Na;3a;*uYIXd}bq z>BH#rbtbQ*2^o5LrtZ_aU>kQv8LNDtyQNzDL!aO1e17id4MFfWVLx*vct#FU2EcW) zmwMWhE1mGC?zYD7R5618id)5{6s>5hV()R^ZE6XwZ%UMty6J~0YJm9t+6b0G%IHtA z&Q6DZ|3Gg*-n;z+F?Rc-i}VoCL+D3jCPO67WGDv zqKksjiS#~ibc?5^(pU!ae}ahY?~INl{1?pMZvU2j+IXF>8^wF(%l$6HKP2`_zSy82 z80-kbyS;)*ie9)|53odtZ1Sz_;M3mNTZ%~)`Rq0JZwcwvS*j^hIaw$7kV<2F7}X0mnf*my?6pwAxCz>B5!pfc<3Ru zs+o4^-)8o@0%v2zjNDbN>=U2ky3cPAA69f}4RAq-3*RTi#G9?6nlV0;`Hy0~s`0#H zs~)TIXHU!EGfsPEdJr1c`#O~u@z!X)L8{=ch3)J^hur zFV)|`wT6GI*2sVoW@oKYzcBvEy_J*?klW@j>YOW|_|hZaZ-}9YpVV^Bb^ryCbbP~p zN0wB^wUI7fW>3V&5v$Ga0qy$;=GPsqs9*VTIGO~xJh2$Psd+08IvtCLT*x~DDJ5`xBjU-C%2_4}{w@^ZcL)z8bIzQON=<;DI0_<#{j9ndQFqi0pned95dT| zRYY~ZT1qLVM)T(4U52lZZ!yeJ8E;B)cLl#H=zZb@0;w=YfsYI+ z+}tX;QnekWSu{(XP7%No+`*TCAIV@-cmzk@DYQ0$a%!&Sz&>7Jg*NB>cRP0~8HW7f z?F+tZ31QNKU)FfSG3=#{3PEx_h@l1-fLBs^_2S!^#qa5U?qOe3^Mr?uo+Qa#Y-oS}LhFHvz_2?b5W0KgX;JK^r)Du;4Dmg74g-7o< zcMy|-rd?6yc`R0eTuu2LR$QspRUpNuO@`wylbd^(6!=NG@jowvr)rwZZBzF>XGLs` zuihO~PA?(xL^CUPiDCU`Sm>}d1+A)-<#9-YokTet^J%d; z6a%45TQwBHHSs-6mi$*D=moin@o^s-;oHT()B*t>Er@TB7KHDozb$o^=*7Bk)e~U< zDx2J~Hi}?PP=SofQ{3N~-I19AsaC{M?UV&L5u8_a_KJ{)<28)}BWF3LQZNe!LbXz# z8v$b}#d2dA$cAsOamQ+C(n>iGZ!~7qQ0O;`6Kn@-E0*KuXhc>eRj*SES%j_1Rkb04 z8_FjwGBuA7WU>b@vX&Bj`1Ys=*THsvUaZklLUQDVxLf1>eL2JRqB;1|sWa6cjM^|NOE$P~sf@(=5j^||9Jam-Oi`Qi49 z@OVacYW0$hAAJcEV!=)B^piZgS_$Mr_VI~VyomT1Sxwxns(~IuZ5=9hBeI_+jgwz` zPRlF(FWUx*b1Rl+j~MSByeH)ofM;@UlE>=GwF`;G9?7~Qr?2~WY7e?MOn7D)98MYx zTrZpgcFEj}?#slz@P1{waO#;iHb~K;0}`R#YG5Od#|c*MTHl4xC4^wj8D0SFo`#fs zC2QM%M}g=(A3V9YN+7W|TYX=KN%d5fv77o{&4*)j-QB3ZZP8mIy1|!3)XK zB#i30RkN}ZU?s#K#8Cd($9A0I-St_VnJ@$0Fm-?jufz@+I;3wMc_e?mcbye%30rW$ zIDAl)P?#V-u5vF2WGff}k+RC`=pCJO)e317`l_lzUkI^ypl~XOpA__64gj~6{r?uc z4j=_K6TTY@?^TMTgA{Vu)@_s+V-N7T8AiKsoB}*fyv12rZ*XgsBG?ynOtY0KcD5TH zCWWZ{@k?gL<~%r*ivt2=PB_6B)jmUGXU!|qexY@T0r{NhRq?YxlI&Eo-_Im^P`uk| zNDm(xjXDdJ=BrzR&n$0`pXJH{K3PXcjk-rEK1L|g(hmsAAn!o-qtmg40+>`4eAJGCLp<%Lvf!s?nl=_2(|DKuRs!eo6gz2c$bZTC% zNQwH-w~fjnrl8{+Tia|n5jgbhr2=z+&U&R>Qs-=zR~vGd3*w=WT$BFbXs)jt7US)U zy6=3L9qySj*4JUG=HvO^??L{r1SCs#jch~@CcbGe9DB38l!pboavS>@fMp}&VOV;1 zsNlpf2(dCF_Qea+AbF$jQCr%IF>b#ylBYLku>)NJTb?0o>&(l4u=$$oA2v>|OiC-dmQt}0%bu9VQ)?%db7_@9@I zJRclNZ&l%gOtj{^>ucSZ;n`MY<+ZJ7|1;v~?3l-yrLT>sW_%8|btrEjRWsJI8~nb2 zFKwKzN~*Ivf4E7N(~^@GC@7?0*2qIE&VK%|!ESN-Vs*lFw-NPUMuwDTQSc|)=x)yj+Cx?K&s{of!#^(yeMFGf!(QMSb_N6zcjq` zK-ltAmXiexXD zl8GnB9;2|g+7n+PPgw}VUFl9k^P-(hmNivL2&pebA7gsb0!h|95F*Wilb|Vos!;rD zsUk86klwjdk8L9QNSMu)W;YNlxk`vW_c{@InqI}E?YOX4EcK~MHwErG)wLH*$p|#| z6#Dy(;0fysx=-^Ko)(!umop36{z4hBgf=KsH8m)94JYsY0(o)$X`Bt#KGLVk- zu!f8@mITo+{qJ4K^Z;OHd0(_u9rPEVkGS+up6*9VQ~ML*8)_z9=<7uQqz8Mi6adX3 zf$e>ZX5=m>x|@weX4lPjzD|j-Mn&oPXkCk)y0#7phLLygg}3lrYcm414Mo`{z>DPN zsC6;a36h$WF1dSen>T-1@_HTly^{@iYD0p5^C*)wjZHsIX6H$OnYqlsFPZ zx6CNc*5bdt?QuCC-lFG5#`!_!s1H-(drlOE{7&qa24#iiz3$6cWdH$O5FwN(GmAKi za{qT5uiuOeTubaM>&Gz-kp2nPVGLV>&#U6=84NU`B154t5uu>hq0SHcKY6i4w}h6Y zdLh5X?1*xEjBdr8I0h(HGnbEyx^uImr2l{7e%L(*)_!m5%AE zSLn!2^wT07x!T-fG@NrDL=V;hG8#i`hC=OaX2Q1h-havpmA)x@?z8c0DV#HVY>}Ei zaP&`AW8{2(a*pXYyXK|JTja6LE+HNE&ClqQF^0cmZr5!(qloAoY@ox^ukS+DL^Erwt|FvIg?aEv%=zYh@DuB-tUSp7+4kvgToE1&6PDW2ezP8MxfHub0 z6uqfZ4uKpUoBmz}EPNb0x#V8ePwLEmWV=iEhnSrS;19`wH#%r|vaGc}M&NbyPpk`0 z2M`y^O?w6VN(@Mbfh{^Rm^Wy74REVy2freqlRehet7?F`c8nePKJ-x4cT?J1>MRbWoC7A#-lm>y{Q`)wLkqdS*rm zs%^Y+k|Tj7YjSVvMT&oZv8j=^hiTKYYk+7K1At-gPkbs~V-z#?3O2?G(7e#q`_Y3V zjl8z$JG<-WctKmG7}~P80*KbWy3M&}Hk<(5cC@shkeq}mHASX@=$uE=T+I5k3Hfds z_a&!Xb6;wCdCrF7eg1pe0A3s6rr(kYg~`Vv$0)9MC-nvv#D@h$KBrLXF}u*dt8dqJ zDeSw==ylO_?#@-{v+xiSU(;W?{&B$lY6ETmcwZv%($_#lHB6Hcxw)BJlUZ5H!0G_j z{dN|n`TaO7Z(ae^;One~@z>`sd(RKP*Y9hT`d8+)*jaX0byZ*74e52448^4ScJK)t2jBNG z^YLl*(=GlVyc63U0Cr~#<>Jx@Gs5GwfP_r30d{tE7g7KZ?Cruf@z}}=;9gN= zLw@Z0kBR5!XmhXc3k4;(RU|U(S7$l5di%#Q(rq&G^Fbc3ZT&T!x4658AF^Se2J)wb zruPaRghrsw)w?w{(cJByEY}nn&s(2$1-fc|^@11W=|b*bpX}k!F0!`CIAXIu6+?|? zqYg6p7oWs!C0{MCT0NUq1L~QRCF0N5tf#3~0!MdS97k(fZBne21k;LaLB=YJ1=dM7 za)*hkChhT?N0(s_P9&!veX})OtF@D44PzLt=BjJ~SAMoNMJyk;nY3>Nzkw!%ZR3}x zo$lE+ZMcRZay=$5a9Mt7>CNEE1unxbzCfiBQPT#{)J2bXrbGLFdGS`y?paGYo~sVe zZ8Dv6y;^iWrw*@Va!F#DDA`s}yIh<=OOQ77vSnW3SV<7O5UB8|iBr^DL7<6K(OW^O z2_@Ic`GoI}B2QBoWQpD0aXEYOo&fE%m3`$5PC0gnn0tFKejgE+L*g8xCBUvdp{$Zcn{;!3^*bMb1Xz&yGJtXQerlh~T_S*^ITtXXep z2J6vPn~TfjxM|nCcdHg<6>QsJ59l1%`ot-WeAj48p9!Ovou+Ad*18kQltNzA`x6&z zur>q9RfW%Vpxm^{c-r^bc>-sCNEYw6QB3=hq99H7Ih)EeEY1|ut!u&AidKD39em>E zO*Y`gcl2dleLJTNLRX$=10?acokNQ5#kC(~xey#FdWN?VvZ6jBFhFIM;`6~FA zmfXmHIkKOVfai2;2m!o7hB5-u8fP5hS{F_n4 zi@d1yIqegYBv|&B_g9;9qN+9hM88g|EIwWyb)22zl}0fRq8CMF*!k@KexF$3Qa(`l ze?K-KvUNDbV0ys^bld@DgRU?jri=C6O<;3}l<$Mo}xeHpugvIEDzfKU-S zzRiMgPr=Lyn@19kSnZTbXFqI7oD!rRfG%;87%9x49+PE)F1rG%>px`j+r*Scc)*}Z!DzWUWtaDzd?U?0W-sK6$Mm3?w8Pb zw-ekhSZP=p2=PP5K4h1{paKAzQJj5nLzE;!zCQoxWS0P?FRWFMW|}^zvXR2ORLtcC z2gyC?>HsJ17I|mA=89nx6*SOHKy)#JE29y#;-qU~cN0jR)^!V6YV(YMo)5kDf%9B8 zrBsYWe5dN>2gZ7klcs0L`25?X(2D^JdfoHy@rFMEMa(xATdMM76p>gBE#)@F1s#W7 zL$CVOYEjqv)C`oVTp0jN_~QFjwqi=R3i=t^u&}Z-7Kjy@d=G7T*VwRKh(x8jhT%!o zoa&TX7TO`OCmtm|s0ooTX5mGJdIW+o_7GmHuExcXX45<&rg&{2QC*$Y>9FBA&u-{m z-~%Kka6D9XM3^Y`tpqy;Ix?pBaL`+Sg=k#B{P=)OR!C1A7XLR>zdt+CQk1Y;2H5EA zv%$-`*dT+Rq^srx8Uibaf=WnxIr}zDIt$Fa$(<^wYdxbvc?17*p^i{<<}ue2Q#oSq zbxXpRGxSCt=?KI51BF$yM!*^H;8|?ZSuS>Wdbb{{QM7QTOOhV`_mUy?sU$7pe+%5z zf-IQ}9|;q2PiePL;*Z;(@sF9RmF^8WnY_3^>-H$b!(r4z~h~FCI(GY`Pw;M;iQZoyPaNWtF8*m{GLMZjK>Fv(dXO9~1`A zXM3iQSrPhyGwl-G6U6Q~G>u~({Z0I*0W>5^ENqRhggN}IO!S>;K0AeL=xp33zuLGuk`D0$*Y&{}Z)`3G*%5cw;x6BzofWcF|!E(TYk4b*ePKPjK9S?HT}7=IZVB zSEoHe95P7jzDLGL9yJwh^6wUQabCobPNeT0rKKEH%bYx8PQ*DdyGh3HQ`_abJd?R^%Ddi<-C*lcC$EZO zY|SU%*W+0+rqlMOdcQ9w764TIr6`wrC5OgOM>yX58!X{Kd zZf58~tFb(@sVWBlGl>ez>XZe02p=7VAmuW83uus|BgnCQiwZm5P{d@Fi9wQ=b)enQ zV>|mnlYN?A79`OekDS<+v>fE^>G;BU@N-;>BjFqPOMWjAEQ?V!>J{9`segjt`D*H8 zeQt-c7-_9G3L`iS&80p~H8^gM;a%2L>NNO53Uci=I8%WiGH~#;EAO+Ql^mGlmXR~J zAq8A$J%_ZEC1j@KVXJT8;p^vQ(Qy9nn^?-b&6zT5{X_v*)_XT=}Z!VamYX_eg6dG=~^CiPWU;h}O*?=CfaD zKfwh@W?vHX*-MGn+MH=zg>qU4>Y|~f)^EC0&YW967^`cUxT~T%njIoHYvPB>@4f%1 zFNUXychG$zJo_;oxNl1Dl9Oi@@-w1#mbQDy$fyN3cE^&A?HoZ8r&u60H@8gLiq>SS z3TeBi#{Ax0r?(+rlEbCO!;94F_`8qIfGGUSd24!eu;H@fe@He`|H0HsL6GtVQtygv zLCM-4Bg`2}y6-*@IS#*4M0k$gpwqe`6hr`^_4Ngt5j~j~ev^8fpTV;;XkrV3KaPshJheH*zHf{t1 zed+q|*yNUNU2CH@j4I;mbI7Fjp|mE>KQAoVtw2LzL(SSq-OfBl^-0^a%~z1LH9g;Z zcZx94s;M;tB4F~=wQ}CYS+{bFb91e~(D$zW?ykvMlNPm1+15$K_v@j8LIN1}VO!vZ zEr$Ax%`Xp{T5ZUgUwD^wPFBKr39c#VrOOH{U6N2Y9(Fk3Vl{mU{O$IV_X4Z4S z8PW$`+A|H#d=kfdqo5_>VFHM-6i|VecDHo#+R~F7$G}N@79JN-gcAOD(XmFTJ%6R6 z>is#F{k#G%CZ)vePlZu8UbR+Vk63UqJaGpoWrV5atOIH&VDnIWkS`Ju z|Lg~M28+OffssxH0`{y-y<+Io%}{%n!o};d9H>K`!i4n|o5*lO#5Us|vbm|1XDQ*6 zPfd*;&d4fP)e>KQw=3g;xQOca*H4;T>o@7#x=0LBSi^F=l@IRh0N$w2%>atX$OGX| zoDTQNgSA0fs^0Vkqov2(%hCBhfeNd1m+N~iBXgnVIuL|--|Pgatl?e;-*_NX>ouW( zDpFU>-h2IN8HqyzwpD@D!MkW-dcGi9&1%`IVT=5`=qFCt8mdDVHU(}twbNv82hDmA zn}Qg6U{FTdGRqA3Okh2z!~H}5h`~mVa@*w(OM!#(;mO**sBddxh!+tU0$at4+@p%D z3?N87OEr?B&M>h-tj5sG(PsTe{7vwmNjQ(~$d)46r6Gv4yR0gH;)RGWYK$dNOF1Mv zH>qBU@K(PvWnw&o%Gyu&EPX9$P_1H&6 zOu3hAxF^1iKQ*UPWSd^vTjlvKYV)&AQTMC9)%+EBADR^YP(^0aGV1&~*wj+>en=w* zR9Q09d*^q*%){g0D(O_FY0DNO@^nk+^+fNg?*2%TDi;HC0bJG?i%>Y%-q6of9X!oA z*qWYPl@otTRy9-ssu!#AHRr>wA5~g}c+d`|*E=WOSqHinBs5h9VNi;~(u^U{Ik&@- z=(|4#lzk!X;hZ^R=7Dp99cK|4`TPKILbb2C0@l}PyOZ#^(V{n`(@;nBybc|HoFLg@ zbvzW@vB&B+6=ZcGMoV@^*4z^qBx0@f$(n(oy7C5_-%vHlrBoWKj&?M&ThFZ-sopR1 zZ4kBeO9=Z%603c$tf4TYDPqzrF~DS6>3>|vZ^h|{sD_=Ex~7L?_TTVjzQ>1QFaDJ7 z2ZOyY(f^p;*;NF7wu1_#^!#n+{ zbmLI=>f4H1o2`#0`ZQtt{()Qevyt6iD+K4|=noU(f9Bqoh_o9ky6 zw{<5tz)~a+kyu+lx>HnCABaSfyvKpU1x2?O#CTa|MzNeuV5tw7%i0Za->9^)i?pPr zb$`(SI5~GDpcQy;x>^6KN&GW{-2>`dtv~`Nle(VqUpp2c5{2DK?nG< zCMmS13{P|gIe{gsL3lBaf^bBd=kY{f{;d2A$5xlhE`IXj)tFX1>_FCxEXQg<)~4%$ zsI6MOh-{)8jN`{h1at@HMy!XPQ`0vf=x2if|0(z=b0$6%K&z1{JVN+LeZpA|>Z-vc zJB1_m-JvV;!rou=-iJ^hrUB}*D#4-9rB`^y0!IBhD`IHHeo)h4W|7*Ve zNplHtg0COG@GZ@6fTSm|5TG#SgJu2c#WV0_%hia3kf$|$$oo%uvPA%y_93I+>DqFs z()#c6i{`JVcI0@v)RA?>^9?h2p|t}onVobpdfJY`K&0wtjSo9qbLTvb>~)~V4IpB6p^etG+Xvh;-_E2DNHh_98))J zP_`$LBWuD|o|%EsHOZ=nl5~w~o~k@7whU>C1(4qKFzIY8or87fFX|o%beF2HHyWe1 zEFgVNs&jUQdR|neXL8=Of+_i?MB*w7rFj{j$7}YBs`dlR_j~gC6Z6}(F*j3L312ws zukT`hip6^W(RBUNNd+D)gleX0I-3BCTnt8rS5-Nc6cDHn`BshT#9dwkg=>!WG4&+? zNfbk;OQkqE%&V+HQuhWRKLa?adN6hA{Z;aB2{nC)13d#>AxtUys9t#x`@C=F>8hxG z0_Bz}c{FWFyw}?Km!ajj2$6I0SN;8aoLCfFmDXiN1m+P6faZP_p zW%N4puouan_;$sHVTxF3 zljv->z9WHF0+&Ef3ogJ96d=o$#QQaCC!#GLV~NX_Q+6KRij4##ms$ziUp$E9~24Lh+}j zBDF;H=c0P-;VL&uq_40$G$agUPj4}Ig^1{k6Z6RPQrIj>U2%3sanTgE$smaHmB(y$ zoR8)lH!ZbmiP1Zf4%R!ONmY(ENo*w!Z(#0Et24uca|ANXCs0{0+wvQ>x3Y+y&IPQ+ zsYIsMs}x%|jpe1QyqKXktG6ij{-r>9hui$4BEcHUje}c`Wc7FU1;|@Wir1@8L40WM zUcH!+$4DWHXaVs>RdUURyV;hG3+~z-ZZ7)wMSkZqloAE^g-1CT7oAbR{Ub^o504@; zl7nP?Qr`K!TDx~RLUw5c!WVXn8}EkRJ6jydJV;rROU+#j{TU&CG?JQH^|gxRV%ja! zo_!2Yv4tmhx>1qADoA-Mp^G2K8mSHg*e(mgZSqfbpU`JIfioW$a+uN)HrcF|-Z_!p z!ENj9wo;-ps1mcYlsrto*#`JUP9bAjywFUEMm&jX(30 zLyL>;v{opK^p(~;xPEC03QTj(oPEzmOyz{vf2zWkJu5qpA-hC&GrbLDNtt(fsNvq% zy0)?x)Nwzk19uQ9ZP$ad@A+pK>EugBE6-V6=Qne@`;l6lTbSeQH$1)zI3UBsS0$*f zlxYA~O~eM-)uNt(cS1^vgsv@~oq`Ow_`J};Y%2*V-MB${uT4iJDz+L`Hz`C0z-Cz* zXyrc;`yFa8NcOZU(V6Y#c#n%4sq_A|_3>cw(>DhKCxq1*8yxhnY;HI4Uo$woSLzQA z`PaXX<>0b3Wr-dGo_uS(?D!JjR@0)3QHPm#ZYh{LY25Jm8!nbZw(}_WyXeC~ochrldxxa+ht0Vn zk3PVMzRI{3)+5XO!KgBTX|XQ$hSlU^UEP(~>c-iiJ1Lqac*_eWSQnNUIB({>I&hzA z``0DImpT zJc)Wm3VM(pK`PN~MTrLo{DUGyGHWG-DE$!c-9@hJ*kSRrzjc0B{HE7MnHHtTo>SE} zEDXj*CRF{mRPcnc_I72L5G8GG;{qu3UOSig=7&zsX*nxeM@Ap zD}y*P{V9&{3|9~E`x+eqDBBC7$$Yk${;?oxvLv~&h3%sX?v}BITP5bmPj9_|HC46R zdF^1`^L%uPHrngHQW%%={A&Idcl6mzX~C29y(o4qXL5U$CZ=>xwo?CUrt}f^($V;{ zFvEiTCh3Lepi9Nx4ZovUPTho>i{DuW%=_i3*R}&124zDafG>H>{m`DmcJvI-<@*nu z!3=Z?rLJ=}o+p!}9>A9)`acVf=nvESi4Av?LKujgI|{}nCkv{bnf{6rhu#py7toN9 z4X-Z5YXg0e<2qHIRJ%psi0+La@$fUd(0<$HC7Jm+LLyE1s(SuN?c1M%53+76`paVY zn}F~3f1enszx6)8u~=Cbe*@;0(v}NYU?X;aNqIZX-#45!?DNz)^=zz1lc6B~%ptKz z4tX-(LA6)|VqSbpw!YnP02#n&Z3+j?kf|QMKC>%$h#9v|UAp&yA$ZetG!C!wDeT)=cETK|(_ru~j*3jvZ-kSXibXT?k0PFX>uk?`q@9tT*jE?`M-4@yXUg4JOGKU}c34u8uf*JC1bF)eR zsCBPGSHfW1wxsXwQKf3KVDHcLz7Pua`q4#yo8x1G_a*Z%%}L*|gG<7TA7^g++wo-s$tV?xAIs9SC8d1aTWzH!rV9#VCm zH8bWmD}B?}^ZA!|x^A9PwCMk0eQ6CYr){jJw079$@+MR-4ZPpX8;aKmUY^(3kUz-x zThIt&jw!g?%S_K>-zFdnE|O(3K#%!++@{O>QivYTgMK@A-@Z%+svHJWKA~Cc0eVZY z%IG8P+ukvm47K(yiGPxL8R?PCr6h~9lG-+)Wqu=MSz6`buP*~eIrzq9lpgG8zF$GP z-z>F~nhi_WSfDYfG!cGBPr>{>=yatww!>*S2jZ0nak|&J#igki$4W=3_v@OPt^T_^ zQ+xZMh?W_Z>;hTVg3W+zgx3q9RYAk|qXnQFrX1h;B6&uFzuR1#`=u?BIYBk^2v*Jp zUzU5PQ`_cv5Qm8|Pb(-N-n~9^3T>1JJ#!1V@v)3-0otCMFI^|^3?GQ_EJXYH*&kq* zfkw-6o3GLXYnh88#B3IVe(m%N(&&C3BV%wJS9LYRr?Xd8Cc9j3yp>m(yj=wqR@-7} zI{oeNcln{@4{!J>x0Hu~z1$C~me(qzgq5sYv?C!SbP+2<#JJ(H00F77Cm-_nI4&&m zigUhK$Sg5xc7Mw%L8Xfk>*D zTWL;?0#u vpll:inst1.inclk0 +reset => vga:inst.reset_pin +d_vsync <= vga:inst.d_vsync +d_set_column_counter <= vga:inst.d_set_column_counter +d_set_line_counter <= vga:inst.d_set_line_counter +d_set_hsync_counter <= vga:inst.d_set_hsync_counter +d_set_vsync_counter <= vga:inst.d_set_vsync_counter +d_r <= vga:inst.d_r +d_g <= vga:inst.d_g +d_b <= vga:inst.d_b +d_h_enable <= vga:inst.d_h_enable +d_v_enable <= vga:inst.d_v_enable +d_state_clk <= vga:inst.d_state_clk +d_toggle <= vga:inst.d_toggle +r0_pin <= vga:inst.r0_pin +r1_pin <= vga:inst.r1_pin +r2_pin <= vga:inst.r2_pin +g0_pin <= vga:inst.g0_pin +g1_pin <= vga:inst.g1_pin +g2_pin <= vga:inst.g2_pin +b0_pin <= vga:inst.b0_pin +b1_pin <= vga:inst.b1_pin +hsync_pin <= vga:inst.hsync_pin +vsync_pin <= vga:inst.vsync_pin +d_column_counter[0] <= vga:inst.d_column_counter[0] +d_column_counter[1] <= vga:inst.d_column_counter[1] +d_column_counter[2] <= vga:inst.d_column_counter[2] +d_column_counter[3] <= vga:inst.d_column_counter[3] +d_column_counter[4] <= vga:inst.d_column_counter[4] +d_column_counter[5] <= vga:inst.d_column_counter[5] +d_column_counter[6] <= vga:inst.d_column_counter[6] +d_column_counter[7] <= vga:inst.d_column_counter[7] +d_column_counter[8] <= vga:inst.d_column_counter[8] +d_column_counter[9] <= vga:inst.d_column_counter[9] +d_hsync_counter[0] <= vga:inst.d_hsync_counter[0] +d_hsync_counter[1] <= vga:inst.d_hsync_counter[1] +d_hsync_counter[2] <= vga:inst.d_hsync_counter[2] +d_hsync_counter[3] <= vga:inst.d_hsync_counter[3] +d_hsync_counter[4] <= vga:inst.d_hsync_counter[4] +d_hsync_counter[5] <= vga:inst.d_hsync_counter[5] +d_hsync_counter[6] <= vga:inst.d_hsync_counter[6] +d_hsync_counter[7] <= vga:inst.d_hsync_counter[7] +d_hsync_counter[8] <= vga:inst.d_hsync_counter[8] +d_hsync_counter[9] <= vga:inst.d_hsync_counter[9] +d_hsync_state[6] <= vga:inst.d_hsync_state[6] +d_hsync_state[5] <= vga:inst.d_hsync_state[5] +d_hsync_state[4] <= vga:inst.d_hsync_state[4] +d_hsync_state[3] <= vga:inst.d_hsync_state[3] +d_hsync_state[2] <= vga:inst.d_hsync_state[2] +d_hsync_state[1] <= vga:inst.d_hsync_state[1] +d_hsync_state[0] <= vga:inst.d_hsync_state[0] +d_line_counter[0] <= vga:inst.d_line_counter[0] +d_line_counter[1] <= vga:inst.d_line_counter[1] +d_line_counter[2] <= vga:inst.d_line_counter[2] +d_line_counter[3] <= vga:inst.d_line_counter[3] +d_line_counter[4] <= vga:inst.d_line_counter[4] +d_line_counter[5] <= vga:inst.d_line_counter[5] +d_line_counter[6] <= vga:inst.d_line_counter[6] +d_line_counter[7] <= vga:inst.d_line_counter[7] +d_line_counter[8] <= vga:inst.d_line_counter[8] +d_toggle_counter[0] <= vga:inst.d_toggle_counter[0] +d_toggle_counter[1] <= vga:inst.d_toggle_counter[1] +d_toggle_counter[2] <= vga:inst.d_toggle_counter[2] +d_toggle_counter[3] <= vga:inst.d_toggle_counter[3] +d_toggle_counter[4] <= vga:inst.d_toggle_counter[4] +d_toggle_counter[5] <= vga:inst.d_toggle_counter[5] +d_toggle_counter[6] <= vga:inst.d_toggle_counter[6] +d_toggle_counter[7] <= vga:inst.d_toggle_counter[7] +d_toggle_counter[8] <= vga:inst.d_toggle_counter[8] +d_toggle_counter[9] <= vga:inst.d_toggle_counter[9] +d_toggle_counter[10] <= vga:inst.d_toggle_counter[10] +d_toggle_counter[11] <= vga:inst.d_toggle_counter[11] +d_toggle_counter[12] <= vga:inst.d_toggle_counter[12] +d_toggle_counter[13] <= vga:inst.d_toggle_counter[13] +d_toggle_counter[14] <= vga:inst.d_toggle_counter[14] +d_toggle_counter[15] <= vga:inst.d_toggle_counter[15] +d_toggle_counter[16] <= vga:inst.d_toggle_counter[16] +d_toggle_counter[17] <= vga:inst.d_toggle_counter[17] +d_toggle_counter[18] <= vga:inst.d_toggle_counter[18] +d_toggle_counter[19] <= vga:inst.d_toggle_counter[19] +d_toggle_counter[20] <= vga:inst.d_toggle_counter[20] +d_toggle_counter[21] <= vga:inst.d_toggle_counter[21] +d_toggle_counter[22] <= vga:inst.d_toggle_counter[22] +d_toggle_counter[23] <= vga:inst.d_toggle_counter[23] +d_toggle_counter[24] <= vga:inst.d_toggle_counter[24] +d_vsync_counter[0] <= vga:inst.d_vsync_counter[0] +d_vsync_counter[1] <= vga:inst.d_vsync_counter[1] +d_vsync_counter[2] <= vga:inst.d_vsync_counter[2] +d_vsync_counter[3] <= vga:inst.d_vsync_counter[3] +d_vsync_counter[4] <= vga:inst.d_vsync_counter[4] +d_vsync_counter[5] <= vga:inst.d_vsync_counter[5] +d_vsync_counter[6] <= vga:inst.d_vsync_counter[6] +d_vsync_counter[7] <= vga:inst.d_vsync_counter[7] +d_vsync_counter[8] <= vga:inst.d_vsync_counter[8] +d_vsync_counter[9] <= vga:inst.d_vsync_counter[9] +d_vsync_state[6] <= vga:inst.d_vsync_state[6] +d_vsync_state[5] <= vga:inst.d_vsync_state[5] +d_vsync_state[4] <= vga:inst.d_vsync_state[4] +d_vsync_state[3] <= vga:inst.d_vsync_state[3] +d_vsync_state[2] <= vga:inst.d_vsync_state[2] +d_vsync_state[1] <= vga:inst.d_vsync_state[1] +d_vsync_state[0] <= vga:inst.d_vsync_state[0] +seven_seg_pin[0] <= vga:inst.seven_seg_pin[0] +seven_seg_pin[1] <= vga:inst.seven_seg_pin[1] +seven_seg_pin[2] <= vga:inst.seven_seg_pin[2] +seven_seg_pin[3] <= vga:inst.seven_seg_pin[3] +seven_seg_pin[4] <= vga:inst.seven_seg_pin[4] +seven_seg_pin[5] <= vga:inst.seven_seg_pin[5] +seven_seg_pin[6] <= vga:inst.seven_seg_pin[6] +seven_seg_pin[7] <= vga:inst.seven_seg_pin[7] +seven_seg_pin[8] <= vga:inst.seven_seg_pin[8] +seven_seg_pin[9] <= vga:inst.seven_seg_pin[9] +seven_seg_pin[10] <= vga:inst.seven_seg_pin[10] +seven_seg_pin[11] <= vga:inst.seven_seg_pin[11] +seven_seg_pin[12] <= vga:inst.seven_seg_pin[12] +seven_seg_pin[13] <= vga:inst.seven_seg_pin[13] + + +|vga_pll|vga:inst +clk_pin => clk_pin_in.PADIO +reset_pin => reset_pin_in.PADIO +r0_pin <= r0_pin_out.PADIO +r1_pin <= r1_pin_out.PADIO +r2_pin <= r2_pin_out.PADIO +g0_pin <= g0_pin_out.PADIO +g1_pin <= g1_pin_out.PADIO +g2_pin <= g2_pin_out.PADIO +b0_pin <= b0_pin_out.PADIO +b1_pin <= b1_pin_out.PADIO +hsync_pin <= hsync_pin_out.PADIO +vsync_pin <= vsync_pin_out.PADIO +seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO +seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO +seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO +seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO +seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO +seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO +seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO +seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO +seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO +seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO +seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO +seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO +seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO +seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO +d_hsync <= d_hsync_out.PADIO +d_vsync <= d_vsync_out.PADIO +d_column_counter[0] <= d_column_counter_out_0_.PADIO +d_column_counter[1] <= d_column_counter_out_1_.PADIO +d_column_counter[2] <= d_column_counter_out_2_.PADIO +d_column_counter[3] <= d_column_counter_out_3_.PADIO +d_column_counter[4] <= d_column_counter_out_4_.PADIO +d_column_counter[5] <= d_column_counter_out_5_.PADIO +d_column_counter[6] <= d_column_counter_out_6_.PADIO +d_column_counter[7] <= d_column_counter_out_7_.PADIO +d_column_counter[8] <= d_column_counter_out_8_.PADIO +d_column_counter[9] <= d_column_counter_out_9_.PADIO +d_line_counter[0] <= d_line_counter_out_0_.PADIO +d_line_counter[1] <= d_line_counter_out_1_.PADIO +d_line_counter[2] <= d_line_counter_out_2_.PADIO +d_line_counter[3] <= d_line_counter_out_3_.PADIO +d_line_counter[4] <= d_line_counter_out_4_.PADIO +d_line_counter[5] <= d_line_counter_out_5_.PADIO +d_line_counter[6] <= d_line_counter_out_6_.PADIO +d_line_counter[7] <= d_line_counter_out_7_.PADIO +d_line_counter[8] <= d_line_counter_out_8_.PADIO +d_set_column_counter <= d_set_column_counter_out.PADIO +d_set_line_counter <= d_set_line_counter_out.PADIO +d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO +d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO +d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO +d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO +d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO +d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO +d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO +d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO +d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO +d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO +d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO +d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO +d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO +d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO +d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO +d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO +d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO +d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO +d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO +d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO +d_set_hsync_counter <= d_set_hsync_counter_out.PADIO +d_set_vsync_counter <= d_set_vsync_counter_out.PADIO +d_h_enable <= d_h_enable_out.PADIO +d_v_enable <= d_v_enable_out.PADIO +d_r <= d_r_out.PADIO +d_g <= d_g_out.PADIO +d_b <= d_b_out.PADIO +d_hsync_state[6] <= d_hsync_state_out_6_.PADIO +d_hsync_state[5] <= d_hsync_state_out_5_.PADIO +d_hsync_state[4] <= d_hsync_state_out_4_.PADIO +d_hsync_state[3] <= d_hsync_state_out_3_.PADIO +d_hsync_state[2] <= d_hsync_state_out_2_.PADIO +d_hsync_state[1] <= d_hsync_state_out_1_.PADIO +d_hsync_state[0] <= d_hsync_state_out_0_.PADIO +d_vsync_state[6] <= d_vsync_state_out_6_.PADIO +d_vsync_state[5] <= d_vsync_state_out_5_.PADIO +d_vsync_state[4] <= d_vsync_state_out_4_.PADIO +d_vsync_state[3] <= d_vsync_state_out_3_.PADIO +d_vsync_state[2] <= d_vsync_state_out_2_.PADIO +d_vsync_state[1] <= d_vsync_state_out_1_.PADIO +d_vsync_state[0] <= d_vsync_state_out_0_.PADIO +d_state_clk <= d_state_clk_out.PADIO +d_toggle <= d_toggle_out.PADIO +d_toggle_counter[0] <= d_toggle_counter_out_0_.PADIO +d_toggle_counter[1] <= d_toggle_counter_out_1_.PADIO +d_toggle_counter[2] <= d_toggle_counter_out_2_.PADIO +d_toggle_counter[3] <= d_toggle_counter_out_3_.PADIO +d_toggle_counter[4] <= d_toggle_counter_out_4_.PADIO +d_toggle_counter[5] <= d_toggle_counter_out_5_.PADIO +d_toggle_counter[6] <= d_toggle_counter_out_6_.PADIO +d_toggle_counter[7] <= d_toggle_counter_out_7_.PADIO +d_toggle_counter[8] <= d_toggle_counter_out_8_.PADIO +d_toggle_counter[9] <= d_toggle_counter_out_9_.PADIO +d_toggle_counter[10] <= d_toggle_counter_out_10_.PADIO +d_toggle_counter[11] <= d_toggle_counter_out_11_.PADIO +d_toggle_counter[12] <= d_toggle_counter_out_12_.PADIO +d_toggle_counter[13] <= d_toggle_counter_out_13_.PADIO +d_toggle_counter[14] <= d_toggle_counter_out_14_.PADIO +d_toggle_counter[15] <= d_toggle_counter_out_15_.PADIO +d_toggle_counter[16] <= d_toggle_counter_out_16_.PADIO +d_toggle_counter[17] <= d_toggle_counter_out_17_.PADIO +d_toggle_counter[18] <= d_toggle_counter_out_18_.PADIO +d_toggle_counter[19] <= d_toggle_counter_out_19_.PADIO +d_toggle_counter[20] <= d_toggle_counter_out_20_.PADIO +d_toggle_counter[21] <= d_toggle_counter_out_21_.PADIO +d_toggle_counter[22] <= d_toggle_counter_out_22_.PADIO +d_toggle_counter[23] <= d_toggle_counter_out_23_.PADIO +d_toggle_counter[24] <= d_toggle_counter_out_24_.PADIO + + +|vga_pll|vga:inst|vga_driver:vga_driver_unit +line_counter_sig_0 <= line_counter_sig_0_.REGOUT +line_counter_sig_1 <= line_counter_sig_1_.REGOUT +line_counter_sig_2 <= line_counter_sig_2_.REGOUT +line_counter_sig_3 <= line_counter_sig_3_.REGOUT +line_counter_sig_4 <= line_counter_sig_4_.REGOUT +line_counter_sig_5 <= line_counter_sig_5_.REGOUT +line_counter_sig_6 <= line_counter_sig_6_.REGOUT +line_counter_sig_7 <= line_counter_sig_7_.REGOUT +line_counter_sig_8 <= line_counter_sig_8_.REGOUT +dly_counter_1 => vsync_state_6_.DATAC +dly_counter_1 => h_sync_Z.DATAC +dly_counter_1 => v_sync_Z.DATAC +dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_0 => vsync_state_6_.DATAB +dly_counter_0 => h_sync_Z.DATAB +dly_counter_0 => v_sync_Z.DATAB +dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB +vsync_state_2 <= vsync_state_2_.REGOUT +vsync_state_5 <= vsync_state_5_.REGOUT +vsync_state_3 <= vsync_state_3_.REGOUT +vsync_state_6 <= vsync_state_6_.REGOUT +vsync_state_4 <= vsync_state_4_.REGOUT +vsync_state_1 <= vsync_state_1_.REGOUT +vsync_state_0 <= vsync_state_0_.REGOUT +hsync_state_2 <= hsync_state_2_.REGOUT +hsync_state_4 <= hsync_state_4_.REGOUT +hsync_state_0 <= hsync_state_0_.REGOUT +hsync_state_5 <= hsync_state_5_.REGOUT +hsync_state_1 <= hsync_state_1_.REGOUT +hsync_state_3 <= hsync_state_3_.REGOUT +hsync_state_6 <= hsync_state_6_.REGOUT +column_counter_sig_0 <= column_counter_sig_0_.REGOUT +column_counter_sig_1 <= column_counter_sig_1_.REGOUT +column_counter_sig_2 <= column_counter_sig_2_.REGOUT +column_counter_sig_3 <= column_counter_sig_3_.REGOUT +column_counter_sig_4 <= column_counter_sig_4_.REGOUT +column_counter_sig_5 <= column_counter_sig_5_.REGOUT +column_counter_sig_6 <= column_counter_sig_6_.REGOUT +column_counter_sig_7 <= column_counter_sig_7_.REGOUT +column_counter_sig_8 <= column_counter_sig_8_.REGOUT +column_counter_sig_9 <= column_counter_sig_9_.REGOUT +vsync_counter_9 <= vsync_counter_9_.REGOUT +vsync_counter_8 <= vsync_counter_8_.REGOUT +vsync_counter_7 <= vsync_counter_7_.REGOUT +vsync_counter_6 <= vsync_counter_6_.REGOUT +vsync_counter_5 <= vsync_counter_5_.REGOUT +vsync_counter_4 <= vsync_counter_4_.REGOUT +vsync_counter_3 <= vsync_counter_3_.REGOUT +vsync_counter_2 <= vsync_counter_2_.REGOUT +vsync_counter_1 <= vsync_counter_1_.REGOUT +vsync_counter_0 <= vsync_counter_0_.REGOUT +hsync_counter_9 <= hsync_counter_9_.REGOUT +hsync_counter_8 <= hsync_counter_8_.REGOUT +hsync_counter_7 <= hsync_counter_7_.REGOUT +hsync_counter_6 <= hsync_counter_6_.REGOUT +hsync_counter_5 <= hsync_counter_5_.REGOUT +hsync_counter_4 <= hsync_counter_4_.REGOUT +hsync_counter_3 <= hsync_counter_3_.REGOUT +hsync_counter_2 <= hsync_counter_2_.REGOUT +hsync_counter_1 <= hsync_counter_1_.REGOUT +hsync_counter_0 <= hsync_counter_0_.REGOUT +d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT +v_sync <= v_sync_Z.REGOUT +h_sync <= h_sync_Z.REGOUT +h_enable_sig <= h_enable_sig_Z.REGOUT +v_enable_sig <= v_enable_sig_Z.REGOUT +reset_pin_c => vsync_state_6_.DATAA +reset_pin_c => h_sync_Z.DATAA +reset_pin_c => v_sync_Z.DATAA +reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA +un6_dly_counter_0_x <= vsync_state_6_.COMBOUT +d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT +clk_pin_c => hsync_counter_0_.CLK +clk_pin_c => hsync_counter_1_.CLK +clk_pin_c => hsync_counter_2_.CLK +clk_pin_c => hsync_counter_3_.CLK +clk_pin_c => hsync_counter_4_.CLK +clk_pin_c => hsync_counter_5_.CLK +clk_pin_c => hsync_counter_6_.CLK +clk_pin_c => hsync_counter_7_.CLK +clk_pin_c => hsync_counter_8_.CLK +clk_pin_c => hsync_counter_9_.CLK +clk_pin_c => vsync_counter_0_.CLK +clk_pin_c => vsync_counter_1_.CLK +clk_pin_c => vsync_counter_2_.CLK +clk_pin_c => vsync_counter_3_.CLK +clk_pin_c => vsync_counter_4_.CLK +clk_pin_c => vsync_counter_5_.CLK +clk_pin_c => vsync_counter_6_.CLK +clk_pin_c => vsync_counter_7_.CLK +clk_pin_c => vsync_counter_8_.CLK +clk_pin_c => vsync_counter_9_.CLK +clk_pin_c => column_counter_sig_9_.CLK +clk_pin_c => column_counter_sig_8_.CLK +clk_pin_c => column_counter_sig_7_.CLK +clk_pin_c => column_counter_sig_6_.CLK +clk_pin_c => column_counter_sig_5_.CLK +clk_pin_c => column_counter_sig_4_.CLK +clk_pin_c => column_counter_sig_3_.CLK +clk_pin_c => column_counter_sig_2_.CLK +clk_pin_c => column_counter_sig_1_.CLK +clk_pin_c => column_counter_sig_0_.CLK +clk_pin_c => hsync_state_6_.CLK +clk_pin_c => vsync_state_0_.CLK +clk_pin_c => vsync_state_1_.CLK +clk_pin_c => vsync_state_6_.CLK +clk_pin_c => line_counter_sig_8_.CLK +clk_pin_c => line_counter_sig_7_.CLK +clk_pin_c => line_counter_sig_6_.CLK +clk_pin_c => line_counter_sig_5_.CLK +clk_pin_c => line_counter_sig_4_.CLK +clk_pin_c => line_counter_sig_3_.CLK +clk_pin_c => line_counter_sig_2_.CLK +clk_pin_c => line_counter_sig_1_.CLK +clk_pin_c => line_counter_sig_0_.CLK +clk_pin_c => v_enable_sig_Z.CLK +clk_pin_c => h_enable_sig_Z.CLK +clk_pin_c => h_sync_Z.CLK +clk_pin_c => v_sync_Z.CLK +clk_pin_c => vsync_state_5_.CLK +clk_pin_c => vsync_state_4_.CLK +clk_pin_c => vsync_state_3_.CLK +clk_pin_c => vsync_state_2_.CLK +clk_pin_c => hsync_state_5_.CLK +clk_pin_c => hsync_state_4_.CLK +clk_pin_c => hsync_state_3_.CLK +clk_pin_c => hsync_state_2_.CLK +clk_pin_c => hsync_state_1_.CLK +clk_pin_c => hsync_state_0_.CLK + + +|vga_pll|vga:inst|vga_control:vga_control_unit +line_counter_sig_0 => DRAW_SQUARE_next_un17_v_enablelto3.DATAC +line_counter_sig_2 => DRAW_SQUARE_next_un17_v_enablelto3.DATAB +line_counter_sig_2 => DRAW_SQUARE_next_un13_v_enablelto4_0.DATAB +line_counter_sig_1 => DRAW_SQUARE_next_un17_v_enablelto3.DATAA +line_counter_sig_3 => DRAW_SQUARE_next_un13_v_enablelto6.DATAC +line_counter_sig_3 => DRAW_SQUARE_next_un17_v_enablelto3.DATAD +line_counter_sig_6 => b_next_0_sqmuxa_7_4_a_cZ.DATAC +line_counter_sig_6 => DRAW_SQUARE_next_un13_v_enablelto6.DATAB +line_counter_sig_5 => b_next_0_sqmuxa_7_4_a_cZ.DATAB +line_counter_sig_5 => DRAW_SQUARE_next_un13_v_enablelto6.DATAA +line_counter_sig_4 => b_next_0_sqmuxa_7_4_a_cZ.DATAA +line_counter_sig_4 => DRAW_SQUARE_next_un13_v_enablelto4_0.DATAA +line_counter_sig_7 => b_next_0_sqmuxa_7_4_cZ.DATAB +line_counter_sig_8 => b_next_0_sqmuxa_7_4_cZ.DATAA +line_counter_sig_8 => b_next_0_sqmuxa_7_2_cZ.DATAD +column_counter_sig_0 => DRAW_SQUARE_next_un5_v_enablelt2.DATAC +column_counter_sig_1 => DRAW_SQUARE_next_un5_v_enablelt2.DATAA +column_counter_sig_2 => DRAW_SQUARE_next_un9_v_enablelto4.DATAC +column_counter_sig_2 => DRAW_SQUARE_next_un5_v_enablelt2.DATAB +column_counter_sig_8 => b_next_0_sqmuxa_7_2_cZ.DATAA +column_counter_sig_3 => DRAW_SQUARE_next_un5_v_enablelto5.DATAC +column_counter_sig_3 => DRAW_SQUARE_next_un9_v_enablelto4.DATAA +column_counter_sig_5 => DRAW_SQUARE_next_un5_v_enablelto5.DATAB +column_counter_sig_5 => DRAW_SQUARE_next_un9_v_enablelto6.DATAA +column_counter_sig_4 => DRAW_SQUARE_next_un5_v_enablelto5.DATAA +column_counter_sig_4 => DRAW_SQUARE_next_un9_v_enablelto4.DATAB +column_counter_sig_9 => b_next_0_sqmuxa_7_3_cZ.DATAB +column_counter_sig_9 => b_next_0_sqmuxa_7_2_cZ.DATAC +column_counter_sig_7 => b_next_0_sqmuxa_7_5_cZ.DATAB +column_counter_sig_7 => b_next_0_sqmuxa_7_3_cZ.DATAA +column_counter_sig_6 => b_next_0_sqmuxa_7_5_cZ.DATAA +column_counter_sig_6 => DRAW_SQUARE_next_un9_v_enablelto6.DATAB +toggle_counter_sig_0 <= toggle_counter_sig_0_.REGOUT +toggle_counter_sig_1 <= toggle_counter_sig_1_.REGOUT +toggle_counter_sig_2 <= toggle_counter_sig_2_.REGOUT +toggle_counter_sig_3 <= toggle_counter_sig_3_.REGOUT +toggle_counter_sig_4 <= toggle_counter_sig_4_.REGOUT +toggle_counter_sig_5 <= toggle_counter_sig_5_.REGOUT +toggle_counter_sig_6 <= toggle_counter_sig_6_.REGOUT +toggle_counter_sig_7 <= toggle_counter_sig_7_.REGOUT +toggle_counter_sig_8 <= toggle_counter_sig_8_.REGOUT +toggle_counter_sig_9 <= toggle_counter_sig_9_.REGOUT +toggle_counter_sig_10 <= toggle_counter_sig_10_.REGOUT +toggle_counter_sig_11 <= toggle_counter_sig_11_.REGOUT +toggle_counter_sig_12 <= toggle_counter_sig_12_.REGOUT +toggle_counter_sig_13 <= toggle_counter_sig_13_.REGOUT +toggle_counter_sig_14 <= toggle_counter_sig_14_.REGOUT +toggle_counter_sig_15 <= toggle_counter_sig_15_.REGOUT +toggle_counter_sig_16 <= toggle_counter_sig_16_.REGOUT +toggle_counter_sig_17 <= toggle_counter_sig_17_.REGOUT +toggle_counter_sig_18 <= toggle_counter_sig_18_.REGOUT +toggle_counter_sig_19 <= toggle_counter_sig_19_.REGOUT +toggle_counter_sig_20 <= toggle_counter_sig_20_.REGOUT +toggle_counter_sig_21 <= toggle_counter_sig_21_.REGOUT +toggle_counter_sig_22 <= toggle_counter_sig_22_.REGOUT +toggle_counter_sig_23 <= toggle_counter_sig_23_.REGOUT +toggle_counter_sig_24 <= toggle_counter_sig_24_.REGOUT +h_enable_sig => b_next_0_sqmuxa_7_2_cZ.DATAB +g <= g_Z.REGOUT +b <= b_Z.REGOUT +v_enable_sig => r_Z.DATAB +v_enable_sig => b_Z.DATAB +r <= r_Z.REGOUT +toggle_sig <= toggle_sig_Z.REGOUT +un6_dly_counter_0_x => toggle_counter_sig_24_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_23_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_22_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_21_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_20_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_19_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_18_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_17_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_16_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_15_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_14_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_13_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_12_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_11_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_10_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_9_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_8_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_7_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_6_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_5_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_4_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_3_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_2_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_1_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_0_.ACLR +un6_dly_counter_0_x => toggle_sig_Z.ACLR +un6_dly_counter_0_x => r_Z.ACLR +un6_dly_counter_0_x => b_Z.ACLR +un6_dly_counter_0_x => g_Z.ACLR +clk_pin_c => toggle_counter_sig_24_.CLK +clk_pin_c => toggle_counter_sig_23_.CLK +clk_pin_c => toggle_counter_sig_22_.CLK +clk_pin_c => toggle_counter_sig_21_.CLK +clk_pin_c => toggle_counter_sig_20_.CLK +clk_pin_c => toggle_counter_sig_19_.CLK +clk_pin_c => toggle_counter_sig_18_.CLK +clk_pin_c => toggle_counter_sig_17_.CLK +clk_pin_c => toggle_counter_sig_16_.CLK +clk_pin_c => toggle_counter_sig_15_.CLK +clk_pin_c => toggle_counter_sig_14_.CLK +clk_pin_c => toggle_counter_sig_13_.CLK +clk_pin_c => toggle_counter_sig_12_.CLK +clk_pin_c => toggle_counter_sig_11_.CLK +clk_pin_c => toggle_counter_sig_10_.CLK +clk_pin_c => toggle_counter_sig_9_.CLK +clk_pin_c => toggle_counter_sig_8_.CLK +clk_pin_c => toggle_counter_sig_7_.CLK +clk_pin_c => toggle_counter_sig_6_.CLK +clk_pin_c => toggle_counter_sig_5_.CLK +clk_pin_c => toggle_counter_sig_4_.CLK +clk_pin_c => toggle_counter_sig_3_.CLK +clk_pin_c => toggle_counter_sig_2_.CLK +clk_pin_c => toggle_counter_sig_1_.CLK +clk_pin_c => toggle_counter_sig_0_.CLK +clk_pin_c => toggle_sig_Z.CLK +clk_pin_c => r_Z.CLK +clk_pin_c => b_Z.CLK +clk_pin_c => g_Z.CLK + + +|vga_pll|vpll:inst1 +inclk0 => altpll:altpll_component.inclk[0] +c0 <= altpll:altpll_component.clk[0] + + +|vga_pll|vpll:inst1|altpll:altpll_component +inclk[0] => pll.CLK +inclk[1] => ~NO_FANOUT~ +fbin => ~NO_FANOUT~ +pllena => ~NO_FANOUT~ +clkswitch => ~NO_FANOUT~ +areset => ~NO_FANOUT~ +pfdena => ~NO_FANOUT~ +clkena[0] => ~NO_FANOUT~ +clkena[1] => pll.ENA1 +clkena[2] => pll.ENA2 +clkena[3] => pll.ENA3 +clkena[4] => pll.ENA4 +clkena[5] => pll.ENA5 +extclkena[0] => pll.EXTCLKENA +extclkena[1] => pll.EXTCLKENA1 +extclkena[2] => pll.EXTCLKENA2 +extclkena[3] => pll.EXTCLKENA3 +scanclk => ~NO_FANOUT~ +scanclkena => ~NO_FANOUT~ +scanaclr => ~NO_FANOUT~ +scanread => ~NO_FANOUT~ +scanwrite => ~NO_FANOUT~ +scandata => ~NO_FANOUT~ +phasecounterselect[0] => ~NO_FANOUT~ +phasecounterselect[1] => ~NO_FANOUT~ +phasecounterselect[2] => ~NO_FANOUT~ +phasecounterselect[3] => ~NO_FANOUT~ +phaseupdown => ~NO_FANOUT~ +phasestep => ~NO_FANOUT~ +configupdate => ~NO_FANOUT~ +fbmimicbidir <= +clk[0] <= clk[0]~0.DB_MAX_OUTPUT_PORT_TYPE +clk[1] <= +clk[2] <= +clk[3] <= +clk[4] <= +clk[5] <= +extclk[0] <= +extclk[1] <= +extclk[2] <= +extclk[3] <= +clkbad[0] <= +clkbad[1] <= +enable1 <= +enable0 <= +activeclock <= +clkloss <= +locked <= +scandataout <= +scandone <= +sclkout0 <= +sclkout1 <= sclkout1~0.DB_MAX_OUTPUT_PORT_TYPE +phasedone <= +vcooverrange <= +vcounderrange <= +fbout <= + + diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.hif b/bsp2/Designflow/ppr/download/db/vga_pll.hif new file mode 100644 index 0000000..d9cdfcd --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.hif @@ -0,0 +1,1669 @@ +Version 9.0 Build 132 02/25/2009 SJ Full Version +45 +3235 +OFF +OFF +OFF +ON +ON +OFF +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +synplcty.lmf +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +vga_pll +# storage +db|vga_pll.(0).cnf +db|vga_pll.(0).cnf +# case_insensitive +# source_file +..|..|src|vga_pll.bdf +99c3b73be69bba6a49dedfda59395ee +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga +# storage +db|vga_pll.(1).cnf +db|vga_pll.(1).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +a69bdf2838bc2ddfa265318d6caf919c +28 +# hierarchies { +vga:inst +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_driver +# storage +db|vga_pll.(2).cnf +db|vga_pll.(2).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +a69bdf2838bc2ddfa265318d6caf919c +28 +# hierarchies { +vga:inst|vga_driver:vga_driver_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_control +# storage +db|vga_pll.(3).cnf +db|vga_pll.(3).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +a69bdf2838bc2ddfa265318d6caf919c +28 +# hierarchies { +vga:inst|vga_control:vga_control_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vpll +# storage +db|vga_pll.(4).cnf +db|vga_pll.(4).cnf +# logic_option { +AUTO_RAM_RECOGNITION +ON +} +# case_insensitive +# source_file +..|..|src|vpll.vhd +ccc2bcb05887d5721243fd22481948be +5 +# internal_option { +HDL_INITIAL_FANOUT_LIMIT +OFF +AUTO_RESOURCE_SHARING +OFF +AUTO_RAM_RECOGNITION +ON +AUTO_ROM_RECOGNITION +ON +} +# hierarchies { +vpll:inst1 +} +# lmf +|opt|quartus|quartus|lmf|maxplus2.lmf +9a59d39b0706640b4b2718e8a1ff1f +# macro_sequence + +# end +# entity +altpll +# storage +db|vga_pll.(5).cnf +db|vga_pll.(5).cnf +# case_insensitive +# source_file +|opt|quartus|quartus|libraries|megafunctions|altpll.tdf +d980162588d7aa8b78874932c782e18 +7 +# user_parameter { +OPERATION_MODE +NORMAL +PARAMETER_UNKNOWN +USR +PLL_TYPE +AUTO +PARAMETER_UNKNOWN +USR +QUALIFY_CONF_DONE +OFF +PARAMETER_UNKNOWN +DEF +COMPENSATE_CLOCK +CLK0 +PARAMETER_UNKNOWN +USR +SCAN_CHAIN +LONG +PARAMETER_UNKNOWN +DEF +PRIMARY_CLOCK +INCLK0 +PARAMETER_UNKNOWN +DEF +INCLK0_INPUT_FREQUENCY +30003 +PARAMETER_SIGNED_DEC +USR +INCLK1_INPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +GATE_LOCK_SIGNAL +NO +PARAMETER_UNKNOWN +USR +GATE_LOCK_COUNTER +0 +PARAMETER_UNKNOWN +DEF +LOCK_HIGH +1 +PARAMETER_UNKNOWN +DEF +LOCK_LOW +1 +PARAMETER_UNKNOWN +DEF +VALID_LOCK_MULTIPLIER +1 +PARAMETER_SIGNED_DEC +USR +INVALID_LOCK_MULTIPLIER +5 +PARAMETER_SIGNED_DEC +USR +SWITCH_OVER_ON_LOSSCLK +OFF +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_ON_GATED_LOCK +OFF +PARAMETER_UNKNOWN +DEF +ENABLE_SWITCH_OVER_COUNTER +OFF +PARAMETER_UNKNOWN +DEF +SKIP_VCO +OFF +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_COUNTER +0 +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_TYPE +AUTO +PARAMETER_UNKNOWN +DEF +FEEDBACK_SOURCE +EXTCLK0 +PARAMETER_UNKNOWN +DEF +BANDWIDTH +0 +PARAMETER_UNKNOWN +DEF +BANDWIDTH_TYPE +AUTO +PARAMETER_UNKNOWN +USR +SPREAD_FREQUENCY +0 +PARAMETER_SIGNED_DEC +USR +DOWN_SPREAD +0 +PARAMETER_UNKNOWN +DEF +SELF_RESET_ON_GATED_LOSS_LOCK +OFF +PARAMETER_UNKNOWN +DEF +SELF_RESET_ON_LOSS_LOCK +OFF +PARAMETER_UNKNOWN +DEF +CLK9_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK8_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK7_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK6_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK5_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK4_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK3_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK2_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK1_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK0_MULTIPLY_BY +5435 +PARAMETER_SIGNED_DEC +USR +CLK9_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK8_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK7_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK6_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK5_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK4_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK3_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK2_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK1_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK0_DIVIDE_BY +6666 +PARAMETER_SIGNED_DEC +USR +CLK9_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK8_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK7_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK6_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK5_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK4_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK3_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK2_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +USR +CLK5_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK4_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK0_TIME_DELAY +0 +PARAMETER_UNKNOWN +USR +CLK9_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK8_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK7_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK6_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK5_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK4_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK3_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK2_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK1_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK0_DUTY_CYCLE +50 +PARAMETER_SIGNED_DEC +USR +CLK9_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK8_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK7_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK6_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK5_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK4_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK3_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK2_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK1_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK0_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK9_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK8_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK7_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK6_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK5_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK4_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK3_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK2_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK1_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK0_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +LOCK_WINDOW_UI + 0.05 +PARAMETER_UNKNOWN +DEF +LOCK_WINDOW_UI_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +VCO_RANGE_DETECTOR_LOW_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +VCO_RANGE_DETECTOR_HIGH_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +DPA_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +DPA_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +DPA_DIVIDER +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK2_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK1_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK3_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK2_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK1_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK3_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK2_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK2_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK1_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK0_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +VCO_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +VCO_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +SCLKOUT0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +SCLKOUT1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +VCO_MIN +0 +PARAMETER_UNKNOWN +DEF +VCO_MAX +0 +PARAMETER_UNKNOWN +DEF +VCO_CENTER +0 +PARAMETER_UNKNOWN +DEF +PFD_MIN +0 +PARAMETER_UNKNOWN +DEF +PFD_MAX +0 +PARAMETER_UNKNOWN +DEF +M_INITIAL +0 +PARAMETER_UNKNOWN +DEF +M +0 +PARAMETER_UNKNOWN +DEF +N +1 +PARAMETER_UNKNOWN +DEF +M2 +1 +PARAMETER_UNKNOWN +DEF +N2 +1 +PARAMETER_UNKNOWN +DEF +SS +1 +PARAMETER_UNKNOWN +DEF +C0_HIGH +0 +PARAMETER_UNKNOWN +DEF +C1_HIGH +0 +PARAMETER_UNKNOWN +DEF +C2_HIGH +0 +PARAMETER_UNKNOWN +DEF +C3_HIGH +0 +PARAMETER_UNKNOWN +DEF +C4_HIGH +0 +PARAMETER_UNKNOWN +DEF +C5_HIGH +0 +PARAMETER_UNKNOWN +DEF +C6_HIGH +0 +PARAMETER_UNKNOWN +DEF +C7_HIGH +0 +PARAMETER_UNKNOWN +DEF +C8_HIGH +0 +PARAMETER_UNKNOWN +DEF +C9_HIGH +0 +PARAMETER_UNKNOWN +DEF +C0_LOW +0 +PARAMETER_UNKNOWN +DEF +C1_LOW +0 +PARAMETER_UNKNOWN +DEF +C2_LOW +0 +PARAMETER_UNKNOWN +DEF +C3_LOW +0 +PARAMETER_UNKNOWN +DEF +C4_LOW +0 +PARAMETER_UNKNOWN +DEF +C5_LOW +0 +PARAMETER_UNKNOWN +DEF +C6_LOW +0 +PARAMETER_UNKNOWN +DEF +C7_LOW +0 +PARAMETER_UNKNOWN +DEF +C8_LOW +0 +PARAMETER_UNKNOWN +DEF +C9_LOW +0 +PARAMETER_UNKNOWN +DEF +C0_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C1_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C2_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C3_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C4_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C5_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C6_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C7_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C8_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C9_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C4_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C5_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C6_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C7_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C8_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C9_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C0_PH +0 +PARAMETER_UNKNOWN +DEF +C1_PH +0 +PARAMETER_UNKNOWN +DEF +C2_PH +0 +PARAMETER_UNKNOWN +DEF +C3_PH +0 +PARAMETER_UNKNOWN +DEF +C4_PH +0 +PARAMETER_UNKNOWN +DEF +C5_PH +0 +PARAMETER_UNKNOWN +DEF +C6_PH +0 +PARAMETER_UNKNOWN +DEF +C7_PH +0 +PARAMETER_UNKNOWN +DEF +C8_PH +0 +PARAMETER_UNKNOWN +DEF +C9_PH +0 +PARAMETER_UNKNOWN +DEF +L0_HIGH +1 +PARAMETER_UNKNOWN +DEF +L1_HIGH +1 +PARAMETER_UNKNOWN +DEF +G0_HIGH +1 +PARAMETER_UNKNOWN +DEF +G1_HIGH +1 +PARAMETER_UNKNOWN +DEF +G2_HIGH +1 +PARAMETER_UNKNOWN +DEF +G3_HIGH +1 +PARAMETER_UNKNOWN +DEF +E0_HIGH +1 +PARAMETER_UNKNOWN +DEF +E1_HIGH +1 +PARAMETER_UNKNOWN +DEF +E2_HIGH +1 +PARAMETER_UNKNOWN +DEF +E3_HIGH +1 +PARAMETER_UNKNOWN +DEF +L0_LOW +1 +PARAMETER_UNKNOWN +DEF +L1_LOW +1 +PARAMETER_UNKNOWN +DEF +G0_LOW +1 +PARAMETER_UNKNOWN +DEF +G1_LOW +1 +PARAMETER_UNKNOWN +DEF +G2_LOW +1 +PARAMETER_UNKNOWN +DEF +G3_LOW +1 +PARAMETER_UNKNOWN +DEF +E0_LOW +1 +PARAMETER_UNKNOWN +DEF +E1_LOW +1 +PARAMETER_UNKNOWN +DEF +E2_LOW +1 +PARAMETER_UNKNOWN +DEF +E3_LOW +1 +PARAMETER_UNKNOWN +DEF +L0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +L1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G2_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G3_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E2_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E3_INITIAL +1 +PARAMETER_UNKNOWN +DEF +L0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +L1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +L0_PH +0 +PARAMETER_UNKNOWN +DEF +L1_PH +0 +PARAMETER_UNKNOWN +DEF +G0_PH +0 +PARAMETER_UNKNOWN +DEF +G1_PH +0 +PARAMETER_UNKNOWN +DEF +G2_PH +0 +PARAMETER_UNKNOWN +DEF +G3_PH +0 +PARAMETER_UNKNOWN +DEF +E0_PH +0 +PARAMETER_UNKNOWN +DEF +E1_PH +0 +PARAMETER_UNKNOWN +DEF +E2_PH +0 +PARAMETER_UNKNOWN +DEF +E3_PH +0 +PARAMETER_UNKNOWN +DEF +M_PH +0 +PARAMETER_UNKNOWN +DEF +C1_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C2_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C3_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C4_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C5_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C6_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C7_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C8_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C9_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +CLK0_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK1_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK2_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK3_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK4_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK5_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK6_COUNTER +E0 +PARAMETER_UNKNOWN +DEF +CLK7_COUNTER +E1 +PARAMETER_UNKNOWN +DEF +CLK8_COUNTER +E2 +PARAMETER_UNKNOWN +DEF +CLK9_COUNTER +E3 +PARAMETER_UNKNOWN +DEF +L0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +L1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +M_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +N_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_COUNTER +E3 +PARAMETER_UNKNOWN +DEF +EXTCLK2_COUNTER +E2 +PARAMETER_UNKNOWN +DEF +EXTCLK1_COUNTER +E1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_COUNTER +E0 +PARAMETER_UNKNOWN +DEF +ENABLE0_COUNTER +L0 +PARAMETER_UNKNOWN +DEF +ENABLE1_COUNTER +L0 +PARAMETER_UNKNOWN +DEF +CHARGE_PUMP_CURRENT +2 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_R + 1.000000 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_C +5 +PARAMETER_UNKNOWN +DEF +CHARGE_PUMP_CURRENT_BITS +9999 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_R_BITS +9999 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_C_BITS +9999 +PARAMETER_UNKNOWN +DEF +VCO_POST_SCALE +0 +PARAMETER_UNKNOWN +DEF +CLK2_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +CLK1_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +CLK0_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +INTENDED_DEVICE_FAMILY +Stratix +PARAMETER_UNKNOWN +USR +PORT_CLKENA0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA4 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA5 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKBAD0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKBAD1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK4 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK5 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK6 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK7 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK8 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK9 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_SCANDATA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANDATAOUT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANDONE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCLKOUT1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCLKOUT0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ACTIVECLOCK +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKLOSS +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_INCLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_INCLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_FBIN +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PLLENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKSWITCH +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ARESET +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PFDENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANCLK +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANACLR +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANREAD +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANWRITE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ENABLE0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ENABLE1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_LOCKED +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CONFIGUPDATE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_FBOUT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASEDONE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASESTEP +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASEUPDOWN +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANCLKENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASECOUNTERSELECT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_VCOOVERRANGE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_VCOUNDERRANGE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +M_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C0_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C1_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C2_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C3_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C4_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C5_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C6_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C7_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C8_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C9_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +CBXI_PARAMETER +NOTHING +PARAMETER_UNKNOWN +DEF +VCO_FREQUENCY_CONTROL +AUTO +PARAMETER_UNKNOWN +DEF +VCO_PHASE_SHIFT_STEP +0 +PARAMETER_UNKNOWN +DEF +WIDTH_CLOCK +6 +PARAMETER_UNKNOWN +DEF +WIDTH_PHASECOUNTERSELECT +4 +PARAMETER_UNKNOWN +DEF +USING_FBMIMICBIDIR_PORT +OFF +PARAMETER_UNKNOWN +DEF +DEVICE_FAMILY +Stratix +PARAMETER_UNKNOWN +USR +SCAN_CHAIN_MIF_FILE +UNUSED +PARAMETER_UNKNOWN +DEF +SIM_GATE_LOCK_DEVICE_BEHAVIOR +OFF +PARAMETER_UNKNOWN +DEF +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +inclk0 +-1 +3 +clk0 +-1 +3 +inclk1 +-1 +1 +extclkena3 +-1 +1 +extclkena2 +-1 +1 +extclkena1 +-1 +1 +extclkena0 +-1 +1 +clkena5 +-1 +1 +clkena4 +-1 +1 +clkena3 +-1 +1 +clkena2 +-1 +1 +clkena1 +-1 +1 +areset +-1 +1 +pllena +-1 +2 +clkena0 +-1 +2 +} +# include_file { +|opt|quartus|quartus|libraries|megafunctions|cycloneii_pll.inc +39a0d9d1237d1db39c848c3f9faffc +|opt|quartus|quartus|libraries|megafunctions|stratix_pll.inc +5f8211898149ceae8264a0ea5036254f +|opt|quartus|quartus|libraries|megafunctions|aglobal90.inc +99832fdf63412df51d7531202d74e75 +|opt|quartus|quartus|libraries|megafunctions|stratixii_pll.inc +6d1985e16ab5f59a1fd6b0ae20978a4e +} +# hierarchies { +vpll:inst1|altpll:altpll_component +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.lpc.html b/bsp2/Designflow/ppr/download/db/vga_pll.lpc.html new file mode 100644 index 0000000..0421b36 --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.lpc.html @@ -0,0 +1,82 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    inst11000100000000
    inst|vga_control_unit230002900000000
    inst|vga_driver_unit40006000000000
    inst200011500000000
    diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.lpc.rdb b/bsp2/Designflow/ppr/download/db/vga_pll.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..6409abdee5dff076fd40ad3f1429139e3476ba03 GIT binary patch literal 507 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#soj3s4gfv@=5Jl@N;IHvxwf^IamSyvUr{)9Sp>z4BR?*1fiJTr9AB%e~oNS3d<>^2_O* zTIs|aRe8DKY(-8`z?+Mo+?e*yJo-waJ8oUnT*Bk#Ll z^Tys?oKGh3eOc?({nd-D%B5t2kHKo@z44kyq-@*X=5$+jq>F>{?w&acZ$W;}haVHKk!`=Ln=JqAV=DkmHh}~bWdy))Y#0{0g9Xd{{g~j(+U6p literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.lpc.txt b/bsp2/Designflow/ppr/download/db/vga_pll.lpc.txt new file mode 100644 index 0000000..502c21a --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.lpc.txt @@ -0,0 +1,10 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; inst1 ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst|vga_control_unit ; 23 ; 0 ; 0 ; 0 ; 29 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst|vga_driver_unit ; 4 ; 0 ; 0 ; 0 ; 60 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst ; 2 ; 0 ; 0 ; 0 ; 115 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.map.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..016ab46bfdd08805f69e47adc9ee7f39cd4061c2 GIT binary patch literal 14741 zcmajGcUV(V^EE0(ibz*_4G2;Lp?3wO3MfdE-b4f>fRs>Gy7b;bMWpvGCG^lidXoU5 zNDTx@z(Dxo`~L28@Aur6{E>6c-h0i=nwgce&y!H!x^=6Hg5Z`d{w!$yc-b?X+1?0-IPb^Q1A zR=V7O&;1Dw^T|6r=2mYem0Z$ZsYU99JscMt?i0Na&`W`)DW-Gqs*8U0E(#C~P*o4p z`N93SB#B#1>(ifaT9UFm_sYJ#ML?91oeLO@1+;TvIq>?}1MYp?i4MpQp65`;Dg@2@ zQ3f7u$VlN|u5NCJ&ofn2ClaEXK+@Isb#)VzUc=l>fuYUwW)tRJEv{fEZFi~$aI*j` z=R5D{_cvl}*7`7+m7vk8=C#eXTxCC_@UQCx>~@f-mbcg+9qX^2Z`mo`KT%9~9q|eU zh(0li(nfS3%CbvxIrDR#v8D^8wL6KgulJXgk^4x9mc5ue-s+rpfMwKmIwT%d6<2|G zg7vAKFAki@jf{Zl^Vh|_k~gFIWx_J<5AwY-`NvajOZ?mKm>(uBu7Peb+3}>u1m<@N zJhgRBKAtykQJUqHVxpJNk<*GJS5QpK-MT#x4w3sTS^qQfJK-}%dIyJ<=osj-tuB9B zHWwcsJB&7hsGV7-zR$ULk@?mHj6}R{J*!)Xgy@x7HaVKQub)nT>g#YnP6TAP4Sfyj zu`RC3GHc9UYi zpY)s4ABaAEhP&9(*WKLL>Tz0RAm!B1e#?WgK6 zbyEf5|J#KB5A#U-|Np_ftk!AiG(W$PxVVIvgqZ4qMkYL6|D6BdS9{spFC9|`)=kY( zsqp>qVC>Ogq__INu%rLy~nAU*;ufu~yq-lmG% zUio_;K^`;YMqs3WIAc*pBs(kU#Fh&_Ru-PqjNdCX44K8sD5*19Jrt$ih|7K_ryiuEGDFubmOu0tC`2mAFkItVy3BR5tMoX%Pvw0{1 z*r*+7HvGvMU;tAmiY#{)$lxGsKt zT9|mlWhZl8yUv;^)SVW<+BwiWBh6%WvG9l(s_SvTR0;sPm$*G=e+UwICW!uXli9AP zU{CvO;;40d$39ry(!F}Gkpw_RKRZS9#Vn_$xo3C?MqPFkX7gaI2Sm{94ihopQ9b=7 z^pOMrw*3+9U&|Qi;E*Vj$l_I4nRaf>oA}VhVK0|N4I5x1*;Zlz-g%ZP%0EiJ4SSk7 zt?Ca^ag*1et-n>zHdafX4dOA#YaiIyqnpZST>^j7Sn4DWnMptQut@)PzXiiHMq_4w zu-#F4S8i0voO68!Sdv-SHzH7|qO!6$qoF#nBSQ9Orhs8=n8?gYwuI8WE6(;k!E2uG zqy||MrjYm?hQV7Bg>M(`P!3Lu0%bVXRk4fE&A;cPvs=)NvD~NE7FPa$zbL$65p_(+ znQF+%fa--6Fae!7*FSdv8{<1b458n7^hyfq6DfLCuEz;Sz9<9`x2)n?k} zO*Rk@%d{s;VdK(>Q2FKiZUqUx57Jp>wR$4=Kb&TG;T~oU7#j#;1Xj&E(N6mO9^X|4 z<}U{t=c6lkPC> z5eAZ(P?wao;vm+qYC2rRKhla#O@{rL1Wj;0>)esbGrwCpk=wo=Lxy29Q-8ko{kP3~ zGOAxv6-?%=gvb+xxAIlbbew8vuuzcJ0`_ ztLv+wYj&UOP1xrD5z3eGnn?tZ*M0kOzrMn`-Z7w8XW~=!q+ZwjlW?9b_{Zq#c(9en zf`%+$IU(BcEXCvHj1k=R#Iih}ok_Fun?oveddXkg>HmvzQE{c~j#H;137D{p~E#F~6kIBuZItm1y2ljvE-N3ua5 zWPw#>1KeOnEnKBHnm&2OI0WqZ0uY^nND#8rf6&Wc%0}LNija!7W?4uC0A6I6kR}uc@}h5A^oa-ms)Mb*9F1i#(fZ!> zf%P@?`xoOLkshKsi0#z`i#2&#Mbh7SL#&UPRm22Xc~q6D)`I|%khD2A9vFnD z8=cwW9?C*n^6Z|dR3ojT?hd_ONwPN*pb?%pBTuU?2A)wj1G+DZ~$Jm&Nz|40IFS2Dh7Mi z!UrhVB-M$Fo5a-BD+L!rgqTLhpM-w1g?(bHxjRsB$*XD)(ocM%zJgKy`2++Tg?KjJ zz%4D;)w4#JXszaG)RJasf705e7sp0((CZmHEW!u_J~kP?o7MZ^fmds zaN=s~%2|}3Rg0T#SICL20n2i?6b*^T32bY2hW6bp-6@O?CrJOb z*Q-@X7MNTg6AhZ+-%L`wlgPb^;4iZ4p`$%ktsB}T2_&TLdD}h{k?aJJ6{TgCdtm7+ z-$>0&mu{20D55f9ny=l*sI5$+}`?qPm$$6{Svda)hAsH)*vM zCa0^4sts-)7gs4hXL&Py5I`9k?cPbEQz6qpkx<)q+sRS(czvF z3~+Rb8FwAU>3%`{`IXMh$aJNIkSm;(fP9(_}ON7qG=U6 zPeyA;Z1#57yg;KLM`Fss4_cLPVscYsg{)QbQ_r61s(%p0<>LDbR$HJE z{;8>??L)LD`FRY}`Bw@6!*I#jsJfVa<7=)--7LTf9oF8M2UcVJ{*2(*(OcbY&pV?k z^GwzLCiH-oJBp+{GHw#Dw&H+y%eN+;80)W!8SElzMSe>XJ`IJepw-la3`y=W1RG78 z^FMeIuhda(GYt9b!tfQvzpPr_OktC}MCh$`MT(^KV> zKJS=Oc(Uvc|MKk=PV#|*#v2!2Hzc_(SI@e8lGvyeC`s(WcdY`c%Qw;C=!!lW#c^_8 zCN{beA0-BIC$_ciNyGBCp*S?z-6Mo-R*bLn9r+zOce6A9a zx)!b_=FiHTwbLPgmzG>9lFnp$Is7^@mN-mJwXMg&MlJ$uyQtz!<@&^@6l?u}32NsC z)w}riM);)`d9p1y@8Nf9GQG`R#ng~izo3ALPzFu4PL zgsm2nf?z)JWZvLh<38ByuM{y0WkO$(NmM&hG-!x*@cyfm@NEh;)D8Ju&$`4DLf_^U zJ{sac$^|~AReplL*=gsxeOp=wS+80Pf6jHR`8erCw?*GjfN;c+b_JCODuk7fh8!mn zl2%w1Q9aI2K-ee**E}wvNkAMzH$M?-`}nU(@6B;rSsqIgswXzUfsvmQ0_tT@w z{%FQE12dukyz${4{s1E0w2HnX!H^27dL`smPPrO$l!jKGLdz5-xlfJYN1d4We004= z?*2r^8HBvxp|vT8e4{vG*OMSRLo_!j-J#Tz<^yZs`o+fw1g^pZTD6Fgy=OsmvfqN4 z<-Qtf-zxmOD7F}pL;Te?1V8{Y4><`rT$0e)y~ z9EOUL(m~k_72v_5L09tg+&oZhOu6>ktY&!6%f8ogSi=+pRVElz>p8; zHr@$zym26V^+839RL^Wr3hkqbO96rS@CK!rWKpl_nO7&zwb!qtet~J|LSUJ#X^O^| z9FE_iydXNS@i9!Z;W9BiRxz7^ zZY23cOQvCVTzH#X&HZGB*mnXMq`G37tT$)ZRRi!$5wt6N`jKq!RR))H2+x;Xb2`Yc zu@tS>?Rs2-qr;rS11sT9oil`1j>`Hc0Uqt%+A1~2!OPAWims_9Gg@ZW?9dpBr0y@; z3e{JPZ{2Q_Z>Q*J6U>~9TPYKw0@9Jv2^jYUO9P7i|k)=um>91d%$vnI_Bi6N_iI?E-X39#G zMS7e?QXh!JfY!X0$3F}4Mpw8ta<)IA_~@h;+B11$Z;h7l(_JyA68}fnB(-_9YF`}g z-4t@rHFF#jj{H-|z^`Bix&*(O@nB4B(;oK*roLN}U;Q7F-A0>ltwJTuzhLmV6Pgt0 zm~i7aAwTb?`NU^1I!oUe8~~Ev)YJV(7+0mtA4Z0!&(EqnkQhMil~MVMzn}lFT?&I- zu=@13Pxr^N{=xR9D7kyyoSn7^6^`vCtRD!Qws2Zal*7Ch#AQ`qa`9@gOTf9Y)RC)yD?-bavqm|l58_0 zUh72@hqcg>uP$=ak{^?IF#|U26LJ6bU$@;pGMtpI&_VdM?hUeq{8SXhVPKmVZq4Wd z)_TZ~9xi-R8>=WSKo?;5=HxjK0U=|7=(M1m$pFuXA_(ZBly~d7#PgwV@?(dxJq3${ z1^-1gfpPU1KGwYnE%p1&>27_t5-!FfgiZ}m$oW@1cC!l4gxZd|k?gqVQ?}pDH~>A> zj+923CCRo$oV*YIbv0n4+Lhvr+pbveRSGed;Ss)U@nX>e;mwmw{WflWO;{nMzXrq%{h8Kv5fB6Npk6yNw>kcPE9XE%f{$GR{OWHbOD6emBr zahv{6)g{+v%;*-$noE)^8I670b73l!VZ*b)LnnPw@S@Ewn%_9?bDE+E-8|>p{?~Xa z%>1pXI_+Bj73>^$Xrk7DwhuUyN_+0hwdnM56TJnw`+o{Q zXFn6N?GcV}ob%J^U;q+u+WEqDhEV8Ec>T5`5w_qOoUEcKB`uUFOih*$VU-aW6<86O zT79S$g=GI@au*}DwOo&bcrlIxf>MT#j{nrV~nGE`0b!WRH{C^33xBiBFDlrj^81VS~>X})mD_`rA~j5thR0)39p*cfdk1g#l)+w5i`yr4ayE-t{3mu zh{CaMgvVSP`nuhFVWjl@yz2?~jxUH;!@=HkU=<_Cl`8ITz!JO{E@aga`VxfIBw6To zFWN4izT6?{v^B`AET-RdB3f!OCOozr#@c>di{(XjlA}(J%liWoRKnc;w8*}K*%U+v zS^`9it?jknC`kT7{S{uy$r5~ksBUbpZ{q?FDL(T&|7dL)ft3N9(oT|ZYnWU9N;UQ5 zL6W-I6cln%v!S{&xsb(bL%BLhEr&FyoPD{FF&5ReYjSjzVt5CXe<*N-28B!F^62E= zGA{ZCjam#-8I0CDbv~U|Y5%b^YN6DV2pvu|W(5y*XttUP)w~f#zqh-r--M)+KrT*Q zhXXYTk1@SUA_RU>V7-%5|s?B6>ksKF&_X?)1!E|6ovXYn%4Qwe? z578u-5>ZH6I$3#!c~}B;S`Eo}w4Sl$^sFs=_qJfl01kiv-y~^5ECG;A$)VWG-$7T#-ApOdn{qij&v__c(EZ&)w$xn* zv)$m(0)61oq|S=Nrvm{IB69153}`^Pm;LQ3?`J6})@h|u<07(KV6eJ6IH3a#JG z23TBWD!2{YzzVLHYZ*O^8E!yiB#w-dZXd+d8;qPY?mF@KU4b`x2K<+PZAkl_*@>&H zKPh~k%jCL#J8vd)R~%w{br11m($ip7q%(jGgh9l}#}uc5f{*z)+nJ83o~*GKU-UJT z4@24*+LZySw`XoXq;-y7o{E&n404_YI>yMa~KN;R{aIG-FdNbyGg+(9TgdVpHew#C3M6Kk{iB*W#lZM zuEq33hj8u?X9=p3D$^dN!_Yx|!y?K3wjK zY4cRCv}(XjIu0&3VMe;3LtS(EDs_L+Zhlv)nXs!)Rg`E-aG)Gk;~ZnQx1s|Y@5+L1 zA0%W!Eh$*|x5Pt(<18>Egf*zNwvJ57zbit}JNnP3=r^CJ-&&xrN?#M8pgcA-A29qD z6<0Kd4nMn%i2C;GrfQpSV3fi#@AxxdYV$DQn=_|7OY`0Yju;|b0N(`*$@Di^Gvvrx zFUquC1Ux$OF2#KvH9&lNbY4Y7uJXFDuzK~_VmQne8>fG>mBl$k%x?v$J_?ca{H&E- zWyawel?2O69LFj?pH@W(r8>wtR7_obI_1NNRax5OLKc@iQ1&>l(A0xlsf=d}{--Ej z>m7j<$-zc{kT=Mp&N7H}lreNJ6muAR8EF3%s@RaV4-8gbs9a=13>>y}P=;pnH=?31 z0}a1I7YjFQmOLOuYuUOGAD}(omJ`-#`R2t z7y$ov5-6^0R6?8XISL$2uI*kmUbFn1G_+B8uLidXFQPi=oU)YmR{jDhv<_YFhLb+n zr4orN`Wa7Mgeo};MD1V91iC4$mR@;wCSGUQUtMd<1}iAIZX$_%##Z(iJ=Hx3*Nb!go6`n&j!(gmAi z&W)O4v+HYjK&Y!6?vF)8(-k}S>NI}UUlL8a&{YM47-$oFC`S4O!?9svcK5|Xw9y(#|&y=Cb!3GQ09Djimb`u%JX(;Tbs{*SWLwg~JTTX>$B6ndX4!wT< zQGc?a%E`bk(KEmSK^7aW zRtL^(U}siI1EcICTtz3!&ca+@;%7eY2=HY2#+fQXroJ{>*i#x3K^;;2H_O^A2nMlac%R_3UxTY zx<5T?b|}BlHG4_H*-r>bg^tRxNh!XVgdZiSXuAudBzFsem4O0h^JjBrZRwtfWUN43 zF7Ba?687WKvD}36nT!dC@9H1e=4^rmhYt75z6> z-?h*I2g;Dl-zxfBvB=a4ZK}PjIMu1Zc|nr{8CV*&yjD&!U0sA)o{zR%1-+>ZIzI+; z6n<(~Ox%d3Rf!J>P4fR9(zp%8umocbucPN|3S=CppyMd_2HeYbXXV6w;G_ZFqzQ+6 zzjrP!$IAUO)?H$+Cce-9s3Bfr8I|dLbA`&PMs>En06O5N(dITWlSPAPt$+RoTe9K+ zGn-=b${vU-r2+g3T-USK@g}!}K|SjI%P&*j<*UGT>+WCrZ`~}NJ?$Z{*}ga|nLZd5 z+oFDQjIli49N3if?Sxh}=x(QT19yN3qJ+XN|Cn^(q$fMCzA(;V(zu6WK{1jwJyVws za`^$=U$$3;xQ$g_q9Hx$MP)}G-15IHbIe}b+uUZYkN0Z07n?a6uDcP@s%c`>A=X8R z>525`#;qw6ouaDLt-BP6f&HUVEStBjqceAKbadpG(&uxv?j?0|+k8d(Wr(Qk)ppDL!(;GbUYNd&RJ&k-)NVeVFyCBwzgN*tObabuS1`Q9^keFGlGbx^d)#>g1IEN3Pj}s&%sBJi`;?2d=YwpHJo%RD^aq0zBqO zaA>+?&do$D?fU6s%&k!YA!KP_O;}(wUrhp38m?;_w7W-mKfDN>RnlZ|y=herjXN$D z-amyY>ginNrwkPabDrQxaK5ymiB@+nbUP<6Pt|d2hnvW15}Y;PsvsU@OsJ$E4x_sa zj71=8D6cwS$ad8=lrF$$vEMnhw~$o{P`Jzq>^iX|R^|GYvvr93A83Wn_u&dwsMv%! z+kfR(6Bb&AF}>kWhPI4Kzgxld*+WHN{?p1jWY(a^c3QgMY&?C> zr-rLM`GOk8R}Lkn{u2Tvl^r~Pa6rU)rWO?fdcO&*fA|-{eth9YiO9@noA}d5fxkP2 zr>@zMw!|){}2S;i1dG*X+_E;ut>|fEe;Laga)SK%b(=MkrjHH=&WCRq^ z10#CAyRh9hczVo+FSwQRWjSX*fw;c`VdyMnpVX=I*{e4;AFoc8`GZ!Mrl3j>+pFhM z3*6W7O1l+aBi8)+dhmr6>(-Uxg^}W6o7~+`mx1AkOpozRKVfTiQ?%t}KziT6=6fc+ zo-d;YMar;otQG61@+5p+kqw7?p}dH6oxO|=9=o5iaH+eZ%4!sa$SPbu$;3@N4*u1> zDt<~lR_B8YdC}2P#a)mbF@ZE_fLg*PlY=eVPm>D#?uH=GHG8eLu1QQPS8-|~C-Jcq ztXE3Im$8%Ik`!g_@~#P%Fx32sK-}KS>%S^V^%x2af*6~SJeKJSF$fEeYY3(k$5Lgi zSvkU0SPvZ%0+=TglN9$x2b(GZt8mxDFh+0%x!-4@7R`a^g4-1*s(}IJ{%u7X905N2 z#HgG$P8Ox?J&InnsY=6PnZJ1Swcuo20aoMTqt6gX|Nhlw1Cu%s*W!#4vU50bM zne}&nB100lq^W2lj6HU*MM|YC^h*T51tFms9qoA?W|kiY;0mmNLL?spuegB&UHE8- z>XD}U9&wEOKP8;tQk3Xmk;h0ev=whBbhchYt!)E&ibC9%^0W;w%~ZrHXHASAXvI_< zy7sP?Zl6sQ{_tuy2`K#a+0S`cP6s9JiLwuXgrF4tM8fpMJJVn>9HP7iCC>cv!8`C) z6SFH~KTCr%s=rO-p0zQX>pvo8Wy`-|l$+MeEr^a}12)24pJGF1>p^k&TNvLw@%CRb z@s||9*^NYnYw^_<9drbE5o7FmWjP#J+^2aEm2h_L+ZVAlT+(5U%0HrKxyThUZ`a27 zQ&M3s-p*?Gu2r&4*rS8H*-6#zbi(2~BalOg=aE19O?R%Govj9cNr0v zQ2Og9NX9KBGN02YppY;0W^vu4d<`QX8oG5@IfD(dJG#Egf@bpm)xGiUx@tOg%wL;{ z2vpNk(7*N%+p1H9@0C0;%9WU^rX^iY_H?&v#cvdz_H=62qi0cSB{IC!<`yoH$OT~k za@d22_GNsi8?Y}g(-M__oewMZd- zD(Mt^*>~RKHlKTC#o8(QB*?JxZQ@uaKh)Dq44Z`$8@JWcfWCgdHTZ%evMW|`ixhoS zfmr3)f>48f&>OHD@75$m{HAY$U6Vl|Qq7MFe1m_WUX!d};E{85B98q%nmFgxig!xe zco4g3LE(H#*ZU>23!%~&1Rsu#&+TTfdGG{?X&p;UvOZK>lYUugMX^zvxclO@ALqLx zmpI1Fbw!bOITcmZ6veTsNTB5Ugcr=J?)E4n7H3p{GO#vT(~yYDU-`V?FVR_t!!W)H zv*v#oVQ^XmF|*%T;Dno`0&fk zwl(OCZYb{3^=$IH%;1@4%9G2kso_|Z@ZANj$k;ul-eK*ZSo(p^5KAs1ixSiLrT)qs zoN0-3S%8bhiQfuwXbnwuSz+@jqjHkHVi890vOWB)XaXJ;VNu@$f6*C7dx|+H$4!&6 zikftl@Mi!qkKtdp(?~j3k#cG{ju(g;x8eDP-m7OlULiJqPu+i|xwk78-4s(cUURD? zwM2?R-_?;ezwIFD(l1DGvNZ(9`FWtPrsN>((wAF9a)zUO1;KS_CxMNNU&;z9yaup( z#y13KFZd-Q+n=I?&CJ@7jJ*)!1GlLmD65 zr@TyPzXQ#~F##2U0iB!gS|S66y~hLZIAe_L&!d~uPL1<97hxUU3il>!-UT?I64EOB z=(m1ONH{y=#+hr$mE;5rQO-Ui4Av$iS`$R$!ket??|7h+cb&Ot;1YrkFBUh7T2 zF9$lx4I8?BRo?z=%TOHqo;)_ntiM7iZM zDf~XBGWO*kB*>h$Bsz+h_`mxY%t+qi5@vmM(Uu|KdE$1q>mz%aAr1@pn&CiySfo-9 zDPaO4QY5%nZ{#83tLex7slk)UmFJC2_>}i^V$dEgQ?9<*9a+I(2PPB^)fjI2^0O&? z|4>2j%QYf|nJwoy$(nt10wrdPZ`Cm9_zQmbkkW}Reln~sKfcL3ha5v3CP`MMj%AyZ zRZ&R;Y<-|v1rHuOmxrx6**=6Tu?@y{ug8w_Ol_4>$DKN7 zS=%aVd-4?!`EFqe1Kg|XV-8)%w@+x}?b!$asNxy(C#1qn+3+VK)(sDJlliT(3-q$P z2jx50TUB6-4OCIVi3_SK-f3R7;!>+$T%OvN`0GLr$&i5@@N$}E} zX-GUn-@xI@W3v+>lNC zpYqN%6H*j0C?_6z)@pcD7c}lqhJ8)VBy(-j*6g|xCRL1?XJJD>Pt5XDXDFLcwr_eg zaBk0etw~Q6YP0P|WC1ILR}UN_+KRpD|77gaI|O7Gt!bi{&PM7Eo-I!+G*#{gkq@T^ zF3~qBO7tji7W~Cl=E#0t6{X!F5Mh1Ijk}s3^fsqX;!EX`U+^*EaFO4og==+Uzd9vTs)gH)NY5`GZ zZ_$Spu2J*8_4`*(kes}U*aRQh{jIW>ROLN(E8oNzU6%$n>~YijDAAbsA~oDd!CmZc z#;4X~QEQOi$}hJ$wdw-!b#8zjT;hhvDmAXcuk`~k#tFiP>_D*5 z=goi`jN=E&r6}uTilvt1?g9TV`9Ti7KDTANbfbg~wQ78w3-ua3jE37yQ3X3EJ{>`Z zi(%}d-~GthZyLaDo>J%@@vhm8bq^7d5XkIO=JZ>!3`dt~>{Gj|Q=7`w*HNvLFZc~$ zs8e2?B)qkQsO{5_YN%^pTV+?pv0YM`5H?f7=-8L(%{=6HQ>lnI!&lu7T;oH=I2 zdZ>n+f4Nq1$#=%{Ju_>w{Y~Udn_N`$5voHGtyk*d9MJ6fR*M7OsU2|L`YD|heaa4W z)_hiwur0oPk91u*`86jd>yXvqcfyh;$>dnw0&{hA0?@9bP=$XmBWV(7yZbyp)jyr(>!R;P#Gox~SJ? z5AY49!N{#7c$F@y`u}qAs$Fx)Pm<@a59V8aZYdzJ^LX%&tl;38g6mt1#hUl@@yWWS zl`<-{Jqdj&Ggqm>g2#e3YzY6puG$%q?MU44LjlTOhLU*n0aP^a1lu(Y9=WT=(my)> z!h$IlG_((7xO3MF$ZeAuuBbWm9{v7lqfHX;S-L8Yi~LfR8>p-LmVXPAniSygwkf1N zxlzP@HVE_T`N zrcV0=;f5okWTRIv%yx9kL2$E_QqT`x;j^^zF{`7 z9WPCn8{hk7xwsI*VHv(dc2;B-2e%09s?YyLy4-Pov9Y5lkHX~HF@XXxk1p`TR;)&0 zX|r?y*VoExnkHSe2+$z5V91@llG}hi z&Tjs``*yoJyirbX2eXL;ay5V5%jql_bFBc?&2*XXpSF=ZQ+<1Sq@%UtW1M}`raFg- z$1kNlyJj@bUc7wW6enFd5_b`zX3XbH;;399D6xr+$Bzu3c?_LgSV9H86~}fx%0YD! zT;84KPcg23Cl6Bc-LXXx^=5>uyYh739L^q71Z{GoaJ zUdYdfi0EA`@}EB;H{(J)O7&(sOT`g8an}W7Dke_$CF30aphClxhxZIjTs;P9@ulJ= zoJs4uj7)%lOn1jVI2xEa9iBU`nczVyOfP30c8b$}(TVaXfm!P%xQr4bu`yi;j^V4y znPc|A%mfJe)??Mb(8O&jYQm-LU|zQp4qo8O@WboR<;ddX`yd0#(W?Nn9h`Bt?H~y}iZltqx zYM0Fz>4e{}luhoZ8KUcI$0&M1EoCFKB?P(x*ys4!_wHPF*%*Jf))Oc`Jggl9hC5kT z8$S7Hdi?j^r@~V9ii%KpyF8)rc-V)lT#fe?FOm^m{q|`%UGAd_qP3s5P=TnS5Ma03 ze)>m{E<7zCE#!6l`H@jB#QA>3lIWZJ(Dfj{^t{7e<80D9mjY3Lw%kOXnBdNR13+$4bHc>YL`mb-1tI=U2#gO^XW6rgQqx%+n<2z=M}h_+mSQ z5$yRD*Vcr^>jlq@hdO>$?i*2t5MDNX<&_A9&U@4>GoJ=Ole4eqXQa)n>sdHFs|4*P zqM?wcu&DZUyi_h|Wk4+I$4YodJ9x}@Qd69Mz>|l@_F9pN1{n~)`ur=xEXjJwv}A0v zWMG-WZ8uV&E6{M zv?jJXo%wIRKdzI!!2Na1-sK%t6f@s39|pl`GUS+aT62Tt&H2E(9EE>>Cvf_xI{Nu9 zcbfgEV>t_?sG<-a83q;wm*XExLbMuGFI~cxV=j%if96Nr<^a1{g%*_}+sUdrg+uPd zRjOO!e7mS;0&Izg&UcI`^n<~lo6G^0v6CkTLWl2=;Z9+igD={y!;#125o7S0^QH>n z5A(DPDz}&AgBZgH3^GlfGzN$LE=%rnlm$r~Ii1eT&oKzwJKIL`10<$+)E)QFNJ4nS zrth2c5t(1zdx(ifYQ|xAw;cvPuwPSNKhzL#GC(y3p1-aV)J;4~vi@aRQ=Tt$@7Ln6 zYhFo>B>#IPtuj#)4_zTa24IZzt0>)YfJmpMMgb#kUkRttRp4STI>QnRpHP90@Gp=N z)?FM;PaqC|Kj_fLXzilAh!^R_8CY(gCg4KljyOQz@#3AtlrhD76(2yK7MWX>KXDPA z4Ig6wBg=j@&1cDEVxi%c<-k3iUiby`-&wRelaR+{r^b$|a%Vn}c){Bz(_-ju5-}G*7{0UMV9c~fo zb1{(GJ2RH}J5x`-_vbp=XQaEwjND^g_x^%_J`f_}c@{zt{hly{i_(zVcz=&2kG{(C z*7MJ|jQfbc+3f`Q{~(bgkqcDseWyM#XCmfEQnB^O5J>Fk(CVN$X#`BNyL(sIHsu3B zKZ3|U$q+^p3imfqk-72FF4Vj17L5>SxFsLWSUxr>#k)2MACNk}mJm%kU}n18%}Ye2 zb$?7ON$`edfeYSu9s2Ti_Z{BPBh_C7&WHsZ=trK?5(_1L5HuosIYH^D(5^6uRe-d~ zN4;OYjbiM*tb8Q>aQ3nMhV`wNM+j!NUT^%b30M~yJw zfBe~Sk%qR)|8lqjg^?0m5G&uiItg| zhge0L_@|q#E%AR>+(rin{t5)dN%?;%kWlFV(p2jIr9DCa>A@NjNP77@qx~Wd+Jxq* z-h>{UOQF{Z22uEHCaIZDpoJtQ`!;K)Bc6`0<-QNblGq|lg1=XmT3m`DtrIV*L){dF z1+zz;N*5{&Motp68440*^7!uV?rvdzvieNFmfm1eXMXYx_|DG?_{z%7&E4YS;NWP6 zA5oDhoo_+>T$(B_j@W&GO|%vuR_8?;@#m&={1=KOo<_>}Pw}O1`x;t7l8irIFzeY8 z=7fR z77cr{*gM{9YnyCr7NGN1?{13_Rbb-SbFluFB<4Y{SjGP1ubwlm9_0f*VMlV7Cq0{2 zQcrVW!`HZNBDLm{btap`^??R*S2p)h!2z5zR z!Be&a_7HToqHUQIhm}d!LwrGUu(pcDre?k#Is(4nc*syZx!@*H8Ii|z&CjjXlNGCl zRI$UKVKr<`tS@)(>tX42G{qnN@X!)srLe{bsdr7*6ob$dHzkSJqxr{G$_MY%FX^oD;R_8~j}N zT(1(|GdjZCE0?c1G5Gh|y~_@F^LNK0IdbK+1~-rIR!f{=pK#waz6Ccy{DRCHEJKfl zZGy9{dWAO${X)7uB5%6S3H)x8A+T#!ysn{l)GrbSW%=#>t}oDTZAk6*N`qGdtqWFo z8ho-;TSqdG-SxsoLH%H1kZ|xASk&~2ng#y>?M6WZG7Ji`mxg*Ta5UeW5uH6gak*8d zm`2yyfp)BV&>NoD%Vnc}lnjbZ*Rt9k?q_-b9r-0VHXZGC(~kHqinNuYvvH4(4L9D2 zqj?D(sXQ;nh1ey-s*|hPKYR&YQ8e>wJc(8*T`iA$y1eim+a8t!iUm_on4M#{GguSO zf?39E?k60*mrqcd%#F zFKid^VSb-a%>pCpR!s&@lMHw-wQ`Vk^?~)hO1BfBVFLdn1ONY&*atCmPWSqQeAPeA z_Ii=&Kye{CobL#|9eH1&y~uSPR7Vcc+89OjBjKZ3-+~**6xWOFfrlYu2r9k^|Hqgt zL7sf%OE2>OPp^(m~#oOWAq(x_sV>Ho*Jlaal6`ul}p(v=Fi%>zd?S4MQ1$?Sp`v z%7)mKPU*}c&;6#}r?OYn=QfVFks;ObGav4j7c%&YB%~uZ@NQ(IN0Obuk3qGEJ4rv>YMB z#J74Z28v;BI}fXiNc}{TYL+^A*Ww74uJNW40_7}`g?)RosNvyIG~W8q^*VkW9ZsUL>WZ#r#<&EGa#hiq1*J_hTr<9eW=mrN!9y(&#?>)Z1e}$8lZs zMj_55spt5MiV!F2rF4FndOPzJ)fqUUB~;T!MV4-#70LLS=lG-kE1-V-Z>A z-yc=W3aN77rnC-#)PV!cZrY@e~xvOgaOVFD+Ox5wzc$e z$%c|dVoK3`W49PK`brbV+1fS@vdb3YdzW-NQ7cYn=Xk1jx=cWkq~)&%a@T1yj2c+K z_&s#^XfL;Aq}sRR5~{aia}8xFc~ml~DK$Q-$7~XSGJVw>py}djn2jy3{L{u`;@LEb z>x73@VC#}?>&=wsS(Wed?BhwbJKFc*;+IiEKjDH$_rd27`0Z>Tuxs|#W;HuhxaN%# z$D7e=^E3LrhF1_&9Tc{qI*D1iHR)F^YclQ7{N-#k5Rcj0&3ahN-rhLqoCGX;wygUY z(6w55XbDPXocVF3KkL+LKG|UBKh%BuTc2;X*#JPD#LhOmKln)qj2`Y3g!G!KeS26x z-z+*ln$PnY9&;Iva;l!{n)T6UGHuYE2UXAbnNG_jT&psl_FiPO<7%bh{8{3qE}}2= zR_)p10vehpCRbJ79{HM^=;oB z+UPN*xR2zdDoRpiC}MbwVXQW2YWAO#colDtkZoB{ANv|Jvf31ykJ7!ooBU<%YHGW> zyeQAIN4f#V=uDA%an;vUMcpsKp4;3W$*7$Yb6Ujl|1n_ZJ{Uzu)>*skJgYm*JjLAZ zM>Acksau+l32wNrdhmX1u#VlU8g@P|m~X5VK21pa`%Y{^kouXbp-rYk<8Ww9F8Hr@_gi#Ndd@53WR%V0 z9@>TOd_?78qddgpvi8b(O&ikVvYx2YEIe=eF>O^K@5DOH0)C8%v+qFo+@ZGe7HdP$ z3TBNY2R>oet>L8Fs&l7@BJS@Ak5uUu8+%96Q=O6Qs-IrN;dObFSigSdu`@ABOI#|o z7MtXQn1z#S{dGHPIlP;M*Q{{;^`P-}8_g&$o&@_-ss@Up&XRY3g+5!~!Wv7i&YmNt zY-KT9Vu8+Us^|=((R_~5;Q&7Y%Dr9OXDhjaX)XFJYGA3T740)uw}%=5UKH-VIaqQye}*WF)R&>WbZ3LvacMVPCa^xy9f}@=>lCJf#4WzyoVmLm3HxRLu+B^QxzI8O4q>Jpl4a9>w!sm z$@9v1sifO%ZT2xcea)rP`FCTOR9$6;aMcGmQplp+Wb0a)O5F@q6#?ZVcQI-DA5iCN z_G~52TE$(fiTecJxcHp(OqDW~&5Se)Tz6@+4{^TAWe$fatbSzqsb_c{sV1{*dSn@i zXFu9QKjQbO^LCiyrGBxbaA_T|FMFPyf~Yyg<_j0=wWPW_75vZ+Bi;u6nPEsjA^Qw@$iSTFRU2?_j-~MvQMH z0Ssh^LisNrhJ$o;R0M`=#dgC3=vAC9*asK+&89`Esy{GDvJHcRG8m9v%;KFEE z43p%FaHqj+&#zx^CQ_CRQIQTwffpAf%o>`BtJ0k z^ryK$JPQs-GXMVI1$Xaw6HqJI;0|QzbI91>dUl&r73T!$TVrU>Bq#(v$XoY5{*!OD zD1U$NuXKv2w2*4d)*~k?vhbl4cYdvIbr6&>we3E{4fcNOU%8w?n}ki!*Ldr&v8%7} zo=R4uW4hsYu?c0*r?KQ?X;5H#>8(=jfZ@uaZ+Va8NmG{U3YMjT;xVlJ4N~Nz?T;pg*KD>$| zik=c}NUjH=V7t;%B+Iv!|;_Q%W-BiP5xk7R&&y7|`W7!J%50JIgRxc3h_$*i0>S>je$zE!eH?&lr zgjl+T-(e76UbtZrW7f4|&J!AFfGXd5!zVtycfch^ujm9eJ+G(*s&;LIm6!vcjGiB1 zs|xiP8m2X(FF76^=rlB%8tAO*qc!3Wo0S>T+cP%*aY8@`47k3G}S!B4Kf6;!r< zg^_Y~Ly>az!iJLD$;>FE`fM=w?~<#(WBp|1adtGSEry!QEG{kgYB25UM)2m4IsLFW z@};kW9X{(eF1INH)r!tI$w5t**zm?E(hrAw9@F!`%^vtphX({wz$y_ix~zbqN-G+xDqpK9c19LyE+$>X15W5zzk^@zF^` z_6@(eS~XR>_}fFm_a^U~=71fph!;X_&%1^r)Oc)ynE%uG=>8H#oqt4Il>m2ZddQ_m zFQ$e}E(o;qhJJf$|{6{V>Hx$P!Hy|Ja%C&=m;C;QUrW{Fk5`n1s*hAhw6xOPII;w@?_Rz`j zNs1C5nGZbrr~&SC(+<~8EU)wvuO+__cCtC>`8 z6aU}`h)W`DDcMalv=mn3DcK5=t2T16AJPa9n{r_H7L%xgs5O=HHZU}F)%ytj?sM?f zCNAjH2y`Ki-+IbjqNrMadKmkhI4YamX16uvTtUsfH zCb(S+orzHsJGDpgRteg8=bwMHh^6|o&G7UU+YOKQg&V=S`Ofylm{aeTt}!tzov>}L zn?pRjV+kLq-B9UsIi42_Zhj5D8$2wXkw;+@+6N-=^F13ogSw%p1ARXAiiKPO&$~oy z`T%R{pqbFhV7RZr^?nk2VpLV zN3@)9EVN~H0r^JM;W41n;ODnUhjgg$z#`NKJ416p0Z)9Hu-n_0&IM}~(^ zVCOm_UVdJ~vIEgH^;ecgz{Lk1?_TUXPT!XXX7qHeVY;2tL+t>r^0&^i)^EmH<#5&R zEIgI6oGd(L?_>86uCvAoT>;=*T!j{W=$MVQ|J>0WFHaqp)|G8!Eqg&)3>eO1j5$T4 zDNf>I3m?L+j&!S1K-R(Kzxb$9nyQS$HEOA8%%ZTb?Oa)h<|qwUkG!PCiNu+OxJ#Vn zpUisG!0Y{XYd1G>wn0Ey1}5dv)W&vVEUktlWs1`$jB~_TER16#FgC9S$Ma}OjUFr_ zL*SKvME4~u)nT8E4UMd8^bb#44=o#OiRh208vb=NE@m6^TY9`eFy)VI`b$IiipuY` zc9qHRKl3!^g0+iV{Xo^QNz^PAqB7|#oeC^;|5MoirwA;G;n^9#8evGd((NF=_N_sb z@0;zsYpPkO{PI1z_-yIKURZfRdnffgn(D>`kE0 zx1qu(_`0;-m&kjUsy(eH)LPOi6Ix&F>^*W2?-O}9WCgMGRmIgCs>gnY>Th({9Tsuv zZPepw-G@ohr^5w~Whqe%mm8;avyyF*+{76fb*rvCf{O>Wzg7V+Ynl4yCemPn0Akk8 zb*~0RWmX-NGnEtWrgIr`vq zr`g@=dg_>ojS6K#ja(N0e}mhN>|EnNyoqiB-K{XI;q40Wa9fKRm`?!nYT6mA!n)erpi!j7lHf##O8SpA5u!<#l5t z^QJjJvCS=|am`oQ(c9A0LRon=fDBLQ2jLOQ9bc;0=(Pe834jj>whw_I1qft-fG&zo zW0?f(AQl*6Okju&fFaJ?1I9cY2nc{600;>GlY~ehpqMk(^ZiD{VSrGLwfyl%FsX3B zTBu1YHwUM}n5fd9i3m-Uaj7?0jI;dLXp>rP&PV$GR)jv)@u7i5{ltq;vfl7cTmx%U zD*$=T?1NyG@!(p({l^041H86+c+1l>UT5qXOrftO7l5dQXmpR2X}t8kDG#)-Xyg#& z9=rkk$nuf9fK!z7NW$K7I&LzTPoHO8t2k=2UEy%rk2KSV%yx{cb}AoHBS5%y&kAIc zZfXEK@1<=cATg#UG%b7{&oLe9uYSqYJ-rux4j=NN3aXjZeF3(WP-M+fZ!7n4TDArxz|w}}R*#DvxJsrGBA zM;EiktFBjMy2=xUB~N7fh4b<5LZx0bd(EVazE!hI)l7T~{;O;}AN^!)i7#ra$?`?`MG01K53z(rz_NQWP{q<%xvPpW@isKSfVxTa|knDfW+#2~^ zL1RFce4x+;QpVnssWay?Zk~5cU>$PX@}>ry>+K7>EQPV|`y1pKU#R!VBt}t7Z5?S67J# zO{p4SUfx3_h#8XY$m?NB+@@&~aXHF`*R6RVm0L$?65sUXK?5Xr{Uq*g6yyD;th&7p z7#J(&q#i~X59&Jfh7Ei=V|PH@vv_S4<%RMb*qS(qj<}BRCtkL;^AdLMFIoLhS|>{U z69Iy5FCy(oLewjJ&}IOl$chxfat(7ZPIOI)`6Q;+$Q{_~_7}fi+ImJiYEpmb z`skO99ol^d|Efs9;}E%Mr;#%5jsW5!#fP24#K8Y8BALrHT=nf`L9~JlNp{+)OZm$@ zM1sxMg1+nauQwGIhXdt376UHRrCS|!bmf@4-hn)6z~{$mh|9wYYJzo!3-uGN3kjg? zDw7xqVjj7u* zz9GauD;VloKET03Ac}bQa5JU7SQS{BG$E6-#z7$w$xik+By{+(8ZWXDRlbuC4ke*Y zhv_vYS46tE-yikIJ&P?xF`J6vuhp2J}1M_F)k?lL|& zXa{VBf1Ly0g6BvCwYKPHuSS|s5l7NW=&lytgsi`V+N$qouC;e`m9@LA6%9?vU?ow4 zcM{Lsu9lT_C8@nao_6K5?6slh-iCna24`j_{bfjrlpe$noR-!KA2&wLkT%@?q(!y= ziN!Re)Yr$AL9Is_vp)myu|9_#RN9wBk#cR8@rt}W?bq~=RveKmv{It`2HD$D0u>#M zQu5&>ndo?yuGd*QHuR}miaZ=eQIp@aA6Wh*KH%Ur@{~_7{nLiN$w4L-#wTO;Cvr(P zDaQr2rp*50@X&FXN~{z-S8~0!{~2#CUp$39XaVPd)+2b}q(W9BUd7D4lJ&hJXJ8H! z-7aDOZQ?ok2km`dyNq=wVonJ}jA|BMpH(b5aU&Yg%LkQQZkb1(b|`5{_``ZoIf>Vo z^rhk@zzs-eWzuNw)>z^MoA%WT4uCj0Q8rJjyu?!5$l4`;Y$wVYgc_-Q`0^+`j(jL($HA5g?W97l~XL0Xp? zO(X+=>+j*@Iwnpn7NfO*Q^86iX-9G9G0WalL&>CRM*mP07*IojCtI*j#FZA{yBtn8 z&m@#A;$stE_rGv08T{n9zXLOO81@KCi8WqFVdxA5c+kTjnKquoo_S!P8RqNaXH*NI*6FN@oXrD%Kldm@Iopyghd%{3# zp*(dWW-aKq8+oZadLpw+zEUj%4B8#^3H&bkLZp4#y<6HsiSv~z&wJ2-8%_B59u8ab z?+EDcKZh>7+){!Ge{xdPre{>AjnnQi9ZfZ=s-aE@ty(=o1_UAz(lmna>Be5+)9%gF zTxu#Wz121*`lQNGuOX?d7D&`K%2n;`Xiv&%PhNM)(aV1KNm0ta12deKcJ*I5Vz}+g9g&dfYYDmW|1-o4_ zu3J3*k(E88v*f!R;?gmBg1-A9e%uJ`5PpIn^2+%cl{qt&IqqpLRpUHrNBq^!@?a4L z+D3mq2y^(%VDl=+`N8QHrCS3_(-tb7zX*Ws$f#NuEODk(o0e3aZX0jc zKhmvot_7vCY6oVeY17*gt?mtiJ4&OuYTaI~nWG|yPi^{(_Jnyd4*deZ+8Ifi=e{>d zqi-A)7}pygmTB^wMzwg(WEqP%T$!f}YLc*Ws=}iK`AI`q_N8n@?qM0xBm70KcAJ#N zQ7B&&YB333ZdgozWRY-EC7jS6#TOBykbdOBKiqt8VCFP)>t9>+?DlmAK?hshM@zKt zwZp-XV`AT@U)^A-2$(rH^GRz54shKR>AjnN(I@+39W7G6eZi*pVWU)evPMWcmAkt9 zR2nfh2QO}yWr^L=qSV{Z_UdOM z^mi;og426ERVCLBG_9FyH)do%Jmam(*ga(9tDA9$dLZCK%J+78jz!K0;W2*^SoE>; zd&biQt(p`D3q}oAfxI9f<;BPrcU07uarZ@@6h$@o&>L&2&WasCkmb2Fko3e>ax%2T zB!y0f)ePLbYJ|6pkfKFOOv);w)+ni=X2DzWpJY=#X);F{GIRvmqQDTD3Wse5S`VI~ z&3Mh^T>Vu{GG~BL{*PvgL;pv|kNwV>EP%zbq75rMnbf_SRkfdOZ15>q6Yo-qkU{w2*!0}U z->tF;dDz$0n#{~id0ezCChx0W=mQ&-W)0Z3ozCb4x=DTNv5*^_NOOp9l2lpQ_}T#Q z3aP}|^5Q5VwjRQo2|fMDU?UuE#$o5~qdKP>D`iEPKxh;C`(}c!41T(ZT1La0O!H_n zVT_>%u5(iXT9(|dEI64Y=qvH^?Szn{v6Pt%GDL3bL1;5-z4Qc`mgg(WOPmG+Vf30F&=BYP^MSp8x(zYzGyR*`>zID#V8 z&dZ2!wy*oqtzHtR!%aC-u%G67lllmT4 zpClhY!6`pG?}9>mg557zu{vsUeki+*fZBhU;2-LC_n1rV@k_p|P)b6Q;C zabUVhf`ogIdclR3I4d^!JsH*XphK>!77*D(XJE%`J5Y zil!AfMidf4loklJ|050`;85=^-Dki~i)`G?m)=!2KyPW`o0&Umf+z6+-32ddw&L*{dQyj}w46a^HLS z)iJZz-v)A|9fS8J1l|IM3y=d>elTV@KzF*ai@JA89PwZ``w+=7fa0~f9ugtI-Z#t> z;}(oN04tHAGDkHQR4MzQbG(^STu(TWK_ScLMRR}Qo@N?;-68RZ-A5)S6#gI_#6txj z-s0?EpeT^~GwcgVd{__GiOLdv!4IZH3Prb}$AA8Kxs!+#ipyl`4(6Bm=D{0T*?*Yh z7Wx5gFmTA{=<9%VO(>Dyx7QkUy7TeVnQVUpB|rWJYw(2l3v~Y;B>?(XbZ|#_Grlc4 z(*ZD>-eW>I4t2T+uk_6c;vlN~^8;~EE;1nS1!0gL+{r-r&9x*T`h|SZgY*l-Qz-iN zfhfF|TY%`J9ORkX7^?)dYoynQ7PyTg3V!h)lqBxKyLE~T2=Wx%*FnvX&-A;jBaT_9 zFc3i=h)F7|>my);p6ETm7zI`5#cdG+zf}-6i9N(v%7p%d=eNT_zA_!c-!1NOPY>OWac`k5=)qzIPz|zkA;uCoR57r^@-p$GIEjFi%zn?Q@vBzt;D`yMrtYK$V=({yKdsKvPFJ_9iYUo1N~fz%pw}e zT_M?yf6*RXA?|^?ZH{au^CvKl=UX8ml$?B4Hwb^xi;O@8Am7TM2Eg3%4ibs@vg{|2 z^}yUxp?Z*g(eEEc3MIUN4St8cyheUQJK^ouk=cuU*bTy?1R&pPMFzyam=3m*9c%W@ zP!Wb@=JOrRbO^@c4EUezpxo;ziFXpDiz55wMQ6@bIoY?2VHOlx$ru>nkqP3$YT%(h zvwV&GJp(1}27TId>vsFw!g*~SFrEId%W))?(+0@Hv zudP1~V%l@Apx3~trN7<-ls2F=0HqozWk4ywe~it~kd?DADr>H1Ua;28v=X47Y$C-n z*G7k5&`E2`Wk|MSgCF@FNK-UDiK^tAB7WVM%+%pg(<6(a;)ZimE!eRtLwjazrl|j z1Y@qy)pnk}Vx-I1Y&TT%1(M)=gE=*V`&UC0emw z*CUA1GH@B`GH@9tWDwJjN{7eqlqDzbl&KDmI6g<=FEN#x;O)hw1hK^snEKX7)JhEB z!m>k?l{gA3_G5|P;%EkyPAse*6Ie?b$3(sesO7UROv2>k@mchUIY zhqb0rJtcmu)_UuLoc7i-cwEh8alh9WhUFNn56U?G!j*IXL`;l3*47#{Q%GtGuo36%Z<6_=g;FhRi&{ZbUq=V2B< zHw}g6Mz6xd**X1?-{4u4u?Hwde!3OWU`p1CN&*bYCSqgs8tnI+>~Mmb9>87^YpW({64wtk52|=4`YL_SmIL?jnyE@Cq!ujw!b>V*f#eW<% zlZ`%qnG~Wrm^IQTe!Hj{dI|1m>L0&}VEF#*WY@@>_+TK8YpKqez|S~#jyF5f)$gRw zaG;+!+Mv5DbZ$P5`IsWtGcl{(ubW&-r*pv!FssG7UXD;ala5_VYT3AMY)Ic~|Jf~b zj|e@H-Tf$=Gq)3>lG(~*hN$joL#%}Dt;9M3e-FHE52a?_jP=BQmPz|JFC|^a=7l)y zxJ^975rI|dT>7g3LzvfnYJHC{_QN?7XR;eu|Wt-xm^n8pFiVckXK~mEX4YIQ_eG zR`r06OhpyfN^=F5M!R?S^h`6`^adaAxPHo5^5c>7x4uJbs@>Y}88e^H0gEDr9mCBF zhkI3>T73^I!K%l@ySO~vnx4ruFyvx}S4E38$O%3ai6CUkBc6ku{oO4hf7&!D9Z!fs zFK#pdi^$HvVFs@{!$GlBAO2E%+c)C6N zBw@j8WHCXMa92JO%E3kt0-ulQ2OJI(%L9OTYYG61IBnTFsvs z2`T{Rjff3^*o6V{R)4JhcWSTOV10C6dllcq=<)pR-KM((5}H9!xVWxM?9ZmIs-L*I zo;~XjMzRAH%HewcVqZPLcKpeT&<>6QsJMpMcf>Hg%$^>O=ZNdhomg@Ac;IyB85!*) zc9f+Bp871^ZO5n4b$X|B?RVH`i$}3jUEKzO9FHj+N|w%&YS(>WPCaq%{8>PJFV>!8nOQ& zRQZ!4tU>;g)BD}&V2!qmqMjlQ4iS$5b#`7T@aEk)J(M}Sa@GXtp|hMi;@^DoH=xD~ zU(tt9p@Ji!T(saR=*jRGr1xlH;G`s(YmK$;)||&)_D_VdIGo;2^;*5P)mHC{0w~*Bg%X-1=)mtxvnub5-M6K!t<{yKt@g6pAw55A zPR5JVb8~}>3p9=LKec{UxJ>`F{f!Q?mvZg%`EaeR#Ai72@TflB_^~3_kEv%Wwf0}y z3i7o2VU0$k0$(mzg{lt^nGf-Wi)1kD`_zqFS|AYJE9SG;&l+t&g`f>Tm?&i6vT4cn zq3sKFx&#qkCzuZrjYjbX5-<7_xxz@UK?Zkfi4f>s6>;vIgt^-fYTOPQY6TZwSU(eb z|E_Rx#M>pYvwcw+_NUwg#B;pb9}6Xc-%A;S+22+$A1)Y;TJ$I4f$R~+Y){8u)pDE? zlv$a+$r$MwAc=kPA-v`@AJQ3(Y78XSg^_TDlNcb2*~5wTpoaUhA-t;N+}R0#bl-Cs zQ9Or}1b`eOydY5)9_PsDQW(qYB{6Q3-{08rXuo_hBYO6SQYeZ2F z(!Uir;myZX$!M$XMPfiwAAVckFx7{q_d}f(6ea>to7XeFP57*LinYt#l=d4}pfnEx zj^Vf`)eaKmTfoM9qZf&NNKJ%7101zRpTVWB!yY=E1DYI;yE$BFD$2)8ev>Y6;CtTq z#QTQM#kvEkEwI8pl%x($Odk>EOGjHyzS;oX-BRAy4rwy~sP{txY0}2UP(K6w{tZ79 zFd5_p&g_+Q8OP(aax~aYLv=OP3#og?5V#8%f;DaRve6uSG#cILPi&0`uG)V=|t*}EzVv*8?@dON>XDqTEuMXgfov7-UJ?O?ep>0ZbUHyFE))VmPZuc z1%eV_Wq_kT4+>*(F$RV;U9%TlUwdRUYAyWo$8&<(UDbi0{up9kB9I`tzmm*E=Y@X~ zcR9kvPY%bKfOxaTz+QjWyMu!WWhWK-!94I|3V0G{8A`Zq=I+tq>_cbxa_yu0k!PrX zjY#@M8~Fl)LhrCsTGhV1M|&L+9Q{T4vt*-ORxbcg+!qJt<5}y-M1(>Jj;@^t;T4v+ zE4fa;xvcJ7i>ZyO`W%H`YhloalIo30vUYhgctyi3yN(te>pQTHT#|*!$!S-o#~<4l z7KJ)(Cfb*T9OMi-G-Tvv>Guc~WtG_Um+VjBl{d|m;lA^i<*_N9+)qF9>X<54-Mo{5 z-lMH5#@^y3j$A)KO>sT&N{%i_)mrD;GtD0Y!n*A(crw@-jJK`|1)o2A1S36lP;Q@y z_X&g{Y~TMfhcZpQ+uY|HQ5e9A_27nYo`#S5#C}7Dmddr7krjqr6CX+XV#BQ0w2$#X z?`u$lm3>;_IP2gz`{3NkaEWUlA#p+EP;W*7;&G)I0APn8_&pqBK3mqkQT_Y~YF(iQ zu~h3@Rp}Z=<|ma;U%k!}d;9Pi?yapbum5%qg5WS?cRU>z6=?ZUQ2^!l2bBJUAC8=H zf%Q!_a`xZ6Jn9So^8Vzb(ZdTlp#HhDa?+iBcz5=f9Vw%+LdguaJ!MdvjuCqh!#$daJ+ykP7g==l3OjT^~ysc+OhI9W^XZk z8R+QSJN&!UCSw=Y+u~XpoorzSvC2mu$Jrm}?t}RdGSG28LP|n%2JN|V1^akV&3T{K zd7p7KN+%h;6@z;l$BT&F<5;x?q3uuO?p~s;E}MQH_<+Liu!m}p;hi&eC{zI!W21(F zRrr+Me?>hW68xQMe-Cp${Ce!g{xYxK%*ZUJOP80Pf>gyVSfiGn<9}WR0b5|+Nu~MT^Gow)%5ZLC! zBOc~}WN;Nk?2}TS#Ru?%)@@-F794Q|P*lsaJ!VrsoCXqvHJtD+1QdW$EezccMA}zf zl-pP0eWBpUkwBPLe+wLE_J63e&bY+>Rk@hzclg5GUpYH$f}9^pSs2vIvAspN5LM``!>vPqM1s(!utzH_9x1 z%KO|jDog*T>H8@0{y&^O{bvEHpKV0889~C&jyup>&>+`0KD@VJO6BjD;oy#DH(UcM z!I9-qsL)_RV6SRE5S}P8JP|iutSTR-FgUmP zwOJN6Go=5MpHz2irTs2=dVBcz>4bgXE6jx;G@-YmXG;+|86lt-HiK)$mS}^Yx53}% z;5^D{jO`$o1uH*)i=UUf-LLPIQVFJ;d~aY z6Hs+KU6~FYa4NK0!20kyuheAJ+pZz~;V?4jsfI_Ws(!yyQA$!u4v+j9alig-)I7;n zv$q(;Mr~t?J}Uk6g3# zz!p4OILJxfR_YwheR8Ij=%$No!;2&%CIL9B>TS(!tQ@`5FL4$q^v>zq)3vrVv9}%w zL_51&6b&)h$;DuEw6-=`ZOHqF=ZmUH9|{~Pyi2&KF-bF%*&^YSu*Iph+^;x$J2!1a z^VJR${$B61Vj9^<*h3BgH1rdtlI?Zk6p?`u#6rj?lYyc0>r0W#qa}$^h(zDuSsXiS0raRd7YiqG2ZJACr@imQL0)EBNExhH(p?_XaiV7}1mf4CnknPrt2Z=?b
    RN8P|1tq#-B3 zWcC$5?8O7<5v6?DhfE9p5(u!FMUKC{W87M3O<9$pFI`PB2_e&5t*+M`2;II;x z+D)OEf1MbGMWw=r*P2Ggd-%$H_7C%iwUlwJw_hAl&|#&lf#&9^?buHMKiQY!)etf* zu>Vqa&C4`NqF?bHl_Id^ieG6SO#Q?_I=?5_2m~z|Y-L*`{GhNI?ov8>gnq72!QM0c zeSioc?Iw=#OLEgVhDfG9s*h@deI8 z%HY_2>;l&fZ}rvD3{ley8z84s_DX(ZkorJdVx=<%fT8*@bIsBZNeNJ%w@Es#bCdas zak^unkmKdb!MB|K6@W0Jg7GF_@~ko6nV45zLUy7$@0;L(jR2*I@ltywPch|3nm}^~ zp7a%x?2kL2Kx;+2M~WLD$FKb7W3Tb&7jK6sZ8PyLL&z|8)b~okt=F3e;(B|5-%No3 zUK0@xyP)G|k_aC<`&R=`5qVC0V?It3LxG;fY`jG7>%?6Yv3wrnx*pUe!mK@ur2~k2 zRbhg+e!>B5$d~qP3tp2D^yLxMrS@zaeke6;-S8SxNDDao*SRlP{}`RqO~$d>`b5%; zOUM(mo<`p)BfK7jPqvWZjOGBN*%z!A0;o&r!&`GQ zJ1jO8(h3Ap94n*|R0efEGxWTE}J&rdH-P?@(4r(Jefhv6S*=un>v zEPiPs4zZ8lo3k?)^KsnroHzI$B+A~K1^Jj4uYU_qax%ZjLZ-2+3ysgAp>hxSAHU~j z0YsKUgHB~4066yZSDBC=jxxYpflK^7IR3~FSA7}#{=dBiF7}U*_%kf0GZ2?P-14la zIWBYds zL#AH^f2n-i%_hWeTyXvL5x_WT=Z#$;*9~Mle`N~sx0e0R5)ndhUB8D2nQq5Bqr!Ea zE|to6m_?2{i-YQb*D4yVZ@_=z>_38|z`ao$1F zTU82xcqS+P=3O$9`k<3Us4;&nlI`c%E$Rb551dJ`lk|&9l45;LDn#CqXZbMKPm=V@ zN`xXFoGCu9e;NEK`9?frr1-4+1^6WufOUo`{brD8zSfC}n>>9(wVU~DE$`U3N`~c0 z<1BJ7m-W2qLw--1qKkzCGTw#s9P$KOywi=)k)t=xdaS!ABydNt`<)SC0QwDuyyH#;nndn6eQ%cVao@*v zA{NCgKRt3Q1g!2RpBBJ~X7D(=QJY)oi-*SLeTW_6&}op)i?w%1ncz$Ja_rZfYGzw| zR>_~&y32gsrzXpWw)1po0JYO)pmAgnItcJSjI!%5tffmS3&$qAWl4ya>HYo_5kT`2 zaJ^>$^(D#w;kNso8}W^HBlK_20O@PT`(-2~pgdycb%%gZ@T3&*aN|XE509MxOeOSu zgVzVx8W8&NvBB@VO(eLt7eerPIz9jzYv&iyV67Q6XaVl+8StVA;zG!LoSl-5JTo(7 zR4bB|*-Y%anW91T@_o8j2l}1Q|Dk{Ly*2=V`B3nDsp|VKi2%TTD0saH?7HM)Cvj9m zsOb@Lh-?x24wD7ozX0xT5+J|rk-u?nu5WJ=puSfKzm#^rhX+msR=WwmPvdJa1bm8*M*-EIe#a7b?N;sj0oU-5xTpX0sA&b-f6*tPa@9=YSiJs zYjN8U$Du0UYg;0l;Yi5$ekl)hsEr6r4Ha{I(n;W7Atb!LX@|PyL;kkf6*}K@xjcL( z{0iE=*Fq$<@udB8?41X9m`#-b{^$P*XcU+0H1+%dB+T_jmCrRvK7&zd>iNY;{DX&2 zEZ2MP3Sh1V=5-#-1o&_A@WC!!iQ~;4%x3W4;^B{j|5guw4E*ao{7vA$&BMP6{MUQ< z+ra-z4}UB8Z}9LZ!GEKNKMMZaJ^U-cH`mueO2QVLUFVVC4*qLB{Pp0!*Td&J+%6BF z>n>nBebKhY50NAc;p}}L`9bji+QT0K|6LybM)2S5;oF`|KqLw5i(GrZMI>2gj|D4qiJ#nBC>``jAJ5uP%A*Vdld*Kj)b*Lm4=~zYPa{ z6j2m&T)Z6zuMfPARB&*fPkZvdP1=>?uRP~-DW208IQO^~X+%XREKpdWus~sf!UBZ_ z3JVk#C@fG|U=CV<>oNVKlbc8RNt@E(=oP%tGXrlBcr(br8;(?nXAm0bA9Bgb#Tu*J z@L0b~jte~uS-Ih>Cq3nqH|)9`m$ihPC(9_mOqQ(p$XLxeav^r}#{MnCgX3Gr@Xekr z!=uB4ldjsbv`2OEu?F$HE;NbMz@Em%&ufqnYnHm-Znf|-7>tPx_M;GWoxeeGAQ-sax;J7ZE$>S za$DhDxW5r3hQ-CKH0SE z@-~-zSg2I9(V|IpSzjfohCxwht7I-Oq(3kyx@?uq;gf15*{+IPaKS0-6m(VRfJ9$o z*;p<^8t4BI>Ru3(=b*!w7J#5G-JBYik zd|n~zsuxUD)uM24eA9qOqq~TF{%9?tuYl?`O)ud5NL@3kr(Pziaa(xHNb@md%_tk# zuGDQu7Ly^XkqxYM2XA|*eS*)E=qQ+=)N(;|sHpRzs1%~E3!@@m-^Ec;Q11m&QBmhb zQqfS?h0>7Eb;)B!@^Z-;;WqdI=W<)N4pgrinHX-8Jq@%Zu<_+HO8TNru*D@PA3myr z91LZSE!BZ-E?HRuRaPzSLYJUAxwe(I)g>4zZR>87cCjl{wR71@+vXCaT^JZkCB(8- z&s}qA4`#jfRZ4g#-$5eB>XnzS4pT!@x1)p;6Sd2e>!pFx+I~bp^^6nQgW*_9Xl?4mV!~ZQ4gXhHq z@`8b(^{12?U<8iuJY?Wysl9!$Wngj3!0@8>fr0)-{R`WNhKJS<^|!XSw6_iqODJRd z0_P}k4%^4hn;70!Ei<15+pgSn3Ng`_wWQsdZp6_K-9zh}+gjQN2AhY5*7r9rYHcaE z4J{h%U*BGCA0+OMPane#T+tPtWmKvGw_7l<1$E$-0zUoXVTO}pGq8{r`E47AAdgoa z*)Tk0XvE3j;9&E>;6PJrTifEH*2T@`=7lZmhnkxgww2o#whcr^_=Qovp@GD{g9BGD zxO&ql^gqr0R8E$U%|s6qck>G-**0h4Q6PJZ8rU{N?QKovMa?MI(Bl67wt>Yc-@^8m z=E22n&BJBxF--zDlTda4hSBkX{?YcP1-KbLCF&(M&F$@NEzRqP)-P&VSZ*F#-&!78 zj23BbY93m=aCmWRq82AKC@)3$05E^ZnwNN?n;=tnBWSJb+nUSew)VF2!uG-8{^7Rf zMGO0zhWlHaT2PmT>nSG#mD8JJvcp zFK+8!nDJPuAKX4TiXSTpsppQ#miGRpq4uG2bIanP^3Xs_`(WF`w!xP5?d$v3ua7)c z^#t~OHvj0B$x}+Vq6Z`G4Xm)(c=TOvz=?V=1yVZCN)`TL*qiiQ;Zqn>=AjrBmW3fL z&%I9|Ss{%frROjIPa^$UyvX+}R9oR6hE)Igv~h(r2GVQ6&jX(J7j2;DT`w;!o377A z59MY3(yI&wHf zrkBB0N1LsD`TO#)>GI)ieo1_-^jh<+wOw81aoN;`-`D6ayZzYGA6@O}^A#Vjo_4O) zey;k}s;9tugh*Oyp7IQBE41~>sM?3uJ&=!ZDsPcf34+l;azm`BEaCTw;!PU zg`m@t1wFpQuh19_Y_GyTg&iViDEy&P*r6CFi}A1+4|iuA5c9-rKdO~3=2uxgF1ky< z3-6+f`BgSw7u}^N4;@q69gpKk=i|E?_`>G_n5*e@B1)BlzVF(B?US}+gM4wfBI&|^ zMEOaRbnyake!Ou%lIRismeudd*F|^fanTJusFn!h_!$naNU|sKMQuEt}Jhs9zejVvkl=RP#ezubS0n*>z znc|!GD^7Og<`AFZcq#KbwTe0VlV9(Q)^iPmJQ%V0A=`)n{ zEl8iGq;E!gfs+0|q|Z~*-$lAXNn@98$|Qqs>v`avaqDAEro>BEq|Ur8U1 z^nFVD2&C^-(nliw8zp@d(!W;HM1qz_iok05=BlKw5y&rs42BmGPz{SeZJD(MH2K1@kJfb`)?`hKKal=Qcd zUZ|wMiFB)y{sz)*O8Q2mo0Rl-kS;6f|3$i4Nq-yZQEo32uaG`g zN#Bk1F-rO#q}!GB*O11ryhpAJyPeM$6~`~}jv%=!!21Gef@>!Ur=A499F{83^1=OH zn$Lx5qMm#-ng4WV`YENoU_+$@dnEybL`xXl>?+UsttffU-M;di8yDp{A56=0?z)xd z-0LsTxt~v-a|^#b=Q4pj=k8M8UvoK39^AMq`P|IRb9}!oT4vxmj_96Up7Vwr8+o~v zEea!8l3;xp`0eMNf>$Zx$VP=7=D0toeF5%YVSi@8c$eu5CYJr3fxD`wyT6!uaXewj zQ?6(u46uBcY`V*}xAd9zkZ&(sGl%N9w`^PFTa5MSMziKxXO0D&M=@|Nw7b4+>gK$R zVNZ<5&m2#Wg&HPZ$j2-EVwV zVf)zRMx63T+7j$9S>9m8({xeN? zwQsHYy7bIdy30PIzKiJ~72zdfT}R-f_s})E%RVmswbEVsZ;jRl=)rn9UG!S>b>Ut5 z_ZHn%&gr7>t$NLte5cFK)1}8{H&;J$(Wk3CLQk|>rHvcYt3Nvq>}&d6b{6fmU$j=v z!Hj{makZnM-{Zh@h0Zn}iZuo@8K_^_=iJO|<+a*PZkL403XUkG`m^og!VCLk%P-0k zeIcU~tB=Yr@E;>7p;MZ4!Ml`S)zEm2mtoVNaLEm!GekKAul!%8S1H zS>b@rz}`W_8@RLEpV_28vs12691iAb+GsU8>p zGU}{Qh=F*aCwdFBLK;I#|FCwSpVE0|)3fi;g`MYR>MQ8ka=Pfe=7?Q#dVO7Z7hUMd z=36UW=->T%xyo5D9W|@aDHvGGdi6lGf+Grro_*I2V%&I2rhmD{B|$%f6{?6@iC)FE zW3hgGb7rg&KBUhdeLhj^SnR^PeAl(k?ZVG?x~nZ~t(VKrE_$u{YsJ@^Z>@M&d8W&r zF8$M6&I4=g+w7TX-}d#>TFdXMmy7Pw|K#ky_hrUa;fFgjbYZt+bGM_*{w}(!U8aj( zYreJOUFDoD{aTd&htWL@ewJa!)?Mjm+big>6}CJ>ktl3X_(9YaioUQfj|UvI>;spZ zv#09gE`iC3x|;Adqdo;kn59x!$8mMuJr5~7F>)+z4B0)4Lb}ja*dTHu_AZ{tP)SY- zPSH!?48=%M*nnd~;Rl5c3O}F)3O^`p5V=9^W1@L4qYbLlnAlVKn0+Cw!d@xC^kzD} z+T5m^HJmyP!oE`BABNOJip1PANSz*1IK^D<7@AW|!0CSwf7w$q>WSyUV)BskGA(0C zx5W~}Smm2(mAAX+GSA)BBjsnJthJQ!qiD-Vly;D^(;4Hf!%AxdJ`0ZLz|*OBU)S|8 zJ_9SucrZTOE6=AR{y*q$f=1@OwU?$0{l*tZ*W_vXgM6Z&yI~yXS;FpVjyFl#MSq(pa|v4y zcM!k3F}|}F+(9>SGX3{)dDt&)^^o;(#n`oOEr-;fH^w(hr$vvMpUX}!s4>5< z?xvmaJyUP}@GVd;->jD_{dio?|B2&=& zM2*X6)QOrn#w7;KAt-83Pt8oj^g?%aNKj}MFB(0#T%w`}74afoL_N7fKnX4g2@((l zy|{o^!K(&|2!a^I<-h8x=}8DSR8>g5dVhQWPii`&ZwakNTE$#8GE8B)PUMNmaspvE zCXX!JD;1udd_A945$GE>^)04TJ_-sB;qz`32tUdh!e~6)c72gV%~sfpbZUH1bbS#y zhRq`~3I4yGpR1cBe_G*j%%=tZarn&O!@fBZR4sSLrqDT8LGxCLJSJBdOS4sM7X4ep zfL@_sfgAc1n(5k}>u^WVU!^GP=6ZGx|2Q;LIYxFkq z7?%y_3wo8Jv%Xh76!INd~SyzaPc7NFlx!@>bima>Qfh zE$&Y{dT$%_nv@k>I_&|EMJ5s- zDM5n!dBfxb`@VNedw7p5FHt!gysfw{Q z)U+Ok z*E!U{S_rb4mQDpabs{qLvO_FjHz{e*qSoNVktNKNowej6W5;Rfb}maG9xVHtPMpoK zT?(MvL|8O=W58gx@4#9a02nk22ArHY38+L!+!1{6l>K6uetZM3ycczqOowP?7ut00960 D2J`qg literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.map.logdb b/bsp2/Designflow/ppr/download/db/vga_pll.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.map.qmsg b/bsp2/Designflow/ppr/download/db/vga_pll.map.qmsg new file mode 100644 index 0000000..9a4a32b --- /dev/null +++ b/bsp2/Designflow/ppr/download/db/vga_pll.map.qmsg @@ -0,0 +1,21 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Oct 28 14:54:33 2009 " "Info: Processing started: Wed Oct 28 14:54:33 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IACF_REVISION_DEFAULT_FILE_CREATED" "vga_pll 6.0 /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf " "Info: Revision \"vga_pll\" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp2/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0." { } { } 0 0 "Revision \"%1!s!\" was previously opened in Quartus II software version %2!s!. Created Quartus II Default Settings File %3!s!, which contains the default assignment setting information from Quartus II software version %2!s!." 0 0 "" 0 -1} +{ "Info" "IACF_WHERE_TO_VIEW_DEFAULT_CHANGES" "/opt/quartus/quartus/linux/assignment_defaults.qdf " "Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf" { } { } 0 0 "Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vga_pll.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 vga_pll " "Info: Found entity 1: vga_pll" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 25 18 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 3123 19 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4396 11 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vpll.vhd 2 1 " "Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 vpll-SYN " "Info: Found design unit 1: vpll-SYN" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 57 -1 0 } } } 0 0 "Found design unit %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "1 vpll " "Info: Found entity 1: vpll" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 45 -1 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "vga_pll " "Info: Elaborating entity \"vga_pll\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga vga:inst " "Info: Elaborating entity \"vga\" for hierarchy \"vga:inst\"" { } { { "../../src/vga_pll.bdf" "inst" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga:inst\|vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga:inst\|vga_driver:vga_driver_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 6149 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga:inst\|vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga:inst\|vga_control:vga_control_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 6204 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vpll vpll:inst1 " "Info: Elaborating entity \"vpll\" for hierarchy \"vpll:inst1\"" { } { { "../../src/vga_pll.bdf" "inst1" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "locked vpll.vhd(73) " "Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object \"locked\" assigned a value but never read" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 73 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll vpll:inst1\|altpll:altpll_component " "Info: Elaborating entity \"altpll\" for hierarchy \"vpll:inst1\|altpll:altpll_component\"" { } { { "../../src/vpll.vhd" "altpll_component" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "vpll:inst1\|altpll:altpll_component " "Info: Elaborated megafunction instantiation \"vpll:inst1\|altpll:altpll_component\"" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vpll:inst1\|altpll:altpll_component " "Info: Instantiated megafunction \"vpll:inst1\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Info: Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Info: Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Info: Parameter \"lpm_type\" = \"altpll\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 5435 " "Info: Parameter \"clk0_multiply_by\" = \"5435\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "invalid_lock_multiplier 5 " "Info: Parameter \"invalid_lock_multiplier\" = \"5\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 30003 " "Info: Parameter \"inclk0_input_frequency\" = \"30003\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "gate_lock_signal NO " "Info: Parameter \"gate_lock_signal\" = \"NO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 6666 " "Info: Parameter \"clk0_divide_by\" = \"6666\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Info: Parameter \"pll_type\" = \"AUTO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "valid_lock_multiplier 1 " "Info: Parameter \"valid_lock_multiplier\" = \"1\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_time_delay 0 " "Info: Parameter \"clk0_time_delay\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "spread_frequency 0 " "Info: Parameter \"spread_frequency\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Stratix " "Info: Parameter \"intended_device_family\" = \"Stratix\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Info: Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Info: Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Info: Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "" 0 -1} +{ "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO_HDR" "" "Info: WYSIWYG I/O primitives converted to equivalent logic" { { "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO" "vga:inst\|clk_pin_in " "Info: WYSIWYG I/O primitive \"vga:inst\|clk_pin_in\" converted to equivalent logic" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" 4585 3 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } } } 0 0 "WYSIWYG I/O primitive \"%1!s!\" converted to equivalent logic" 0 0 "" 0 -1} } { } 0 0 "WYSIWYG I/O primitives converted to equivalent logic" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "293 " "Info: Implemented 293 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_PLLS" "1 " "Info: Implemented 1 ClockLock PLLs" { } { } 0 0 "Implemented %1!d! ClockLock PLLs" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Warning" "WCUT_CUT_YGR_PLL_BAD_FANOUT_CLK3" "clk0 vpll:inst1\|altpll:altpll_component\|pll " "Warning: Output port clk0 of PLL \"vpll:inst1\|altpll:altpll_component\|pll\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vpll.vhd" 121 0 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } } 0 0 "Output port %1!s! of PLL \"%2!s!\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "204 " "Info: Peak virtual memory: 204 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Oct 28 14:54:40 2009 " "Info: Processing ended: Wed Oct 28 14:54:40 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Info: Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Info: Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp2/Designflow/ppr/download/db/vga_pll.pre_map.cdb b/bsp2/Designflow/ppr/download/db/vga_pll.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f0905561b52432f470377f457a60b3d59928eb79 GIT binary patch literal 23076 zcmaI6b97w&*FKyyjT$G7+1NH4+qP}nwr#7iZL4u(TNAte&D`JT&v(5mYh^aB?S1B) zd~%S$z`$zYz`+cFKTJSc&D6=+(%z1Ui=L54$ko!ugoufSnTU~@ftihgnURr;NLh+V z%+LGIf4>5)z`z1E{`&yC`SQO{Qmy}edVpX0!sg(Mw)~wzCbEI8z9dEcacM%1 zYiKL-vo(UaB4mo}$B(Z`u%yYz$P!=CgCb7R5>7^q(L7G|2?`?QMSuPzc>C&EP;vQ= zvjPS?{m*Ah)mMJCrt0jv!@281iLH;PM@8K=_lBqZT*cMZ)!yFzra-FnuJDiF&y7i< z98E!Q{N_}{j&>nDl5=0~&>h}ZhPhYUkl1L>7_CaBj)FFK6si$orO<$O#QH~pHYSgF zn@8Oz?a`;#SGP_7aFdZ6+o#|hPP=qlo(UyZ3MKg{dhzvl=WElo?2go@p*Bif0XIWJ zQ@e6P#`L?A5rMf!%X_uDp`In@zwNFW61AVLb`%6~Z4Fqg8QeL+r}o7o&BEZH%WV}! z15dK+3*-g-GDjI~)TzssJg&1T9g9|Dv)qYKqNgxu6C^gWnY$m<57|7v+Ybafln`Fy zPK4UZP^a2Rg)f#Z2Pe7fzQ1IcEA9+UO+ATP%V>#YQbxYyK`Q-#MJl6p@Pl}htxm*h zF+Q2j66nfizwh!cn^Rq^9^-C&c*;Mw-kPi7dZ8}p=d+$~DkTB|yJWak}WysFw zY&Jd4@VhEyY;(RP+05-ElythVX@|?@ks}=YGtEe>uQX%co~rCgJF45HwX%JYLT zS%Rd>!Qu3wj}=2vC!?mce4fTkb=mr*o28u_T2}Vf%dr_pZO2-yt(}{3R<^FXbJK;5 zom;W9Ip!-a>`eioSm6|1pX{mAls#(a=ACv3ecJ~VNW=#cA%aA~K+$LcP(=4j*f#J~ zaY@MB%8BKElEKut*u)ecY+b0)MT_fhdKyk1QL)T-nz6S@I2W^W>{ymOft*U(3?o zNqd6z%RB9G_O6f7!WsHLTZ^VCdmNA`3@8c%iF83C43J24sY5cR`MJwFZyMBx$+R6( zmyV-07+t$p1fg_oKkwg1X*+lz5fo4qD+m;6M|8R*KCzcu&;I{4;goqMyVt;rgU>HF zu$(XXeb32~d1|f%SUYvu#4mrhlwsPwY)JZK&m((zVApoCSdUZn$8he?^RFpx&+}!; z@6Yvh6M>CA=Gafwzs0?mI{&oD8Vo!mo{N?w@$mn$NWD~vj=T6e!YXKoWgDN(?R|Wi zIVP9OWqZ8IBK|va((EO?o-@lUhzraLk(1;5YCZBCx&~e@>lXehpJeIakgFHFyzu0_ zuOt5~$z+kylrg7nFcjH+OQ!uhHY40(Tlmi(?FQl(H{u2jZHLBxi)k{UR~R zW-~?q92ExiWSFASZf0Ym>uPS`t9NaP$%U|-V;wMery!2M?S)q#Vyq@67#3`SBQ?tW zc1wX6dx_1I|Mv!yF+>Wn5H|`QvSk}AbySO)>|ANGd7iC8J(V{;I>^7@!Eo(XO3o=&oh_2N#~U0)~;Krb7{J}l;rLVw5sxJMj?AJup3WZ znpEM`p2{Ym3iQVs3ij(jT$V#bHGft+sg~zjMtt| zJ$9-P_uN4FHPiwb&fBm}%FsZJ-IA^#@>MYry)c== zM6mHMX??21-w0%8ZTBUka7K*i!v@WSUjop!WJ&64370t<7#IB15J@(OZZwJA+fj$~ zrh<0>p!*ZQX4DDX8VNZ{xTNGpBF+5Q1u0Vd7GkbmT8Zm?$ceY^d^O@%ZQCBy@oSz9U>5)snM&!=FMk1{&ei4|a(Hkd{W+JUDq4_(l1jgdn zYdZSG!h<7!;(sc6?f+Ew|EWA96E-9K))iY8Tpanuej0tS&lG6+`nl6=WOLYXrj-S= z&_cwGJlJnH?P+9P&m_QeVk~wIkdPJYrk6RhP=a)}YArxr?aVvtE2-_LhRmE<1;W^Z zi_P|QfHBi&76QnP_PrAnUi8_rjoDBGYi^b~^R9+WzF7$fXGt&v#PMZafpEh24j|l| z3u;`(9?G-1g&P?DXkov3ct&nu`i)F3Ru&3Tw4_k84LjI%=mctWMU##^rZTmOVm&_5 z?-x2KFD-aI)+{ySoBr@cF)3q>K9V6EInfY1nQR{CfrpOy5)?IqR(5@wQb#5`32;58+7Zl{-PF;!9(qWRk(V}c+yNR{^NXnOyXcD(S$1FR zSo!`Ef{gP1(gKaJ-8w0OICzek=z(apiZ~tSX$QL4YNtSOUbWpIozz8;FI6rIAf}yK zpD9QkN8Qx%8z9P2b(Rgp94!GIA5{5F!RT4)q;^pC(~PQi{P~YTQw~s%slF2irKVa| z3RZ`uekAn;Nmy&qN?Ds#FXi|VHwA`ndc- z@i(?_J8L$}xZ1zh09#X8A5K~m1%pQ92*&CAB=#*|pj%0PABtb#fzi=h1r~_jivc3X zEM7Ey_8Lr1eT9mh=EJGbO6ezcE{Mo`*^b~NB9$;9_rqL_Uzfrx4Qp7@(AtQeFAs1; zWh#iYhr3f}$D&3d!3Ut6)=o@6LV>}fKtz@7Y$HI<#tVt*E}3`!?K zzQlPTei5xh0GP&u2)PCrt*rdvHqdwxZEX>h6R~>&%|E#_Plr-e z(rJgfXw8jlBz7$6Me#L0zL=2*rR$~vjV!;(_6J6cMdjCOgwcfuRW~UlW2T-|aT~_8 zvOZ>N5Kben9*EA$q?(8NYnn zLfkN@ob0)XDRWahlg&@^VP-P7nb|U%*4&=xCh`y3>$Ojei^;R8iB*$FMs`c zx2I3#eX}?G>it#g`5~0A`P=Rb&OfKi({-Up?dq$^07L0@VJenZ$TKNvoL_2_k*fH~ ztw`Ax6V<6B(Q8SD)%znh6ZJ|BMnwZw6ZP`9My)0qWgbl0%r;8h8MY@TXSgY8FB&6_ z*l$TSFVluVT$wEo1B`XHcr{xNYg3nKwR3Zl*f{26=!P=?15}w?nC|L|%agXG9_8jK zJBFQ@IuG3HtQA*r?y{2|PxaBJo7z-IjnWjF#fz=OQLx?`op`4S!%HuJ-im_E-S!R3!r4V{jw z;NC0fr$?`wsO`ypO8iS$SMb()OOsIFQ$DeUQ2Zf3@o;|@Zflk^A1sGG#wtDP=;DJw zcCpPlgP7d;06^!37}*4pTuLw7od$LKti_@Gr@A2Hc-Uogkg8duHWiX(P$D7IaQ5z) zspqhU-87Pen@>k)7qH zYynf<2LEN(TwE<762#Vw7{@G;+#&i0m7V+GY~^KYnhM@S<86&Cdx|6J?#EI&KtJh= zfQ*iodH_paY=JzYO9{ScY2|w4@2Wxh*l+qBH3FgbEnE_Z z<`IMc=!579?;Q6=UbJZv%!SP&9lMQ%Wwk6N{KqX?$ZLdl?WOzV34HoXf}cKfa(p*6 zt$lVGtIg$3=y$8cr$uMSG;B_z6Ic6jxk8+fLou9$ zanQ8gp`FwCF*lwZV7(pH4ude9r62ndQzMIlT7$Jsm>tDNFH$NIi%W9Y@$YX14YJ7Ap2LZETTH-#Pn*I+7ev|5j-Enl0TdX8aN0_xsGH$=Crv+ zFGVxq+taNSry~)H=qfNwYc;MhP8RAD*Lv=dLUfdvPdEHc6EVtYc20MJi`y8PiVQ2U4mCR9Y2U5SDve zQu}Och0Cr%3$ePBZb&g`Hd5hg<090rYPV5QuL%h_eNW|9jU{AX8N-LBx@TVz&Dbnc zS%2NqwR-0AspP=9)IL$1z@DTSqhEYtpDSa{uwN3SvgF7aEy}hJ5ri2gG02cM3iLj} zi*r3=N2p%9h*DRpn2S4Wm7p_U=34dSyvf zzB69H^x@KiqR*%8qsomD*UFPSHkEodkbBjd@!ozPG5&(>I1z2W?czB_^(c9yo5yh* zM-T?D`EXR3^F}-s>}}RTc{_67fG>5LEH&vN$G$>bLr8g&}PYHIya5TJ# z?(0~ZPHPgmJ%L)4w^WeSV9xdc?^KODxDv3 z`GdG{GSA2&wPIC9GPnyTYU%iuL&1Hwe~q&bHg8;rNXz)a9~c7biXSS!xofG|LXcp8 zeEzhKc;HM*YQJ|JxGo`KpM599vx_%uEsSE8ec`sbtA`({#?jVIGpb*4v<{cVpSly zH17HfP=xA+s=pF$C#PB5QL+~wA<;{Z_A>mn#KP!U z9++RMBEQAxWe?yp;I0t*X>G|B0*21UTp2X=T_#=U{E*J>)>Tj*Lv`spSf^|C&ynH;fwe>dj(FA=Im)feSfEWP_2?+zhlE-B1WEv{H(Bv^GisQxNF z^;Q@^G5D^yI8f2Pk@AbSMA4Tpf1o5mzLq#;jz0a@SCUGdBsjm7s{l>7_f*+ulfwP$pkt7g{8^JX?gM+d~g5 z?v|Sy6egBMT=U}l?qI|(64}4GEA_2d(+joZM<&7hNu9>BRSLIao&U~4)a>C(@62#f zDe8SF5d3WD`Xg&OuYvbw<<*5-U19bon!w2}!p+~eengSpLFJa(WScO@`%$>#jwIXT z5O(i3De34}q1k=ao!cPs;f=2H_EFpwF%)@Mo{^s%2^<0vZY2d_4P z8fEhj1UGmc8K0xtg1@?hmS<=tOVM#O2$}0uad&Xev)*EfV2-TT_gK;S3@Q2u1asxl zj0cNkpgzdRRIux5n081oB-fXn;~&Dy+HLjlA{W0s3SZU2V`NnITaP}7w`WvgaOlSJ zDUR&bh0-5{Cfac6{?%xYXiq!+k#V8j?x6Lj%a%CDXPnepis^*z%T_Lq$XpJ!4rjCe zJbx$MJxPcnW^3)j@Y~|EC8V&GH*M%*;#Wjcyp@RtSje_=g zJ<8DSLVI}*a_zYjy-n~AqQ}pL&Z#F)q~?>B=*Gnu3akOMUCUPJi;*~=zt}5{I#U|D z>n-az%|qYbl+o?JWF!wfrpZ5Y3-5X5R;w35hMGc4$VH|t&OIzHO>IIa-2tfNbZ=cc z$*FesDf87{QWDIyY=H;#BhfKX$=Rgw<7Nd%1ImG&yb~wZvZ>{w2RaGnByWb_?Di$0 z^ble&zzZSK&VK>djz*$`N9b!N zO;@T(NhusCffNfHCI-LdI4@+DgCkvOi^6u&BWf5_eP<-afVUtF8!iH$Wom|93v0#C zB2Hr`aAK=#Kd>_Vy{~d}Iets6K_pa2m#6`^*Iq%XxTxh8sNgsyk9bb-CVD-%=s-nD zs!qvZZ5%SVZsN3TTt9JGwP=t$q1rbyyQ^3wd=1Px|s+K;bs6R}b;Yr-(R<%9z?FL!Hk@Y%T4P z4?hKc<6m$_O%V91b*+8etAB|7b0p?1sZBR9o^p7qBp<&rF#St-QIswo>l(dw#y9$wvdO|3$wbQ+Mc)qZSj}zF)t3=X!KDn{}xL z>`txe@C;&ln~p2xCuUh*SZ88-EW{$cxp?X1O-7ctLG$I+?mA_%Vy&As^mSiBJpXG1 z7PocWL#UUZ_CuQM{;rp@{IiB0PI5yng{97iUzh7Vvio!6u{+Q5GZNEzy{0YP>CaGi zj#qBmXZFRTsI~Yu!NU{s%t}lOtMt2^)$zM{2>63kZAs{7cl-35rhKzj-CDgRxj*tn ztprNbsb@kAeS};pCH<1wERW}-rH%PHQimV` zJgE(yu$A)Bza%tXn6?0|mpHqCmz}#wGA1&Hmy>mLouGW2Lo|IOVugc04bxrmQ>6KS znFDj7L#R;P_=vs{okW+-WygSxT1OQ9AV5Zn=y83**=HNJmMDSP#^|Q=&<3;?XaqtJ zL!c7>yb>-5CFa(YxNmsLweY|+)y_pYqdZEH$9+TIlUyk-`4ml&E;tMs+S|u-Dkdv} z;2xGoC`-)GuaVH9ax6{;k2g4R%)%$9e|O~V#wTajeUie${l}u)N~CK?%SE2D6qoC| zZ}D`<*d7_^uF5QZJ3*a7+Akn%;0^NiyS9!*?8Ez;(5|i#RTw4$CIF zn#j3Lnd@JAv{m1tU@UGU4Q?v19C@gixf}8f6?SS=(n24deZ-uEC{WW4J>4;6(i`sE z*OzSmHu)*57R)c-Fb4Wb8(@FUQf81-*uno9Sl|46^GxIHr6hB!#kj+U@|fw1J2`ID z2r{e#Ed)=z@+D(Jxp^{P_pzJ7k6n+2YJuwNu~)NKI~iZpE3ZurO$2Q5c8XC@mV49J__)inxx-uSXOxU0KM-c7;i!N5NB z-cz)fdq&k)4R_`3;zvn)EqGa>7NWDXcaAj5+AS;=ZEFv9gM zbh&3R8c6{rJgZd_e)}KOkT=?Jkpfn?@z&DzCw8|#%h3A;%+~%c{te%00zR1mfhgS$nF)}(0h8(UQIjf%@h1KTRIM3czAT!^NeAkI9dT7 zq9~C?0LRu&v!-EgTowPXB+mMS+w`rl@0KV8Q>X(znKypdT-7KAQ}VgjDe;= z-2<_=XQIR0BeMbgle>Hy@HZ4uVb9+= z_Ixu2yc4mvuYg%&aqNA<1wVVSbnA)^d1qt;zWoszyHq#x;EB3cyF4N9Cg0Rz@3KtE zZpyYZRP)c(q(h=zKkwTu)7tLe?aAEr^-n}}hdn*~1p!KE7zNnM+t8Q&z|~{3 z&3D^zZf_a}$ho6!BV_Kt%>}Zu24qpMzb640zb$Svbi&@O!*6F#19}x%yP-Q^Z>-?A zce3|<>nuE;UC_1>p>FbL0BRx|Y8pqsfr~$7=}5KI(tky0=All9vn>x?MQ!-)lNkUa zkV61220GNuhM5NkCC>ITkkf>+AHx+JvuOhEVE>G{Z9J1lc;+6yCTq7eP-_prJu?lk zQwOyX_U03Q8`vNo;A%(#CzL+m-HpAi3v3W7kP9B**@3^&11?G)aF!gw&$KMv@4$7# zwj1C7HCj6*z479Q@v-rO*H`~zO^CBQ3sSk?p51|dE``>B%*;baWy1yAeG%mI0x z{+=QD7asUQw0%OJcou!L;}3V{lcQi7@L8HUw>hfyoHlpoeQ~7VXU(8zRlaUa5065Z z$Npy4ihNlMHn}-7K(8c#zcBon7>NOz3Ea5f80(VL7caQ&&g`ZL`VsKAe`rcj2Ejgd zpbKNbyNzI*hPS(8_meo-9WCftjjwyv)5Ce-T@&htGU&P7%flW3I1YOL33a0p^vulH z-R1$*b|D43+Xp@GJOHFPGH^x%cFIldi)>HJcDi_i-KhuOfmyku*Yw~5*O3$I1~2IO zJ72f|9l)tr_A29Qc$Y7CmroGsCYWGb2M}ijp#R}f?d9=rZ*KV)D8OA8-6bjeNYzex==TBu-ms@9_e17ETDp)IlkVs0$EVXJiu$7VW2CMU>k`K zRFHw_r)@+y?6#qohq@lsWKX@`h6+a)y3-40yKnw(kK%)uDT9Usc}m+Jw&@i22psSg znop{r=RT=#H-wuZt0if4-Y#;429CG$Ty1avh+1^C^((V>Z8MkUotl)`_Kug8u$5E2 z{)p2oGH{J!l@21rNdZ z+AR1%1up5Cxyk{h0_DMHN5D~vG6xMKeRR>nOzgD-_7swPN8hTCuC_= z!|qemYMaiRTjm%Yrd_YURBT!$Q{$gAre43P(CpPVpSNSjsqhWzZMmLYHEKFs3il;B z3Wn-AG9uyV;2>N{%y=6l{gtj$p}jwiP~RnwPQP72ai$q&%HZW1RDSV7$5J=`YBA)(HKJSQfoCtFQ7l{ynj0O91a#RR6f^jc+TlCpQgfTr3QWNUW zV4g}V{)qcnFUj#2*muUvn7i-U+3>!;e)BVANlTn3<(Kr50!Xx@u>gRVU16wan7) zTJsBmU5?lt7r*>sCEc4eJ6WRA5I0Rz?OYyd$+rln7wG7V%N2S3+nH&>%E5T|Q0V>@7%l`|dUvqH6%njH*)h=Xdl42Qa` z(Bi*&@PV5Ht)_Zd8Qz*MR2lJ>O&yM^=f@Ly9)Lp4cKcTFaMAbq};k3FM>!JLpkeTTl(++Ny97M z$>wwVoTcoAV)*@($m;|86H;N3Z%dYyqfV7+ofOMs%{s9)vU^VWcueR40_AHsL#1B1 z%S&+*rga>s7{>)J7YSZ7Hw5b13ZR3v^IvBwnB*e+EZiGG_4KuI-tFcl7hxf;aeFbb zC(L}id6A-0ob!|m4$l^Y6%q3r8RM_(^L%?Dh;q9jWL2*`TZ@9MlQ`vVX%!6D4)XLp z#u*9cM9-`W%EqMKLeQdGU4>+F2@eI?RpPD&MU^<(xHB{YS=RUon=c*vM|MJ@Y|EFC zVSfbIenON(k1?A({}oeM03Dgf^IVpMq1lM36Jq4gmeB;e&j_b(EQ`Z^>10KG9est0 zzEyOI>Z|YOx2c;>*3#UBO850Em2ybMI*Gm^xZ)jlx-fu%o-|eZayTl$Xw-2j%j~)5 zPou8NDOo;m3P-8_h{JuHK5zUgT7J$z0y`MaN{LIqA?Uwm;0W!h`Om4?{c=A$4{b|< z;!7VDDWAH2>x|}_n_u5-B_|z+&O+ZWJfpHMD%DoUwY;KiC8sS@JUzJbkFtI_R=GwQ zrzvM`A&xCce`T&rB(8ar5K;G2h69eRWO|zD1+!5TRFBtnGTP>Czy(AHcxPN;ucg4@1M@j3GaSu8Foe=NYuE$6*&qM)@P8j&jF>^9B z9wbQL%_pO*Kd6IF7^W|FFS9@80dA4c!N)rpggWzG+r`u>g}eHMF~w_|(znC!y`VQ6 zlPp;$ia}Zw4i~4>@MqmHZ6{}oj_z_@W3zeY5qA^4jH6R-wpK0Eavfs_J?qqCD)YFj zmQ}~7+MJ2g=Q!=E`V`UXuO+A0YQM}0*B(v!yJl0EL&VlstHPWoR~H~q9h14kj%~_S zPdB5Wcf+UyQI>8s>gqZER+V)qNpbB(imci+ZC}tg?wamkLmA zmf|Nt8I3%SZ=*fRkC!^5G_|anJ9(&On0C?F$tdXCHsXW;Od-Q6cB19YTkQEgs*`bK zLRFG(_0PGYOl|D6lddjQ$F>Gp6;)}2awdGs@AmWb3?1$4qs~@%C%#;*+S_H43?1Vc zCtZE04gx7qHHI>^@>xf3{-y~Rc}q@LN_;63t`(ZK|EPm?+Sn&UjXL%#Y`Tiv=UX1W zLYkVXeno^s5ljQ;pFl0r<5yOIk~dj%z@DTIHdQgv_iCz?Ny-wbhY!G0WNvhd08mHP z%SArWg~Lcoh8WsK18^eiTQ`U=#o|kDzQ_BTmj18;pP<5rYBcI^waFc3M>b?I+GB6i z)QHb>>c!);rx%5zY*Dver@a!2HIw?#^T8ZZ)YeGX&=|2*KX)e`q>!$Xs7s(}X$)8` zlDJ8N$xc)Gs+X)S(jIPOXcB27xK1+d=^ms$JAKwC%oBCK#Vnasy#HoCF+TZY@aRxV zb<3@^wNUKCunqT?9eGbmsbs>AMhQ2fo@8wh!fs;_N3o6KXE2pE;k?J>TPz}kBlTuV zjrjv9-_Jx-7nKm4nhGb+10(K$4RnlFQWUjzy1}YLgI~w3!aNx{qephp4m6SVbsNMV z6jTc*(n~c7t}vbG70M>{4>6-jFdRVm8R_5}uq)z?p|`+r9Fd_Nc1;e14UD6<7*Avv zc66|C9=G&atE_eAQgaU$4axKke_-pX*vU4_GIS={8pTD5;oYh{XMOWU!tgRDZb=5hucsk=^L>6 zXRntN-Of;}!#Uf7W~xfe-dDNDC}b}e?Xs}gOpDLR+BEOY-N$Y^=Y;}PP{=WA3)Shr za5+yFejC0FBbB)<8xM4;`NAZfUO`}aU2Ki;;ALV|l4%@5NY%SCsLk44$)KO*F7o46 z?(*Y0QZm!*k@V8Wy|HQNtOG&!TuPaIBnrn`FqL@4c}ZwzH%&tbvMb5O5&Z)y)`W0B z&Dp})2TdBByIn!EBs{md+i>5vVpvI0UZLiPK7o7HO8=mgSp#Aj{U4<^D8b<@Pp=)4 zhUTP-BZ%-Sk(WNj1KO$~iw~(dWPsEm1ddDc&3#vCqA_}`j7tuM;uhx;T`Znl3E3z_ z5*)4j3aT8s%=P?ugHvhYC^rq9l_A{%RTy3}oUi_go;;egAU;D{6N11orZjsedlNf_ z;;X<(9-+>S#It5%xAdp{7&U4F#VYZ2ba(RRMbW@^T-#c9aPbZyMP|zU7i%_8Ce>t` z%_{Ox!b;A+Eh4ISj?ZFv2u5U32Y7f?$VL+sa*bIs5s$1?dk}W6OYlZyVl{3=T~0E; z5Gvn(BLps?`f#1z!u6(rS04r~Ao71p@gaN5V{KKIe)v7^j2NNup>rD=8$>21xLJcF zP7z*{RI{q9Ldd075pF>riHS4;^fDM8Xq-%k-AjLz;SlzXl&Q?Qr3qakof#wDBF`LEd!vgDgW`|U zNY-ybt?&TJ$zsd?1HW75Ky8xps`L!x=oL4YD-=k6o**j656@<1Ntp-bF9|0wno3m_ z2+?I$Ze-=XTrX$1$V3$VgHd+nCrG#vsgrm=#Lx}>t6=P0tBJ7$nfr~F($dOyr}MbY z{pJoMm*?h-R)rRJ9kLE(-ofM0ll~Gd`ZIdYZTsCG}Z2tbj$O`gpvgN-p$erNdudW?l2Iiz21kdcUXeliaa%~2@*5%Nd4 z;l&*3f$k8d=u3XzA|Ec2t*4NydD+afhduvjtGcKL`9i!)GA!41n-3x9T%zfiSG_ew znxB#ko8lH_PPsa7i!nXuutkj(gPufil+eQ7c~EUC;pK=f`O6HspAzs}1&|RsHbXo9 z4R)yxyV9g!6FHo9fNgfh3H#q@^HF0;M=34tzl^%0vN;x%Ya`_vbjxh(N5b6A(QkN# z5;XIR?82%;;IHpIqg7%20{9mj_z!?+W~A>$4&&80(y=1T(mC0s*QM3_Q$DdG0Cvm- zb?okk880;8Gbo1h@s4(zPFf?Pq$l=j+uxlBB}L}}6O8QCoNRb{G9!R8gzrzfmF<)1 z^gUrUm~l%w7C7jpO?Kv!(J3)1nVh#N;@=1VsK5(Xmj}Tb)3WxCtR%DW6mUM40Q?8v z^EZlLe)fdLSu(5slGw;}mZl2olL_P`Bf5w%De!Y;fg4tp!Jscyd8sT!pf5gRG?G+j zkCEW2BD-M23`mLktPfs^;fKb=3joe zlbnzIsDVuR;7&c76CKOJj8dUML%q?l9Sea18-Vgq*RTB|UuEt5yD}<<1HNL4Y13pv z$TFSarfE|eXYIMMc3NRHytcG8OWe%|bD`YA9|E#gV)&+wHI797GU36o|{V1?E2X8S7>b_3eYG|Hqtl?8xSNDXUX4L z(s&bFe+VmLc+%>}~qJb-;3}v0OOs zpLsjsoychCZ;iBJRd4|<3HMZ)@jIifOV?pD$k2_T-fBWXv*Y zPwwJ6Pn$7G>uQD~Ie&HM#>B_rEUw-CI{BSZi@%?gF)?yvToMuy+eopvoG5Y4R@P)_ zJLOEYuspS{G289Pj(vB`g?zSf;3+&9XLANsl*2Di^qcn+4~93Hn<0-Y0=Gp=KgqNZ z-8HCl_>pgSZRp`G0DYo8T(on;l7>Ptn&!)GJ?UWyy)G8+c!S1a-Kb=r9Hx37&-ScL z3B1QzJ&p#6c>i2EfyVgfOPt^+6z9KYQ%qSe8smb);EX34)-osg zNBWzDT!%Vc@*H6Dq0Q>4eZ9!eAMY%jaY^Vp?KggI!ei!|6tGq{@9h$h{4gE!C@keq zD?{}6N?{Jw{EH*^W{S*QOs7c3Eixb&cY^4Ui4m8$7eCfHoaSn$z#=sk;1pjjLRX1K zoktjjqGam^tAvVIUp;6mR-*j5TULC;GG4jr0G2J4ng2HT93SA5V1<@;kOJ@ zF=zw2`$2>=(9Z~nU;z?CAc6oc57U#E<-juta*og&M8rVOS@Y#(-trCxox~E%O?wd7 z4BT^oh-<@vd;WNHGv2nA-Pd+d@F6;n-cwMhSqxsn@;Ueqq?rrul;gvfSNI>zFPOvL z%`71^u>&8-SyqH&-qS`UMlud!xe#~z)UMM@Tx>%-(c9u!GObs)GT|r57`)=Jyot$U zEckix8i36;P@hcW79cQqDLg=l)j`hn@B$O--U>ST3(9BPYT%v)MEETRot%A{V*|d+ zd*uy7_ZRY(;Hu*9|LVUmhtW-Y`hsbmaMink^ z6^_@w(2;znH~ja$KJv|c+(Tq)S}4HfO@R`|vl(zU-61d? zz4x8Uq8S2pLdR;r*%&mC{FYs=1<*MCwE&y*AOf1!Lk<91CUUsE@c-tT3ptksDl=UZ zkn`VCjbQMe{5Seu$T=2}JKm|F6E0A4^v%1kwjjS;9`0IJON? zYPEI)P3pU^fzJZMIwO4ngcW~3;L=(w#3EXJy9MS@WS)jpc?p|#J95WZzA{G(Ip1fm}lABdhjkDWCD4Dxd)H3*Ojm_7QQ^o-j=;vnfU(?_2}H$r;scmFGmd=-sjzuaCS&-Subyl6cJ+u`ie#8VO^+JTrV3R?V3*nv;JE z#^#NzzOfU94H}adyui6i7^{5Ooz%!5S@YnFE(8U;ZN)B5>?bSEgY+l;H{Ax#l=eq* zw{pJecljq$9~2gJ4a4-lwXZLRu;Iw1X5*AVB!Bl9ExuWG;#Dox<*IQSViN@arS3yC zk{4#p#YGbq<2vk1U?oC7o8FvJNsvdpo6597HcfJ#?eSyGkjY34{lkIzS0S~04C6Sk zwGDCw7-6E;Ju&la>9aNc@-|t-WOSC!-y7tCyS6N9nIXN0_;6achN1krSdczL0EZEOh4`4 ze%PE|aw#Lu->|p+kR^mm)Gpu3sD6^ir#p_5fk+0c2rL@KGA4r@hUx z3$NUfJstJIV#H#42svN@;fqo~W}6Vd}{6JXVtS?j|aaR^*GHn1L>qq2MM-=C4a=zI_U!7u8OKV|tLQ7310`T_ zR*{@=w4LU>advs+x-14Y=v^Q(5wucgJjN8{~m0xvecZ;uK?V%WU^Ksx}CCXgf`Lh%6Yx$`Z5il?F;;F@C zS?thwbfman_^JJOVen4(`x9dp9YZ+n#a)x32o~Z3%C%Paa^PL)kiZ4%$H_3B&)A**Zbt39mWZd$oAIJ>C0N!YiS`V~O>t9O<{dub8AmGh%9Taz@|SnLO2c?d z%@djpu{(30kx+jWs6z$ns5#f=hEQ%%cM$<|s&OWGtyVeq@x*$dE=QPtPp+xGAdO)_ z@tR{P=?+4C`!SboSv|@(Z6g{x)MX_RzI#PE82A?&_}pM(8GnqH$CIevmOo(r!v6|6 zW*Rfpgse$0mnc#c2Rm!BcbfcV?gmA@ito2$z=sR}Q23x4Vv+TdOE2FSD?`z-LfFCN zeSM6$IFet_q&(~E1~mVOc$h~%v-Vw{POd14gJ7(_iHq0)5FJBwJi}aI-eLSZIeon0 zPUM&W%pVXV-uBi)d^3a@X5TbvAo|1ZPYG2JIR0)VlK#wCDXIMMy*z@%GDG(tz{T;>6?_sWoyU(-3S7cS2=hMX!DkR>S+O z!}6*UcYBkN#~z2}w*q{K&BG^`-N0S4n(@g*Y<$Ul4d^hK6o=y}MV`Pa;xj`q(qVGx zFeTcd+FJdpd6}4h!INa-L|bc`5CVM>+Te&7BaqAz{hwJ7#Z&xQKo%(8X2Mi=s!8%( zswwAxV&8NFje&Dsh38c&?5F z2DG!2CmRRGcOHPDKOGFSrA>PT&YZnP9R|C~hTah8!s7jA3V(ZF|0vTO#Bbd+$ZSI( zUtSn1^O5Hqx!oB`WvVDUEx<)*yO&G7fV|Mo{_+KCSi_r#Z>x3}DJq`|vB~3A=9%2?GZmCzBR!?J&TeFL zoxGvlPePQIOdqhT*Fe7v%7v8ZeQTeR_%JEKTa!NMdwn|w;V3SdY+8IZ@?^O-e43{O zdCi}=`&rlVf7bE61jYMt5qbgk7-iBjbDFNq~ z3rmuOCHhVo4ig?!Uzv+rBYx>!9JrSsWn1pKexjf&T`ufu&Oa-xEE8L@%4z~TuEsBc z7Get>8G1xQIXsz>k|C#zRiIbzH9{TV$xIYJ@Q}o|;Mzkl7No8p5N!E7WhO}2=xz#Y zIn`P^5fy*!21Zs@&VwDF@St9r!0I$y+%#2D`HX-sBY>|laL?LHg}V&;(3~{tN01d% z9L``!SSv}eH4wzo92cEoK2rj4oRr{5DI@63iQ>d3OU$$-K^B&tX9zF2n)(~JrkAR#g0E=5>! z`?P8@T*^TM7aTlnBWFc9v4A!Ex|l{@A+Y~8-xjzE1Tuonlgdlr?_n7WUgk#m+tJ^J zz|(hNCP|4HnhJ0`E#pKESikul(!;YQuPhgpRN z^KR9I1iX?(z58Eq0XmsxUi2gPM6Uv+#xTMG=M9w4k?^IV(1U(wP!a~RqL_+F95Q^@ zsMy_UXHc9Y$_^L<6h41+=A%lXd9U|Uh_|a=TxWB_OWGtrsZN8Qds*{{#6q^eCV54; zmEv`Vwzis6#v}gazGx*@X!}U zq9fOqHXWS+@0Ge?(jo@yjDB5pjcr)R^)n;GzPj~u`KQPznHP>cStYT{7Z!wvQYTe~e zm4BZ``kg{3kq_cinlHYG_oRelQlFTY`8^x?m3a3V=N3gvxN>ro-U*=n*d!Ty_mNB3 zX2>=jvS~Gp-qPPxN=x9Xyhh5$Ct%B&g?)Y0v|VO6X3_1tkl8yKpXeTMXm`Qxcd@rM z)a}!1rm56%^67*n6RWxe9ogtd0Vr#RxqEm3avIdr3^HGbo+U5bm8&5GY+dhvkX7u& zsaunXg^P7e>n>e%Ch;Lp;t=20lGfVg$1Nr{KxRyxQ*8t#E=c00W4>zyd!VH5qPp6Jjt)_ zU`!MZ3pzBK__SPd4GCxI>?PRx_pIJLez8tkoH7=z2B`b0U^C^4&BD2;I~6_36SXgq zlsT6Ef~!CxN$-TVF|8=4Qjg(eBWgEwrYvYB8u-!qC!R5_p6Q7;l_Z_usMgc~RxIdo z0+ue8Cood9k5ViS;{G_Ohr)`-i*|x`#m5L4?KtT|x|0R`lEzJCYR8|yaNBSH*aqVZ z^U5P_!u;A4L%%o(5maptL$M6!*&pml?X&dzY?jl8Q9lx|t|!K0b{}pCTKXWnCwb!} z8kF~UNXErohA8bcm6WH>hkrq5mkrxg*S;LrVN2aMIrhIMb1aSw^HG!TbE(HaG#b@J z!}bh{*am;hX1Q^B^O#q{e3E+Jb=tUmDWPzBb+Ke0!rPGcaiW#D3?4{nM*X=pvzZGW zdA>w;vd=i=Ss8Q_%@9s=`l>CBusKRCR&L&+COAdA?FiPqT}sl@nLX$ET?P3+8Y5dN6X%V zk(&IZU3Kn1cDLwvaieNCw~F#r*m4>4jX#|u)$u+KAPz`nW6oW}%6mXXeLb=p@kHRp zdcz+`ABYtj;eDC)5ZxgHLq9Pbp(7 zpT5yU7ksP7>pCi^tJe(!^{+A-`&apdhbY>a%9@h1r z#6qhOi6=0V2jKWyrnq>CDXr=Xw(%P~4rSM4LTAq&RJHSoQ{Fh}sFZ)d1y(cOaSi+P zTXfI#lb!1p*sz2X{rcMd$m@UdIxNA3t*(^cfA>?0nN}sYqda)wMDr3AW zH(?+!EC0s%d;P7A(QFLk&Z+(6Fgvf+)fFHfYqmBZ2kAjUM@0vjM)u zAvOIpPTm<1JErBT7-Jf-ut;!NRMX51wQ;Y}JEh<_FL{nA1h;?8gJ5;yRGm*UouCzw~@dQW9pE|O3F6Kb)LvlOq|oB(Q^_9D4Q*bze=Y%Ed0iDo#bH2JI-eLw9# znUzets!W6RmkP)-V>{I(s4ar9!c@s;mcrMhoa|@0!rK@{z!_6uIVD*TgW+hL1UhgJ z@%0X;{b7AL;T|_?WWeQ`J;OTb_yMk~7bm-LXG+TpYFD@#@H~q5GA*fytZ(LWN)55_ z0xYNLA(^^n`KE*G8KAuE8Q#%R@?qCh7jl%>0gZd40?#HXHtrcrmeBpyXlu}@o`1f5 z!nrzvbPUQ@GHF1Q2Nh5{N3#zdxao!B0WSrT(&SpKs1kHl{*heXCdcnyPWigar(s)> zC!df7$&Sx^gL)e*NU9h~`ILqD;xGnaDv|#(U^|m8A27F|h&+_B6imm@oX&zzym4iIxJp zvW^Dk;`PXFZW3-lvmwg_f-VmAm;XrvDavF`>k9OsvdByUtx?YwiN;6EHK8}Bp|=@M zy-~+lokMWK)=)1W6=0l3yZNxtBdmOkWvS-#Er#Wd{Mtawm%nayRDsPXt)G}gR5yhl zYkkis=Wa0ap#Ey$`)We4%1T-noXzP&>Wk?(&zTYMwDk&-E7mlY&z~cApSC4ziYd#s zO=~0n2CF^J7LIu*U)zqW`1&)p{Vayzw%n2v=@{Rb5}j4W50fDTXu-c<%3KP_`8j0R&hv| zUU@fWrSd>>pS|(R?xl7|bad>d#=pyh64bl1x)rwxwFc~8b*N%h%>m@+SEL!_je=dH zXu%z|;k+tRjijnCosZua{?)M^sJk20%;UJo446pq-$|UCbI+_|0;fxOUfe-SqUJ;?o12*lPL!v9 z{N>@+sO(WCwT<>Qq(*t<=ZKU*V6Q)xDEm^vO=h0~rH>o_ebbE+DIl?*{N7-Qf9M3S z`FrARCG_=x>7o|Y3{RkjqtOqX$=+c5R|=qH$bBwda2z*BBDKe>&bZRj0|5gknLxf> z_Bem;6)0(Ilp@pbOBsfu5TfL}XG+3&UIc~TW0o{SDpHu%4>=HOl%8=OOJ1{O>GcA2 zuxDdx?WK_0S$3WIHhl9@Z15Wo+#?Y>zmXBC-G*fy2MNcPcS>ICBf~Jm(HNsHLy^%c zQZkIR^voxRP-b&99$|l$H2yM)N_u1k>c@xyyahoo0K8k3wF8=@$8a-4@^zXl{4ZKZ z3{Ym37!19K+vV}Z|Bd>VuD@rZrI790n}7Y%i~1uuhJ#oGb+sP&EA~JCgP^hK7eCINyj@t1`0eNS* z(a!r>NG?P>=U6x<=4P!s-}zKY)l@>9yD02Cu50G%c(rv2iYJ%-6BK-)J$NK7X_v_0 zVLiY%mZ(O3Vr7-@V~5`GOe1Go!h4dgLNFmjSER4etmb9gmt*wkWI?7!*?d{Fs}?%4 zeisTxTIS}$FT9L+YvNjKDuzz2r)ne*l8}CKUfEnPEM9)IsPCLEo-=qJvA-{4_!^pi zmU($q3~GsRJg$hpoHi_EoF=fb%R1v&QKLL{_iCW1@!@1|(uVX~w|bKS$n)r*vHQam zZ)|2NQUs~94Jplvcy+wj6J((T09twzHU^+jm3!p40- zzM1uYOm9L}yPR_~U)fl?u(s(`z~06c(fX-Kx&oZb?Yfbfe zK|xoP(%P!l3c%`#zOkgE6MB{uOx_kMK=0v6hm1dPrQhDBV<_^&%(PrF918uQ&(%fs z?urfv7yBAJ*D@D$nd=%9KTq-J<6y{j%hP8TJ__K=BlNp`LstUXMrl8@i850D^j7FK zqNr0NYxlcg9Oa(XJg$G@#l9xPenSI&1ry|;AD+z}e$SMRefO>d1;-~cgD%mYAKX+@ zOur2+(9_Q%&xIs=7p?lKBC2TgWuh*rZ&j%_C$+qP}n>e%kMW829){p;`I-TU45#~WwN!mL@V zR;}8n#@V&^K>z{*s)7Lq(g%DP0A*EU2S;;T8$u3RdO|^GbF1%!3`~rK^o(?jEOdLsi`w*u4!Sxz z#LvF2T10(6nIGCDUjqk!_dlGywzs!OS9{#IpQKQaZakEBRBS$$pLo|yT~zxzJ3HUw zzjT<fOXyg@8V z9B*OAe?pILDDdD8*qzhp)}ZAIsYH8Fj(4lx^~ z!i!hwSS*<@L{}YD*2;P016hA@4jap1JJ2->RBvt!)ZzzA*qQ|#=z+x_n+5!f*hm~R z{~kEaobtu`C8nMp?v>cl^7yj-Yc>3Nm&s)}#wGZXUx38R^2(>IV0LuJ8b}=kI zOCMR)SH5s}NjhF7V75l~IxowlTd{a0G9AUUl_^P*c)cKPloUP3cJ(qg^2 zKC)8y7~`^K{R&a-iG$^PZSB~-BG!NXOo_6q93nARX)JVz@B6Wwg?PzXdDwHP_bPbg zMf(&&5`&y3|Llx;wKtP@A2ikV(M=otCKwVmz}H9+GIe;H-oL8ej0mv@A8@J7>h_*h z(Xu0B>qS7H`#AO)B*?e%nWe&t$woG_{CUrMQM>ZR%qV2lT0O3Uuhm@mhcH-1z9X3E@HTkDX13 zDHa0ANv`(5EX)1#+x6wyzfIc{Pe8g5N6WxdkyV~K7w5lT`7c4c5bk(q{-a=5>`mC@ z;#7P)U*c+V!p|~&`gu%)Sl2sQ!BzuLJNV_bL9AMv-TrR4EyIM?tQ-9awF?PS|DW%b zfG!XJ#_^{I&VMsE^V)lS2OK$XM+oPf5nv~WqUPDO|V0ps58+_fTaf%5=Su7vZrw_v6 zY<#Qc)D5CM{dgf$vkiFil~?!({jl@K$kJ2On?GkhuIjgcKVu0n|Jl18a;dOG&kWv# z0IBNxbhwwuIb|^kf$<>F$*N~Wj5|y=8e@*Qfn~~{>Dx<@SV4&7zwv-b3WD}yw{$~b zqxDmDlZg+Me$0`g30b!iCzs9?eFke0lr9QKvVQrm{d&{}`%r9CoU+J)c=FdoRu=8ZNyx zRpyr4v1aS&c7YR|{fgkw$aIoAjq9}Og>IEuE`K7@r^UOZ9-8>4nRil?^EL-&^|--Q zIsJkZM_g>)_4fDNA=;KIRS;CFG%(AW%u|WNB6m9_Bk*h0C5N34JQWr5 zEDZ%Gm6skqmJQ5IF3vUXt&isg4*9q9IXXU5vYx+g-}<)Y&(+xguCmek&h4|t6yG}* zbFMNxJLbq{^E7STY4EUz(B2bz|9Y>M81a==O!nQ=v)ItEygV5>f4hHSW@5*AO{L=c z6=?Cr&(P$ju?0- zp*v!~!Ln6WEN6Owy-;pioqyMtLAMFr`{Fr(#o7{UT)e-jk@xYTav}}acMZMeyz;zs zx{Jrt^9B9r9UPrq>DDJH8oz1y#Cq9Ir>7&U?xM3gxb!gWrP}hvw5GGZrFnccL#{_P z9e4fS(XIo&L{42Y`;gTmk+*8`XoQJ;T=THPYrer_nk`{JYIPFE_Re*Gd4B%)A#cCb z@{Q!o+ahiKJ@U{P}Af|l!! z9ZIq4zxA zJC}`^wf(-=#*HUlt&^Bh=PjE7se6Mn!)nBm%eyw)!$?xAS4=622n!1Di*pm|*>yPd z+I6L6q6-~cwv~b!zAl10A0U|D&wc{O_AwT|cRFfa4DMOcypNY6G z|B7|(yZE04{n*tSS08TH+RCQjy8oDajj#po$Ua&tnC|L%T4GGDJ6~#{8S6X+S9OVo|j*M-ZVy7>o(r6`DY8SQUD?NnMb~5 z3~x@&hhg?e=H{i1H0S#*n;9<=1JzywMq5oi;kVe8fy)? z&uLfBayArcipivno@~#LZEpli1`nGQD%Bd54)YZzwL??)>E`#|X=mLF%X`vcHG*nt zdBYH{BVyl;5Al~2b4ls(3WKO_rXA8};3YGs%NM(?`oj|MsU&aZsU)BCZdJKyG~Ktg z%=PZ9nzv0hw}r|a0@Jn6-w!>C(L7H*iyB)|6?)4q*vlS1)OwtF-mKGAveT|0bzXoA ztNU*Cx7@qUZEKHF=sq#J&5a5j;HTK7#PWrGa@6h18#c_3ua2hGlKoN1n*@?)W=uw> z$LE&R`s<`7HPt(!o|ipc-qx4L?|F|Qn*>%ccOUv%1-1kpzDMtU&An;2AuIt+Ved$C zuHA-!pKpbA01BeP0(EbH6Pj&_z2yZa!M1DH3F-*3bM^x9)WA( z3d69Nt)7wJW_NSS-F?-+nF;?TLNm+D!@ry4|Ix(m`rgOwe6*GEgWz;vWk~z$)UPxu ziPkvYmNl4!OUH4(m&5I`1UTOV$=#aflJy(W@wWYuEUgUCv&O_9RLMqPx63UnSqh)XQwANgl!%E7YIbjP$w?+|FQ6a}(>_0)0#;$*=|GiMta9f!^P86XRLQB%E{pW#cz52mCEMS z9jK8YLn9jxPsghWZ-+!*EZ44~fY?P|`C(4-;izsXfsH0A8lS7Jk+H=JbeYgS$#f<7 zvq4I`@5C2hz;vuT;pfh1ih11F(b`QgC>q`%JWQ<;_=+?5%02iB9QaBU_=*hpN(FRn zhOzqo(d}AHsZWh4-n(BC!X|sVRys#Ld&$>Dj)UfvD{~j8y)doh=VrzQ%9hIR6EDJ)Kp4Wv0=uU$qzxm6gFdx7OR;Oyp*_e=bvx zts6FZ(F9g5vOd%BwLaN{VgtA^_7X@@+zp80JNFM%MSOl}4g|uWLWtO6Qp1jSAjK8L zGFgMPw>-}7pU%)`5vF2f2dbTY5>YB8>YxRp-+(GaztMYyKZuq$fw3wRmpjdmW(!XL zUjByuN*G>rlOKf^^G$)tRE%om;4o;T*n$X^FtLN>C>$cd`EJ=}Qpli_^jBbElP<%h zK}fM+Zdn}^Cz=!B_MwFV;DHd_KI&S#y`JQ59$YqTpqACw8lg{>xllkJD+I}WUQN6m3 z@+Vkqza|bpbcHq0YTl;DzE!gNg>X|ST7$KQf$nlTU>CMDTR9HC9BRcp0&*~=l)VgL_!Xm`X1<)K!mNR2>bFfnmh1q-P7@yJs5-FGQ$ zOqzH7_G(dK%&o{yGT$UMY>f%9cuWH106ZoFasVC^0674U$c;NPmQq#Jnv{;E3i&pdi=l-Y90a6;i(`3zooB$LV!0LTP9rl=^YHo^&TUi?sw>mc>X?|cp)_TPZtMZ1m zwQLgfCfWaxw9@_7oQbTI0NE8!o3KRZQCO%l?+0N&6{`lX^iYxWUZk%lGC6L=RfM!f zGbHa-gDP~Lr3gFJAp$$Zr4PtGV29MoF~e)R8Bn+62j#tPYvgHMk)K6zNUx(WOl0`mYIKY3V#+lsUWdR4MLVTL z_&db_5CVV?04@O70AK=u4gjh$_?mOXFqd!8dtF4(d+z}75JT^I1JDJ)I{-W+fI0wO z!d>Kr!NOr>(a0(^nu4hCr`!*iRn&Bm$d>Tdh}Z>#{z71b>y#k7G(oE&THn6M5X=NI zc*#H;Jy|Ra_ilRO0ZP!Q!&gmYkvkQ#IG|d$_unFS@baSkHr)7n8Jo-L)DdNs!5}NJ zi4liyuH#N-eI%_(HM*Dx<1%ZqKO;zn$|^8uNjMkRA&4aGPfonUQe_#9?%jZ-I7$vo zMgQKUijk$DtA32Shv#-*%*r8MWzOT(G~of)dlZ$@Omk^zWxF0VbL*L}-FOXIjo&8q z&`h(@Tf287S&rYr8Ea+RMt;4VdS8Ew7r%uqpvgH{UIZXX?)G%7$*dPiP@bxA#@HH(U_aOkjPND+Y zwWMD{QHiKtx^>qQ(tOT{mpyGRdIlULK4)$RfSRhp^Bw3gLhj%(LXQ5#yo~Hqc2k2D zbQ1%B4FL22M8AxMn&24zJ*hCQQ#%4)6?m%TVF(j^{QAd(%9RqM6#un1CIM5 zcTR8fYzgu8MeZzHEzWL ziXq-)=+#!}m-hsyvjj*_2Xl2_3gaT41#>BuMu#nlfGAxyO?G26{V)4FG*{A;Ll-)p zEiug(T#b+0rHuGV7IV*`FpKW?`ztCWmUuQn!y#B)VoIvqI_*SqxrkgXDuyx|Az_&} zM`c8J?O@n7Cg-?tYz{>o{zNSo>)vM5o5?imMlAIQCbC%2mR!Y_loAGeM~QjYLnn(6 z)mt6TjeEV>!^BGrIba^#d`$}5?29I91G~_cz~pV!V?iat?(*+WjCmy5i4<(aiLuVD zwChD4R<(^YMHNS*sQKYWIL-l&+fLrDXs7L^Zmh?b+kjc)U@Xdo>yYiQXVvm7c?KD1 z;l`du;#J&{IiGSBrVu}K>&sN))4*1!Iyx}t^h+ZayCcRZ$OdHM(nLmA$&r|2*Wng? zy*|kDy9g`jyeBUobuRDR9<}UlPsgHMI}cNX5|db#t56q%(h%zaX;wM9&}v}#f@X#B zDzDYezWZe(E>9J|lf4{V`-*5~U{Tcz+o=tjvQ3?X@bw9SkhmOY%C39JMXWLiOJaUB6Q#>=(h9a!u9LBid@!F-u}N% zwgcp7uJ<#1EW*$yH#Q12O<=vmoLcVB7=w3du!OEvBlFx~hUE=Tk4@{5ly^__0DGi6 z1FGJsA$j*OU?a7T$a_jh7czbp-M!CJ*VO2ads018*h%kan?ORm!~y^l01N=o0YC!) z6$x=mSD3$3N|@iv((ls$q#31v!$kqt`qn}7x40%rT&xOdEJf2@wzi-97vswy=7Khp z*AEl>`pxl*=kCOXS?JR%O5`SZSM(nXG30QQ>9fVN!Gk~?k&-m8+fDl}nx|rAhbTJ> ziWQ4^)Pu5Pb-m5jpUD_8@SR69$?xk;P3E)pK6YZPCTLDSAv-Mm#c2E@XS9-4Wm)3^ z*X(z(@Oq6(pi^AN8Y8tPBhuCHrhz(mKd3ZV+RB*AOhF9PB=bmIjMOe@OU}NOHh6~? zeNY&5O1;0#l7wl5NPWzciw@$Q3VMW|!3mr$%2V05D<2b|J(`h+SK(b5e9pYr`%VJI zB-!bYoS%$OCa`TdjUPQt-29r8M4NqUFu5YQPeq4jaLCrs=ICw}r`ePliJ^(>{OrzI zlM_6)SzyMn{G#`jr!^~=ukq=QrKid;s=p^h(!amexAnCFaIp9#fI^!U!o`Y+N6f?o zPsNhTkB?-QMRT^%npk*Qer;^UuUz|05*%*dpUA)b2&-0)5Y>U+t*0Q|Cbqzwk8MR$ zwCswhu<@;3JYsiaI;4KMg9@(hjzIR9pvV4TP`iuJ%h1ODqEyJf3u)7ql*!-Q_DXZG zAK7?tOUS~GVJLOrXPS<1>`<(`IL_*@qWE#F5QTjevP&iS5O$Z2_%3!AkJzsNCOomd zfGr=A93o4jJ#@u(X_`-;jz;%cHMO3XT5=1}mvC#cdd6t;`kZUXP&xsyK@3um-En0$ zlrTXv3f^VS@af{@`27f1ma2$HruirJAy|$y(w`{{$iHDMp>Fnr2vw{sj;pwX-$s#t z--b4eHOaTIX1SM22;{gA#08OV$OL}ZH$B1aYF>A}=d>i#?W%RS6RnDlb!x4`Tu{i7 z*260|d<(zVa5Ar{^V%n8tLq??ee6+rdROQq7`JIgYe7&ce>s@4&&_7ACs*$c}E> z{Vop5K##`PNY&Ag3S^tM(%1_}6$r`Dv6DEPv897;gT<_qkcD+g=V%usu*22ic1gU4 zHzR@2>%QJWwIE0@LNe8~xlSsKrm3G(8?8gPdLv4r zf7@M{H5xf0PTfx{a%W9gT$aJqn}iNzNbJ}V@8`x`jbiU8)vOvD??4YuTky9ZizPjC zo6^K2_!5_`)ndiddfI-j<$Ag90T-kmayqM`LwcQs_^W#|zCcP1)!6T#v2bd@uS)B| zX5GPVyjuu@V~7)B{ZX*~7zTB4GJp!(>!wo4zv63$ma86d63mno=!^gS{SR%sY}{BF z+A_1Y;-7RJ;v#VxCCJ87=N85=7vc5B%lV137&fcl#ww7b4xIfbw9yXa z6s^q!z?Llz*s_V?M>~*0es_fm-N-TvWcM~PFOhHwQ({Lg;b_S)QHe@(&~&kF!W8#K zuLVH1d?ppv)j3xGs#cBXK67LEaz3@2kxerl-C|l9qK> ze;_`twGySL&Ow?ufYoZyMU)7+H`NEx5mZW{NQY-xl3xxG086+F;OCU!gVc}D{6a6` z1Cm-u07lQzEbD+RPNsnYg1NL+%Z}d*t05NZr!EJ88UT6#m;zu6fGYsL0EC44IdB_N zju03BR~CK`DeZSoSKDfWL*;Nw;YXaw-;{6WT{1OF)MP9RWNG4DRlJ#%-~}d;t-Z#c z-t_R2gS#;yKyO;I-)kZDQIJWv2?o_jbB9k8;vtCYe7fZAshg_45HTS{AtQKu>X#Z6 z(x4@9tn$4WI?J>eJKeY# zJHxoxAUQ2q#H4)2-K0EE!=$`U!z6uzasGSUfAyob{ALNJAcIojYI;1m3SJE!!)$gp z1QZh9_!>xQHiODJ-i)1Yq!ndsjl(prqG8f5`Mp@2PlWF{eq+SkN>zi+ILTQ_L4(R^ z*H3RkmW8#V5~pFTy{@zpjkBThpKASBd)|LlH3n7iAKI!m8C05Ds@2ZUA zyLlY@zeB|19L7Y&<0d4(h;uWSWWHUIE6T1Ok;iL{Zij{(Bqk7Gi;Pj=V2LnNVPRg+ zWIEgoeP>2bwrmE>+7z!pcbFO(>_J##vDj3N)Mqw4f7^Q3Eg#D{VtvsavUmBjUlB% z_jkA0!u=JHRsT_k85iI(huF|YTuEjfc$yUI5OnF}fHw*i_`GpH1k!ZckYuT3A(;C8 z+GO^u{k;OK*(>R}8@Km;4pX>_up8ud=g)_%Fl>`1bY6B9jw+T2#Ka~;ka6q>tnWm# zDF=;g;HGS-c zJC#wKNc{GQ3t>Qk$jCFmBcVT(hC}q2loXg1Bt& zRH8lTKIoLz2F1!ZKdNqXUs~r@nh#701{lMw=T&^)y5H-NL=Ufr>L`E%LFRNy!ineI z%5zj2Q_ttLG%E8XTBWHgnPynJN{RPRkb1!9@8tF(P0Rg}R}ywtXfZKAq^U0Z+d`d@ zbAk8)T(%p(ZU+9|OtM!o#Dke-%A+u`F4MVGOcF;*$BK#GRg0-Qr>`7*66N;~(_ruA zc|t;p-LCF@Wu5SavsmopRCo_{Ng|ny4msSBP>Z$SH*t|2&|qG|>8x^c;n9#4z% zNeAR#CGJ$Ys%*eUkcC^#0m6wQl9b;~$q@}w6GbAWlXrDaY>kADjWEWTzdD$7&!OJf@Y^uwqGd)I9iD3wOYK5S__5U3t@Q+jCtFTXJYa@?yVt)&ffcs3Rg+0nc7d;kCDGQi zofn4YioQYac>6r5(bKO)ov(lOL;&r=Dfs%Hh)Tm)KzMu);~nU_+msg=3iV$|uKhkB)gfvFQ+4lGKP3ft^7E4uda z;bW;h9HWV)CuR3}38GVI?rZu*Cjd0eeYLxk@(eC9z6S5{8uc4F`kAL^$by#gkYr;# z`RtlmSmLwcv3pQ^E9F83agbo&?yKKGRVM-MZJFv>=K`gaO#76#H+&n2%kTcX;`6p8 zRjx5dWs36r89t=g)lD(Kg&wHbRhd%IpH{jHz~3JvPkPS~K-R0>_Hnys`j%LmI9XKI02R;*Bt@4niHZ8@YYA zPimpi3Ym(;NHE!=-A@Y~<>`y|Het{$ZgJ*RO?)=}O4LzN!FXV$_ z-q2E3a{yhI(F~>mRK$QBB70V})$A zoGSbKBAQ(NNjRB?^H4%%`>uql&NWFzwOhQ38aJSMsy=*~%S7yMz<{`9*kM}znq+(7 zXplzg3_m-zoq-}K#-vEANKo}NotBki=SJPzDSS&v%9a%x#_8A31>da3Avy7(NV81>*| zDiX|S`($X)i7x$sAPeJ&V0<;3;a8tb)Vf%!TGTpuo`=y|`KXDy*zdh)b%=bN(dy!? z!qMubx*!v^vEQ%h=a!b`o2z2fLvv6>s7W#~MW~hO-b~cR>%ZgH$-_+ox}X5MG|v$L zOp#3iy0GH?<$FGm>SE8HWd{ZCCB=h-NG!>bU>0X^VXoz1h|%M{p)l)W?ZixM?@F5a za`S8qO_1y4v&b#;LXgc*;tD*HA}$Xm^NW*{BL}X@S7Zt(kq>FzioO9EyjDPd)9_+R zQUKrO8IVqCY;1h8!Oq`>AROI=pw9}QX2bKjHn#q<(sD~`M&0`zXs+xj{s4H&wj{yD zM~ySYyRUSKuKPPXPG9X=K-$v!?3*?cjSLVG16cNIVBVWHZqC-QU8R^E{}OG=D}_sD z5XAY|G<|?e(j;Ag3)%#2z(L#u4F{p+a<0x3Cq|=`3PC*GCD=JpsdwM5L^&bEnGJ>y zD^_EK6)hmq|As}K{T+W4xMMviN9fBrQHB5@N#*+zL6*kj(0k#sV;U{c@$(=`paaq* z3Si(M61TQP=jqvShPHkckodP5*1E-~5&dJf6a!$k6Oic7U{LEuoo-G`1^f1WgysMP z#?Qd|V;SSix#C{?x(Fv(qaM+LFI7=GTI4~pNi_A8N>2p&%QMExri>6P#SMz&L}Z15 zJc#vjSt(u4 zv)1o?*`?@JC3h7@Z(j~ljYR8yogI$i$~UXMp(s%*dP3|qwV54=cn(J}lSJ976+7Q; zeEGnc+}morE?7ytF5qvw)<8qvBSWj*;Ev2$=*1?$uANP*D!Tc|d-y;FM=^)PMOyj7 zl?B|#Iw_YzAsKfA+lHN-4(}lh)?{IB>W>MB?2p-6*=^>J99{?pwGax4d?bPV{Z#$W z>3x$PliAdhsFlAqZQfTAc@SDM@mz7iSb3Rv!aV$B!bbWI*YgeD{K!il_Hs=&3;SA5LFb$rexh2yeM4r32km>DIT*p$^;K;w*0$7uqFHY1Su3)Yjr9jnyFKUFJmR|`< z1HqP5^yG?t)e*F84&uvEJ}MRPBjslU)Oi37=l88f{m0-&v%VbN({P!IO^ih0<0QSyGWXPX@hI-yP|MoKTQ)E-b}=qdS@`AG@&7 z*B%m&I)QhEnm8Ye8tosydZBm!>q&-*P2<#tWYn#dm6SA%76h28XuJe8cHN(wn9$yG zVNSN0euuAW19QNS%58b5li!=Vn()M+RC|TickD6W(o@L|fEk{!IMS+7Ii8ML+P)>{ z+LXtW=%_7X#gMQ#mp!T!>UgjRSjM;O^T(4Le3f9-L5}~O9#1l=Qb!Vm(_A(Mrv)M0 zYH*7RSqjBw)t!w>vx81i7*r%&9b8l}PK4XxO4|ZC0usk_%((moSV{+dhm~Xmbelh$ z%Wk@UNe6En{|k4y?pguZ%Z{3-Ry|TjSe?aXa2EZMIM^ukPr=1RGf5T7);eVbDR~SL ztc97FpDxA4DBq-Iq(oR*tYzF60`I@eqo@ul23)icK!bEN``Z>2z(7*h?=frE(=sjA z>5nee?0E!kFWUnaz$(27w9CG^gF8nTMOA5-Fdc^?ox&1v&H0?LoJ6H>qBBROZ;2)k zJ~`CRv~kn&dWFp0*>nL4#pYU*vRmz-2fN_K+Z3 z2lccNwe#BdeU%QNQQ3}@6fjP8_(Dl_&I!j`_31&41@3CNd=7GlOZdij2EX3h$-OoG z7eZzg2!2x{0{K41uM2(141Qi$w0&s}9&jmsj({^K;W-dmN@9AB*jNRQAbyDPU}Y#N zh_$zuhQ4ASskJxsU?%QzIT%9ApqT@RJPKd2aXj#P7vs1}1_ha}k`^5?wiR5`B7Y{9 z;9QE%i5pA`3Fe~E-k%EPA^SxU`6MzYaeiIO`!t^6$>p2|{85A&0w^S!BKTEu4^XwG zBx0k{c@g;x@&nz;N^-xvEYu?-)18e9Og2(W6+GgbzD?FCCJID-Q1iz~sWUAvIQ0jX znV0=6F0PW8%Wa~;UP=&Okj0MUfi6j-}&MIm% z#8X93#{!WvAC$d(OU~`9n4K=I%^&~t{7JsixiiUOJ{8|3r)ErTfH}})+46V+{aNp> zlfr4x?_5?S$gkvPH?x$uJP~yNeW9opu=ES0_unTSO-*$pa(E4MT#nio;DXvo{;|js zrSLMT+Sy}Wwsb%xVcDAHsk zV5BHLsi0ilAe^4&;?7~Z%8(*5ZYF3dY*3an!u*Srw?Pd+VBXRcsF>1>I%~5T0N)wQDB*;{CGmTbvN%e~rSxW^PZ2mMmMnS$3&15@W^OrF z@U4<8ApOrI?xuBgy>~kBxG_R|-ujK$T&BW)v{qj(KqjBEI!&88bf%Og7^82}c`4ZQ z@FnysdJUD|#%B7o7}%5g4Ze!qa6>)`7air&s}LCUMxU%$q~*oz%d;YX?n^VZ&i_?cvLPBkwu|L{DL@I zlwZ2cFVId%7HUz^$>KVN26O2Javq~xQ3^@L1l?sLz(n_IwChss0+tlN7K+LPA+ad( zNLUnxt6MC*MC%r*WtGXGvbL6vCb6kp$lpZouLINx|Xxg~Q_XeS_oT;$&!1R4UK$xw*XSd+#R~ zxDR<1=|!eum?=!ctelY?8`i1%UBIbP2A-@%0g0wb5o%m@chs(MQ^FpWV>1jBWi}2% z@0aCNfh<@8TckCMncSodooPz>q1KdNoUg|$>pM!olC&+B3b^ljgLV9;DWv{SQ?+CU zWxCPmrEXEL`_R7cC#9zLi$J;muB6WtrRFc}Of_vEz8f?9;}#I;aH{7bKQntvJ6-$d z_mP63qW3@u?tkfeuFdSt`K|zcT~K1sTfQ8krEKYOYih53cI39kZwwR+RrOif zpVrK;<2_DHIHm`z3jMVy_zs%b$+05D_+cuSE#7@qM zL^IN?8uNggL{ubqmx$)6m9WLMj;7uuU@FR+i6|=zSa_BGiVSM-A?h{NiY6d;NJfc5 z;~0kuj?f+@B^CwdU3YkEjcdp>JNjv?!{~v!-4HPq^R+EMA~;TaiIi9Zn78BT%`Kj) z-AsHGASnMUp!p+6`6H12N5Gx{5S0EAto;>m{1IgR5y<@&gaZWRLjXZK@}D6-lcQdM zBd>mlK6J2VUW*HP;Eti`-bAqdIV8ed(3bRV*i>J@52$trf~v&obf@`{c^BA4siXYS7^!1{rBGATvZ&lB((EE|k zJxxoOnXaX(@$jwLCpl@H`vHV6Y{r{fL%P?1l1sxhgmgrlawsBPv63|$vKZ5Gl}=b9 zEa~KizwE5IWT@N1hgJriI$8f`WLdk9Yoj`=UmdED$#2V#9?^N2qU)W-MHS|9*-0Mm zXGZ99czxv(H63Qx>bIE$HS#F4)0`9Xp6Y_Pm*^WD&N16F@}9DSHx;~nwuYOPw4Zz9 zWGkf9K-*aGKyKlnK-or};X4Q&)NJqEZFS|cYsXm|TF5c^X|AV!;xl&!-fK?KA`+w@rYhd!QeO+yKLXYB!*I4ya<|YqYK<#1yo-E)gC~VKJaLYP)|bzd#d*5F1NCl5G2&04L0(W zPGF!T#@C%+BI4|>NdK*Rm0985cF#l*B13Tjzi`vsS%(tV@&d>W1i#s~CXeFS#*gCo zCXHqwscY*p$WNwFdv5k%@3s=N8WU*g8p{1IWVe)HJGNSasumP}iK>b+_(JVMk+AT;2$w6_q3PS8Mx zP6z;C06+i$1po{HZ~_^yeCU&QAe8J#CEkTI#b9{?=FrxasR69g2f0yf`?*nKhq*FU z@%iUP&;yTZrFA!A#9uSkk1irt|0m3{>&6S5D}4?JPOy#)Xz{`yPvSo$_MUmaMjd(v zFmEREE$|gz55Ks(4S3^ky6NI?J^}KDcJ9uyTgA?s&F0UYERe9zwx^4a!`+V8oQTT{ zU)t`De?z!!e%@usJYWv^*6r8Y=S6_hk}LLk#)*SpiW8xA(uFBsU625XL;#@-AoKu4 zwaU9{_@l1sD>!q7FIP*kNn)MbGnX=e&_(v=UTa=&LefT#cvEdb)<4?(Tj zQV>zos7C?UhN7#fojo8+ve{AMbLfQxMkb;t6t|ElY@wS-^gfnJ_;{O-8WXC>9WRX( ztdgZhWQnNEv=c~(S+xaSx5afIrf8TXDl5$c1$1r0HEghe1JS#(K^Y<+lckBX@sn_j z((iHcorq6fqxeIBTDC2Ifp2$6LRbA*TQ-8-R`QiYNi*6`vz+ax*y7#^l8U-4YF4-p z(a5O#Gy(EfkkKpkDVod9$TnKTL0G6D9;bMFrBReK52iBha&Rrdtth>aSRGr;eZ;hH z)*go5gGjXA+Y2!^t(K1h$<~H2z11~=bedWEUEHgP-(`*+FR^E$BW2=BC@nQqH)p>Q zB}BdTdbRg)OcLPn0#~y&M{5u(Bw^6fPCJGs8Cw$`*MoJ-;PITuHj6!hA2l?xrx(mi zK4=Kw*?+g^`2BhdDPy>3=|wuq-LDuD*XX6XSE;>o!2+4yu>$QMkthTthG3V=>Kg0G zyP#THtv7~8^ouV*g$Z76o6j`bQ}CX~!9gu4%(K ztXGcV=6|I0+)9Snxqy=if;o&U-}tX1PCW^+fQBV zV5sfzzX1)LU>W{eWrgMrvLj_S*sq!QuhnU@7R>Tfl>3ox?1q=fLIcu7xZh{v8aU~U@ zfRKAnEg*$>59D8h<4^9ZE{)OzS{clUz>i1Ee{;4g3~wxBzN~S1||*sk;rV zE=+X`WJZ1qRP?n0t~4RV8FZHQuT1fe?7jS7GVcGB&4Zr&hWVE%ymRBAG|2QW14?Utt51=ZkB)7jB z!+)#6{-Y854~@b9)I|IOz0y!?;1}1#qgEoq!m6-N z4VApVH}Ar+PSUjCf!K0g{Z`>6DvI5G>5%78d{+|_=wmSQnugsoufi9&-~}5_7ssBR zM2cq*wYW$YbC94^NK`uY^wVNVXcQ8GNF;Nwp+PmBVr}u~P!qH!4Aw159?AaiigHtH zYgKQV;g-3v)iq40l(7-e6{mX@QaFC&%HbU%izKB$MY-z=U1=!dAQHYJB96lRL~|cu zbd&U85dSIm31$Pwv|&i$1-Ov3FP%Tk!4J8%>F1sM>rZe^uqh?e4ovk7ip^JYCjZe{ z75p&og-KPt!Yw`xlu8W_S1f)`N~WJ@9-1~uuWgeyGS2(o0+mJj`NqtYzZNJBf#-i< z&{u79NH0UB(8Hk>N#DxJ_e;;ud9%(3P8ws&!9u70-Lx5&F%EL|5G<0G7NM6puGBFc4vFLvN z$C*oic!N8ZX;Nw?6DjS!w1n$UrKr<(rGPlQWgwTmY5_Edk!zT0Ce1i|#o+Hu3VRAu z$#xi1mV8iCIKh4m;$LA6*eXAJ|M>0| z{DVmIR1N>+eAyt}iK@JN!O@YzMtTtjpH_W}Mb&Gzc#RKb{z$Sjr$D`DmXh+(Bie8y zIl18?x3H2cm$tH_7rye9zgw1S$vd*vf@(>}4(l|k8tnAmQuVF_;v_2Wg$znD$tS-& z?CClHU3wSd-cnk?ZSCtqN#f3+adw{tVq0iFSA56H!C*iD7nz^}cb`}eOJP7D-JiI%tYSDY zKd<@WE_lck^Z)Sn)=_ai+q!57BoH7F2*F)~OXE&(_W%hR+#xuP6C4@{78>`)Ex5Zo zjW_P@uCIT4?{mk!=bby=e{b~qs@JTVV^*!%RkgmZv1%^UKFaN^%urw+dG!CX@eGs$ z0kv0Hf25D!4R1_{VO^-GbT_O0?(<4>C%8~i8x?#R=Ij*|>SFx2#+l*&prJ1T0(vMJ zv7~BjewQ{TsE^2CM2Z>?)v+45p^R74~?ceK~&o~zp@u_#@+Jjyn7K838eqjvv;mCla z4OL%tq#RnkXDxyIaez=?z*buld-jf;KLg10!=>P&W2$ND4*W}Sn`7p*lYq`#|8MZD z5`1?*Dwr1Dx^M0Ox`6%v`00CENUY;FjkUY&w&kb7fBX7P$^YBe@On~!+L8?a@}x0B zaVU_E&PboW-?&w&83d%G|5q($>Hn>k|HDm*C2KWF`5L+Zb|h{^I5^}N<#qzRp$FYV z;QybR(a0WsBZ7zbXZuj=Y|`5O|K{K`Lj7-Hsds&xtS)3iweIH-8v2`kbG;Z3B3eV= zU$R;%7t=}}F`K5O?ZqGN{It8HwkWT-A;3g|A7Y#$>>}=O%?B`S{qFhu%3r8_09ry` zXnxefnWWx9?R#&(+!>%S8fSDw6lgVRy@e{DmDR?CeF@4fzTpet-*ge_9_!hhOQ@Z^L6=+s=s0bM7uuEr_YS0|Xrc@kq2l~jH;=rWy zhYiE)-3Dq#k9(Rk*2cK%FmId1Kepaq5YYbQ-uPC=^lvl55oi2+l)YIwUTiBO=BBj zTxI*e>omc2?*B{2{eRYJ{>P5mf9!z&XPuUR?EIHb{r{}f`i~v;|Ja%PpLN>)vGZR# zxBs(F`#*NR{>Ki!=6}DgexX%S#41xVZ;g?bs6wAJUEARh#fUcw#VuR!sYl9M>{n|ps)NvrDt$d$ z2pt4+eV{LYOHo`Hu7x)3uZ2vrHjUuCJ}vg=%)>ZVt6AO6=y+;za<_Z&ard@!(!vyI z$hj$f^sN>B7q#w2S|c0p2>)^znz894hkFcd=7Qv;^0Z5{I$jWJ3*yO?q;vmM?g;Pn z&ctuBygGt%Q6R|rC&mV0%8+}&n8{-2Md_Cm2ejl7_Z;z2UiQDX568^2(bx7O6;G=c zmdD!(69ajXIrBX_$u~Q+(mYO)9^KZrG2Y$Q77Fyc2e2av4d>V9p6}mQWk0tqDeYF9 zZZxW-E`T?QLm7TTS_Qmq3$jtA9B&GDAcSsq|ZkK~kp^JDSN|D9~UgQ}2FB|amjbk-GjTlzuZvNIIVnZ8>O_etOghDE{-Jb z(=r7j6K<$>P^#slW`oBw$lP@5b1v=(iQ&7tZ$VC?mTHxZQ4ukf1nprK-BkLlEmIe) z^`2;y)ELEsq_srG`~F400n*68e@3H z#;@9ODjXUU|1-#qlSv|(PVjBzEA~HLzeBU-TjJHYP(|?Vc0kxMkw%qXwul1k9Q0<8 z7#t4c4`OEMnTQ;?GNT~Gb!(*_5YD4I zxZ+PhG#5kQV9mWE6P^S>q2PEs?oWV5ZQhIU4(zbm#Ab{jzz&__wj&SmF>C$rRbaDi z^t&1MzIHV>`@Wb=AWP4IE7l8o^P9sspnJGJlar&&3)#%(hqPJp!k;3Jp~ze?LBI!g z<5wi$$}f&)#J~Lrq+8KAa4V=d6m8|%q5roQm<}tbjQRUNmDHUaN^5Fq(bx0O2>K~m zBU`iLqtA?gdI|X!o1xF)Zv1BEMG(YdCT%t9ayd5ue^zhn3n3q=BwBS(irR1%BxuCfv|J?&Mbk7yDW`lP3=0(zzx56X#CG7M~7!(Fl4 zDf<^X)Z^am20D&aqBCqy-Ako5;Dw&!$%_N>Cqn^sjLEO_r-3O0m5h_R0`=TQ301~) zJ(%hVsw=ql4}XZ4AKB#!`Bh@xBD#b4e*G>cM4`_M54{}53bfhBn4cs0nCK`M4y4HpmKq6bQcQ(3Uf43Z`%di9oKlvr1Gt&h4{QgdaI!t&|o zIG%KhIG$F#*go)3QX?5@^6MWoePnV-uX@F@glS)~;h-Q`X8as#cSBg6OW1+=)e5e> zuHJf&ob|eH>wO`y zpC}7;({NPkmeGo{oQZJh77FbzJkQYaiN(p`7)kT9Ib~(pbZnWTNZFzUf1Hie+!>OkR}J4+42mzK%4RR(3F6f&@Ea7_d*2kFc|I6O zPWo=~f7^HVt<^;aVJ2(;N@$AEkMYpV$;&~t&zKSv1R~lyVZqM&Pmn~>8;>vCM43TL zK1ZkRQ^6!eJc_nUp={onsO!n3=jh|oyBlQm#0i%S_Ybc$ddVpK6UPbI(d210$&r&D zR88OD_FaBL-YD7wtv_;6Eyu;FG}m|=^>SynW=>0M;#aw z#_t>7=eVw=g7_i#+04OOGFJfae3Fet-7?c%K}B5x+(UEc&%xAoI*)QA^rqhT<-25Q zd3z&`Y#$y>ttJmNz1eJ=mN|Qpor%@?c%r~ch<7fQkMX6YSUK&mWm(PcRw7;o&}h%) zjcWBZlU53gXh7k(w&+s1L5=LTWqWOzjU_t6d$Qq41zQjiIelp@R%`ND*Bigj+gRgcv0gKQi>}vMY|Mfb0PIzLUMgRe%*Kz(NvaMGlZL zwFN9KJwmp%MZ>v3vJJ?{0sD@Fy=!Z478YjI71SqvGr^EtUC@9nt&BPHR6>a8dcSvG;3ZR8Ag0->{N!y?vA-XcA#OJ>B-@*HsV0hk8{STXEto|w7D(1BUgL)I>l;feFi z%(a~kOv80}1qK+)0n-+gyobCb8zpxvzQN(hGG@uasIMGtpShL^w;a5vWGyWdmNhQR z1h>u7JdyY8-U~f>goyNybRAY+0Q4P#-R1!H)y8&t;NXUn z9C$)R2RSP;$OZQnbHf&%M`yVI?Z)w_hWOz3kuIbreY!?{C3*Nu9ZDjpM#*9A`Gskg zM(Kuqyhk;zn(o8ZWwXuaExjZ}Nt|82qQypn1N;}vWS(|%{gO$8=D*MiX7&ISZEvh{{DN8D2Bds`>%=r@ z?V>;hNpj{ha{K*l1Gyhyg&TStLkJ zsOuR?L9*sNW%uM^58Ige#dx(VrMx~H_^E9F8X_x426gtwQ1%7t_);yrZE2DEarw*o zsx|3iZ|aj4XE}9|v(+&hdNRcNsx#@yV_~iMvH898O7!L~;rkq)Qn{B@6mRf)S0*XS zCdO=$%&5H8BqhDd6BpPZcT7z>(D@WZG)ouzFthVBErUnM=oQ8+r|jgm)CZD;nzB5b zw!mZpK6+sCml22m!uU&uIE^9c$ZN1W@ICx;dLUfR+q2T`% zxJK9|>0bp`WhGG*^>$A1N6WTZUpAGF6poRS1_mbSAn^$pa(rMUl@>iE(fpqmql=S{kq)!OZWK%;{gv!IWM~>B4pkLzSTvG zWh{;GJ(hP*q1F--avj^{;M%8ryVM|}VWzTGJJai6*sfjBuL314OpKUQfl$5X2Zj7i zfVAV3`q$zh5s686k0hj+R}jA6l8sp+C4oO4!IL!+CKl74pMZW$qo+K(5`2(7&?yvX zlf%iz_h5K0?n7ZI8(Eroby)qHvAbZ0y&z{@Fh!njzU)q5Qe_D&0Rv>^VGh5 z7oNjzB~O`wvTs4iah6zhbC^0sTBodrO1cO2T!+wC8I^RTfHlAqb@bn+5LD6VJyIO8 zyNPH!g;dmc5P7j1Iroz0c;AN+d5yQ9u!qeRFcAV=sh&iemULWqlkG~Hzp^}Nd^N2L z!LZBWo~ecTmfFpHO@*z^Vzr(lNr}8&f!Apx#E>r5n`{1FhUCg?OKD)4H z;HU2<5@~B76VZuUuJiP6GH(zUVJ(Q9n2l)Fzq}H=$?wJXZrMEuI(yqCJI=GxMM&xTZ_myUU$R&Ng!>ar=-OtC)eXOq&zN9bQTN3x>Ilri=)ogXhz(9o7 zyK7@cL0qB+B>-W0d)BR<`qQPL8kwBj(7uY!a^RX3==^38>J@7-*$!ji|Mam){5ZiY z-S|%RIoCFyDVXhwSUbeJ9C~vvDCHx&#e3)IlH`@n?W@mqa$#}sl}4MRzN-dcRQwyZ zBuJSmHdEHH^xQ9dmMQk@9Q93w3;0~y-x>I}^Q0l)o3E4PPiB!vcgPe;xNq76;=zNbQ8CY>6) zjPF2s#W$y-1k+h!_or1*3;<~#j*q8AH1vc~q)@OlQH!gwF4~I1)0g+-bA@Z9T%KK} zfmURf#zc5{L*<`Zgks1ZQHzztGmff{56)O= zb;xMDEMU7;wmJ5BnBr|h6c?zm^9tmT_o&Xf&(E=`a`|~#+{+vn~w$0DB7*kJ9fakIP@(jw;E=BK$j@oDuNmnn$PA$8h&yOtI;{m5*=^ph$q-sM?R6;I3LBUEZoKQS4ZUk& z`%X(D%ZUZW(b=7?^r|761*0N~o7|`e3_dm*Ftv;^+LUy=jJcRqV}VE!*N=D=mb8|%}DTX;^95?T@qg0u)s%lX_;-scS)wP zcR7K>{IPdSfx}L++dSCpIx^d`H7zpLg(;^bAW^`J7vYoPRc~F&=5q|^Y!I>YUR9}p zhJmn5Ct?1W-YNZ4-q~f9lg+b~W`p?M%eU7C$!$2#En$~D>OtR?F)#MauZr3XavW9< zb_t17M>ix*NdIH?gpbtWwnLZo#F=ZLztxw`&hl+tf#DQNq<15TKFB`A* z+7y1|w!}^PG<`{*Dr*gXwu{P*X!as`YT;ImZVO(=j454~_}fT|DDPa_8U??JpZJCx zdnaMevir&%?eJq)Z=J!C>tCe9Q%=`glRIPF3|4enIDPrZ130~jRhLoY2A|jr4d9V3 zaxZpT9=(%~#cN?$<8>Wf!Go@WCXaug)W~g;FGD8ZZ{0=ya%M%}@#@+Qvy_rjm$6G*)d{iC^T;x(i9vhtb;7K-n?pf-*V&yhIKi2kSFQD4fw z+%nQV+WMbv;5?7`pQ__L-+#H~QN6WBT(i$SP55#ft$ypef9c#7a-PldjQVtu{pI!m zOSbR#<|*Q2{dGfqYG?J*+|S*w#Uxw-i=2-)UK2H1;dPNHoX%U-g}0} zspi%`f;K2%E$E3P=+63!ucYDF<>qs0XW3=vGq`ic(~vB)VniQ*QdnWleQbDCdDnw^ zK1D+3+KcpVO9SZ?aFTOsNdEYQBTRtu!h!0`5Y)w;}zOiN@p8(KlDxR&ghq08l2~( zFSp|Kk01R{rPXdl-gc5nuleGkNH5(Eec|=mY`}UJkuz;ygYA9z^oLv{iQ*R^-a8s_ z#(Q!`bfiso2!fE~xB}B?nIoEoVgobe)_mn>c@ZOizC`~j+K9VkrM(f)CH~c_XjI!6 z#10aK0sme^d4XX1t-=CAqdu5a8=1CewmB!NcElAlt|=WgQ5R#gDvtm;bmNS7;kwz@ zmmB>COXmWp;w=Qcuy>LV4ol zN65J3eb-enedG#+bN6r0bQc{cJC*+d9rYnE^pTDH z6JM_R`?}_8KTqMkr=>5q9;m+9@8%jEp6YUUiua7r^x|Y9>Q*3ZL;+{lRP^l_U02d{ zk8A#?%Br_yNaq(+F_#vNABLrw9&chS`5$Wylh4@|{e5l?kW2uh*IR7Qr(MFtD4y@O zW)r*S+A*6b$?+y{F8zqFAy-#Hy*=*1XNrM04SWiQIMb6@RZBavxK~1f??w=eydR2X zI*|92caV<&&zX2muTv-N*6+_QZ{FTR|K5Z6Sa_klsfK9q2b^v3=8jBWMOR+yyk4WF ze~i?>wWdGRWIc36ZR$aLP{!GgE^iC!i~>5Yh#Ho|J&@A5-CP0hsfnJ3A_|79iZFy7 zvYd*8=86nR1s>24EN#>>-J5OO_iYH{feY0$3-4Nl{t&1?=Sg?Szn_?PSFa%rQ9YyZ9|_aMkZ8I3s)3wAA`MrouZD@_1^HbsD11n-(5z zo4ccUSywlc?~P??G<+d{xf9||7DSTiE@Dug!#4G4^Fire9BA-;sAGphS#fXwBr7fN zKw7n(Z2Sq~{=u^`L~xtEg6Dpdo?qnm=$w{s@ThZBcq5unWgDLQVm>`KQ0n2v{_=+B z@i+ZE4P2@E2UWPg6#6b#3sy6gQ z9^*i}?iN&foHPf!Du)x*MuAc|)jy^km&cq3A^>kM*@w2`ks z1go>oO9^? zX`2er78u1ZAu$I{M{z{WL~$quAG@^f|E%FE{*d2QDh7FE`KBRMY!E!>C_fE9{^p=k z`EBtPmGFCSUUx4RHqcnT+Kwscp&`WLv9oyO`e1288p{Me<4k-gBoP z!?|kFdkC$AjUt7_D|@rEz8R~dtGhT95tg9^@Fl>k5AaP9@&S{o49v7erU*PUb$&z# zI_;5H%&WckxIc}((F(rxy=IHt2ycw%-DrQ+$_`y;Zge7kFTJ}b4v70ET4KZ|SxAU9 z^)%5Ln@*Z3kcr?+Qj|v~j@M{l7t81BXv$gBI)R_~o%VMUc0c+Xc1YmFBRWt16?!># zzYYAr>9_gNk8&u8GY6!rxKR1AlT~?d-2D+q-z zF?P@KFc=LJeFDr#3fWqbPV?$fX&}y_XG&|v6P|sKd`BrQWy-s}$v|w6iW|jK`>v1Z zB6#Dy_?o-;-*7FxRQ4|gUOvqR!XnG88DuAkW6?K5JADsZ7aNLqQ&TUYiiN1j_Q=dI z(W0g)@jF+LzHxi&ZVLuR>F=)w_(H&2fNx*Lz84baG)iE5jF%NR2CoFLk&7J z#tv=;2Rgv>9kSr)1P2zv-wI@U{znmp-TMEDCoVKt+;jl~_VHf`bHJ?cq9MJJbnhV+ z0z4kCAHYMReNK?_hXN@U8*VfTetrk0&qu$ z;}=Uwg7n~y`wMp*3|?HOEv`ONJN;DrLj$ek$M3CSYAMDcG%D-iIb1(=9mL*HzX2zS z_Dy`Dx)fw;L;kRz&1Zo>n;imN1qUs>$cgQV;h4khBie_Z(PgX&5!<8BMM*zLKCuyf z^)j9`i-|z#smJr*Ta2-??Z+(_E9RmWacxQFl2wzQbVwhnv9Y6nb|9V)X!)(0FnBdCi7y zQ$6o2i(RTU9?xh^1kuhyPo&e)dT)cP!#_n1y(|`v&Cgrioq}BS3%pneIYN{_{^DY> zZH1%Y=SU!dB&l8pM}_*Wu~Ys?{uAnFslx_T;ZhzcRJi+)b69Sq3nDR&y#PoNk?zc4 zf}~c5#<4)$CP+CS2j63fR%cP*+sdFw5uyH?=%wti7>mCW2McaQ@73Sz@pDzDAHv%C?iay2Fta7?of`kjFa!}YNK2evWm@=JToqNY8pKc zf|_>aVhet1Zj~>#iB8jzRBFd@q65N7o4nAw>pa@630zWD<`YMd zDd1@&rmfQ^p;~ih`-6m={u?u2QKGo2A<0Hsa+pn+!6^7#6^kP^IvgBh`n4M!kV9!! zLhuO?FxuC9$S!_VnQkj}1*TwFW)x|VFvi*`{mxav_IW!Ol)y)CY@swbmmJ5w4HtXh zqBC4fi(?Po$sMMCwS3Y_|4p%`BoW^T`|~W|UEBsZ@XR0FZKB>oi9J({26(qY0tW#c zpWwiP<2@iOSFyBa2OI_e!uv$}$!tYW0kuA)(n2BtU;&?bI4FM%6JW8;fKc#_rI0|r zQb-D>;V58_z(i~-aXvI`Jhj`u-!s~eux@6wTPo;+u(?KDrJ%RE8$q0?_5TEWUDs$M zUThAOP)SC>D5sn#QVyA+l4~UBvM02y;IFR;6yogTXs?aBqVh~5FX>62cJ24(nCmhz zfju*`l9ISjWHCR~9jHM!i}eY!|8jSdU|UG_e5*yF{u1q9g2NrFVmd72%8eWZs72woIaLAA{ zk7*&~cc$H`QN2P}I_SYSF>~0uU04ytksEsu{N2hH~Tg%o%=ES%g#%3(NdT! zLH68hi^}p0BgJCqVvB5I`q2DzHnp2l7;1(3Hj#iv^hb^mmiDV`PPbEZi<~3;@L`9R zem+-pN&P1AO(Fq_R_{m!x$f=R3r@?26D(6-WiAgHhX(2RCn3sSwP_P`<3N94KS_ z?U|A##YwV3(~&E+ZY!`~U>%(GnYlaTM(91#sYU-Dx0gzS)9~U`t8K+{Gofc(zy(5UT%NR-Q zH78HOQWKiOO|nTj;gqlE_LekwaWKv4jq&3pY7#-xWLH}o(LZc2JP^r>?TqnrN9?tc zm)h#s44H@@8KlB#88q*Be^d|L@?pF4zB^p1^Bw!M^ik*LsKIsim>Jovr}*V0|Kciy z`j?$F{rgEtf8Vc+g!&T-w%!vWN)eCm!ZHI@NqjXAek?Tm%0+kuO1P{0##6K8fQb1k zyp4wZ!=7Mnqzf&kFWqGpM0bGKUI_@gO};CmPde9!^EGZeNLL4~^p{V4?}Ex++B=v% z>ul%S6hj_%lu@>xlQvHYmuqw|i053EuEP*vHzy0&rKTCwmd(wrrUxC>r}_May`R;L zre7vyugv*nU#t^BUbS)iz6aD#4N8+4C)D1wvb5TjzRD3^6wK#a)%{wNlrN%`(f%tW z;5oP5)tV3tB^y}V0sq&8w1+*eK?~-3#?t%a>V6^W$wI3Qp%Wn z8b${^xCx~!1O~uzYTJ(|(kAOOJqht#6*qjAp!L49oGg@IZi25x1LdZEhs2;Pqb=6h zuP-nomkYCrDxM>8tu|c~FK{@VF4!`}Bc&n=EK{sbI=@bgL0LHvOr?$uIMX&`6v}pX zG+GjjHDL>zue5*B+;4L|N$0J%zPU#H$hz!ux*tZO<2qryl!lY*kzZ7Te50t_V!bo{ z5xH!M^rk^NMjJn7Z=>=%vq7(PoJ1X9&{%+qRLeY`fX2&3d-IICGjZ#kB~4!X)P$jObgGzhvJy9QC14q|q8Rb0 zuf5q~8FP~-xtxJ}_eFd221@Ds8~3iOx}Pjh@|lUrRto`AjDs|>0n8tOpWFBz1jHX8ZUB2 z`M9C+zF=^S^*A~&fkb(GSHqHKi2B#I{p@l8yuU?`i7EdkPOe?sM2b836ieB=t~W1> zo%xc))uN7*mbpGHOT!Z_CYrGOM3h_aY@;DGd*n+acvW0Z4-6v&7$glGwduQ4xc9%OPVp+ z2tgj^^XBUQ3)#T2BeY4m+TSQr2P3a>^^l|SATCD=5xVk}?q9u(VrtohfYe~@H?5)F zrwd-pQUZ4A?H3iR4&waNJh_s~Qj|BePhEwK+=4Ve(hj$x!%H4tTCAZbp(C@6bJJm(V&{!@7uOFsBGt)J*KBmi*PxquE zvq+Wj4gORfGvNBk{e!e)O=+GjP-0ZRJ+NgiWbmMnBhc4Jh|b!*fgWAgFq`E|(IXH^K`-rjG25McxzxMv`ld z#dSx^%}Q&q7vZQ}HG-~_b;-f}Pr~UEw;mB>%3Cz)zm?2>N&JSrk8^lwY}VxXL{=aI zNWy!R_>tYOTz1i^8g7ujh4xgP+YN<&2TG|8a6skK6L9Yqs{5;;lv=rxWz;e8TI;hu zK-jw5uaDGa@)0G>v)CRZ)&uNsft{Mn5Lr z-BisehFUGHOHr1&Plj@Wk64)jsG&Uoe|N#ifO9Kf>81{pa^(*$&{KO;07`ix6XB)B z%nribT$ZKu(z)2!bM|3pK3BJl$$;NkXRG_i;!vbEo9Ybemb^rcv>x2zaKgQN5_~|d zFDHAsK9Le?E}OH~T(0-95AA{3Sd@i~o#Q-3jr8(|H!qJiF;kx?3mGNV;wTHV zWUS<T_-{Sx73!^_V$llv+^>8z;KZT zpgS{G29Y2o{TWD`vi103Sex?sho=noSE2QbOkWCw;nN$te9X|=zBaphvMY4K_czLN zy7BS#U}`$?#kgeoNlHu^$VL0h*m9k;tZV#D4Q_xb8j9F10t!AKK=ifdk`R<*34a47 z0Ih<}DBM_(GBR^ld)`;VW}3l!-z_6W!T38{ya>d!7!Ii+9|d+ciD`w;M1=W-Y3FW5 z$t%MU=YA+*l%o*+WTTXtv`RS9av_6%>@{xElG2ZhIG{H^E^Q~pMJEsY#WyWs_^b-u z?y8i%5g!51+LXQW*Z@1lZg)${UR!vPe0RMN)&@qW%=mFyn8LAKw>yxsmob*(d{E+Z zIb3p~?6t-QKv1Ye%`jDh5C&~lf96!ghnKPG8)PuI&wF&b)1OYG2};V!DNzj2%`$i=$L?N$3Ydh+%PZ#kJ{}Q*MGhFi1^K3h2Z>cte}hOheEkC&edCrvfb1 zFCM31AEvGPE+bX=0o6L_;NZP>pFyZXIAtQv8L5JjBNu_G5W$ua`(Rh#FZz$fmqmht z%09@_ZDShnS5r$ieX{7N<`>cUUc{tjb#R>|zc&*kKk%-Vi(o4xR@H}>V9Pe}7YPOO z(^Hq`%g;6{by$Llev3&wnW7XhP^DtbCrJMTzd<>(=Gf5R>V#n%0fH?8qp{|bfad|+ ztUaKRLkHU5L^oJkY0dIA(U8v5sE^aW3!cD3OXQ)Er=_K2r~IKzhvT4qbw(|IqkGLJ6M8rpxQKd zs8DUw-rAZ@kkDc?4}Cyd)}QfjL4X36vo`^2AEkTy#kELGgfFb@{>)yH-&y~N7U-`xP@IsEcP@Am$)d5RAh@_U+< z)<{qkzYZ(t;!jSm9MGkO@J73+IMAEtDbcY<*U*FCAlnJ2!OPIqt`VG;GLi_1Xtkxy z@ceUP*{6ep%Yau*9ocj4=(0D-ys2yQu=)8|ZN#8Y+VDJ#;Oc#! z%Q47AY|lhCXlkCEgE+h$@9?c7;;TVIqg{?X+U}rILP+j0+pMLPiL;+@;|uU=b84t; zs&a|66Gxa|S;_%m?B~e8Avruew&$r6L&C}WX2Ot26wK<_x>uzhasj~QWBP27RJb@0 z`EAo;0OQMR(_2h^YFcB9dMbb;N3HSxuR=5nzDN>$0(^m05+!v!1wA&Gj;S~1udE*Z z&yah`@O^(g`uk!J50ioK5TV@3wG-8m8{^yzCD2gygEdJsCaQB&dGp?t1}SDJ4(bTx zLbn-GYvzy6edGDW2ut%B)3d^k3)e5|a8xhu`(xmrYL%2S(w}DC_sy)}m)tC`;BK|5 zedVTf3aJ2{6&wB?{vDES=bUo0GTfN0rp-v0(g7&~M^e^q0*?6DLPjFN7`6IMi;&fX>81!eE`D|@Ao)W498~A4 zy%Vvspg)zV@yv$(j8vagUz~90zRJI#EQfzS0XD0qS9%*rg7o+SYyz8Q93#vD2@q;^Q`*ncL)or(hEN z6!thYbv=9H6!y9*z3H{{x%edgHbDnr8@tz6f?-#D(j+gPf)Rs!SrvHk|IBzowqqk!8A|zLw?0z>kc?R|`U-a}yjI3S;qfuip7!{VzT689=eF3;QMJv!a2bHLY~B)St!VwC;#Ku2vg%pg|&n0{EiWxnXWV(^>35fn4QB0FY?A?5x z{(E*RE)6>&S$bZX_|v2U9)5mC@2W{3Na0;YR6`Gc+CNIMTx!zhz zXBnLe_9hSs&08>8E2i3T@=FE`dJH08lj1T*46+=iCh#t-)l4MJ*jUJ<^ zrgt&Fr#ar?zibq^?G{RI_zJ2LzKF-gjnM)v6c`B~0M{Sy>zgXc#^_W;YcZY-!q|_r zn!P|z-9vrwk^Qd|bEZRSCtDe(>z|rH%iRJGc=RzOD5H!2FLS-#x** z?iM_^vSQtoKB=)ZJD+tMPwcjV@uYTpdxiCf=qBg!IqR(ECI`FXT_N(KmWs+VSlpa2 z)Q#?Qg)%$vslv|ue4nF5&GG!vm0Mt=MrC;kT&MBzX0!-iU?U*iQDp%iC$^qZzqHi; z88Ctj=0e=?5iad{^qe5|vY@}CcO4vY-s`b65#avikOpUd!GjuRL3Ulvr9G>ylP2yF z_5DfAL@T+J`^2_s7+^TPxPM}6%XipwP>RHM4GYnET3(*1>D}f!?IZo&IqbZt-L7|8 zQs-3DFm26K>#7sYX|tejPL^x_MeZf*CvDHA>o=>GG$HK|C&zBf4s-LCl{f$ZuVP$v zj1zJH_Lf;&7gS(ZZ`smL)bWG(NCFXpUHihjM4c0>@+GWrF8L10k9Op|v9XN2pXSy`o<_GH=m{a z5C>R0`5!@)I>DLPkAK$9GpS|oqguvn9+@b6u)a!-hr>^{&i?udhDw^}51>?1)v`@5 zdpn05(oH(rqkZ5o-=5qyvQ*`g)Nmy|K%PvG%9B?7xED63$R|8oFng6SXa#K1F6a7l zfR=DG&(&*C-o%sc8Kv^wk5%`(wpEFNw&9#Z>uFx#!>Sapu+hB`0OxhwxcgjEfi)@j z>y*a$x1yHY2Sbbr3);YzNw7iDn24V)1xeD1pU=$pP)+Y+kYmmE57uex9mHXRTcdlu z@1-n>TJ%~Np7mi?iho{x?atsXs!52v>Rq?m>WGxHea23%nY$jK8F?ccqg>b)j6W*= zs{e;^sYk1({%il{?*SD;;dl2^wk>}NQ{HY*;6I>EU*HiB_ctQsaSZ3(i&uu8THwj9 zp$V=G5y>A+C*)a~PFp@x&F8>WN_!?{o8DVvYRvAia_-|X7Qbg-&%0kBw8TmR0sd;K z{6Y{5joRV&zfO?QDNGn+)*z%r6I}(o6aQ_E`BjS$Z|C6MUOSmAc4!S@j8tB1_Ce*h zLZ(#4uJL`M;){~-Y))MiSWm(*9BG;{i@Zr3sf@XW}~4 zb|`c>R9h!Xio$PX5;lW(uC50ngC%A7F1fzxzumIw^yqITqid((+BO zfVyx&ij}*1eCKl9{Qqg|s>7lRx;{utOXm`jOLs3J9fBa80s@L4NVBjsQUcPsfJlha z4N}sb(y)|t*8=PAx4!T5{`dWH=lR`p<~(=q+%s|Jo|$t!NoaSaLAC4;ol=4RR+%^~ zL{saSTfdktnodgWy|CX=_D{$TA)M>;ZZQ9@DO8%%gMNlmhOJtLt$7AZm3Gn$#~?37 zfn71LrSo@_3}8a!@C$TDw+t7}hR zL0>e@Nv3eg$Xf~;1{JaiVih@AA`d&-%`Cf*rO~Y8q$;@#QBtyG!he#A_~X?g88koO zN_a=axag}dWa4^9B%^_878+#zqx=Jf|0vmLP+qS8rE{25l=<6l=h=mYvLaNJW^Vb> zqU-iEtp3g5&;2b2_w;*09`y$7>y3(%g%~5ng~9d>ma>Toy#bEFKRdL(^hHzB+2%`z zVZL^ylrEUFGUbU2&sae6mJEad=wqJwAQvZ>`#wS4!zk}k!|wOSzZd{u{$dCAa*6$t zqaU79nfZ)1OYaz@q^lRo<3Vn;=k2dkSMz*SdS#!K~o(()^P6!xaN;&^z zP5E%b9OHSr#BOk67x;8I&o%McPrUu9GG8`%`s?6gb#@;PyEhk=Pus~6SqF@??c`@F z*FbGjW$qPC{*-%aW2{Ar#e%XamsA6%MUFy z=s|-#T{JjPO%ncIeBbz_Q*F3<^6vdopT_)P_2jBvzp}al9pUBOkf|xBMt{$NiU>8e z_~)8fEmPC5n4QSSHQT3{&u%1X^E>fa`edsHPuqDxkVNJD%1(9Gz6$O?RYjSdv9FN4 zkMC4w^zO+5Fp~RhojaIAC===ndlFI$BmNvdAEdax<|=kBCP;gxNv`Gm#-CmMsfUV% zt~tKgAv$|mAv$|nec^DLSW!IvfytmK*@20Mfu`&?Iu>G{FW+|4#J={--PpZJNH`vx zP1dxh9~8?enf=4cut4F)BHtIG) zLyBT%9P45lD!#8^gNiRbKw+B&vDVz)*khXwAo=A^ga5bmy_db;okbpXoE0i{&6|ng z!)rg`V%n%qv%unv>9N5HI^iLLLoQ^g3m1j--EkUiVv8Bx#$O60bOiGfkK~sZr1_89 zsc-73Z{n$aln4VQK3c`33|ZpK)~?obm=f9TYVH-<+Gi*)%&;28cF76)YxeC2ME#IE zCUL#Urf(k#Jg@~;(N*ViyTdUh{9h*I>VGH=|B>eY=8V!`<#I%3Q2ga~h9aA*p=a5* zmuzFh?D%urTE%k#*sSUXG2bbfl?F*w=cU!UZW5#M(`$`7^w02>&0;ybvgz_7Outg? zrX}p8^&|QZc=>@+_B(@~=+mRBs>INt!o1uHx{W<+M1<00wbf6#BQ8|QmA?6NB@Dj2 z%23}Ou^Lc&%OAMI{5w0n7eV|?yy{ux4bxj;;B~__ZXR&sVX1nOj0Zc{zu-0ZdcjMs z^+hsuS`VX^(6ZV9BLvZKz}H4ab;f^Y`(;}HEB}_(UJ0?HHs%j-(gy#p9j$s!=(FKI zkea1Femg2xX`iF|nVQ{-2-tha@rS+eJu z*mVS7NjaBY@{1~wxYPh~v*O%H(k^2jPS-mh;pEqD7}=W`VjQaU_1An4QZ6m})`H=B zi%jeE#U=eO;zB|G4~*rW7mvi3nTnihmEQdPwn_anKB{(;S^DL8`=Mo7>{fMF`if4Db$-ml8NpIa-IC*#l}ExDpMJBl_j-9w z=z!YA3~1LaGtzK@m_C5bN_{9-JsxJDgE%*|jyJvmRxI&L62z0t9+;Vi_$kixH?GB6 z7xrzU*O=VDCrQo%C8>=wvjp;IuEQ&P7d=FF6@O-aT_95*VC6g>fV#h$wP=YntU55K!6WTo7Hi~djTf(BruXp|Zo+q5+t6%n z3JxkF(be#IS|>FUXrauKRzalRrIO5wi?Rk@-s=dj$$f`h5*eoU871hP3Uo$A@Srf` zYH~u}^4<4TlaUcG#gG>F_@TGg*MxI>CZ^T%e3L|x5A=_-9e(_%`n?Eb+guwA{aNYy z=9g^y()-*HuQ041Y_hwjKLxOwlTSUn8A%5cDhpV03sL%teQTy)E+nWY_)Rh@E_c5X z&b`bIH*=(teJzdKpyB%h%h2IW5s|=JpXNhOVKW+I{}9?9J#G!qw{r7yU#d<~Flo{6 zF#REV+~<&<>BL++&vPKIXt1HsqhWjTo-Jj5Kr~eLyc4lQ&8E2jR}gYX)+d8$EqbL) zlho%xt*dqxDZmn%wJ9!7;(pHC;n3gxj`_w{m2sE(U3sZiDUl~}Edpj*RgGhnHGIpA z<;c74L4!_0|0T))l0q7NCE41h_L?aCCti{}T&4Ci_<{ubCFE1ERR$&GBn#X# z`0B2TSmhk;QeD1#3err==0RMiY31Mn|J3s8o0E(~IT~`Gt8|_U`0`N10N)Hh+bqfxNp2RvFLLPiFa4QDL7vzGq=>;wOO5GG(L%Eg zI2_r|zd!l7?UdGD=afyd!ajSo!CY9vaEq^!FV_JIr_Ous_qN3>QDT18b zl8aL>-L;f!Er7%b0&?0ZY}EG3+>$cL!>Abuz6y?{b;eI>N_4CrB?Q132$XP8bbpJi zj4Tp+@+&m;@I?oXs^veOaD0h7<<#jgG60T)8o&?oqCh*rs&1T>je$+MBi!8D*oZ}rL5~tJS}6L$$xh3 zI+^~A*db4DChD2^xO1pxxR(%T3z#T_X~^Ig$6uaHj_dNR+DnC4f7|Hb+4q{h!~QID zM*^7e*qAESo~LH#lB)t}{zHO8OF9HlBhWeN(=REu8Jix6T$d47)n zG|I^)KMT5z(-wJ=Zq2QERk{$J@8B@S^U6Fo2==`pP-A^%3AE?xZ5~8NTFSQdSk?09 zN(6(eqNy5JmV+g?AM0B=45B_g6`{c_;xzkJlMTi z9sP{%g>2oUJMd_r?}UP~Y4Lm8XaBB_WHdb)3XNk)x_n{tlIL0yTct*ITzjnCTRXue zMj}W-xNxvcE0m3WE_{4$TyLuYz3x1}oIFHNF^8}0kCF*>0Hz-3K_I>r9?dg{l8EWI zlk-m;8IxVGL$_|p@IM{@U7H(MaN3jXk%}T$?rTd3C&Clg{{G?FW$erOI=h$8Ro_3+ zm8eWgq7GweC{yM&V?DxDf>FKHz{#N`B>4IGb*N)`wLzEBR}zB8sCHHxOgF#f>kT~x z=hw2^Huh%0dG#R}>CP>3sy!z6I&A5Q_M>(RNh=$Af`RE zWy?L?7R%Tpx8{&lv^jyjuRC{k?@aN2L*LheIgFB6WM#9BGl-ZG>2YGi-yq7PEM>?s zaje%MQ%c{9k0%S#!KSlQQp-$T?jdW*OX1)(uH;^%c8uU=epE?90aLDUw`?=|;+A=h z^BL58zITFTC;8wtu~##LstJ6%?Z!+~Loh^4@}^+FXtx8~65Oiv3SX}%Rg*_Rhpd|c zzt2uK>%7g4o>sH&6koqgBww1=kNvTh{jqUZmk)K)%eg#L>g8?6uM5Sjc)esucq^-Mt_+SSE00$? z*ISkbS@Y^l)@y=D--{%*u={oyUadb}J9g`kvRZN7Sw40X1zBW{Q!Us1c-@o=YzVpS zvuV4WFW2}Usm>hvDrqrqRjxq9LBlgssRts|zC?{BLZ<||*2>xI+~Sl_^f?gr)0u)) z%niFYO4LQZ@~E`R9NE1Pomur!02z*^y^hV%!H1G=SN+@{Zfdw&SR80|7yyFeDo3$Bm5Y3C;|9prS~GXQErr*Is8qcu z8LXTA{onf5wY(h8|Jr>f|2_Sghd^5F%$-}CtgL*>NK;#+W#A{V&l7)^KZxc@ug6Hf zS2K*52$-Ko{l|lwEJ+>w5Ma9e!gsaNsS%-~Il67Nx3KrQw2`6Q0!kZs&uZAx3^qvIf za%BsM*B!r*&4A{=-CfF=`D*M@vXFg}-a6)%VfM|LpzKZ`^;-#*Kk8JDOToyX51S}_ z<%AUaaB1R4j2lXm*cKlNh)9V?8KzGxZv^md<8+Tqm_Ruul^Mfms`612B1k)M{)u|m z?;g^y0n}JhO{5fd?;#Az3Lb-!4GP@}ApLU6ZX zceu5?wYCPN?Wl7a(jm+GMz(Lb$gd4b2Ba)v&?Z#A_YM~jDgX$dc-T%-F|UQShDJK@ zAy|4BBXly_1)w-BkpS$o1TZAdo?Kt;;W zYJE#LT$?7aM#dg3_uzm@3^UMLNb|HI*=nW$-bEjSL|F(KvDiO93YKXw^UeJYX&EAA~A=i z)A~l>gPT}jZdGQtLVw>;jUvvCmzec3cT!eKTyIk=Q)wE@oxFXUKn+VRE6b}&lsJb9 z!H%luSEwFH4$c5*Cp@o(Vv0)vw_U)xbWwTVkaCdKKB7z|VpAJ9yI` zhSfFg31{A*033RSdc_rFO8OVY5^+-M3P|3i43VcLD|Id283|^5Lc}C?*I=Bs_g&7P z7Ck`0pReutx6cO%)~H~&6o^a=6jPWDPnQiFoazz6G0aAw3l$A(!-f-tol?T8$iUGU zD7x+@!ZRoZLIe}Z+ucNPHjf`%jseMospG&;pMZ5kP!ip;j}Vw)ohBh5m9q*Q_$79y zR!FDf+3F*NYM2eM3!;3sP6-2XW;RyY=5}pAxP+Zj!JdZ)1Hgt*0D zqd_ZmvtSoU_be0xg%w7ME)@kr4>K6h4HD=AX};GDfh52HWH6N0nFTid7dE6514Z5K zP7Pb)agJ+BUnK|fsPiSqzD89^9krmP2)=n+`g0j9kh zpE-_0PWzMzFy^jBF$UJ=oNf#ypf|KCJHYdw}sX`$qpZ7bw=LDD8qUKHPe3F9DL>3Qw zn@{U%kGq^F59t~wI-I-gpbylnvryIZcLGiiY^WT+vhgu zef??^f_R@McAuV+VIo?z5r17x(K$TEBpa z&Apz9ekJw%AS75Lf2|J4x_q5~_h8Fq*EaA2RCToFLJ_`n-8{lk3I1bN->JawNjLik z?^=FKQL=1QkI*XjI6qyaY=`1UW2fL(wbFTH-(tIYz(C4#K|$oaGt0G9&PSllim0Ic z&x^jDzAd`nQyw#YJ3IAqaVd))AX$D9*%NU8{zmiVlMHK1g{}O)^Q(Nj$kof8vcG<6 zf=agu8)S*~VfIJOe&f4g5ujyV+YQ#RfoKw8yo+B0r(GY1ONmfFU@oEfn6tU0vJEb* zB;9c+j&-T^xB)9l=v2(Erve49U?SFs-b+=yw(*$zN5Q|Af^lPl_pl^1*75M47Dsrgymg88s^`!)U)=moRUBFY1UmRYE<=X>pjGhNce5q~(VwkMCeOVu z1%VmpQ3@h*csj2foVL7ituL3cY&9H^I%GpFIHPTT-nxIVIdb7|ejq-9;tI0Q6fHh} z{sJ95TIcng$?o3?#Bnuh(dN!|2o;~FQ)6p{k92z8KY1>^U^8vju*$IYAVL$z__gn; z3J6z7B!r(&=7T6^wDq%v*zXvg{yB9)}Lu;@!SYFEFe{uxR@u|X^rwOzMfITtW zEUV9Eb~tI~%Kb$O&^st!XEW4>vyio$i@0@#P>XatqLaiSeZdShfQRhn!`7QwD(gQS z=@q`p9ZU%t?mM;Ft)lY2kRD9?EzQ5XUg&GPd(f+H#l&dYx2t3dmN@#E@~0Zic>iUv zLXQ~|>2+6JfEO(>2FzQje=OGJv5BVu6pV!{ZJCi*TnQH#ZK3zZh2TlM2x= z6o4$>FynJ&Z-0a#H|8|(VU(h>@sp_6V+@7$NXK))wqXDG?+tvLwYI@Y(JuY+LaK* z%$GqF+&{kSzHD+d6lPr^0eSdwmQ}TUe&*qKa`pjscyn2`Fz>!-!Ue6W+wX80ki9wQ zm0b(X11eladND4vAk|ocr%FnFEjB-doCHfctus{C`kf7Y_#o~nx-EXd)w7{LPBr*(MS9FVEQq6^}WS@g&k)8=BR5BcD00=?KS_^K)49P-4|x^g9HH@h1E4uLri$#P{Y+jY{8S<6 z^*?v65`oNCm`*9fKgX^AdT_yX&*`Dg;G*-Gey;xjspoD>IDV>j8@A4&v~HL&_t-`F z@eR~b0xOe5U~{1rTv1bYNyipq{%zLKS zEj>1?K8y2{dfVWjc zo@N0CE0B}$Ut70WuRzcd6wwNKSR}xdBN23fyeYfB ze6B@O>k&Of+N8n`5WrjXTO1r1RKHq&O3ch>iP1MQ0JjqW7$$BW_x^{@^WYMpAGuh7nj#BQWlBUsnM&+amjxEYD(4E^pOuY1t?EBP$&pG}}S#jJzMS`I%? z>3<%JW@v74ss4|lK`&?8jIntk92`E<9k0^D7{3nl6#5Gkz`Nh+?!h5Tl>Enl6AR#N zNjCq7Szz<;h0gu{cQ5q64F8{iUfX)o&U!9G?0i*nVsfI^gYyAR6U7V!-M(gN4)iRp z1g|QFc>Sv1V^Z4K#Djz{$d8}R2Y+%xJP8JMofm7*4HQ8NnX|n7gXreZv(KaZ0kPLs z^MHSDKlVplD{jLFk>xh+9kh4vr5U|Dl{uY6QPl3yY6@R?0HVy&%$;`IuG@S!hYrX1 znlX5UG>VpPuRF3wx@Xx1qQ9K%(n>Q(#~}ycz<(7O9!bc;?2Zu42tQ}AB-y{;J-f#V z8jCuC z8%by_0yK2tAMUpv__t+X|CKcAN|PCYsKbOSVA6=);=x${YW{)}Y(x3F_<XNGVOCvK97Q51X-W_Qqm?9mNwBV0-lXn!uD{CWp@IE{C{92!6d4xTv1+z6iPngw^JiFBT7n+3ZZ`kFovPnRB_hW{P34QPG8ggo7S(U<{~(r*GQA(~2E zAubt`$M@%V3`qZu0y!er?QoybJE&M7T#zR*ar$P$rD=C3_3tGY&a&ngkXVK%(oHvO zz4}s2^BU-~#uKBk-?9NXJxu`X87o-uJZ#;TTB1@8=9}dqFNcwNH~R43dFr~>04-?< z;9qe@;$(u|)&&!8WRH=mOFQBSwKilzcJQ=YqIG^Pgr{W1S|QI9siq6%1eGAaT_#RgQcUVzJ@m$K%U)G@GxQ& zVbcy0y>wDj_yvCe=(K)++KbiA<9-O}(tXD{KsYXF#3Ct9RK;Z3wi#Xs(xq__QryBlz4>73^zg?=Xzy8dSJFe4M zPq{GVI~HwnIrZ)Y?p&0}HwLN<-)S!+!%l5!eJbtb(X=0lb|bz^d!6E&NU?V)#8m1? z;L_E*3x-Y!yKT{>i$(30zwm-{JocY7cR@Ud%d@9bmFsGt;J;uY$A{;E$HK>T4~Zp~ z*5C71btpn4Dd)$F{sLpV!;cuTG>xtz__U z^CQsx*D>De+-E7}iY>J-aakT)SXO1t6p}@iV0%S^B79tOY$ZwFQ9jF7?;aQ#SsxkY zgpHNAJJ+z7m&xb*gIRyXZm|pQd0pnZaqvha_|@X{`Ol|GF z2ekBk>gWJVB&r;VcSX==B07)L>&;1htnQTzK8QVJPl&rH@IDmk$c<2GvO)v>ZqF=n zbZ7P`t#@7O_ly>>By?xhfG!fKMcaamw|o~{NmdO(l4tT8n#l6c+kcf&jROGE(HEcG zajT$e)*HPP z0UMsssk;RvI9X(+a_rRBH=#+qS@>Q|NS7}1$ytcS;M;~SW7AkW$pE>ehbm(HABO9* z%vy#cU6EW(DPA-@y(vHEd8(O8_ddSA2S`emhUVDTx+Fg1Rj>TB4$L30d)PjXClVlE3$PmyC>q5Ns!Z{U)jhX)RMF`@Yl9@)VI9=qY| z3Q9WNE$mqL0+7qPX{(c1eT=UzzZFme!DjRA;qjBMJ_g&8Z*LY<_*ctPjljzIbRAm+DYRC;%XyAV^-IzUdc)qKyn-rx)*5WQ=SwYQaCI*i$(l))Df`q6AxRT%BqX%OjCmuOh+8 z4qy8U7v!&Q;6#p_81`gjmB7eg#@Qb23Uch9zs9`ck`oZwMg@jkDf|-w+sgM9q4?%v z^tp1i#X%>v%i3x6wsao~&QM=Vp5v!qx85d;Jqv+tmq`aj2gD4H-s* zB2^DDA;TrMy&?*zc=|Xcr$(09-R&h#B!nBJ$aBB#Q0sX!Ip=uAKnh8+!Ti^R{}0X(+&1KtO@ze>Ff3kbk$*)ql6Hz~>#%zCT2jX+I}Oe%8d- z1n`A0`v!ixH^EsTGIGb$*9WSD==aBDoyZjD&N1HKOCf*hef*+?YWKt~yzW(1a`iHQ z^xJQA_w)YSsUm)fB@Cs25o7M}BbT$q$^(^5j^@+!k@5Y_f}>4MC-362$*Xzyt4bRy zE31q0dzTSCI<^ivPLA4!d!W|#2>;ekB+F}x*S644mE$X!S^naa&-Jz3r+~IDy!9Bd zXT-Aj*)AuN-)M1NteNt~rEbp*8v^he;f6!Ws;bengvSP8@?(A$VpHEM7hRqzgN{_t zWv8kMHqA{NTq`qfo!W{s>gXyH_f{!}GRwabCfTp+aI{PT@xML`WOk0?3m_?w*3gyP zT*+dnyg1fOrc#C>bTptB?Vjd%5DvFCGx8m_<35pr4i_Z?uR}2SZaYJKIW-32cZRTy zi6qnyU4%3$Dm`vK;~ni~I*%EzEbclBY2j$UTJ?IWF*#awtvAPKM^b!-RRwMKXiQX;_8UjxQ)egUWK#U_^6iJy+LA~5J|PeJDlmW#B<7lNxhKdu-~sl2)5ldGXA!BEw$ z$)Egc_}<cbI&1H1EZ2QklO6?8?JsWAFAsqJo1BhQiY^4M z_0dxn_TpO6#okc_ZGX#I-&A!i-<0Z9@@2#}>=TpEwDHoB+KW>=kL12*s@)bb?YB(A zR5>+?sg^w_yd2i2E3FjSdpFFh)|DX3DJ|ZsaKVkb-@eUP7p+GjXC9eFoljGQnN3po zTTN~@_=fSDysKW#7x*ogRz~jw%@aWe_|QWbWm2JvxMbhzli)Kw-h*^q1lrGPvVLdz zT)^huy=>#haY+~n94zT~#|VZjVsJdYzO`cB!s4qFNjU=z|EpH0`x_bh*Mi6ImA<}!xEFs*a3JiJ;`r{+YcA5(#dt-ezoNOV6^L&G}j}5I4S4U&3`DW{Bf=v%y2o%Bi|7nJ~n3Ty`Sd^VnX#b#L$5bU@ia z-)m*QX+3-e{QS2}{*_V(V!~^;UX6wqja*sr&{OB~-w8Y30e^fg=6ymxrkU2vY38%> z+XU$VcZa=4XG=$a4sPq*sp=U>mW#V@>^>g}Iyk-XDi9mB&vElSzS1XX6mHis`s2h2AG9rH1%E*$-h_7hOHjIEgb*- zjKdmkMrwe)_Re>+KDvAIf(B27JGV1Foj0%%i$!VatPec0N=WTbaZtgF03 z#{}Mp2&Llld=#I+F=;*liSfwa&Z28b{B@9gMAr1n29^n5hEMmmgmMBT|BX*RQV=xX z-I5Ldjh5dkoAq?CbfXU643u9vEVA}mY@J+uIC}idxBMAi(^X=X^(h#Y*|k;6!877z zWY~$7dXOxTP+=ggD^GoI}XAM*t&5x z(Z14IQDtm7uDG|1Z0|Y3+bs(W3{54f(L62q{IaOb^8=9=c`tvU@=_-F$bV2?T=YBA zZ}}d=Ufz5B)&q1nl{s$uyZzbi$W*8H zWj+W*vhvYeh6C5(N}&Ze>*L*pq7x%($?4pHVSP;YR5JdeJc?bEHd z=!q(mA>G#3rPQRQ4(*ieCB@%mZ$V>J9x>Ir!}Ts~*~4j7Hf7q_x?WThCdMq-qW30h zIn9DskRAB<4>>lFug-rRw%<)5H?K>2w%MIjbmcN1GWDk`r^vp-{y3cMto!(V9#sC4 z*Yx&0$36GjWgoqQ0-N827G*qyQgt+@%pfC?^oaon;iE+UuDS4nc*dETUhlPdch|E` zuROS5xv_j=d0;~4Drd#kXq|Jley?wMEmq9jh*zQ3NUdct!={LgHWQMK#cA4>m3sI3 zR9Pv#G#*`6-+A^@IWarFywGvDbJ-j-8a65GTXzH|w-LVw%Wbe{Nn&ZUDXsvNqSucST#%y^{7+?s#9qtYXs^eD=C=5$SXU&n(0(JMW@B$Ln&C*wLsx zx#9WRIJ>`Gl}+~h9(qhI>!{38%KQ~(Do4uv@Khi%hI=bAvDv~^)!Xp(%BwTY$}D}K)QW}FJYKDFKs*pT$;`W+iF;sk`ldouDk z&!JJXhQL`n<~A3IZ_rD#fXXeN^*uT`oNuOnmFSJSU#ZpA#WTFg!dJ_=x^m||{s2Pn z*4Kb^Fa`wb?5KiM`E7V^Q03l+1&dXlLbb-zbhNUgp^-h&BHFm-{)pY@0>5-}TrYk# zJ{j|N9|>;x>G7$AJG*!@)#20nc=9pOD0)RZil_ZSM7Fh7-(1`TG`Wg@PQ|G;bmp+7 zLv@Db0SIc{!JU}t?gOBkeYIsBFJ_K5bv-Sx#+IGW)-a5VH+9{8ZfNwDP_#Yne?4Jr zX$&$nZM;4TuarI|13=j22cacAFCNWLgDlW;C+!a=;y)KS{nm8i!$BndDTRB;s=2AN z?&-nQ1CQk9oO^MyzAW6reaCxmZLvEe|JzlNV%73f%_!pc_S-V&k6tR($Z7{G-fK0UDGZ%Uvj@^a zRs1SyIfIZVjV&6n*JLvZsb(#6wfrk7S8;D&X6%hNp7rMQAT#_%?;p!7sz)krQ_0>J zSt_!C)8AJ!&Wajjo{|U?<7<50y_LoA{Qne>RYBgYWKh{L$0I2iP0)>tpW!{S=$eM-P|N z@m9Jo{#oDhfEMB8dMcH8ODs>a?G+yE@GqX<^q|WDWhI`^Bz0nL5Nw=D&g3zl{79UT>JgTlea&PT(lO&rN*%agJ zerU)Arf@neg!F-&(y7p^hRRi~FXr=pZnY^rWE7zKP z&KdSK)iV{nG_s1uhD~mU|GWl>Q`vVz&UZu2cSFf{L(6wV!MCFos7*OoIl43F;~2K2 zpB}$m_aJ~Z>M+?P=KF+&m{T-=P3_$JaZ!9T4L-^U@A5EYd7QZ;o`^yb%)qR-m}YXr zU|>$L7?X-Lqlqpd7Z}Y_$w?GQRZb08ixP6&ukk&3BfA$88Il)lvBQD$sS1Iyo zaFIlvLu3?p03VV6IuwIvD>sLK zvWQj#mjj(NWvr2bXKRkdQ=BnqRf^a@j$17JGXGq!*L_k%d4DKZCZMYVDwAk#+5Ky- zHm%>kY@`0~;#r8`P*k7+Y9<0G;OaC)T2m4(6rk&j?%ljyF{+n?brDNt_fjTB%ClgG zBpQX>qMTRMI|q)eW>=>>Fj!_ox=Hg!b$h-?&Zl~4)}nC0{~KitwrpwSW$tc_sZQfs zx&fg!py%P<1e^54iCUN~EIzN{R6peeB&0~PJgJlNh~!)1z-itqxi9MCDv*Mj73eU+ z!y=VQeZM_qnQ%pl)fmj(D#QvzVw^1NFn69R%46g+GS?`7zoner zX@$Hr07 zfYX7*0xx1E-uVvyS?0Ye`_`=&!r19^CB#)cI&}X>q=x*R)&-chK;T~ZWf|;eU)x6 zUv)CW*5bm}GQ!pp!p82C^^3aT7(a|tPG|);b@8yuMcdni^xK;Ost2eVpmKnU0m=s` z8=!Q6l5^l~G&IePXB1KeqmZ3Eszpd9Mqelht5gswtG@UmWoOa_)4E~y;jl;UyucZ{ zE6hK4vAS_7`kefqn+k|dr~!kWvER| zNJ$eqPIOFd@SY(itxQAU3Uvr>w@<0l{Wzxu_W)z2b+||n@oYvFyy;MY8*q`JN4-J^ z$QPhfdQ{y~1B%YqK{-!BB*B}BE`i2%@qHYtgnrgliZGi7dE_nAe3H%?5pmD_sJLel z9MYR87Kz=zfcGVEh03TjX5fQP_takQcJsn>3>06?o9}4AH+TRc0Q89pd~*rVCP0$_ zbpljXz|r0$NV1wr5&0AjPy|4c07U^54Nwd~KLLsb2>BuJCpI!^5r>3QqbamJTgBb7 zVMA*jn?wbB1HWk`GAshp7n^dZ&}P&h_|~aExxM)?2CtdFMedZ#g>?L9}yu#o&7z_!u6VNoQh}8Qc0$ z!Uav(daLW`dee(WvuViOXreNM0d>(?YDp=scW~yL;9kUn1;S>H&70{_Pe+ufr5+FT zy{orGez#p&WmRyY@*;?wy;mZvY}jqi>xq$ocngK1wFm{_nXPt{{gGcx)1C3vTW2gnbBrWeGkifn7$@9f+Z|Yl@r<1MHewz z(Ob9fG&ODwOqI&ReN)1qR063x0=)bT2zGXjuee(&VzW#J>>`4@6P%zMD57p>@=Eb% zN^U*zOP)^5j7kk_DAmZ(aaGwWwSLHT(azwO<mIud8*_SzJvqfHV0Xl0shSI^>K z4FqkEY99(btF_rCEHuHrkXUB9PFOmvN5Hsb^F-6Epq@77#IQ2Cvp_5dv1xh^_LBfrkp6odpfi=1 zPv^~n8+3DbN)ef@XGoh%U{miWDBs+tzSSWh;#RQazS$$hONbq*Em(VR_ z=V$wrt=Og&8_5;>*EknEgpoJIknyL$>t6WvD}&eaERGq$v46aE4W6yBJI4Akk4%%+ z)+XLKj`ObSGZK(4kzNwt<>thbGNdf#aG7=GN(gQ>b5Dh?70DU7O=q2f32P?ea53)p!>(>~to)@j zVSWbY?Ajc;5y1uBcRq#^ej;rqX{M+jh&@t*=54$2z*z%FwDbsNXI`Ov0hhX8X0*1u zX|KBpBL<=K___&{adox9RGqhl7^fbF!zfUjxhFq~Pvn?Jl8QWCe3(P4j#zkwQpMK^ zA$6&?e6=>tvX-&83ZXl(B5hqMZJyDuhN@5wxviGUMODrjbbf`WU*Y#Y-DaWpo@rcv zMIY(!QdHvIXeUB$p~v8y2lJ9dHtn)|q(@FB)PY5W=Ng}5@0BiV-ysRMnmy--gTvBv zn@%f717~Azx5jYOPj%Mk7c!YGrn|L`nJe*Ll}JMpjoZ0(wxtr-O2`#AfB!J4BZ~Bz9lLA9GZi zt~Md%Sx{IRpYthy_x|M{Y|oFxuY3=;O#20f9n*7KUYHe7`)nLbntY{aECmg3=>p-K z%OgScJ?_L{wO7R4d)aj+5BpA?FFfpBOg`heEt^nRtnpYqovf}j26m|oyH|wFEeQtW z`upSGiG~e^xeB5z4ZjsQ4(BGcs6cZr`Wr-TekZYu+RP%h5(oY6N?^75GWX(s-|eC-`Xued{K)makE=#GL6? zBF>-fwl_0iydfQEq-S#awWDdx<$=S3+(uoC+c{oERE%R?1@5#&wx}9GQGwh#Ly{yM z;%UEDooO(G!Shirf)usa`ez1rQ$w%Wygk*O_0>5Bx{6=aU?g&Dv+` zwaGQ##EO0?+=)klqHAd4BH(x8P@=D3R=pUt9fE=uw!Q=eS<^@*I z(AM7lqwcj8das<_k1p7r6;C<^lDG}Y1Vg!8+0AXKmo8p|P6n$k5O+!)cCU|M_O8`x z4e_GW`^Q_>$69samor=L*0OSvPFR0NPHJ|*9~OCZQyIxquCMEzR$I|lAx#&&o}r2r zcXaiLaniau1lD+3Gu!bdnaEo3D;u3h8l_scI@r?~8C8(`{&)MnTDIcCW6Z+dBZQRU z;~a?;n&zrhnzpJ5G;QdGk)5_{2=Y3KrcBi+M!{tP98QjoW4oj6XK%LAyL|-R%uUvhp(n7v>P3icHt&StdDN~ z*nJMi%$PDM$0&RX$LtUX=v z;Y1GE{|FVlweBZ$quu@4jw1b&+?FPac?2OgTqOTtP-SjB97$0|@+#`d->fG8k)Zd& zht$mSGJ~p_DzRY4c?mgiEk@#tHSZ}Qo(P=#m4b4N(62gCI%;wxxG_(#ctyOV4>1nv zbil|2te&}Vu>wPAR{sMlg)ez}-Z;ESFp7EpLGMcs$p^ttnOQ`T6p~_Kskm$)vJ*&P zsp!i142W6r#UP;I2UhB6NIJnqBm;s)6oAkG!T<;hARK^j0m27}&>-h)Ov>m@Xz9Nj z18xvSy*F6us+Ga;bpeTO3Uawh3XQE&hdMEGAIU3GAhDPFepY%FwD`7 zN%aviDj#z(E=*H1E-X_sO8-bTs~i6Jc}dLi%>E@sVGC{b_lM_1Oa7wROs@vMg2Jm_ z0%%O8Fqy`h@DsI_gLO?&sVAq@jM_8|iUrwu*^VQZdrU0l6`FOUt%W5OXdE})v_Fcm z)0Gz|)QvZ^lonw!)ffK^r2#{we-A5m&TFuLk`0;B^Hzk^t6Krmq_kK}N!ZLyV%n*# zLx-bQ9t}Y>PGq*w6UR46OlZ_|EK!)Gwpcv=>FrxqPysH;m1zG%y*}9Wo={6%9d^)+ z@<2$v(oW-p%;jN(l6Ti#%e7aNX}hdDOoWX=v^v*PJ1QX_pFw!^8xFlN0~HqC&2)zS zZC{}nyK#P@*bmYGQoJ-p8SfI*Z^f1cs3R3dIsJkTqa%p%Lrxxy0^SvbOtR2bNHbJ-8}LquZjM@2+p$0Z;{xR{DF-fJin zWL8Qj;?zfSLPHJ#Ng)480!esNxfD`J?KexvTMN5_)QDVoNF92w1Loba(Y=E8$f!F( z^g?a2gh;4s_Vl7Grnei6O>+a#c|UEa9&A)|_lcxuRfX$Nk`Re-e|d^T0xcFEi5Ytn zUz1+l*9L9AvacjWG$F{+$%12~W4Yk*2LwJ6iGs)W+k#<5W4Q-$2h;wR>=mRkCNIyJ znoL~r4O}`tZjD}xYalw~X?h`@|1Q@ZPdy|7co?hDy3qGV8h=&(GHk2_hZJ5ZQ2+ANS37FpRG~r7q)%p4Esw*(uv9S9)Mm!-f{)E2Uj|I> zE0_}zP;MZYV>dm~cEZih5?lha6G*zg+IdQ^`Zr6p$AO}D=r_;RdGRimc5sz@xFlw+6P4iQ!dBr2@FXk zldEc{S$xit=O+#s%EPUT{X2GwH#Vd@bc#LNs!Bdj0}tt|#07}Xkc-2A^@-`8BZV}p?hw=bzC zlu5>>;VSeY&+#KliWitUJcaFH%1ftf@x*S+kjBCj^U5Bm1Do09N@DBYASz)TJ`i=q9Y zsw`dqMkrP~joIEcFI2&S3_%uE9W;bIT;MKvDTdN^v}I>R2h^CG-Om`F>LhN(DR*qR zP=2TErv^DLh=hSx6Jw%ZnNs~d zoLN@fyyI;DENGlCsOn>Y(ntFD+z>u$Ij*cVY66&yvC+5!2`->btx|)v`e;B|=D`Tt zrx1U`KtQzBdT|F2l@G8JUUbM-@H)G_l<=j3!u?%h;8cX+TuvOF;p2` zLRtUaCWc|Qx|Pbmw9RLr(?nYe<3U`wZ!zS>6$Bj){(?m+8f2sS5{z#HfSfH)QJcV-*FvrT)rO`MF<4-C`yn&S6s_LhtD0*KpQ`Xh@K?( zfxW%Je*w#-tpia=TLUGKu>wiN;{X+o!28bbL-3i|gA!z|L+^%J^v9%Z%n5YH2Byyo zdYF*z6!jCb&4FfWa2wPpiEt==EiRAQZ>;AR-fqR>Z^@^iJJXAmF%?!4>!F8T7V)VbMMCP=P{Z zr2{Lz{ll>0`$MpT7JWA{?(P=EO3CSd9uK8N>SCoj#IH2dtYlGvgt;iS5kS%5HIJZP$|7JffZ%ZMW>(H2xcjy3;^>MeDA(iuMgj zd6jFNvMSd|8C90i0-9{yX*fAxT3zMFeP}(@o6T~6UhNW#Dhn(FFSFe$b)yeOft9rI zdZxm$Txs}JcW!`;R12>>M&`!D^khOr-!B>`6+53j>5w6iR$ka6TL&VdyT#SMp`D{k zfb+;M{N^-)Ru+*w9!F@$DhKHMO`HfSniq)Zh!RfX?gu(~N8v){yS1;{`?9c&G0m-k zRlp7YRx>==+hwP=;|TeaJgP;FbLw*x%BpePMe6iqrcqlUMVVphvo!4=$7KfKlt=vK zz)SvdlxG1>dfZ>m#E(A?;~c<=Nd3!+^8e!?%>x{doWJ8_{c*1TI2Of!$7%iJOe_E# z&8olSEc|iG7rifauOfo+mcL#K$hV2bc`fIa6cA?c7wH-8jY*2K0B7hKp$OF)`;(Tj zx?CV>+8YHt2{=aur;`feu*#m~M$u-Q(4S0`{nRk%>lrIK|4zx>aC2}sN`kuzrf{ZJ zbU!^PEzHvZz0EaMq?&Ze^q?Kp89=dEzs6MoRp3t`{uIpG zYpA#R-Bp!6I-@}C%vLmlE1~g=&daqzr4De`*G$iaiDK(aT=)~-$00%@mO~5q{=vF3 z)LJ`jMrx{=!eKdPhM~c@Q_R{gTh1cXBo=(c=w)ktaIg!*Utz_ucS3`n@fZyV)tj+u z#@E=c-f zp~q3iOszx*dZgy35j?3uE_RwB;is8?zd#)=Fflsv-v>uX(>LP|RzIKz8lenZL z^et&YrFS3_^>?5m#haI+KHAQT37|LUPOvEO6gWN(G~HRQ?w1S4*~u%3qZ(Swh1Y>( zI1^&bBrm!-ch0nIs=PvIK9b;W#&o)b?1;U)HO%M-D1_nF0~p?c==$p%?H8;c(^YjZ z#?w{)E5_4Yalc3*jV`boV0DlDol8#zZx1qaw80u_)wsmb_I)=ldZ)+&oMR_Q1Duh@ zNdgAq$BEhXT-vT3hI6!y7y$>cyCJa7krZ|~8 zHO?O{`{XbqVSgwtiK{gPn=5^O51payw5&PC(4~{Pk08P#5~0fspF)KD*c}@T^u7V_ zN&eEVac4mxs%3Eh*AK)iM{uYaXeesv;RxFF6ziUjlX_G3~uuI5XwT{ zLxQ(cP!^8*Mx{;O`OWM+J)%lKVvsexVn%}Hq8EwO zJk)Qp@#XrZCpn@*a^*?RWT7(zgrR<8rx9cnxndGO`JzGz{IW>+o1)?K2j*1Xm?I7& zZTiWE50J4Hz(}|4{lUUXz@QgMppj4Yp#@;;O`bYak&Hb7Z|N_z%11D+tOBQdtEX%T zf^mDHe_25};+vl5E|2d-)g|E1;xsihJFAK|M(hHTZDX=U+uoE2^y&EREg`0-{qSJ) z8uCzc0C7;E(Pl_v09$7~P?g^_)P{RQHxs%20uyjZ9hwcPpHp{8%$8;4{%xP<*Laxj zgV;XtiH`s-xX^TG!MQBnE^fmO7#FENc5=n}V zH8-hM1==Rl8FTsU`Z>3UB)KHQD62+(Fi9A>(I7-5#F?(&mM_j+iOTpTUNJ(Zdl*gv zCK!m)dKCW33#x)Z$Kg8IYfY3B@zUy01ZTZ+o^5KdseiuCiBHd%B()$1$)ik6HXN!# zqQC)^h8n}3nHtwtHW5c+MoMK(bImS651gw37cZozIxGws{YyZ0Z2XBtY(Tb7yoCYu zX%VIP?1OUVjhKRBvb(PEYGDXt3t1^F1POqRBbg&56tDUw9;bySsnDXIe!5=c#21Le zBJ1ayX4`joCO(5~9V?)rCb4g>#?eaMTkCOTA7M-VWhMT*gB5bkyfKosqCFQ7!u~JN zCu)n0h1zrd?)=k2ZiyUx@5W|QoZ58E@<;1s=zwUUpB28;O44CPN`?M7k`FatPVx!- z@E@U;CgvRaz4Hx9Mv8Nb38Kz zmLD8dqEOzrtdhiIMe3Z>I3{glcPGsH%*Jqezhd)J>mYKqmk{zK6wt=U-_+48H;_;D z!k}D4a5~Uqyy8?{L^A1i(5nlH+6F8vB#@9V1$*7qR9YzvN_%qFUN&3Gh$iv0Jem1P z_t0#a!8^EOl9bWmy64;#?)CPm=X&FaRr?e`ldE|HFjcC_rJ~Ul&McEd=)M9k#M3?) z2girzC@59W1m%2V%r}8Ut2lL38>cp!Q4_ zlIZ5TfUu^k(+Kyc50GApGWf<}bIfK1?$rg((M7TqYgl0RB0VEz28Y@u|%CW{$EV0Hh zXQG-H$MUqfV8TY9tuOzz0jN#|%F6po+O12&x;%k}D*6aE%EG*d`So6nIAoneS%ns7h9yLNJ+7**Y15aS zN>RwPk~Jv7n1Uc7t)$&1wA5rpv|*?WO8K=FWEZ(yoDUnHPlzAQLaHP;3 zFF_$&e{NKQI#q7Dv9K7mcn3Vl+p-0EHRJ#%g4UXCH(;!vbaQ zO^r2Yym$cn&5XV{&di)imm~7CqGnNyN!&b2Nj46^8hr1I)m*}bvU+Fdduq^#Djo(3(qr8Ou!D{m(dF_s&#x@!u-PchSrn=Oi8 zor=7MsIM$h#(24OUq^7Y22a934RLm&2DoOv2B~DY2FY@^#vwocuAI5>^@RMl4E{Q8 zB(joEi!K;-Ei$OIMpzF_Wr5}Tf&@=le4>WluS9>j+(du1MTwXS98#a~_~(-!?bD%6 z)Icc9;?0zVj-zs|Xi@s=l7-!FMY}%AROXbg!h840@A8V!-hu2I8Y5G5#eYpv{Fyo^ zYbecQPkO&phRIOX`h2R+8z{stprokUKdQ(ZsO)hYICvtCK~MIk%E@Vo)5Q)&Z-zKR zOWyL~($HGPZwGiA1Nr~pZ~)vRC@n>m5$lbqorSHA-OC4Hvhbq|Hk@jOn@eLIdmO#_ zlcQt9ZYug2ci`qgsb&cBXOY)P_IY31fE~D5x^#^z9L{nTFTBYGIxhK*N<=I43bpn= z#ax{BqM}|?i}{I%IjXyp`&npu}?4{-Z8klz9T6#f9;{sL@PO7Od(5KWD` zEc&owm)7$6R2?qE-3g+uFpBw4q{`cw#NqHv3+1`L*eSFG8Z5zke@_$8Zc18&RMxlvmlwV8 zqHMehEn9$yC{E??*9ELuoyQ5iJ!AubiBo-LD4xEKP-oM`-@4xr{xua3hy1xo%9eV<8 zNRV2%J=MWoKgqtmz17~+%+cJ<&T0P@!kc_~B;+;*(c~atEFT|Z%;5o6F5&1`;=tS; z73J7w|Ke1geX6MLJ~>ir3~2;3o0Kv6*?G-Arg)*NxP1762vQHK;rF*7if^?F+DH_M z8}|*%suNSjBmM)(aH;glOIP9OV`Owm2E&n_6Tg>C-E*0ETAP}JMHWhbiIVAx@Gk&I zp5B*598Mn9%+Ws|e2EQoV%=zR&iK7bNy&v?2%L6dfh3dHj48ei{-x1lTDwpWPLRZfSF}Hvs+*y|v?EMw- z)Ao{xB%=)q`slmBe;H;uFw-`BAMS;I8_@RC*i2$|Cc0741+-Gb`|wK)ZhO)IRWrCT zf<%l_L6p738Bckr3VwCzFFH3IlRP*3AG&)~GDL8(>NZc9&zWI1`(j{U7zqAF(U!h{ zSp|;%K8$csu>Xr<>A;sxD;Vrln+*Riold<+Fby{x&J^JOk!I4&h(){ivn%Nz@9AcqoX{(i9^T(@MQW%tfCVrS#IWvDkB`Xr=UM zm0}aF?XGNiw0O}&5tWn7M(O6ickbiUiQ7>m8?Sk&7kb-jlwhxV6lmH(0mdg4z^Dco z9RTA_qVbyMdhbkT(mMe?D^y7vhx?%+26Z)ofQdpnHww*RI(X!3!%Y!D$6p&tl@%H~ zl=PoJVVG4uO-YO#a5ggO|A!_RorN2s7p^?jxIwwc3k7dSDH)Vq)TxI_l$^b(JVCVvj7~wN# z%ag=MszO4SUdafmyXCNckEi*o3|hbK0x@%nxU@JinoxxT-Bgr)Z~lZ`dHHbB_;0;6 zWp@%r@c!mx8DGHiA2bOcJ&JkcB`Riz!%E442{x^U*dpEcfH2!M55An%Dq7^@UkpJk6QdYAnIL2wz-*4o81J(Vu|;E|})fdJ;I>F9KMfSdtmvwZU{yo{)y~2x^C#a%eeR zUALlBx!0^yR$_-Kuyb22WTqWx@nqi|@fT<8&fEi>we}*Ep>lzwciH0Lu zTJ4O&u5|x^WRaT$@3fqeE(3gseG`%e6Y)Ze9h|inf&?`c&fR-Uqy*B^B%zy96cv?tsv_gE+8vM`RvSg z3GD=7WM#jOlJgh6feWDL&Ov0qc;VnZf%zx9gD9c8`zyqr0XPY6VDrHp==Y&ZJK2&> zCE9DSnc`bJSpwHmaz5c)atgFxS#l;h^M8k$`o5b*kZ#iN0oj`zi2|i_3N0sp3@ssC zMLv&3@c>qW6+jO6V%3~Abu0%P?m8%ka0?h#$lqFY+6+(W!7C6A2 zyIMfi<$@W`f)(+I;QJqQYBB-j^hiww$f?Nef6M6#1mh{t9JU#-y$eE&|809ku7FU^ z4Szxcatd62Nu63q={N0JK|)*>hi z2rVcpM`_<(AkzGE9G% zn13>T|D8eq1-V^c^}{W^Q*Nhop^OJ-PSYi>BJk`qf(0j@Y+1cXh=D<6jSeaC^lZ+Z zb(OMl-W{Rys!m$vB{Gu9bL&9hKx zyA-qDLxw1%&=MR3MtE1?IhaY8ZvCROK;s4WaVDh%hKZ@pUa7en-t@n?6%pV$Uktj+ zZFZ?8=wv#0v_h#nDjNMFv+(Q*CVhw0!BK(#36z%s0Cw8HBPjQS6amxfY4kXxRH1y= z$EK7>JB6_$MI*^l0VdW=9mtr{>sR(Oun0G8(fOY6=BY)msCpd??tAVqF(A#$t=ImrI`PZ zJ^fjNQTqS8M0bP#5uG-yF5BO=j2<5U{A%bQ~eh=c;p!lSh!)anrnWo zx)1e4Mair5=ulxjr3`~ht0u#u;yF*c(TA*PCef8wwAMRbS?TiO>p&|FjmZYTxVj6U zwwj9{uG*8IXQoQU8>aq(N=4f?!y=A0^y1l4#jy+GJPz@LEOG(mhmbPL%^oC8NSpBp?PS4rh`Na&_VJMY_GBYS-K%8)i+vjPFso&YVg{x=~OV=zQD8iN?Hh+BF^* z6lcGC=K-QWJVCh!IfTxf;_CFKHNb-jPf>-rO{qqqHN^WryuEc)Tusw2N(fGHg1ZI? zGPo05f)ZJM5K&YhgR44;=&AlSz@O#5FFn_d4wqBVl(@+zb4tB=GkSDZFN6eRo` z&Cd64!aIlELy+)9*PVN>Y%}O&Ge05}PcYl31xd487#|-{iN6IfW;E&*PEO_;ZC@oa zH@XQkx7U*0^WW)l66m$^xIPTq&-MWi@BZ()Ah0?WZXtU73!R%^f>?;s9!5<4=55L? zFr4-WTWYq#8BuGgddi=eb|gOtT#DBw-&6fTzcfW8hr}_(b5M5ug8RldKTTq!1H&TYncSMenP(FbZ>wjRo*I?`f+QJKkGPV=5a1!i6K*DwE6 zVEW%U{T9Tc--dpkIa`2EGOPa!YjmCeH`cIncrRt>Cq;dYhLBf7lfpWpF5?QS2P1d!e6zi zFW%HekPk^8I4EASdHoHs0a<{~xJMGn7vQcvVvS#ApJ4l~?+D=J{XdC3pao50e7$JL z*6I}vCRD5RtbA9HyUHOa2@pm3D1T55ao>dn>gL}Rj*2r~@T@!`0F%52d>LJX(+l+A z$aaCZ=8Cl(!0ioUH#JzVf!WDsMkW|Vyh~_F3!W@75&c(f66nEijXVEzS_6z24ZHc> z@wrCWdu50Ur~mr*qGk;9|DgU%gxnV55;|(uqTCeWvKP-)AzJLjjzn*iulX4$4hiw7 zi+CSa=%d}t95T+iql-av@_kbFB3>^>tx`g!<3q3sK&yOP`oH|YFMo=V`&Wv=zHlAr z!k?bBET`Tc@R3fkkIRaD0C22*cs0_4UnWJSLI+Y&&XCoq7Nl$e&ia@8;uJPtaJi&h?d_Fsa%?&-)ft!wFOQtYjQ--(DLtKzbt`A zK1eB4jgzzfh?2G<-Ze~>H;{dLlJ=;&KOx`yi<6SZw~V5VI-Kv9wp8jy7!q0n;ubxOrVbSLt1Nvh}oozdb&1A37e{vps$=xM9;Dw@~d*j3ED~SIEQE zInAR5kFa;}&iaf-jo*)_6W z9^RC5r0jt|p}YD)yqg5v>E|-x87>wUeb6iiJ}@SfEJJ`>FRR_d12(aEX#<;-+CKTG;Rnfe9~-UlKDEiM>nSWo zqu*i9;&vA7XGK_i>GvdKqaoeAiO-p!1^IX5Nuo7}I5&L5SpJ;4L%9&1T2R%=n__1`#v>@kKGVo>H9|H7c&iI#8+qL&UeO5@XVr(GFs+FGU z1*D=H<_wP+6z~Wq0pFeu){0v-tmysYV|U$fOkO4Pl`7MYhQJ(6tQ4+8_e@vEOx`oH zf&?em>LtN)Ja)^pz#aAUX!P$I0^N)|60_{{B(t>tg z0>{7UdZ*DDu}62o`r~IK0Yw%I9GI8g$^GC9DlQwY?cAE)CrQ^Rw6k%{oH%5og4!_fdMT}{NqV8leO&98T98i37yFVkLlPjf3%g+XvaGN(DU1yl!4fQwfS3PxAp+8p#U z=|%FikXcG&KZ`(s@?RK2 zs=qEnba5K2WYh=2q45CoH(Ss-SjafG55r)V)gWThxrEe$`uqc^0xgqOFI5PX=o7Nl z$M_6lWIB`Hgwswi;!(e%#$qD$|75`@VlKQXHxm=F-D9E0>bg1}w2D}d+x*Fgj)!QZ zU=R16SLz>Ig|iqdnnBlMSOw9I32AQRLLgfo4-kEP?{g1ORdAA-T`;r*E}Bv>CaJWh z^imluMfK>RqbrwbYTFhVpqf9uLOP}9m#uJYo@-mzVRrEST04&Y<3}DLX(3b-9E;~Q zrU3+ZiN;+Wr1x&N0kk7a=u-dpJBUScrJ%`!mf@|r_rMBD1H$RSc|h`pZ1G@`6Z%rX z=UDpy;(Sf=BDvrcA$4@wkCC-+@v4yXx5k~z{I`AkZ&RSsXF^u&^=}4lGILj#A(RVSbGmr(h zlvJ~AI`|~f(x)c!S^gLxEgjs#aDq*v6T`@l+UGhUZF!Lb@ZK!IQVnr(*c9&XY&hIs z3#O?hB)9^o#8*HGwuufNJXjy)P48(4+~yoLTo(Fp%#sTRr* z0BrX*6?mYkW9;hHtQjL_bO_M0h&;r#B_al1&lCd#Kve81VU+K0(45POQ)WWagC@O*2oi&w6I3pqQ-0$3Rgl}`Wy$>W&vhK0J zF^Yz)`Cw6dFTmJq7%LL8CZOFVXa~Vrr*v~qqw5;g~7=?X@GPzet>ip zeZU;Lt+*WbPU%fm;wBb7Je+xsYBnAm5n9OCT9rSRYt3-ZM}wCRpY*ew-Yi^%1*--v zUWA#awwzO^w&dtAjB+iFh^aX1XYJmqZ7L2EnTXmxXbRn8Pcw?^8&L46>7UWDIVu4-Qyh%lvWVj!dXQi6$rU6GP zZf3y9ijub)`X7~+qyoJXQ8Ei2-JHT#u$pGy{+@?(*nxU<1#6^xI<9cm1#op<1u;k1 z`Py@RDy@ubFLZV<)Sg@oFXDXRKy3*biPtp{ZA263Uy5dCsp2naD7rNHgwt?1a>vdT znKHS`{k$BF@RdRW`)oJB*5Q~8i1j24S05Gc2<@l*9aIV==z-V z0BP%rb#bg1<8;qrsCb8dqrrzKiQB*)kiV1sTlAUmDsE)rO3RQa;u#nAF1%C}Qg7&# zW~L+r#Xz?evOlo58 zv3s}$<~{?IFhQYa?x_XVOfn6SNikFN*hPp_KGCt_q*E>=aAMmhru-P$-XR+Q(%T_8 zJ0H?M33;xszg=A5RaexQXwQWdiK>gOSgQkP%~mBll~yATD#M$<{8EB)$thxajarE9 zx(kd9XKHdXIt>?Hj%zmcYBuGR+H@d#Gm3DRwxLtjszkaJ!cx!O5n5KLhcLXu?gd%^~kzbg3 zWZH46Ee~9YZ5)riSMh`j9YMYbWqK{{ll_8Ntv!iG0ey!_KUhiZt_7+qa&^y}_dQjR za{>GOmtq?u%&OR8%pY8B)6-_QYidV0)Iv3&NlXRuyO=^dXhJ)$^8o!L$gKvrB4lz_ z4Ju~a96;H#=3q%SS(y(}Ie;|G0ahLRS_Worl=TuWubGa$Cl%U>^n~snLHg7bC*}PU%&xwTUeWXJ1aYg_yPhHH9RoSy z3L!|BYC={?>W<)&9#mp*;TOK3OKDbU$N+Gi8UkHX-k16j(L#YQc#c? zN#%mA-6N^~VjlUWi_ngl&<-aFFZWDiJGmRBkd;W!9;W95HU6X`f~e%NBHkspP%p5u zuBOwxz@xRok=t9CPg(FhoeT0-w$40wS5@di2R|v+_J<^oM$BrzeXtcrcuopMm(pep z%E8alT)lYvZnAe%ypjiE^oUbeAD|3^>j^BeK-=b75=3ry0MQaR0Aj9YRBBEF7|t8Q z@netV0evN-C6MLGu&>?!%?He&0CJ;&2sos3WsxPD7ylpnN zG19C;^#_UKD?hARwZSP1fOh-7qZ|ke&rSgTWeUlqztB$HF~kiT5#V`YJL7$PLv-+x zmax2Q1I#C$7x^1YtlDm6X4}^Vd~ll*5LNQqFqb=GuVswo%iV3{|Q|#|&TwBL%slY$I z&cA$8s&GpYb2b$?F!zL#+tb7jvwL^DXDG2W zfdg&A)m6pK=vULeav@%*4g<(`0KrW?`GbG*DYJYqREq@)(mp9t2z|g%905hV z#8b-aot9ckTFTD7zbf1u%QH|MsvgbziiImKNH#g-HMu&AK6!O{jo-QcHT!RvyWp;o zu=Gn4h0e`0A<4)XFwLGPfGu-0Ia93^*mD9-PSq4bmO*YHGxphTPfA#i=D(UFopt&t zHYIthO0BojT^z5x4qN7>B7%FgM!`(?LFHS=83dFlJHIR$`m@g+3oYkqPnM5CITT@% zKBt*pSj%Xz{dp&!IT#IfhpQm`t9Wz5%g^N#HX(NR+9 zN%@5f=HFPS$0m*P$3R$|y6az+gaNI4i$QJV|CR7pE(XbBu9RNJonMx+2r_k(inZrc zis{F$H24KHTQ^FIu@}XR&qlWyU0qAu7WU%8zI+&Zj?`*4|0r+F&Ia)vAEk{LT*+o9 z3Ur*)q(2z)2%7J(tvt*63Ua)gRtbSk-UlY@G6p@VXnhG-{+rUC15$qI?JFyt`QlC) z*KmJ)6QntIDHpI5bKI-lzTlr&9TPJXb}qTb0AB4J1MH7#9M6m_MHW55BD0mHD{Ipe2LW)`^GW&)a$vw~3~#1u z0jQ)f^Fn^RZu_;!)(PU80BU8A9w%fD# zd)2MYW|1`YanZGLxrl@%8z4X(s3IL71r?nD1SUwz4mlcQtg^{HrC)TBAby2B(3q^cP7G zfBV-`Q3KtzEVFQr;LoMkTU>@K5V7oicfaRBcz}@mcK$yW&DUVEy8SydeV3q$XGJyGkr48+g%@tgk>rla-lTF@H`3r>QU{bkxMJ$S` z`}Bt##PocOnwl=RLrfRfdL}7&_EwuuJtTJ0S|}4s6?9g|x}hF;Dz}B)-dQp^W-V0i z=le7?FvHl-vB3LazqqHr*EQz!xxeL`MLjM`dmB7?al05};RJBMCUZw5Gez_MJg22T zU3BrLIqiV@mXxqvoGND0nzS-7{jJBVKll~z;*b&j$@%jtsOorAC6U}X^X&Vq+Ok+i z(WscDFNiK@7iE7wY3!0EZ}$<`_lMl5m_CT~Vs&U-YkU5G1zRM~Ouh*iy|V1|q@~wR&Cm>Ob-`*lcK7O(KdrqHTWBl-TK^yQ~O-swMik zNmzwiyiifs1X+NDs4oo?@2^9kyoo+$4(zxN2Mqqm=BiM!Y=uCb*7IYA#z3NB4{Bt? z2m3?i=@hJ_s@{D!LVtxopz!k%S$ccImlM?&v4p=VJM5u>fi%zMnx?!HT|N)Gtp}&t zK(af%CM0C*3vV3a!`rsn`WqzQ^EHvqD^NH`(dwQ4ufyGVr`Mm@eq5$2a=+I;TzQf9 zyh1+Bvf~f|Pk+^}QpYpa*;N*_>(lPju#XnB&(a;7Z+>{;m_Hb%D?J2MNzE?U?PJY8 zyfo~sq}y>1=;e3=5zDL2`Nvt79-0j9EkmOD9=>2`y z>BNI+B}zzWRVBmf9o0QjvBUl|Ta+Jp=#M{lfrz2)BG{mBo$XB6ptR0aY?N0Sv_K`Qrx^S;5|mc}T6T`I%B|lA_Ja-fNilY` zkoGqT?(gI=#i(NJvZGl(C@v~xXDgZln#H)OUUksA*gx6|k7Va^JY|)W-vH4juZ_ZU zD?3$&5B)OEg~;)Y=2lf9?MPRI_&4qT@#eN0RvU)98{5vLDD!W9IKs z4J#S_l5B>uMrqqy(%?jQ-r|eC3ki(xWPH0GD6y`R<2=WZjCr$HJ9!6NN9g)#)L89q z6Mj8Vc75-y1Nk3Vt6#N&701>eM=K(pNG9m-{g5YKXnLqk#g3alJh21`8%p_}poFZ3 zcfQhNzfhsQYEnI!;=kMmo%N~Sts-=)@x2IRV^aD!hP=jU5If&_3Mz)u%YCtmFnMRW zYOW|e;`b!eJcBHh%Y+hYsJ1IVMB{`-yI!bzXFSO>@|R}mHTUPi9pghv(xbRKruZrY zKz~_=SSIUOc-}`=wAX>%I6ITVx*a*mWvu?Le*E?WALblx^YEHp-~Fx~(+fX+SoJ7^ zG}|Q4gUi-7qPv*>s>=@;O z#+BF{wR_}?a{zk&dHV;9CVQ7_6SUk9g(IRdoge^>*t>qQ_)ep>{W~SJ#r@D{FSKCR zqpKB3(^(%=vCpRAtyS519Lcl2FDRk=0!f&!;%MoYTWxWj1g%x4c~xT>fn1@F0a-H+ z*_1fv&z02ruLUUt&ZCU2?c^w{a_cH|Z}j;pUem7pF%0cK}y%ezk>jt&q!+_ll1irQRs3kQ_8dd1d!yxzRbGW?l2v~>*qF&qCznEfaK-?NVJ<_+57 zTIjQAXvgR=_X}TW5UJ3+*+AuWZG_J8%DYXU{@hAmolg{pd3Vc>#$wOVXOfxlr!(V% zvv5`Z%&0i>yxrlehRT`3034th^fLNtH#~qnlswN{%(yb2W9t3ZBj$sokFoAh$1b&s z(*DBf&&>S8aE-sGObJ~GFM%iZG@ZNxmc^QS4}=5CevIGp z=L%z$ZBu;Oy-fnw56~?K{y2H1e5M}h75RQL{$t(ygJG8pp1aJV)zCW0Gx|uC$Vl6} zD;ucT7|)--B7@&J*GYK0ba&qip42*54UZ3uM@qkgGE2SZltMw&q$a{66A^9Z0-53}0#W?9G{iyn?q9;x)@(djE)i1F^*b+2_Lky^Bxu14}- z@YErX(aM+zHeL<-tUlHkST_R<=V@OE?F{DV+k!fPa-zHQ{w{oXr)?{ku){^(_ zyQjKOx}~~rzDqj30;e==g5zKmZeEn{Kes^)7icdnKD`F#=D18d+r>tne~>t7LJ4>Z zF^gf9tnN+A(J>!YEvvTqFf)hWrD>Q^5_Z^WBD#IEASk2z7YKGwRv3!3)%_cD&AQh6 zK;Ud1fc~et4RJ|l6`pyf8cuQZ&o4Im5q^%ZmC#!E^b<1KE*#$nRVbYnRwEB?j>Ck!J z+p_N6rOLk&U$*guu<#u=b2jB^%4po}&~D%3_T}dD#r-+x{>fWIl;>K^R-@z_!Gbu8 zXZ!)%wQ3uA?kv#}(e^h;k3fKij?{J|h07d`4kX>qjwqFZiosOxD_MOra>IpP?$;zKE44wcKtej?SyS`L{_ zcRBoJOdqfpa^#;Ftz2;FJvip?(h(Y|T8`z)nuz5xf{iDNg;=f?7|-+8bRSN5> zq|pDEf0m7Hq%N9tccCZ7?RcZN(bHwqp!ttWh2awHUyd2o=xJn?fdY+xJ}E`;uNh2sW(!lqAfI&7M@im8*#^ete1yVpyW3oV?NTE5b;l`{X+Wq0Nl!(y053=PC z9uMmixOtn4bI0k9kc&awu@~YhDTVD|gCawU`)xezn@Mf89LEBem~&p<=(ZkEw_ zxH)$>E!_KIVUyqcSviuPPbblP+j7?CkM8acbJN_vw?tdoHe73#l#@h2=eHVr&bSCu zYSEt%CVYlFNN*e{kA?p%GxWh37z1zNqGEiDd*DNAxV9NS zDX{!#{?p5V0eeA2-~2bdWjZsaBQ@GmhLWUO=Nc=)Ha(=k(9HZJb|x%q>dd742#Yjvk2k+HR- zo~A`4Xf1ZJ>d#BCwGAQ~0#@DX6E@L+=NNgl7b8`k%Xzk??)Xk=+%1R2oSV}hzZ@$K zlhCW5l{5jl6TTIl#FDW8kjyxk<2}gJU)LbJ+fYvULi}ei3;UMEkM`x`Z`G7R$Efpn z5@JvYL8LX^g1C z;U2`W^$nD3^~v#*gXx1p8MnHCc;g554h)NGI9%YkC`gXKX7{_@TpBA2*wB@P7DW)- z$w)(gUXBBrq3V8R*6C^@RuNHNgDR|(I^8~YS{NU}kpfKSmdb-fX-S;*J9*$n80!LK zGm|(yck{sO0!&<}UvHb8(6lsI59z}oAp;(Yom>12rv6k6fO*a8h>+_83B^utSs2N{ zNE${`Fp^ZH0czMC3lz`-H4ddi!^7vj2$SGXOh1y0o4g~z;kW^#5V^@9fO%kd9th5x z&1{@E8mTn!1r+P~Aq%P_-@1G7+stMdo&Ba->$KQED&$Bh)ntxyi%xq}$G}%)3XCbX z9Ha!Nr^KTuaQ$7MrqjFLAM@3e=QF=!%~jGuCj3zqzT=HFH&g9mQHd8)H&?TO+31Ai z2LT`PR~^IFpRfzI9RCHg@hG(&0=^@Ja`!L8LXn&k(JRANn{NZ6)XN5 zZ`}vTo$~rW@1Hg;Kgw`vd=H?)v^;cCqr~n)AH){Y+$P0||Ba<@Yb?!fCaEqsU{}ao zliz)#7+HIqS|az^uG9(*2;g;EAoG*jncIXA$RBp`E>NhwRDRdpTrmAYnV=j2pZRK6 z>dDAp8DWP0v!~55ilNJucGk@WGMU}N{TRpdO9-hAU5cHdWvTKK|vn27(Q_ooF%~Q9*+gS=pzlhNu zs$f?4OvzK=rrMM0E0iC8Epig18k~JIbAQhJruTTU9z!>2KZ6{%@%2r;o-G?zzrhXM zsz2|7Ma=n|EVo=G%|pj{U5D&`zMDv$RbtCEw{H5Zl2iZn3J3R+Sjs|a+klwM-F+;) zj$wTg9+dhxp*<^+XC9Eb?8d|E*dH>%o71Iz+5Jb_yfvDLqe<$Qdusd`sH2d-O*>`b zDWw8uWJs1GjlaYzefbvhB#l@yfseM{R=9k~i#600Y$hp*Sv57(x)UYYE5sRWr@&{3sWR}I@pW-;CSWBldjsy9=mYG zT_1kEsZFF*i8hI&5Y5b{M&&oD9k|oQ^+rKGS`0Kftrx*tyghAoyv=e5_v|ZuJuSGr zex&>6D9eN~AsrN`%0gl^t>_RiE~Xg$gcJEQM2$R9{m^8gB~UKfA1vjq5tu>8RtP2& z<>#tCUh57@H4MMC7viWET95&KgNx(%dWdS40D(%O7`oc0s6%op1BN|!TsO(|Ua zPyAJ6&pqeYC#IYwu|zVXu4c!@j^O6X>{Nb!H@89&DYP2`+>)a38S9s&rX?nVzcrOmzjB|RQpmaH>bb^_B`pf41#+Fy)7}hEw!`e zV7x~x%BGXEoJewHPvf|f--mFmGQ{PGUIkxPzZ2XuN4l3Rc#pQ@!71r5{uP85)+DyL z6`k803tAq{<6R$9oFbSLXwo1d^ibK{r~odN`jOn8r1jDx?5&KgWUEG!T$tc?_yslJ zsu(mJkMSTg7RhJ*MDo8~>GEU5G;ELzP5kO+(jbIj@I!eG)*ybF;qg+g97aR-g|f-Y z%4O-{3GWyHLbsH2%FnY|B6#7Rr>O$`=Nrr66{&NL4tydr%hKW{UL}LRHm}{VvyTk9 zT+W(O|BQ-cOm#*mQpUyD-gAm3(ly1XQ7jXmLj-f7AJ*+AXyWK`+CIjqur$)JUby2H zKPaSqJI)_p@T56HidNdaAT|tX{}HnPDqfSfR`e^qLEZkBUJEPh#V|0zNj}=&PH*cN zmirv-&lh>t^5U#F&Edu3tY$ZtlEC|d}$Cp>xB_+2Za){RsHdNK)h|Z6G^~pTW!UvLiCfT-j>${ z&8bSXKNagmM{R%j1WkK7!F_9O|7oH03+!4rFhPt4r_B>2SiZ#tYuf}AQMerOBC#Fy z=dEb#dP5->a#nTW%QKIKzNgFWWDU`pxSxAcUwkdp2h1lFvOdw={r27sN+pcpRX%Ag zcqkeiWj~24{GKVdv-br^&qDWY$LY3Bk_-8!$2x~m9#d)2+4bANHM2a9`FX_=KI+&j zG;IYKrL5}mS$&|KPOaSN7+l%3BE$JXAW{?A5GhU@eftbuA2(oC&h_fmfTU7dtd zC2eC!v7<_Kizw>_5^m1x+p_(jYf>gb9tKd-CnPZk$iyW<5R?zqVud;0C;ih7>}h6I zf)jW5PAg|=G1PUHA^FiW@$;VvA-RwjEdHPh-qi%Hi;>!XuL9{d7S@Ypx^F)|G|AkH z(0zKv+F0(r)wudjH*N$sU+=VWCYl!Fu-fW&3yqBoZuSDO?qbEKg#cgOGE?r*&YC9` zM73Gw@mVj(>$<~=y4xA)XVlbi^XKCU=93BLGYIAr2^s}ie}9)Mngbh)36*aN026i# zq_a{8d_*J98Uw`j26%BhY+7~y{yJPc@2ssb1STBHA;d+gu#FQG6viTH{Q66nKxC9& z*<;f;oa9YGAnd4E_|RM1zbFqo*))*fW4eG5c{1m(QxZym5bAktOE8^DNC3q0BsDVs zhMZ33)h#Z%T`YA5i;n)qO?|_VF6fD(Xe|RH!6Q1`!hal` zuHjrx*F|dGUAjCAd=t5yw!9eV+qjs{4do+G86rbOK!U6n=>zUB?X`)wh0>z&1ox^2 zQlo$uh?I%nr5^7xf8T3?kkN*U9K2_G8aOuS>@Xg6^2I@Kix!v=S0MdD&GxJOqWTX? zk7i%r{;FJL?GKg2{?@%X{iG*F0}R+zU)z$gP-7L9+S{YTWeo<#+|mWYq>ozo7424i zS>>eoZO^CXa?IZWPYgzR{(9@?@*$NCck7x)8|V8L3~~sK%iP4bl`OVA8s|X|c!@q8 zqi-3ap7I3Q$@1@}b=$^NloSk7M+PSo6|%ClF2J?@v#_3$O3=v6dhrog-iIEvpVGhz zqU9Ig2&?VywGd2C#7$4a)t?~lP@&G{_rsK>{AEv%Q;T)AF&x{qxR3e(4Qg$Qdf2rt zoEBkZy;!R4znq{Mg++pXuu-jcSiQNcnI%NoE(nEf#B^oHA9NrbJf;#*1kWK4b4geV z$ybzoymIbm)LlKr?Khx}Z~S3#r_jL1R9*RFZmz|&%&mCz(Jm50L$F)SI3?Lzjr054 zy6zu)s@o>&8`$zDvDm|V>w2LJdQf(xlgi33_V1)=j(X~%?hd9uC*tza(Ho^D^Cu?j zC@QqjFr5$Pb>qtC35KU9>BS~V{c__^(7Y(AO@y5Ypr5$!uc0^40Q(W*VZDfj4ca{E zl~jWJ5j_hc%Kp}9sk{1}zKQ)ODZMR5*gX~Y15^o2$fm^s_uoPd#LU~WZ%Uv2PCv8Uv*OBs-+K$>p~Nq`#~wJl ze-=rEOY*b!JQ5`%Hw^$}X2R~jn5wUdHbs~P(nc_MBh@HT%T-cuD<7Cp$(Vqj#E#eR zANCsi(Z2HCWw(|GCr1i1M2DnmGtx= z5vFre`N+z6sm>eNO^HJJM$X0uH}XuYnX`P$P9EWS)a`b>fO&NG`CA~UK<bQxgEAs8$6@OKPhpeBb=U_!xZ5Z<{Mf)OU zh50ekv&kzMDBvbjpU4jfOwima6}YwMbw4NJV#z58b1bS^H*%NA~Y>0W6%}C5i$s5;h_%is)go(nvWt#@>xqo1aW`!vwP6? zaBu@_wvJxQd41%eR_r1FtG_kt=XND$v;DaP2W{46aA8iz<`8~Vo|n%tu3qhHb}Ktp z5?$j#DR~fGe0QPro>bq*UY%x%nlICa%U`Ir%JwU=nChFG8sg^3gETc~0UGv{xhDtn zL7RY}rReOMiI9H1rH5;>`O#2<+HmU! zE+*0~3i5E^h3S-?p3W>P%l!I^WZa|>X-x>?8~Wt7SaoID;=J4zHu7zu2{oha z*p0kg13LVomg9w)#MCtg_Y<3&V*;!k@f`e9qgWuhg zkx>yf82I0 zP{H=w2{^@4u9s69wTnPEif{eBx_@GSDwO-|pGK99|SK_p(`0 zTspSKsPVLj97&)Q<|?u-c@?id^!@qv1RERWi|;#aRsO(Dj$Msq9m+Y(vG~{-EUUPt5=crvAl0!2nE>E7`gMIEgSjdW+GA*| zH8f1}{>R-(unzXVc zGjF_nt>VCBf)0-k?|gni-#=5t%%*EGA)eC!8oV%d{;k-$URZGT2)dO?IlN-d4*UK2 z0qB-E+Py0|cwnso5S1ljr$Rerl^w1-c(4-LuhZm1ecZk>W=clSPfaxo9Z|!N%5S_+ zbp?ScbW)j+>off~nA!@-oz!&742zSvf0VFXZ`!`kmwhjFhm@1+kevvGJm#pTX(Hnfnx&$^B3l#D6HY$T-2#9>lCpird`R+Q4|lC z4)Hk4I(ZZ~;mkknY`4!885uCl4Bf(($Qsx6!n5$pi#RI7OI00o|iD%y{E|wtCv5%-Ycz>E+hoaTl-sRjcuR^D*kg zmi|@12$k5Lk=@DZ@zSqFxlcE@PGdyK*W-oXFmG%CuyKXC4U z=kslz8gBjoD&%Vo`LP*u>DPQh(no&oxL!W_dCJZ+Am772ZPHl0Y&}%@X`~ifu5~n0 zhxd_7Q#{{}g4|Q6s6pozs$dr*YucizEJ00=l{+qu zIXSjrG<>|s*KTCbgXOmy)6mNLlEJ+F?Ih^RNE0NBXxC}J?Fu-v#F;=D+vK( z(G?~${jHy@mTo3pu;bBij~0ID%#G(gu$7vZZmz92?Oz&P;)lGCXhuB=zVbb<1ZS<$ z>@Jqi(Vxk)U0hvr@A|=l=LPo|f`6Rj3X@?t9($x6KQGUj$~{FqBM8n}9lM5q`%wBP znu$k{)7*y&rhSjKqCo&vFsn1+H_zb!pWQzN0V$sJqbG#6Lg&v{HGjcp%4W<9UjI`l8Z z+hL{N=-9XOmH$u*qZC{Lo!;HN#x^YFPq^RQ7M{^!*Y~&Of>UQ@Z!JEyW0=hClYM3- zjhTS1>;O6BgfQO+@1j;LK?ZtFbnOl-%*U8WlzI0rMa&(A7Vu6qm?-VUKz4!$V=D`B z*p_TH6_M9sS(=+-79PFZ=Ff43D+z@J<*zuuYG1+`7~9jfOgYMJj{6o=wl+^@Kp|>B zza5bww&PkZR$AQaJj(xwtIPgZW>jh}Zhaws{b)VoW@60c`YY!=s+HCOE~2Tx23w8G z{arv{u7o(g=8}?g0!C~ZhV|lR;kK?|+6Nf;3_3&RjRaPEH5e(c7nk?MIr=Vyx!csY z)v?)@lRg*4e(WdyD$Tqq;H>7>jj8z?ZctK=Q0VFkr1zHccJF7kO%AM!G<>%Kb%+GprnZ4}Dun{BQ;nDe0zg99+3#7C|D>PQ+a9dwP9G{~I}m z9=8x)Gr<|I@62lR{KCFhn$Y8Mcp95SyC2+y8&&?RoHwB7>Q=}0xs|k*YDH+T?Y^ja z){4o@%sGq!XuiuIKWtYno>J&F*Iqxn5VS=V7RJs8|MayEkFDWl7*xpe-Y)iA9K-S_ zXF7UgXY8P|_ozI#H1N2H*oSKVhL{h{%T|TWlTD!#pJ3Z*rHZkf$lUcae--(u!u@B*z2tmOPce+h z%RYGp6EuFzpghWsJI?>4&lybK;ENz{9<%YCdZG#kNf#eg2)DD?kC%WhX84XJA~nN> zf!9=69J89?c95xKjZ|Pa!_&2i?BV&6^t3-x2R+b%;$_(0)gJ@8b*E z693rb$0`#+UY&oL`5b=RA8W>Xi%4y@1NC2!pkXa3C>uPX4OU&?io7ZUa*E(QGBNIw zT>E;I*BdRDRr02>lt$NiHSsX$RQfe!gVI!Wzp@+X8#y`}8Jf?@x4{;?-oBI{xCZRo zx|!BhPZ=0EaL|-3m$9(|!g45+txP>E%V*|_9B0zdhf2|JskP-~xA}f%$AsGEHaa)z zIeE_wmBxIg-g);V_+Y4CS*bb8q)p-XC(>8)JDg^BHt%6fUHS0Ngzxc7xt{qtb6Q>Z z%>W~7hbv*iCZqHSj`YAs7W`t3bCj2K+C+QJ_~}hGfwn4bp1I+1UlFB zCZ7wsJ&lZuvp!xC-%04xrd|Jo@6c}OdZB6dsqeRJL(Ym^o`xqWFGZ0Pn}K76QE@rn zKtzf*AFo_=zs0wNRxs{690_;fS*F@mx!O9J>kZd(rkZ$!tauKDMi=9Navw`m9(wXS zT50%5E!xRFO?7vXAGUQp(O-xb4rU(UOMmU*PEjmrPNU3?^w^ri9_=>@oP???aFl!d zrd&y@o@45+^*jJNqscwJa~3YwK{81iJ_ID`!&*U=lODoD4*}4BNW;ZfM7>x*upuf&OG!(= z{;ua%U2mY|Im`A^M*RY&290C4n?z*ubyso|Ht-fR26&jJdXP@kL4L41fzJAbUb$CfMBPQjf#Om|5us^N&NKCD35z~M~NUvz%m$25JU&&mAo8DWR$K|2%4g%{k6@gTqs}ih<2)ix1Ocw=oa%% zxp>`?UgEaH?+$nkylO9}AM0#mpFFXBKz+>CbO&BNpvJJ36il9~kuhN)B?T#MsiKna z%&6B$u+RJ{$k#|vDYqHr#3=}sIOxUc!CYBqtCrfWU+li2DMja6!PhSrXWuA;-ib=> zn)lr6in2UtR5brCBqU`fk?IuiSldCsKk7fegPeDrzYCs_vsH8dcb~xxk4U;+pQfz- z#l>fSL#BOx=4y-yFM*iQP7ZQOqk4zYR+*-@B38OMR=O(IY^pD3x-VzGPtbMCWa@0f zkTXzcb{w8GUEE-w7~~-FJ%|*e?0aOZMUOTiuKn{Tj#NXK-r?? zR_&5hV$G&U!Fu9hl4Iyu31m!J?ghu?y_cV$6Znevc$i#NW0)VSJ`kG7f6m9WXTU$H zMI#rR%Go$^fz)`TwH@8`rIG5*oWm#RbFRDK0xqgqf-Y*zl2f(Mo!Re9QL3M>xDwNp z#w<+GMJJ}}u=j$Ac|K*IEA2OVvWt{n)tIW3aQ21Jzf;TSc9DIRkv(T8`=We+u|{gP zIlU>)-sSS1mNQd(Mfkp*%O$UtB2&ATh@83QO_8Z)jMi*gG7wvMeU;P7(1$C|?My?|ZuA|gPSJn(|ncQhNBz|sf`#NbOquFT_3T~ZqdLs?qj0!F zh6pu*GPmv-fw_2b8r5OrR(sRWA9L0Vmf&(nLXT<|tGA}bre^bBbT1v{q$gepwwg1_ zfLoL_Px;l5>W}Qd5sD-%m|SH2-W>rCXt>q{!P(}%WWv!8)qfVKM_sYHin&%X=jh3q z^<4k7FXS*KkvUfydtR?yrT?LUBTqE7f=tyAK30KZOdqp!NJ*4=GWUIk{>@nFflg?) z`)f6S#Z3g@&H2*7EpKi{Ytp#lj-f!c*m=vWMnsdu1l#Ud6)im}$5s!2pPTyYg>+{P z?ZCTkFZGd_fPC5$f1ls@NmDsP3OXLVSNxdd)Ll4WYDuQvVkp!I-sjX$@rcsG>6<-$ z)hE@=Y?_=5#=Ds%%T7bX>AmGHysu>S#7RfeoiZ%SB&vpGvmeSH*-SW-NZ^j}@zY*BjFl_8N=IP$S!4gM7w~pAO4)2I4C*;sQdy-sY)p z6LTaCVoIuxd^bEB8+U(8EO$m5HfxP&km}A^xEs@F&c~Gh&er9616~_M-9Nn4YcjF2 znuWA#+xZsEjrOSYseX}ax||y&6QcL1gt)jSv=>^m*4Oon`tBE10xo#Q6Vn2T58zLD z{01X-m~(zga)tiwj4##aDK~1?AV*^hUH8i*2?so~cg%@a9Qb|NvWPe|ZV-eT_Z7dg zIx{yW$k?e3d#kWCy?!N0@L;<@iH261`YD5`DJTUcprZ>)ZuRG`D(j$QdksW@EHEs zb5w0%f%e?*KeqzG>S|NWvUT$rdhQ>4tMp)`{CqMK0zZ7PTkjaV6GF2d{0WeG8$w99 z`2?ehFK{(Sl+oPk>s8wM0^DK_uCASC8I`MAARQ5_P%lek`pg+YyyZb&SktKc8TC@1 zWtnnD(f8{1{jH8syGyU$?aO2DOP$aR7ZHwzK85S|ZTj^~_h{))IO1t}@7Asj)O$+P zlD07d&Qm4e4@JO5PD{;2x?MGF_wav2jus7(bWIR)iyF0;4Qva6;aQ^rEj7i^&iL)s zVj;S37sCZ}>?4$kmpWDxKP&N1#U{$gSo|mNOu#=A_|F94(KQwajWD}@WLFL|f&|G4 zCtRf7b7$Ju!&{P=I%B#+?-QgFeEWzbk}Zcn-N%nPnV$Blk@Q5`<_LIx)yyGtJ;hc% zY^<#N?x7#0cpp!|kt;HhDbU+?u278WwoM?NyhTgg}c zG|tzauu{z~j%*Ac9b6>)k=&&xoI@2?$VD3&-T9n~>6fZ9!`a6n$3Fg<>3s7%gIeQM;LIur zV_}w?cb7$c5Q>>pnGnZ_E3z@RQr;Y&E#ZsIcJNzZ)4ZOVg!|K!IS7KEf7btk$n5cb zsbvch&kr!Mmvi4*CR5H6z14wO*^`O2r+#&Drz={g3o5q@5-aq_sejntV=zSR9d(2k zC{tQXzEA_pp>35X_}lb9qmtJIKY#qh$UIs-QMq!_{&#SecsfO_o6s2|A;St7T|I{q z4a&)Wh(@RN?6-5w(es5zcJmV21{UWau^;tLu?qOqW$s>fKF!ofba0b4%|E2d>wd7C zZS3-X6ei{3q$&-=E|&RrV)6JCji=VWXzT*47Y+IJzB9mFi(ZZ^QD!ujCBy~d>3zQq zEY&IRr3-cV8m(ghD4yzeA=jgBki{!e36jcgvg1}Qc_}pneIb^EBP3n?;9^lh!JPIv zE9$71o6fB|1?EvA2%trBB>IxYQ*{Ii7TcG$$H}vjg_q|%-qRDjAVF=o1LB9!7l-ERKANerxDoPsfcc;+!q(=Et z-+ZFlUq~;VL9~|dy9zM`yAniKeIQPs?#q~lv|UJkX{jD*@q)oyy!zA)<`t71ygv