From 2e69ab76da77197b041789f8d0d8908d3ded918e Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Thu, 29 Oct 2009 17:40:27 +0100 Subject: [PATCH 1/1] 4er slot (3. bsp fertig) --- .../ppr/download/db/vga_pll.(0).cnf.cdb | Bin 0 -> 1996 bytes .../ppr/download/db/vga_pll.(0).cnf.hdb | Bin 0 -> 1422 bytes .../ppr/download/db/vga_pll.(1).cnf.cdb | Bin 0 -> 6224 bytes .../ppr/download/db/vga_pll.(1).cnf.hdb | Bin 0 -> 2265 bytes .../ppr/download/db/vga_pll.(2).cnf.cdb | Bin 0 -> 11935 bytes .../ppr/download/db/vga_pll.(2).cnf.hdb | Bin 0 -> 3620 bytes .../ppr/download/db/vga_pll.(3).cnf.cdb | Bin 0 -> 1858 bytes .../ppr/download/db/vga_pll.(3).cnf.hdb | Bin 0 -> 863 bytes .../ppr/download/db/vga_pll.(4).cnf.cdb | Bin 0 -> 1158 bytes .../ppr/download/db/vga_pll.(4).cnf.hdb | Bin 0 -> 1240 bytes .../ppr/download/db/vga_pll.(5).cnf.cdb | Bin 0 -> 1814 bytes .../ppr/download/db/vga_pll.(5).cnf.hdb | Bin 0 -> 989 bytes .../ppr/download/db/vga_pll.asm.qmsg | 5 + .../ppr/download/db/vga_pll.cbx.xml | 5 + .../ppr/download/db/vga_pll.cmp.cdb | Bin 0 -> 46147 bytes .../ppr/download/db/vga_pll.cmp.hdb | Bin 0 -> 20363 bytes .../ppr/download/db/vga_pll.cmp.kpt | 10 + .../ppr/download/db/vga_pll.cmp.logdb | 1 + .../ppr/download/db/vga_pll.cmp.rdb | Bin 0 -> 39739 bytes .../ppr/download/db/vga_pll.cmp.tdb | Bin 0 -> 35715 bytes .../ppr/download/db/vga_pll.cmp0.ddb | Bin 0 -> 74452 bytes .../ppr/download/db/vga_pll.db_info | 3 + .../ppr/download/db/vga_pll.eco.cdb | Bin 0 -> 161 bytes .../ppr/download/db/vga_pll.eda.qmsg | 5 + .../ppr/download/db/vga_pll.fit.qmsg | 51 + .../ppr/download/db/vga_pll.hier_info | 432 + bsp3/Designflow/ppr/download/db/vga_pll.hif | 1669 +++ .../ppr/download/db/vga_pll.lpc.html | 82 + .../ppr/download/db/vga_pll.lpc.rdb | Bin 0 -> 498 bytes .../ppr/download/db/vga_pll.lpc.txt | 10 + .../ppr/download/db/vga_pll.map.cdb | Bin 0 -> 12305 bytes .../ppr/download/db/vga_pll.map.hdb | Bin 0 -> 19322 bytes .../ppr/download/db/vga_pll.map.logdb | 1 + .../ppr/download/db/vga_pll.map.qmsg | 21 + .../ppr/download/db/vga_pll.pre_map.cdb | Bin 0 -> 18906 bytes .../ppr/download/db/vga_pll.pre_map.hdb | Bin 0 -> 39262 bytes .../ppr/download/db/vga_pll.rtlv.hdb | Bin 0 -> 39032 bytes .../ppr/download/db/vga_pll.rtlv_sg.cdb | Bin 0 -> 21886 bytes .../ppr/download/db/vga_pll.rtlv_sg_swap.cdb | Bin 0 -> 4620 bytes .../ppr/download/db/vga_pll.sgdiff.cdb | Bin 0 -> 12650 bytes .../ppr/download/db/vga_pll.sgdiff.hdb | Bin 0 -> 39277 bytes .../download/db/vga_pll.sld_design_entry.sci | Bin 0 -> 154 bytes .../db/vga_pll.sld_design_entry_dsc.sci | Bin 0 -> 154 bytes .../ppr/download/db/vga_pll.syn_hier_info | 0 .../ppr/download/db/vga_pll.tan.qmsg | 15 + .../ppr/download/db/vga_pll.tis_db_list.ddb | Bin 0 -> 178 bytes .../ppr/download/db/vga_pll.tmw_info | 7 + .../download/db/vga_pll_global_asgn_op.abo | 12368 ++++++++++++++++ .../ppr/download/incremental_db/README | 11 + .../vga_pll.root_partition.map.kpt | 1250 ++ .../download/simulation/modelsim/vga_pll.sft | 4 + .../download/simulation/modelsim/vga_pll.vo | 9013 +++++++++++ .../simulation/modelsim/vga_pll_modelsim.xrf | 246 + .../simulation/modelsim/vga_pll_v.sdo | 4389 ++++++ bsp3/Designflow/ppr/download/vga_pll.asm.rpt | 128 + bsp3/Designflow/ppr/download/vga_pll.done | 1 + bsp3/Designflow/ppr/download/vga_pll.eda.rpt | 94 + bsp3/Designflow/ppr/download/vga_pll.fit.rpt | 1638 ++ bsp3/Designflow/ppr/download/vga_pll.fit.smsg | 8 + .../ppr/download/vga_pll.fit.summary | 14 + bsp3/Designflow/ppr/download/vga_pll.flow.rpt | 125 + bsp3/Designflow/ppr/download/vga_pll.map.rpt | 666 + .../ppr/download/vga_pll.map.summary | 12 + bsp3/Designflow/ppr/download/vga_pll.pin | 748 + bsp3/Designflow/ppr/download/vga_pll.pof | Bin 0 -> 1048717 bytes bsp3/Designflow/ppr/download/vga_pll.qpf | 30 + bsp3/Designflow/ppr/download/vga_pll.qsf | 158 + bsp3/Designflow/ppr/download/vga_pll.qws | 12 + bsp3/Designflow/ppr/download/vga_pll.sof | Bin 0 -> 966463 bytes bsp3/Designflow/ppr/download/vga_pll.tan.rpt | 912 ++ .../ppr/download/vga_pll.tan.summary | 76 + bsp3/Designflow/ppr/download/vga_pll.tcl | 172 + .../download/vga_pll_assignment_defaults.qdf | 626 + bsp3/Designflow/ppr/sim/db/vga.(0).cnf.cdb | Bin 0 -> 6221 bytes bsp3/Designflow/ppr/sim/db/vga.(0).cnf.hdb | Bin 0 -> 2259 bytes bsp3/Designflow/ppr/sim/db/vga.(1).cnf.cdb | Bin 0 -> 11937 bytes bsp3/Designflow/ppr/sim/db/vga.(1).cnf.hdb | Bin 0 -> 3597 bytes bsp3/Designflow/ppr/sim/db/vga.(2).cnf.cdb | Bin 0 -> 1860 bytes bsp3/Designflow/ppr/sim/db/vga.(2).cnf.hdb | Bin 0 -> 872 bytes bsp3/Designflow/ppr/sim/db/vga.asm.qmsg | 5 + bsp3/Designflow/ppr/sim/db/vga.cbx.xml | 5 + bsp3/Designflow/ppr/sim/db/vga.cmp.bpm | Bin 0 -> 1102 bytes bsp3/Designflow/ppr/sim/db/vga.cmp.cdb | Bin 0 -> 45550 bytes bsp3/Designflow/ppr/sim/db/vga.cmp.ecobp | Bin 0 -> 28 bytes bsp3/Designflow/ppr/sim/db/vga.cmp.hdb | Bin 0 -> 16670 bytes bsp3/Designflow/ppr/sim/db/vga.cmp.kpt | 10 + bsp3/Designflow/ppr/sim/db/vga.cmp.logdb | 1 + bsp3/Designflow/ppr/sim/db/vga.cmp.rdb | Bin 0 -> 30872 bytes bsp3/Designflow/ppr/sim/db/vga.cmp.tdb | Bin 0 -> 34425 bytes bsp3/Designflow/ppr/sim/db/vga.cmp0.ddb | Bin 0 -> 70454 bytes bsp3/Designflow/ppr/sim/db/vga.cmp_merge.kpt | 10 + bsp3/Designflow/ppr/sim/db/vga.db_info | 3 + bsp3/Designflow/ppr/sim/db/vga.eco.cdb | Bin 0 -> 161 bytes bsp3/Designflow/ppr/sim/db/vga.eda.qmsg | 5 + bsp3/Designflow/ppr/sim/db/vga.fit.qmsg | 48 + bsp3/Designflow/ppr/sim/db/vga.hier_info | 274 + bsp3/Designflow/ppr/sim/db/vga.hif | 79 + bsp3/Designflow/ppr/sim/db/vga.lpc.html | 50 + bsp3/Designflow/ppr/sim/db/vga.lpc.rdb | Bin 0 -> 456 bytes bsp3/Designflow/ppr/sim/db/vga.lpc.txt | 8 + bsp3/Designflow/ppr/sim/db/vga.map.bpm | Bin 0 -> 1080 bytes bsp3/Designflow/ppr/sim/db/vga.map.cdb | Bin 0 -> 11443 bytes bsp3/Designflow/ppr/sim/db/vga.map.ecobp | Bin 0 -> 28 bytes bsp3/Designflow/ppr/sim/db/vga.map.hdb | Bin 0 -> 15623 bytes bsp3/Designflow/ppr/sim/db/vga.map.kpt | 1250 ++ bsp3/Designflow/ppr/sim/db/vga.map.logdb | 1 + bsp3/Designflow/ppr/sim/db/vga.map.qmsg | 9 + bsp3/Designflow/ppr/sim/db/vga.map_bb.cdb | Bin 0 -> 1234 bytes bsp3/Designflow/ppr/sim/db/vga.map_bb.hdb | Bin 0 -> 8079 bytes bsp3/Designflow/ppr/sim/db/vga.map_bb.logdb | 1 + bsp3/Designflow/ppr/sim/db/vga.pre_map.cdb | Bin 0 -> 17009 bytes bsp3/Designflow/ppr/sim/db/vga.pre_map.hdb | Bin 0 -> 28267 bytes bsp3/Designflow/ppr/sim/db/vga.rtlv.hdb | Bin 0 -> 28123 bytes bsp3/Designflow/ppr/sim/db/vga.rtlv_sg.cdb | Bin 0 -> 18192 bytes .../ppr/sim/db/vga.rtlv_sg_swap.cdb | Bin 0 -> 1233 bytes bsp3/Designflow/ppr/sim/db/vga.sgdiff.cdb | Bin 0 -> 12025 bytes bsp3/Designflow/ppr/sim/db/vga.sgdiff.hdb | Bin 0 -> 28303 bytes .../ppr/sim/db/vga.sld_design_entry.sci | Bin 0 -> 154 bytes .../ppr/sim/db/vga.sld_design_entry_dsc.sci | Bin 0 -> 154 bytes bsp3/Designflow/ppr/sim/db/vga.syn_hier_info | 0 bsp3/Designflow/ppr/sim/db/vga.tan.qmsg | 11 + .../Designflow/ppr/sim/db/vga.tis_db_list.ddb | Bin 0 -> 178 bytes bsp3/Designflow/ppr/sim/db/vga.tmw_info | 7 + .../ppr/sim/db/vga_global_asgn_op.abo | 11949 +++++++++++++++ bsp3/Designflow/ppr/sim/incremental_db/README | 11 + .../vga.root_partition.cmp.atm | Bin 0 -> 37849 bytes .../vga.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../vga.root_partition.cmp.hdbx | Bin 0 -> 8519 bytes .../vga.root_partition.cmp.kpt | 10 + .../vga.root_partition.cmp.logdb | 1 + .../vga.root_partition.cmp.rcf | Bin 0 -> 12900 bytes .../vga.root_partition.map.atm | Bin 0 -> 32225 bytes .../vga.root_partition.map.dpi | Bin 0 -> 1014 bytes .../vga.root_partition.map.hdbx | Bin 0 -> 7907 bytes .../vga.root_partition.map.kpt | 1250 ++ .../ppr/sim/simulation/modelsim/vga.sft | 4 + .../ppr/sim/simulation/modelsim/vga.vho | 6160 ++++++++ .../sim/simulation/modelsim/vga_modelsim.xrf | 212 + .../ppr/sim/simulation/modelsim/vga_vhd.sdo | 4380 ++++++ bsp3/Designflow/ppr/sim/vga.asm.rpt | 128 + bsp3/Designflow/ppr/sim/vga.done | 1 + bsp3/Designflow/ppr/sim/vga.eda.rpt | 94 + bsp3/Designflow/ppr/sim/vga.fit.rpt | 1683 +++ bsp3/Designflow/ppr/sim/vga.fit.smsg | 8 + bsp3/Designflow/ppr/sim/vga.fit.summary | 14 + bsp3/Designflow/ppr/sim/vga.flow.rpt | 126 + bsp3/Designflow/ppr/sim/vga.map.rpt | 230 + bsp3/Designflow/ppr/sim/vga.map.summary | 12 + bsp3/Designflow/ppr/sim/vga.pin | 748 + bsp3/Designflow/ppr/sim/vga.pof | Bin 0 -> 1048717 bytes bsp3/Designflow/ppr/sim/vga.qpf | 30 + bsp3/Designflow/ppr/sim/vga.qsf | 61 + bsp3/Designflow/ppr/sim/vga.qws | 11 + bsp3/Designflow/ppr/sim/vga.sof | Bin 0 -> 966463 bytes bsp3/Designflow/ppr/sim/vga.tan.rpt | 659 + bsp3/Designflow/ppr/sim/vga.tan.summary | 66 + bsp3/Designflow/sim/beh/modelsim.ini | 1305 ++ bsp3/Designflow/sim/beh/vsim.wlf | Bin 0 -> 483328 bytes bsp3/Designflow/sim/beh/work/@_opt/__sdf1 | Bin 0 -> 26685 bytes bsp3/Designflow/sim/beh/work/@_opt/_deps | Bin 0 -> 6643 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt04053w | Bin 0 -> 1838 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt17nitb | Bin 0 -> 840 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt1bwwxj | Bin 0 -> 1036 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt20mz8t | Bin 0 -> 509 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt2erw8w | Bin 0 -> 571 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt2xexma | Bin 0 -> 3121 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt432j07 | Bin 0 -> 8184 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt535hk5 | 417 + bsp3/Designflow/sim/beh/work/@_opt/vopt59rd1y | Bin 0 -> 458 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt5b6dib | Bin 0 -> 352 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt5xncy6 | Bin 0 -> 7339 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt68f5gq | Bin 0 -> 8522 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt6fnkx3 | Bin 0 -> 2484 bytes bsp3/Designflow/sim/beh/work/@_opt/vopt9146qh | 78 + bsp3/Designflow/sim/beh/work/@_opt/vopt9ht9r4 | Bin 0 -> 8136 bytes bsp3/Designflow/sim/beh/work/@_opt/voptba76z6 | Bin 0 -> 3872 bytes bsp3/Designflow/sim/beh/work/@_opt/voptbkfv6z | Bin 0 -> 572 bytes bsp3/Designflow/sim/beh/work/@_opt/voptdgkded | Bin 0 -> 6032 bytes bsp3/Designflow/sim/beh/work/@_opt/voptgn7ig7 | Bin 0 -> 4416 bytes bsp3/Designflow/sim/beh/work/@_opt/voptgna7ah | Bin 0 -> 1547 bytes bsp3/Designflow/sim/beh/work/@_opt/vopth6yhv7 | Bin 0 -> 171 bytes bsp3/Designflow/sim/beh/work/@_opt/voptij3j9b | Bin 0 -> 1900 bytes bsp3/Designflow/sim/beh/work/@_opt/voptj3mevt | Bin 0 -> 20136 bytes bsp3/Designflow/sim/beh/work/@_opt/voptjd08rj | Bin 0 -> 1928 bytes bsp3/Designflow/sim/beh/work/@_opt/voptkhne8r | Bin 0 -> 384 bytes bsp3/Designflow/sim/beh/work/@_opt/voptktyxav | Bin 0 -> 2439 bytes bsp3/Designflow/sim/beh/work/@_opt/voptm1etmi | Bin 0 -> 1167 bytes bsp3/Designflow/sim/beh/work/@_opt/voptmfhq7j | Bin 0 -> 8840 bytes bsp3/Designflow/sim/beh/work/@_opt/voptnjyq35 | Bin 0 -> 1033 bytes bsp3/Designflow/sim/beh/work/@_opt/voptq7it8b | Bin 0 -> 5559 bytes bsp3/Designflow/sim/beh/work/@_opt/voptqh2tzy | Bin 0 -> 4824 bytes bsp3/Designflow/sim/beh/work/@_opt/voptqyad50 | Bin 0 -> 773 bytes bsp3/Designflow/sim/beh/work/@_opt/voptr19fy6 | Bin 0 -> 4297 bytes bsp3/Designflow/sim/beh/work/@_opt/voptrzt2e4 | 237 + bsp3/Designflow/sim/beh/work/@_opt/voptsz3qgs | Bin 0 -> 1186 bytes bsp3/Designflow/sim/beh/work/@_opt/voptt3f0sq | Bin 0 -> 248 bytes bsp3/Designflow/sim/beh/work/@_opt/voptt3ndg1 | Bin 0 -> 8860 bytes bsp3/Designflow/sim/beh/work/@_opt/voptvav2fq | Bin 0 -> 875 bytes bsp3/Designflow/sim/beh/work/@_opt/voptvk0ts7 | Bin 0 -> 572 bytes bsp3/Designflow/sim/beh/work/@_opt/voptwjx9fs | Bin 0 -> 572 bytes bsp3/Designflow/sim/beh/work/@_opt/voptwqdbn1 | Bin 0 -> 37208 bytes bsp3/Designflow/sim/beh/work/@_opt/voptx446k4 | Bin 0 -> 15586 bytes bsp3/Designflow/sim/beh/work/@_opt/voptxqjv95 | Bin 0 -> 3713 bytes bsp3/Designflow/sim/beh/work/@_opt/vopty6s4jf | Bin 0 -> 224 bytes bsp3/Designflow/sim/beh/work/@_opt/voptzf15h2 | Bin 0 -> 28344 bytes bsp3/Designflow/sim/beh/work/@_opt/voptzz0c6r | Bin 0 -> 2359 bytes bsp3/Designflow/sim/beh/work/@_opt1/_deps | Bin 0 -> 6080 bytes .../Designflow/sim/beh/work/@_opt1/vopt0ksshh | Bin 0 -> 840 bytes .../Designflow/sim/beh/work/@_opt1/vopt1f6diy | Bin 0 -> 509 bytes .../Designflow/sim/beh/work/@_opt1/vopt24wzfi | Bin 0 -> 37208 bytes .../Designflow/sim/beh/work/@_opt1/vopt3ctid3 | Bin 0 -> 15586 bytes .../Designflow/sim/beh/work/@_opt1/vopt3ytti7 | Bin 0 -> 384 bytes .../Designflow/sim/beh/work/@_opt1/vopt5ckg8j | Bin 0 -> 8522 bytes .../Designflow/sim/beh/work/@_opt1/vopt6y3ekx | Bin 0 -> 1547 bytes .../Designflow/sim/beh/work/@_opt1/vopt7kcgda | Bin 0 -> 572 bytes .../Designflow/sim/beh/work/@_opt1/vopt8w1aec | Bin 0 -> 4824 bytes .../Designflow/sim/beh/work/@_opt1/vopt8xjgmn | Bin 0 -> 1180 bytes .../Designflow/sim/beh/work/@_opt1/vopt8zrnmv | Bin 0 -> 20136 bytes .../Designflow/sim/beh/work/@_opt1/vopt93gx96 | Bin 0 -> 8860 bytes .../Designflow/sim/beh/work/@_opt1/vopt946688 | Bin 0 -> 10027 bytes .../Designflow/sim/beh/work/@_opt1/vopta7a1h3 | Bin 0 -> 572 bytes .../Designflow/sim/beh/work/@_opt1/vopta9qw5b | Bin 0 -> 4416 bytes .../Designflow/sim/beh/work/@_opt1/voptbnw505 | 417 + .../Designflow/sim/beh/work/@_opt1/voptchqnhv | Bin 0 -> 40840 bytes .../Designflow/sim/beh/work/@_opt1/voptf7nhqi | Bin 0 -> 3872 bytes .../Designflow/sim/beh/work/@_opt1/vopthd5xvd | Bin 0 -> 248 bytes .../Designflow/sim/beh/work/@_opt1/vopti8zmmt | Bin 0 -> 352 bytes .../Designflow/sim/beh/work/@_opt1/voptiaxeg9 | Bin 0 -> 1838 bytes .../Designflow/sim/beh/work/@_opt1/voptj4v2zc | Bin 0 -> 1167 bytes .../Designflow/sim/beh/work/@_opt1/voptjm4x1t | Bin 0 -> 571 bytes .../Designflow/sim/beh/work/@_opt1/voptkihv0f | Bin 0 -> 572 bytes .../Designflow/sim/beh/work/@_opt1/voptkm1v6j | Bin 0 -> 171 bytes .../Designflow/sim/beh/work/@_opt1/voptkmiaa7 | Bin 0 -> 875 bytes .../Designflow/sim/beh/work/@_opt1/voptmrzat9 | Bin 0 -> 224 bytes .../Designflow/sim/beh/work/@_opt1/voptmxz0ht | Bin 0 -> 3121 bytes .../Designflow/sim/beh/work/@_opt1/voptnm1zts | Bin 0 -> 1033 bytes .../Designflow/sim/beh/work/@_opt1/voptnndw8s | Bin 0 -> 1186 bytes .../Designflow/sim/beh/work/@_opt1/voptqi9gfb | Bin 0 -> 4297 bytes .../Designflow/sim/beh/work/@_opt1/voptr3m01f | Bin 0 -> 8136 bytes .../Designflow/sim/beh/work/@_opt1/voptsh2kbc | Bin 0 -> 458 bytes .../Designflow/sim/beh/work/@_opt1/voptwki2a0 | 78 + .../Designflow/sim/beh/work/@_opt1/voptwkkqyn | Bin 0 -> 2359 bytes .../Designflow/sim/beh/work/@_opt1/voptwnvnyc | Bin 0 -> 2439 bytes .../Designflow/sim/beh/work/@_opt1/voptx2ga7e | 244 + .../Designflow/sim/beh/work/@_opt1/voptx9sws4 | Bin 0 -> 3713 bytes .../Designflow/sim/beh/work/@_opt1/voptynr0w7 | Bin 0 -> 2484 bytes .../Designflow/sim/beh/work/@_opt1/voptz2bt1c | Bin 0 -> 773 bytes .../Designflow/sim/beh/work/@_opt1/voptz5k8xq | Bin 0 -> 5559 bytes .../Designflow/sim/beh/work/@_opt1/voptzz5eq3 | Bin 0 -> 6032 bytes bsp3/Designflow/sim/beh/work/_info | 240 + bsp3/Designflow/sim/beh/work/_vmake | 3 + .../sim/beh/work/board_driver/_primary.dat | Bin 0 -> 352 bytes .../sim/beh/work/board_driver/_primary.dbs | Bin 0 -> 773 bytes .../sim/beh/work/board_driver/behav.dat | Bin 0 -> 1547 bytes .../sim/beh/work/board_driver/behav.dbs | Bin 0 -> 4824 bytes bsp3/Designflow/sim/beh/work/vga/_primary.dat | Bin 0 -> 1186 bytes bsp3/Designflow/sim/beh/work/vga/_primary.dbs | Bin 0 -> 2484 bytes bsp3/Designflow/sim/beh/work/vga/behav.dat | Bin 0 -> 3121 bytes bsp3/Designflow/sim/beh/work/vga/behav.dbs | Bin 0 -> 8522 bytes .../sim/beh/work/vga_conf_beh/_primary.dat | Bin 0 -> 171 bytes .../sim/beh/work/vga_conf_beh/_primary.dbs | Bin 0 -> 458 bytes .../sim/beh/work/vga_control/_primary.dat | Bin 0 -> 509 bytes .../sim/beh/work/vga_control/_primary.dbs | Bin 0 -> 1167 bytes .../sim/beh/work/vga_control/behav.dat | Bin 0 -> 1033 bytes .../sim/beh/work/vga_control/behav.dbs | Bin 0 -> 3872 bytes .../sim/beh/work/vga_driver/_primary.dat | Bin 0 -> 875 bytes .../sim/beh/work/vga_driver/_primary.dbs | Bin 0 -> 1838 bytes .../sim/beh/work/vga_driver/behav.dat | Bin 0 -> 5559 bytes .../sim/beh/work/vga_driver/behav.dbs | Bin 0 -> 15586 bytes .../sim/beh/work/vga_pak/_primary.dat | Bin 0 -> 2439 bytes .../sim/beh/work/vga_pak/_primary.dbs | Bin 0 -> 3713 bytes .../sim/beh/work/vga_tb/_primary.dat | Bin 0 -> 224 bytes .../sim/beh/work/vga_tb/_primary.dbs | Bin 0 -> 571 bytes .../sim/beh/work/vga_tb/behaviour.dat | Bin 0 -> 2359 bytes .../sim/beh/work/vga_tb/behaviour.dbs | Bin 0 -> 6032 bytes bsp3/Designflow/sim/post/modelsim.ini | 1305 ++ bsp3/Designflow/sim/post/vsim.wlf | Bin 0 -> 57344 bytes bsp3/Designflow/sim/post/work/@_opt/__sdf1 | Bin 0 -> 26687 bytes bsp3/Designflow/sim/post/work/@_opt/_deps | Bin 0 -> 30430 bytes .../Designflow/sim/post/work/@_opt/vopt04g9zr | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt0775gz | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/vopt0ecc2w | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt0ihnm0 | Bin 0 -> 2208104 bytes .../Designflow/sim/post/work/@_opt/vopt0mft48 | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/vopt0mksmh | Bin 0 -> 19620 bytes .../Designflow/sim/post/work/@_opt/vopt0rc3m1 | Bin 0 -> 3232 bytes .../Designflow/sim/post/work/@_opt/vopt0t26b3 | Bin 0 -> 1516 bytes .../Designflow/sim/post/work/@_opt/vopt0t7tzn | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/vopt110x3c | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt13n14v | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt18d7i9 | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/vopt18vb0r | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/vopt1a6tfd | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt1agceg | Bin 0 -> 6648 bytes .../Designflow/sim/post/work/@_opt/vopt1f9yqb | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/vopt1he2aw | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt1q9yzv | Bin 0 -> 23104 bytes .../Designflow/sim/post/work/@_opt/vopt1rxnye | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt1y85nx | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt1zs9t3 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt206keg | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt20bi9h | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt21agm6 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt25ed5c | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt274nvn | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt27sqdt | Bin 0 -> 1104 bytes .../Designflow/sim/post/work/@_opt/vopt2dc332 | Bin 0 -> 87467 bytes .../Designflow/sim/post/work/@_opt/vopt2e8c94 | Bin 0 -> 9197 bytes .../Designflow/sim/post/work/@_opt/vopt2f3a0x | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt2j5bkg | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt2mhxts | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt2n4d8r | Bin 0 -> 575 bytes .../Designflow/sim/post/work/@_opt/vopt2ydh57 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt2zz0w8 | Bin 0 -> 392 bytes .../Designflow/sim/post/work/@_opt/vopt32qnct | Bin 0 -> 1100 bytes .../Designflow/sim/post/work/@_opt/vopt35k5wn | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/vopt3cfxrm | Bin 0 -> 1871 bytes .../Designflow/sim/post/work/@_opt/vopt3kd3wc | Bin 0 -> 4450 bytes .../Designflow/sim/post/work/@_opt/vopt3n9xvk | Bin 0 -> 2356 bytes .../Designflow/sim/post/work/@_opt/vopt3s62qk | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt3v2cwx | Bin 0 -> 26888 bytes .../Designflow/sim/post/work/@_opt/vopt40shqr | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt466cvr | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/vopt478w7a | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt4b2e0j | Bin 0 -> 3885 bytes .../Designflow/sim/post/work/@_opt/vopt4h7x0t | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/vopt4qq65j | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/vopt4rb1ts | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt4tekwx | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/vopt4ttffc | Bin 0 -> 2439 bytes .../Designflow/sim/post/work/@_opt/vopt4zyxm4 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt5287sw | Bin 0 -> 688 bytes .../Designflow/sim/post/work/@_opt/vopt52eq6n | Bin 0 -> 10405 bytes .../Designflow/sim/post/work/@_opt/vopt55g40n | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt5gtiwz | Bin 0 -> 2636 bytes .../Designflow/sim/post/work/@_opt/vopt5k57cn | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt5si1tq | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt68a3cn | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt6as2k8 | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/vopt6i91n9 | Bin 0 -> 1587 bytes .../Designflow/sim/post/work/@_opt/vopt6iehg9 | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt6nbb7g | Bin 0 -> 772 bytes .../Designflow/sim/post/work/@_opt/vopt6nhxfn | Bin 0 -> 8357 bytes .../Designflow/sim/post/work/@_opt/vopt6rhy0e | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt6w3eqg | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt6wr2ez | 417 + .../Designflow/sim/post/work/@_opt/vopt70fsca | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/vopt716s76 | Bin 0 -> 4360 bytes .../Designflow/sim/post/work/@_opt/vopt71gszc | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt785ncr | Bin 0 -> 533 bytes .../Designflow/sim/post/work/@_opt/vopt7dmrts | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt7dw2jd | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt7hs9d2 | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt7ndivd | Bin 0 -> 6744 bytes .../Designflow/sim/post/work/@_opt/vopt7y2es7 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt7y4h7c | Bin 0 -> 6580 bytes .../Designflow/sim/post/work/@_opt/vopt7y5y26 | Bin 0 -> 2422 bytes .../Designflow/sim/post/work/@_opt/vopt80kb6s | Bin 0 -> 919 bytes .../Designflow/sim/post/work/@_opt/vopt85cx2g | Bin 0 -> 9708 bytes .../Designflow/sim/post/work/@_opt/vopt8bvh4c | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt8e77ct | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt8ij05x | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt8j0mtg | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt8k66qj | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/vopt8m56re | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt8ng6xh | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/vopt8njaqt | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt8yhe3w | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt8ziwrw | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/vopt95ij4a | Bin 0 -> 4488 bytes .../Designflow/sim/post/work/@_opt/vopt9a1jxm | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/vopt9d1qrj | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt9h8r68 | Bin 0 -> 253 bytes .../Designflow/sim/post/work/@_opt/vopt9knfnt | Bin 0 -> 10110 bytes .../Designflow/sim/post/work/@_opt/vopt9ks3v6 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt9kvygh | Bin 0 -> 878 bytes .../Designflow/sim/post/work/@_opt/vopt9rw4ta | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt9vfz01 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt9xxyy1 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopta3vqte | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopta6gskx | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptajhv81 | Bin 0 -> 6447 bytes .../Designflow/sim/post/work/@_opt/voptasd3s8 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptb1fbks | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptbbfkjn | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptbe3c1g | Bin 0 -> 1292 bytes .../Designflow/sim/post/work/@_opt/voptbehey2 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptbeq6gd | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptbr95i6 | Bin 0 -> 10882 bytes .../Designflow/sim/post/work/@_opt/voptbrg3gz | Bin 0 -> 844 bytes .../Designflow/sim/post/work/@_opt/voptbsx1xs | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptbtfiwb | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptbycmqt | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptbzgbat | 1227 ++ .../Designflow/sim/post/work/@_opt/voptc2vmiz | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptc5c5bq | Bin 0 -> 1696 bytes .../Designflow/sim/post/work/@_opt/voptc745ki | Bin 0 -> 9884 bytes .../Designflow/sim/post/work/@_opt/voptccnfkd | Bin 0 -> 228 bytes .../Designflow/sim/post/work/@_opt/voptcmsfjz | Bin 0 -> 44016 bytes .../Designflow/sim/post/work/@_opt/voptcq3297 | Bin 0 -> 3713 bytes .../Designflow/sim/post/work/@_opt/voptczegea | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptd0tja7 | Bin 0 -> 3228 bytes .../Designflow/sim/post/work/@_opt/voptd2nx7v | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptda9n7k | Bin 0 -> 6584 bytes .../Designflow/sim/post/work/@_opt/voptde43s5 | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptdgzq4m | Bin 0 -> 1419 bytes .../Designflow/sim/post/work/@_opt/voptdt1kqd | Bin 0 -> 2314 bytes .../Designflow/sim/post/work/@_opt/vopte38ew9 | Bin 0 -> 6447 bytes .../Designflow/sim/post/work/@_opt/vopte3c0b8 | Bin 0 -> 5712 bytes .../Designflow/sim/post/work/@_opt/vopte6bm43 | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/vopte6tw9f | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopte6vewz | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopte7cdjw | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptec5k4k | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopted2bjz | Bin 0 -> 1452 bytes .../Designflow/sim/post/work/@_opt/voptee9zbv | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptehc8qt | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptemmexf | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptenvrm7 | Bin 0 -> 45488 bytes .../Designflow/sim/post/work/@_opt/vopteq3s6b | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptervh7c | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptexb4sn | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptf3xim8 | Bin 0 -> 8080 bytes .../Designflow/sim/post/work/@_opt/voptfbjxgg | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptfd96yv | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptfg8mkb | Bin 0 -> 169 bytes .../Designflow/sim/post/work/@_opt/voptfgziqm | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/voptfjdkq2 | Bin 0 -> 1953 bytes .../Designflow/sim/post/work/@_opt/voptfnzcsx | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptfqwwnk | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptfwwe01 | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptg33dir | Bin 0 -> 1248 bytes .../Designflow/sim/post/work/@_opt/voptg4a5e2 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptg5gxf2 | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptg98wsq | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptg9hyxh | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptgehny4 | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/voptgf4fb8 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptgfmnqn | Bin 0 -> 2903 bytes .../Designflow/sim/post/work/@_opt/voptggzebt | Bin 0 -> 3572 bytes .../Designflow/sim/post/work/@_opt/voptgq2ee5 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptgrj5dx | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptgt7ck1 | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/voptgv1vae | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptgw96k6 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptgx98fc | Bin 0 -> 6516 bytes .../Designflow/sim/post/work/@_opt/vopth0r1q1 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopthf9fm2 | Bin 0 -> 1348 bytes .../Designflow/sim/post/work/@_opt/vopthh22i5 | Bin 0 -> 2080 bytes .../Designflow/sim/post/work/@_opt/vopthi1hkx | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopthi41g1 | Bin 0 -> 57624 bytes .../Designflow/sim/post/work/@_opt/vopthqq36t | Bin 0 -> 9704 bytes .../Designflow/sim/post/work/@_opt/vopthsj9c1 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopti1hrgb | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/vopti30km4 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopti7sxxb | Bin 0 -> 6157 bytes .../Designflow/sim/post/work/@_opt/vopti7trii | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptidh34d | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptizkix2 | Bin 0 -> 4942 bytes .../Designflow/sim/post/work/@_opt/voptj02i77 | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/voptj2vmsh | Bin 0 -> 1312 bytes .../Designflow/sim/post/work/@_opt/voptj4ige9 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptj6g9r7 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptj8iea1 | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptjc0ms0 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptjf2mg0 | Bin 0 -> 2439 bytes .../Designflow/sim/post/work/@_opt/voptjh5m3n | Bin 0 -> 2684 bytes .../Designflow/sim/post/work/@_opt/voptjhbvq1 | Bin 0 -> 1516 bytes .../Designflow/sim/post/work/@_opt/voptjmz2fq | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptjrba9g | Bin 0 -> 2091 bytes .../Designflow/sim/post/work/@_opt/voptk299q0 | Bin 0 -> 3516 bytes .../Designflow/sim/post/work/@_opt/voptk3m8eg | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptk71at1 | Bin 0 -> 1288 bytes .../Designflow/sim/post/work/@_opt/voptka4h5r | Bin 0 -> 57624 bytes .../Designflow/sim/post/work/@_opt/voptkfq0ks | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptkik6hi | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptkjcf41 | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptkk7zn7 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptknakms | Bin 0 -> 1440 bytes .../Designflow/sim/post/work/@_opt/voptkwfg7b | Bin 0 -> 26888 bytes .../Designflow/sim/post/work/@_opt/voptm289ge | Bin 0 -> 20696 bytes .../Designflow/sim/post/work/@_opt/voptm2tjnz | Bin 0 -> 720 bytes .../Designflow/sim/post/work/@_opt/voptm5rsfd | 148 + .../Designflow/sim/post/work/@_opt/voptm788y2 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptmas2y3 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptmbqb5s | Bin 0 -> 3288 bytes .../Designflow/sim/post/work/@_opt/voptmc2amk | Bin 0 -> 256 bytes .../Designflow/sim/post/work/@_opt/voptmenshy | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptmfsea8 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptmhrw9j | Bin 0 -> 18134 bytes .../Designflow/sim/post/work/@_opt/voptn99e2j | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptngrjm4 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptnsszm7 | Bin 0 -> 9636 bytes .../Designflow/sim/post/work/@_opt/voptq0ijic | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptq3cbn0 | Bin 0 -> 601561 bytes .../Designflow/sim/post/work/@_opt/voptq6jizj | Bin 0 -> 5512 bytes .../Designflow/sim/post/work/@_opt/voptq758xd | Bin 0 -> 3512 bytes .../Designflow/sim/post/work/@_opt/voptq8x19g | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptq9jyiy | Bin 0 -> 1592 bytes .../Designflow/sim/post/work/@_opt/voptqbtaqb | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptqe37id | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptqizctz | Bin 0 -> 6644 bytes .../Designflow/sim/post/work/@_opt/voptqra3gr | Bin 0 -> 1631 bytes .../Designflow/sim/post/work/@_opt/voptqzq8en | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptr0v6kq | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptr6403x | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptrcqggt | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptrehctx | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptrgin7n | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptrh7jg3 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptrkqkb6 | Bin 0 -> 1894 bytes .../Designflow/sim/post/work/@_opt/voptrqqi3r | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptrqy1ym | Bin 0 -> 2840 bytes .../Designflow/sim/post/work/@_opt/voptrtgskv | Bin 0 -> 462 bytes .../Designflow/sim/post/work/@_opt/voptrtj0ec | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopts7vksi | 78 + .../Designflow/sim/post/work/@_opt/voptsj7ma9 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptsmgy31 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptsmwm69 | Bin 0 -> 6037 bytes .../Designflow/sim/post/work/@_opt/voptt00gdd | Bin 0 -> 3056 bytes .../Designflow/sim/post/work/@_opt/voptt3acvn | Bin 0 -> 677 bytes .../Designflow/sim/post/work/@_opt/voptt5ebyt | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptt8w0rj | Bin 0 -> 1452 bytes .../Designflow/sim/post/work/@_opt/vopttdxxj4 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopttehte1 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopttf098e | Bin 0 -> 4770 bytes .../Designflow/sim/post/work/@_opt/vopttjxskh | Bin 0 -> 884 bytes .../Designflow/sim/post/work/@_opt/voptts38h1 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopttwr2kt | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopttx2t7a | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/vopttyy3mx | Bin 0 -> 3720 bytes .../Designflow/sim/post/work/@_opt/vopttzmffh | Bin 0 -> 6684 bytes .../Designflow/sim/post/work/@_opt/voptv0zb1d | Bin 0 -> 222071 bytes .../Designflow/sim/post/work/@_opt/voptv63t1g | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptv9yyfx | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptvbs1k9 | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/voptvee1bs | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/voptvgh3x6 | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptvhifra | Bin 0 -> 1176 bytes .../Designflow/sim/post/work/@_opt/voptvi2hi3 | Bin 0 -> 6285 bytes .../Designflow/sim/post/work/@_opt/voptvjvbjr | Bin 0 -> 3632 bytes .../Designflow/sim/post/work/@_opt/voptvkq1q6 | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptvn0yh7 | Bin 0 -> 3110 bytes .../Designflow/sim/post/work/@_opt/voptvr74r3 | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptvstekn | Bin 0 -> 9708 bytes .../Designflow/sim/post/work/@_opt/voptvxwhvk | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptw0q5q4 | Bin 0 -> 4715 bytes .../Designflow/sim/post/work/@_opt/voptw3zvzg | Bin 0 -> 7750 bytes .../Designflow/sim/post/work/@_opt/voptwcraje | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptwdeqf8 | Bin 0 -> 1352 bytes .../Designflow/sim/post/work/@_opt/voptwj1h56 | Bin 0 -> 6104 bytes .../Designflow/sim/post/work/@_opt/voptwn8bd8 | Bin 0 -> 1168 bytes .../Designflow/sim/post/work/@_opt/voptx1a26g | Bin 0 -> 1821 bytes .../Designflow/sim/post/work/@_opt/voptxcxqtx | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptxdahza | Bin 0 -> 10882 bytes .../Designflow/sim/post/work/@_opt/voptxj446w | Bin 0 -> 640 bytes .../Designflow/sim/post/work/@_opt/voptxy0mgt | Bin 0 -> 1673 bytes .../Designflow/sim/post/work/@_opt/vopty4nqz9 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopty9tfij | Bin 0 -> 1267 bytes .../Designflow/sim/post/work/@_opt/voptyhmtbi | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptyhngfr | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/voptykrs0a | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptymsefg | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptyn1f5j | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptyqya85 | Bin 0 -> 20833 bytes .../Designflow/sim/post/work/@_opt/voptyrwyt3 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptyskb8t | Bin 0 -> 1234 bytes .../Designflow/sim/post/work/@_opt/voptz3jt2s | Bin 0 -> 8696 bytes .../Designflow/sim/post/work/@_opt/voptza8gh6 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptzcy49x | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptzgnc75 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptznc0g5 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptzww4tv | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptzwzy11 | Bin 0 -> 1575 bytes .../Designflow/sim/post/work/@_opt/voptzy6fxn | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptzztzz4 | Bin 0 -> 408 bytes bsp3/Designflow/sim/post/work/_info | 134 + bsp3/Designflow/sim/post/work/_vmake | 3 + .../Designflow/sim/post/work/vga/_primary.dat | Bin 0 -> 1100 bytes .../Designflow/sim/post/work/vga/_primary.dbs | Bin 0 -> 2356 bytes .../sim/post/work/vga/structure.dat | Bin 0 -> 87467 bytes .../sim/post/work/vga/structure.dbs | Bin 0 -> 222071 bytes .../sim/post/work/vga_conf_pos/_primary.dat | Bin 0 -> 169 bytes .../sim/post/work/vga_conf_pos/_primary.dbs | Bin 0 -> 462 bytes .../sim/post/work/vga_pak/_primary.dat | Bin 0 -> 2439 bytes .../sim/post/work/vga_pak/_primary.dbs | Bin 0 -> 3713 bytes .../sim/post/work/vga_pos_tb/_primary.dat | Bin 0 -> 228 bytes .../sim/post/work/vga_pos_tb/_primary.dbs | Bin 0 -> 575 bytes .../sim/post/work/vga_pos_tb/structure.dat | Bin 0 -> 2439 bytes .../sim/post/work/vga_pos_tb/structure.dbs | Bin 0 -> 6157 bytes bsp3/Designflow/sim/pre/modelsim.ini | 1305 ++ bsp3/Designflow/sim/pre/vsim.wlf | Bin 0 -> 155648 bytes bsp3/Designflow/sim/pre/work/@_opt/_deps | Bin 0 -> 22463 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt0am9rm | 78 + bsp3/Designflow/sim/pre/work/@_opt/vopt0i6c4w | Bin 0 -> 6582 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt0k8345 | Bin 0 -> 2276 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt0x4vyk | Bin 0 -> 20833 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt0y2684 | Bin 0 -> 1761 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt10awzd | Bin 0 -> 7750 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt122z3y | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt12wqt0 | Bin 0 -> 6157 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt13sgc7 | Bin 0 -> 9033 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt1aknqe | Bin 0 -> 9033 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt1bf2vs | Bin 0 -> 408 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt1vm71y | Bin 0 -> 1228 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt1vx7wc | Bin 0 -> 3452 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt1wgqi9 | Bin 0 -> 2422 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt20fb7q | Bin 0 -> 3516 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt2ba2c6 | Bin 0 -> 24144 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt2dqh6v | Bin 0 -> 13630 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt2zz7n9 | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt304sfh | Bin 0 -> 3713 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt3adrmf | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt3e4dc2 | Bin 0 -> 57624 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt3h1taq | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt3vm89b | Bin 0 -> 6582 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt3vnm8t | Bin 0 -> 4360 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt3vvqwi | Bin 0 -> 24144 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt42chjt | Bin 0 -> 6444 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt42jzcw | Bin 0 -> 26888 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt46cyae | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt48vm08 | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt48wi2g | Bin 0 -> 47466 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4bmgcy | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4c55m9 | Bin 0 -> 256 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4e60zb | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4gr2v9 | Bin 0 -> 40216 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4mzriq | Bin 0 -> 232 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4q83sz | 417 + bsp3/Designflow/sim/pre/work/@_opt/vopt4xtadv | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4yx10q | Bin 0 -> 2292 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt4zc1kg | Bin 0 -> 3056 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt51t5y6 | Bin 0 -> 3885 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt55sjrn | Bin 0 -> 1821 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt5n887w | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt5qd302 | Bin 0 -> 919 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt5twm9e | Bin 0 -> 40216 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt65v1sn | Bin 0 -> 1894 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt6c7vre | Bin 0 -> 16668 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt6dq9se | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt6g26rn | Bin 0 -> 6037 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt6gi0b5 | Bin 0 -> 19620 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt6kfx6i | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt6tze3s | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt73vatx | Bin 0 -> 10110 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt7fs99w | Bin 0 -> 688 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt7ja1s8 | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt7njjhw | Bin 0 -> 1176 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt7s1sw6 | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt7xgg6h | Bin 0 -> 2688 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt7ykvec | Bin 0 -> 4770 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8fgnja | Bin 0 -> 9704 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8hk0km | Bin 0 -> 173 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8kw9m0 | Bin 0 -> 1312 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8ngb3w | Bin 0 -> 2620 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8q3htk | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8q7wss | Bin 0 -> 4942 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8qmdq9 | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8s49cb | Bin 0 -> 20704 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt8zw982 | Bin 0 -> 1592 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt903g6g | Bin 0 -> 2903 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt9mdbfh | Bin 0 -> 8357 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt9tii6m | Bin 0 -> 6104 bytes bsp3/Designflow/sim/pre/work/@_opt/vopt9xs68h | Bin 0 -> 1280 bytes bsp3/Designflow/sim/pre/work/@_opt/vopta3ata3 | Bin 0 -> 1575 bytes bsp3/Designflow/sim/pre/work/@_opt/vopta5hbfq | Bin 0 -> 6568 bytes bsp3/Designflow/sim/pre/work/@_opt/voptaabh4z | Bin 0 -> 6582 bytes bsp3/Designflow/sim/pre/work/@_opt/voptae9fw2 | Bin 0 -> 8696 bytes bsp3/Designflow/sim/pre/work/@_opt/voptaek2j6 | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/voptahtanj | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/voptajrs74 | Bin 0 -> 1587 bytes bsp3/Designflow/sim/pre/work/@_opt/voptak99fc | Bin 0 -> 1216 bytes bsp3/Designflow/sim/pre/work/@_opt/voptaxk6y6 | Bin 0 -> 45488 bytes bsp3/Designflow/sim/pre/work/@_opt/voptb84s9f | Bin 0 -> 1419 bytes bsp3/Designflow/sim/pre/work/@_opt/voptbc3ayg | Bin 0 -> 6447 bytes bsp3/Designflow/sim/pre/work/@_opt/voptbhb8ir | Bin 0 -> 9704 bytes bsp3/Designflow/sim/pre/work/@_opt/voptbin7c8 | Bin 0 -> 1452 bytes bsp3/Designflow/sim/pre/work/@_opt/voptbj57q5 | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/voptbt7y2r | Bin 0 -> 40216 bytes bsp3/Designflow/sim/pre/work/@_opt/voptbw5av9 | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptc2ewey | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptcatdcf | Bin 0 -> 575 bytes bsp3/Designflow/sim/pre/work/@_opt/voptceddes | Bin 0 -> 533 bytes bsp3/Designflow/sim/pre/work/@_opt/voptcr2z7y | Bin 0 -> 23104 bytes bsp3/Designflow/sim/pre/work/@_opt/voptcw5jcx | Bin 0 -> 10882 bytes bsp3/Designflow/sim/pre/work/@_opt/voptd42wzn | Bin 0 -> 2439 bytes bsp3/Designflow/sim/pre/work/@_opt/voptd73ncv | Bin 0 -> 1328 bytes bsp3/Designflow/sim/pre/work/@_opt/voptd8q1ti | Bin 0 -> 640 bytes bsp3/Designflow/sim/pre/work/@_opt/voptd9eszt | Bin 0 -> 2314 bytes bsp3/Designflow/sim/pre/work/@_opt/voptdwtd08 | Bin 0 -> 878 bytes bsp3/Designflow/sim/pre/work/@_opt/vopte3by5t | Bin 0 -> 178093 bytes bsp3/Designflow/sim/pre/work/@_opt/vopterjbne | Bin 0 -> 40216 bytes bsp3/Designflow/sim/pre/work/@_opt/voptewzwms | Bin 0 -> 9640 bytes bsp3/Designflow/sim/pre/work/@_opt/voptey6j55 | Bin 0 -> 4715 bytes bsp3/Designflow/sim/pre/work/@_opt/voptfzexn2 | Bin 0 -> 1248 bytes bsp3/Designflow/sim/pre/work/@_opt/voptg3itef | Bin 0 -> 720 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgfc83c | Bin 0 -> 3580 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgh694y | Bin 0 -> 1460 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgj09sr | Bin 0 -> 57624 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgjk5ib | Bin 0 -> 1673 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgkfeyz | Bin 0 -> 10882 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgkx96a | Bin 0 -> 3750 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgneik3 | Bin 0 -> 31411 bytes bsp3/Designflow/sim/pre/work/@_opt/voptgwdknb | Bin 0 -> 5125 bytes bsp3/Designflow/sim/pre/work/@_opt/vopth0mvka | Bin 0 -> 24144 bytes bsp3/Designflow/sim/pre/work/@_opt/vopth1bexi | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/vopth31iw4 | Bin 0 -> 18134 bytes bsp3/Designflow/sim/pre/work/@_opt/vopth7wx3g | Bin 0 -> 10405 bytes bsp3/Designflow/sim/pre/work/@_opt/vopthbfncq | Bin 0 -> 1104 bytes bsp3/Designflow/sim/pre/work/@_opt/vopthd5jm4 | Bin 0 -> 1344 bytes bsp3/Designflow/sim/pre/work/@_opt/vopthecd8z | Bin 0 -> 2552 bytes bsp3/Designflow/sim/pre/work/@_opt/vopthizaii | Bin 0 -> 57624 bytes bsp3/Designflow/sim/pre/work/@_opt/vopthsw3di | Bin 0 -> 19041 bytes bsp3/Designflow/sim/pre/work/@_opt/vopti8yvt9 | Bin 0 -> 2840 bytes bsp3/Designflow/sim/pre/work/@_opt/voptie06aa | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptimd8rb | Bin 0 -> 1460 bytes bsp3/Designflow/sim/pre/work/@_opt/voptinhwyy | Bin 0 -> 884 bytes bsp3/Designflow/sim/pre/work/@_opt/voptirch1m | Bin 0 -> 1272 bytes bsp3/Designflow/sim/pre/work/@_opt/voptiyswhn | Bin 0 -> 6680 bytes bsp3/Designflow/sim/pre/work/@_opt/voptj3k9ec | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptj5ya8z | Bin 0 -> 24144 bytes bsp3/Designflow/sim/pre/work/@_opt/voptj942ct | Bin 0 -> 9033 bytes bsp3/Designflow/sim/pre/work/@_opt/voptjf2x9t | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/voptjm2qv3 | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptjq05ys | Bin 0 -> 392 bytes bsp3/Designflow/sim/pre/work/@_opt/voptk8baxb | Bin 0 -> 40216 bytes bsp3/Designflow/sim/pre/work/@_opt/voptkcdgj2 | Bin 0 -> 3576 bytes bsp3/Designflow/sim/pre/work/@_opt/voptke28ta | Bin 0 -> 2676 bytes bsp3/Designflow/sim/pre/work/@_opt/voptke9xx5 | Bin 0 -> 6582 bytes bsp3/Designflow/sim/pre/work/@_opt/voptkfv4jx | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptksgd04 | Bin 0 -> 203178 bytes bsp3/Designflow/sim/pre/work/@_opt/voptkt39i1 | Bin 0 -> 4458 bytes bsp3/Designflow/sim/pre/work/@_opt/voptkw9jq2 | Bin 0 -> 234909 bytes bsp3/Designflow/sim/pre/work/@_opt/voptkyb7ay | Bin 0 -> 9576 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmczyb8 | Bin 0 -> 972632 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmehdfj | Bin 0 -> 1631 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmf1ksc | Bin 0 -> 5712 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmfqanq | Bin 0 -> 3720 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmr3by9 | Bin 0 -> 6628 bytes bsp3/Designflow/sim/pre/work/@_opt/voptms89dz | Bin 0 -> 1340 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmt092v | Bin 0 -> 1388 bytes bsp3/Designflow/sim/pre/work/@_opt/voptmty6w0 | Bin 0 -> 9033 bytes bsp3/Designflow/sim/pre/work/@_opt/voptn4tie8 | Bin 0 -> 1280 bytes bsp3/Designflow/sim/pre/work/@_opt/voptn6hhj8 | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/voptncvj5h | Bin 0 -> 3288 bytes bsp3/Designflow/sim/pre/work/@_opt/voptnfbheh | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptni0j2s | Bin 0 -> 106605 bytes bsp3/Designflow/sim/pre/work/@_opt/voptnt9fe1 | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptnvr0kz | Bin 0 -> 9197 bytes bsp3/Designflow/sim/pre/work/@_opt/voptnx8gdn | Bin 0 -> 656 bytes bsp3/Designflow/sim/pre/work/@_opt/voptq0qbgj | Bin 0 -> 677 bytes bsp3/Designflow/sim/pre/work/@_opt/voptq0rt1m | Bin 0 -> 5512 bytes bsp3/Designflow/sim/pre/work/@_opt/voptq5yz3e | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqaa3k2 | Bin 0 -> 9033 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqax3fm | Bin 0 -> 1267 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqbn1c2 | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqfsvnw | Bin 0 -> 790 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqi1z5m | Bin 0 -> 2091 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqj2cd6 | Bin 0 -> 31744 bytes bsp3/Designflow/sim/pre/work/@_opt/voptqr9n0q | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/voptrwv5rc | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/voptrzm7y3 | Bin 0 -> 253 bytes bsp3/Designflow/sim/pre/work/@_opt/vopts7w6rz | 256 + bsp3/Designflow/sim/pre/work/@_opt/vopts7yrmd | Bin 0 -> 4488 bytes bsp3/Designflow/sim/pre/work/@_opt/voptsbtefi | Bin 0 -> 456 bytes bsp3/Designflow/sim/pre/work/@_opt/voptsby5g2 | Bin 0 -> 1871 bytes bsp3/Designflow/sim/pre/work/@_opt/voptsksn16 | Bin 0 -> 1058 bytes bsp3/Designflow/sim/pre/work/@_opt/voptssxwmz | Bin 0 -> 772 bytes bsp3/Designflow/sim/pre/work/@_opt/voptsx28wz | Bin 0 -> 6285 bytes bsp3/Designflow/sim/pre/work/@_opt/voptsxkn32 | 1227 ++ bsp3/Designflow/sim/pre/work/@_opt/voptt8at74 | Bin 0 -> 9640 bytes bsp3/Designflow/sim/pre/work/@_opt/vopttjnesc | Bin 0 -> 1224 bytes bsp3/Designflow/sim/pre/work/@_opt/vopttt6738 | Bin 0 -> 6508 bytes bsp3/Designflow/sim/pre/work/@_opt/voptttw3s9 | Bin 0 -> 228 bytes bsp3/Designflow/sim/pre/work/@_opt/voptv6wd4a | Bin 0 -> 10882 bytes bsp3/Designflow/sim/pre/work/@_opt/voptvazqsn | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptvtg87a | Bin 0 -> 36904 bytes bsp3/Designflow/sim/pre/work/@_opt/voptw2vcec | Bin 0 -> 1216 bytes bsp3/Designflow/sim/pre/work/@_opt/voptw387xj | Bin 0 -> 74632 bytes bsp3/Designflow/sim/pre/work/@_opt/voptwjiseq | Bin 0 -> 1175 bytes bsp3/Designflow/sim/pre/work/@_opt/voptwmkx90 | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/voptwwgn6w | Bin 0 -> 3110 bytes bsp3/Designflow/sim/pre/work/@_opt/voptwx3vb0 | Bin 0 -> 1332 bytes bsp3/Designflow/sim/pre/work/@_opt/voptxaj1sn | Bin 0 -> 24752 bytes bsp3/Designflow/sim/pre/work/@_opt/voptxarj3z | Bin 0 -> 3228 bytes bsp3/Designflow/sim/pre/work/@_opt/voptxvt39v | Bin 0 -> 1234 bytes bsp3/Designflow/sim/pre/work/@_opt/voptxw97s2 | Bin 0 -> 6568 bytes bsp3/Designflow/sim/pre/work/@_opt/vopty01qn4 | Bin 0 -> 13693 bytes bsp3/Designflow/sim/pre/work/@_opt/vopty0m9n3 | Bin 0 -> 6620 bytes bsp3/Designflow/sim/pre/work/@_opt/voptyay30w | Bin 0 -> 1396 bytes bsp3/Designflow/sim/pre/work/@_opt/voptygvktq | Bin 0 -> 2439 bytes bsp3/Designflow/sim/pre/work/@_opt/voptymn1ei | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/voptync14k | Bin 0 -> 8080 bytes bsp3/Designflow/sim/pre/work/@_opt/voptyrekbk | 156 + bsp3/Designflow/sim/pre/work/@_opt/voptz0ds44 | Bin 0 -> 2080 bytes bsp3/Designflow/sim/pre/work/@_opt/voptz6skhc | Bin 0 -> 810128 bytes bsp3/Designflow/sim/pre/work/@_opt/voptzfni1k | Bin 0 -> 9480 bytes bsp3/Designflow/sim/pre/work/@_opt/voptzfr2yb | Bin 0 -> 1953 bytes bsp3/Designflow/sim/pre/work/@_opt/voptzv8bv1 | Bin 0 -> 840 bytes bsp3/Designflow/sim/pre/work/_info | 230 + bsp3/Designflow/sim/pre/work/_vmake | 3 + bsp3/Designflow/sim/pre/work/vga/_primary.dat | Bin 0 -> 1175 bytes bsp3/Designflow/sim/pre/work/vga/_primary.dbs | Bin 0 -> 2552 bytes bsp3/Designflow/sim/pre/work/vga/beh.dat | Bin 0 -> 31411 bytes bsp3/Designflow/sim/pre/work/vga/beh.dbs | Bin 0 -> 106605 bytes .../sim/pre/work/vga_conf_pre/_primary.dat | Bin 0 -> 173 bytes .../sim/pre/work/vga_conf_pre/_primary.dbs | Bin 0 -> 456 bytes .../sim/pre/work/vga_control/_primary.dat | Bin 0 -> 790 bytes .../sim/pre/work/vga_control/_primary.dbs | Bin 0 -> 1761 bytes .../sim/pre/work/vga_control/beh.dat | Bin 0 -> 3750 bytes .../sim/pre/work/vga_control/beh.dbs | Bin 0 -> 13630 bytes .../sim/pre/work/vga_driver/_primary.dat | Bin 0 -> 2292 bytes .../sim/pre/work/vga_driver/_primary.dbs | Bin 0 -> 5125 bytes .../sim/pre/work/vga_driver/beh.dat | Bin 0 -> 47466 bytes .../sim/pre/work/vga_driver/beh.dbs | Bin 0 -> 178093 bytes .../sim/pre/work/vga_pak/_primary.dat | Bin 0 -> 2439 bytes .../sim/pre/work/vga_pak/_primary.dbs | Bin 0 -> 3713 bytes .../sim/pre/work/vga_pre_tb/_primary.dat | Bin 0 -> 228 bytes .../sim/pre/work/vga_pre_tb/_primary.dbs | Bin 0 -> 575 bytes .../sim/pre/work/vga_pre_tb/structure.dat | Bin 0 -> 2439 bytes .../sim/pre/work/vga_pre_tb/structure.dbs | Bin 0 -> 6157 bytes bsp3/Designflow/src/board_driver_arc.vhd | 102 + bsp3/Designflow/src/board_driver_ent.vhd | 42 + bsp3/Designflow/src/dide_16_3.txt | 4 + bsp3/Designflow/src/vga_arc.vhd | 219 + bsp3/Designflow/src/vga_beh_tb.vhd | 189 + bsp3/Designflow/src/vga_control_arc.vhd | 89 + bsp3/Designflow/src/vga_control_ent.vhd | 51 + bsp3/Designflow/src/vga_driver_arc.vhd | 402 + bsp3/Designflow/src/vga_driver_ent.vhd | 60 + bsp3/Designflow/src/vga_ent.vhd | 71 + bsp3/Designflow/src/vga_pak.vhd | 88 + bsp3/Designflow/src/vga_pll.bdf | 799 + bsp3/Designflow/src/vga_pll.tcl | 172 + bsp3/Designflow/src/vga_pos_tb.vhd | 192 + bsp3/Designflow/src/vga_pre_tb.vhd | 191 + bsp3/Designflow/src/vpll.bsf | 49 + bsp3/Designflow/src/vpll.vhd | 274 + bsp3/Designflow/syn/rev_1/.recordref | 0 bsp3/Designflow/syn/rev_1/backup/vga.srr | 27 + bsp3/Designflow/syn/rev_1/rpt_vga.areasrr | 174 + bsp3/Designflow/syn/rev_1/rpt_vga_areasrr.htm | 193 + bsp3/Designflow/syn/rev_1/run_options.txt | 71 + bsp3/Designflow/syn/rev_1/scratchproject.prs | 71 + .../syn/rev_1/syntmp/sap_log_flink.htm | 7 + .../syn/rev_1/syntmp/sap_log_srr.htm | 0 bsp3/Designflow/syn/rev_1/syntmp/vga.msg | 0 bsp3/Designflow/syn/rev_1/syntmp/vga.plg | 13 + .../syn/rev_1/syntmp/vga_cons_ui.tcl | 5 + .../syn/rev_1/syntmp/vga_driver_arc_flink.htm | 7 + .../Designflow/syn/rev_1/syntmp/vga_flink.htm | 8 + bsp3/Designflow/syn/rev_1/syntmp/vga_srr.htm | 310 + bsp3/Designflow/syn/rev_1/syntmp/vga_toc.htm | 17 + bsp3/Designflow/syn/rev_1/verif/vga.vif | 116 + bsp3/Designflow/syn/rev_1/vga.fse | 0 bsp3/Designflow/syn/rev_1/vga.htm | 12 + bsp3/Designflow/syn/rev_1/vga.map | 1 + bsp3/Designflow/syn/rev_1/vga.sap | 134 + bsp3/Designflow/syn/rev_1/vga.srd | Bin 0 -> 23225 bytes bsp3/Designflow/syn/rev_1/vga.srm | 7072 +++++++++ bsp3/Designflow/syn/rev_1/vga.srr | 307 + bsp3/Designflow/syn/rev_1/vga.srs | 662 + bsp3/Designflow/syn/rev_1/vga.sxr | 289 + bsp3/Designflow/syn/rev_1/vga.szr | Bin 0 -> 14298 bytes bsp3/Designflow/syn/rev_1/vga.tcl | 41 + bsp3/Designflow/syn/rev_1/vga.tlg | 13 + bsp3/Designflow/syn/rev_1/vga.vhm | 5379 +++++++ bsp3/Designflow/syn/rev_1/vga.vqm | 4858 ++++++ bsp3/Designflow/syn/rev_1/vga.xrf | 276 + bsp3/Designflow/syn/rev_1/vga_cons.tcl | 6 + bsp3/Designflow/syn/rev_1/vga_rm.tcl | 12 + bsp3/Designflow/syn/vga.prd | 13 + bsp3/Designflow/syn/vga.prj | 71 + bsp3/Protokolle/pics/1behsim.png | Bin 0 -> 53561 bytes bsp3/Protokolle/pics/3pre-sim.png | Bin 0 -> 52668 bytes bsp3/Protokolle/pics/3pre-sim_2.png | Bin 0 -> 95876 bytes bsp3/Protokolle/pics/5postlayout1.png | Bin 0 -> 54283 bytes bsp3/Protokolle/pics/5postlayout2.png | Bin 0 -> 99073 bytes bsp3/Protokolle/pics/7auslastung.png | Bin 0 -> 73765 bytes bsp3/Protokolle/pics/logik.JPG | Bin 0 -> 160622 bytes 880 files changed, 97805 insertions(+) create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.asm.qmsg create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cbx.xml create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp.kpt create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp.logdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp.rdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp.tdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.cmp0.ddb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.db_info create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.eco.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.eda.qmsg create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.fit.qmsg create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.hier_info create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.hif create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.lpc.html create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.lpc.rdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.lpc.txt create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.map.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.map.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.map.logdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.map.qmsg create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.pre_map.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.pre_map.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.rtlv.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.sgdiff.cdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.sgdiff.hdb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.syn_hier_info create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.tan.qmsg create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll.tmw_info create mode 100644 bsp3/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo create mode 100644 bsp3/Designflow/ppr/download/incremental_db/README create mode 100644 bsp3/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt create mode 100644 bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.sft create mode 100644 bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.vo create mode 100644 bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf create mode 100644 bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo create mode 100644 bsp3/Designflow/ppr/download/vga_pll.asm.rpt create mode 100644 bsp3/Designflow/ppr/download/vga_pll.done create mode 100644 bsp3/Designflow/ppr/download/vga_pll.eda.rpt create mode 100644 bsp3/Designflow/ppr/download/vga_pll.fit.rpt create mode 100644 bsp3/Designflow/ppr/download/vga_pll.fit.smsg create mode 100644 bsp3/Designflow/ppr/download/vga_pll.fit.summary create mode 100644 bsp3/Designflow/ppr/download/vga_pll.flow.rpt create mode 100644 bsp3/Designflow/ppr/download/vga_pll.map.rpt create mode 100644 bsp3/Designflow/ppr/download/vga_pll.map.summary create mode 100644 bsp3/Designflow/ppr/download/vga_pll.pin create mode 100644 bsp3/Designflow/ppr/download/vga_pll.pof create mode 100644 bsp3/Designflow/ppr/download/vga_pll.qpf create mode 100644 bsp3/Designflow/ppr/download/vga_pll.qsf create mode 100644 bsp3/Designflow/ppr/download/vga_pll.qws create mode 100644 bsp3/Designflow/ppr/download/vga_pll.sof create mode 100644 bsp3/Designflow/ppr/download/vga_pll.tan.rpt create mode 100644 bsp3/Designflow/ppr/download/vga_pll.tan.summary create mode 100755 bsp3/Designflow/ppr/download/vga_pll.tcl create mode 100644 bsp3/Designflow/ppr/download/vga_pll_assignment_defaults.qdf create mode 100644 bsp3/Designflow/ppr/sim/db/vga.(0).cnf.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.(0).cnf.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.(1).cnf.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.(1).cnf.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.(2).cnf.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.(2).cnf.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.asm.qmsg create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cbx.xml create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.bpm create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.ecobp create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.kpt create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.logdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.rdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp.tdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp0.ddb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.cmp_merge.kpt create mode 100644 bsp3/Designflow/ppr/sim/db/vga.db_info create mode 100644 bsp3/Designflow/ppr/sim/db/vga.eco.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.eda.qmsg create mode 100644 bsp3/Designflow/ppr/sim/db/vga.fit.qmsg create mode 100644 bsp3/Designflow/ppr/sim/db/vga.hier_info create mode 100644 bsp3/Designflow/ppr/sim/db/vga.hif create mode 100644 bsp3/Designflow/ppr/sim/db/vga.lpc.html create mode 100644 bsp3/Designflow/ppr/sim/db/vga.lpc.rdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.lpc.txt create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.bpm create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.ecobp create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.kpt create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.logdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map.qmsg create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map_bb.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map_bb.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.map_bb.logdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.pre_map.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.pre_map.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.rtlv.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.rtlv_sg.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.sgdiff.cdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.sgdiff.hdb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.sld_design_entry.sci create mode 100644 bsp3/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci create mode 100644 bsp3/Designflow/ppr/sim/db/vga.syn_hier_info create mode 100644 bsp3/Designflow/ppr/sim/db/vga.tan.qmsg create mode 100644 bsp3/Designflow/ppr/sim/db/vga.tis_db_list.ddb create mode 100644 bsp3/Designflow/ppr/sim/db/vga.tmw_info create mode 100644 bsp3/Designflow/ppr/sim/db/vga_global_asgn_op.abo create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/README create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx create mode 100644 bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt create mode 100644 bsp3/Designflow/ppr/sim/simulation/modelsim/vga.sft create mode 100644 bsp3/Designflow/ppr/sim/simulation/modelsim/vga.vho create mode 100644 bsp3/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf create mode 100644 bsp3/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo create mode 100644 bsp3/Designflow/ppr/sim/vga.asm.rpt create mode 100644 bsp3/Designflow/ppr/sim/vga.done create mode 100644 bsp3/Designflow/ppr/sim/vga.eda.rpt create mode 100644 bsp3/Designflow/ppr/sim/vga.fit.rpt create mode 100644 bsp3/Designflow/ppr/sim/vga.fit.smsg create mode 100644 bsp3/Designflow/ppr/sim/vga.fit.summary create mode 100644 bsp3/Designflow/ppr/sim/vga.flow.rpt create mode 100644 bsp3/Designflow/ppr/sim/vga.map.rpt create mode 100644 bsp3/Designflow/ppr/sim/vga.map.summary create mode 100644 bsp3/Designflow/ppr/sim/vga.pin create mode 100644 bsp3/Designflow/ppr/sim/vga.pof create mode 100644 bsp3/Designflow/ppr/sim/vga.qpf create mode 100644 bsp3/Designflow/ppr/sim/vga.qsf create mode 100644 bsp3/Designflow/ppr/sim/vga.qws create mode 100644 bsp3/Designflow/ppr/sim/vga.sof create mode 100644 bsp3/Designflow/ppr/sim/vga.tan.rpt create mode 100644 bsp3/Designflow/ppr/sim/vga.tan.summary create mode 100644 bsp3/Designflow/sim/beh/modelsim.ini create mode 100644 bsp3/Designflow/sim/beh/vsim.wlf create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/__sdf1 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/_deps create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt04053w create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt17nitb create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt1bwwxj create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt20mz8t create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt2erw8w create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt2xexma create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt432j07 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt535hk5 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt59rd1y create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt5b6dib create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt5xncy6 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt68f5gq create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt6fnkx3 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt9146qh create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopt9ht9r4 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptba76z6 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptbkfv6z create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptdgkded create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptgn7ig7 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptgna7ah create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopth6yhv7 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptij3j9b create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptj3mevt create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptjd08rj create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptkhne8r create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptktyxav create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptm1etmi create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptmfhq7j create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptnjyq35 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptq7it8b create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptqh2tzy create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptqyad50 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptr19fy6 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptrzt2e4 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptsz3qgs create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptt3f0sq create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptt3ndg1 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptvav2fq create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptvk0ts7 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptwjx9fs create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptwqdbn1 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptx446k4 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptxqjv95 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/vopty6s4jf create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptzf15h2 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt/voptzz0c6r create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/_deps create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt0ksshh create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt1f6diy create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt24wzfi create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt3ctid3 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt3ytti7 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt5ckg8j create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt6y3ekx create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt7kcgda create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt8w1aec create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt8xjgmn create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt8zrnmv create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt93gx96 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopt946688 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopta7a1h3 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopta9qw5b create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptbnw505 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptchqnhv create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptf7nhqi create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopthd5xvd create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/vopti8zmmt create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptiaxeg9 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptj4v2zc create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptjm4x1t create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptkihv0f create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptkm1v6j create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptkmiaa7 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptmrzat9 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptmxz0ht create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptnm1zts create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptnndw8s create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptqi9gfb create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptr3m01f create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptsh2kbc create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptwki2a0 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptwkkqyn create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptwnvnyc create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptx2ga7e create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptx9sws4 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptynr0w7 create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptz2bt1c create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptz5k8xq create mode 100644 bsp3/Designflow/sim/beh/work/@_opt1/voptzz5eq3 create mode 100644 bsp3/Designflow/sim/beh/work/_info create mode 100644 bsp3/Designflow/sim/beh/work/_vmake create mode 100644 bsp3/Designflow/sim/beh/work/board_driver/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/board_driver/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/board_driver/behav.dat create mode 100644 bsp3/Designflow/sim/beh/work/board_driver/behav.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga/behav.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga/behav.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_conf_beh/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_control/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_control/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_control/behav.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_control/behav.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_driver/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_driver/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_driver/behav.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_driver/behav.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_pak/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_pak/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_tb/_primary.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_tb/_primary.dbs create mode 100644 bsp3/Designflow/sim/beh/work/vga_tb/behaviour.dat create mode 100644 bsp3/Designflow/sim/beh/work/vga_tb/behaviour.dbs create mode 100644 bsp3/Designflow/sim/post/modelsim.ini create mode 100644 bsp3/Designflow/sim/post/vsim.wlf create mode 100644 bsp3/Designflow/sim/post/work/@_opt/__sdf1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/_deps create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt04g9zr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0775gz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0ecc2w create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0ihnm0 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0mft48 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0mksmh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0rc3m1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0t26b3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt0t7tzn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt110x3c create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt13n14v create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt18d7i9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt18vb0r create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1a6tfd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1agceg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1f9yqb create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1he2aw create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1q9yzv create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1rxnye create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1y85nx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt1zs9t3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt206keg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt20bi9h create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt21agm6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt25ed5c create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt274nvn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt27sqdt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2dc332 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2e8c94 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2f3a0x create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2j5bkg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2mhxts create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2n4d8r create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2ydh57 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt2zz0w8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt32qnct create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt35k5wn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt3cfxrm create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt3kd3wc create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt3n9xvk create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt3s62qk create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt3v2cwx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt40shqr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt466cvr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt478w7a create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4b2e0j create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4h7x0t create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4qq65j create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4rb1ts create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4tekwx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4ttffc create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt4zyxm4 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt5287sw create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt52eq6n create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt55g40n create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt5gtiwz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt5k57cn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt5si1tq create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt68a3cn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6as2k8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6i91n9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6iehg9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6nbb7g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6nhxfn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6rhy0e create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6w3eqg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt6wr2ez create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt70fsca create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt716s76 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt71gszc create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt785ncr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7dmrts create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7dw2jd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7hs9d2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7ndivd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7y2es7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7y4h7c create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt7y5y26 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt80kb6s create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt85cx2g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8bvh4c create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8e77ct create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8ij05x create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8j0mtg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8k66qj create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8m56re create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8ng6xh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8njaqt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8yhe3w create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt8ziwrw create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt95ij4a create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9a1jxm create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9d1qrj create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9h8r68 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9knfnt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9ks3v6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9kvygh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9rw4ta create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9vfz01 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopt9xxyy1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopta3vqte create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopta6gskx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptajhv81 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptasd3s8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptb1fbks create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbbfkjn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbe3c1g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbehey2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbeq6gd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbr95i6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbrg3gz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbsx1xs create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbtfiwb create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbycmqt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptbzgbat create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptc2vmiz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptc5c5bq create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptc745ki create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptccnfkd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptcmsfjz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptcq3297 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptczegea create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptd0tja7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptd2nx7v create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptda9n7k create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptde43s5 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptdgzq4m create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptdt1kqd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopte38ew9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopte3c0b8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopte6bm43 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopte6tw9f create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopte6vewz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopte7cdjw create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptec5k4k create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopted2bjz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptee9zbv create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptehc8qt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptemmexf create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptenvrm7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopteq3s6b create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptervh7c create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptexb4sn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptf3xim8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfbjxgg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfd96yv create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfg8mkb create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfgziqm create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfjdkq2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfnzcsx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfqwwnk create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptfwwe01 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptg33dir create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptg4a5e2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptg5gxf2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptg98wsq create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptg9hyxh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgehny4 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgf4fb8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgfmnqn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptggzebt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgq2ee5 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgrj5dx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgt7ck1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgv1vae create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgw96k6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptgx98fc create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopth0r1q1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopthf9fm2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopthh22i5 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopthi1hkx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopthi41g1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopthqq36t create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopthsj9c1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopti1hrgb create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopti30km4 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopti7sxxb create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopti7trii create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptidh34d create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptizkix2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptj02i77 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptj2vmsh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptj4ige9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptj6g9r7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptj8iea1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptjc0ms0 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptjf2mg0 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptjh5m3n create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptjhbvq1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptjmz2fq create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptjrba9g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptk299q0 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptk3m8eg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptk71at1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptka4h5r create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptkfq0ks create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptkik6hi create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptkjcf41 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptkk7zn7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptknakms create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptkwfg7b create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptm289ge create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptm2tjnz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptm5rsfd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptm788y2 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptmas2y3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptmbqb5s create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptmc2amk create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptmenshy create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptmfsea8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptmhrw9j create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptn99e2j create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptngrjm4 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptnsszm7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptq0ijic create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptq3cbn0 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptq6jizj create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptq758xd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptq8x19g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptq9jyiy create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptqbtaqb create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptqe37id create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptqizctz create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptqra3gr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptqzq8en create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptr0v6kq create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptr6403x create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrcqggt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrehctx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrgin7n create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrh7jg3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrkqkb6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrqqi3r create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrqy1ym create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrtgskv create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptrtj0ec create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopts7vksi create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptsj7ma9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptsmgy31 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptsmwm69 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptt00gdd create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptt3acvn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptt5ebyt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptt8w0rj create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttdxxj4 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttehte1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttf098e create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttjxskh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptts38h1 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttwr2kt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttx2t7a create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttyy3mx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopttzmffh create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptv0zb1d create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptv63t1g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptv9yyfx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvbs1k9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvee1bs create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvgh3x6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvhifra create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvi2hi3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvjvbjr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvkq1q6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvn0yh7 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvr74r3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvstekn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptvxwhvk create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptw0q5q4 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptw3zvzg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptwcraje create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptwdeqf8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptwj1h56 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptwn8bd8 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptx1a26g create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptxcxqtx create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptxdahza create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptxj446w create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptxy0mgt create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopty4nqz9 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/vopty9tfij create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptyhmtbi create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptyhngfr create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptykrs0a create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptymsefg create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptyn1f5j create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptyqya85 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptyrwyt3 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptyskb8t create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptz3jt2s create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptza8gh6 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptzcy49x create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptzgnc75 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptznc0g5 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptzww4tv create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptzwzy11 create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptzy6fxn create mode 100644 bsp3/Designflow/sim/post/work/@_opt/voptzztzz4 create mode 100644 bsp3/Designflow/sim/post/work/_info create mode 100644 bsp3/Designflow/sim/post/work/_vmake create mode 100644 bsp3/Designflow/sim/post/work/vga/_primary.dat create mode 100644 bsp3/Designflow/sim/post/work/vga/_primary.dbs create mode 100644 bsp3/Designflow/sim/post/work/vga/structure.dat create mode 100644 bsp3/Designflow/sim/post/work/vga/structure.dbs create mode 100644 bsp3/Designflow/sim/post/work/vga_conf_pos/_primary.dat create mode 100644 bsp3/Designflow/sim/post/work/vga_conf_pos/_primary.dbs create mode 100644 bsp3/Designflow/sim/post/work/vga_pak/_primary.dat create mode 100644 bsp3/Designflow/sim/post/work/vga_pak/_primary.dbs create mode 100644 bsp3/Designflow/sim/post/work/vga_pos_tb/_primary.dat create mode 100644 bsp3/Designflow/sim/post/work/vga_pos_tb/_primary.dbs create mode 100644 bsp3/Designflow/sim/post/work/vga_pos_tb/structure.dat create mode 100644 bsp3/Designflow/sim/post/work/vga_pos_tb/structure.dbs create mode 100644 bsp3/Designflow/sim/pre/modelsim.ini create mode 100644 bsp3/Designflow/sim/pre/vsim.wlf create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/_deps create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt0am9rm create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt0i6c4w create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt0k8345 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt0x4vyk create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt0y2684 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt10awzd create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt122z3y create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt12wqt0 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt13sgc7 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt1aknqe create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt1bf2vs create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt1vm71y create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt1vx7wc create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt1wgqi9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt20fb7q create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt2ba2c6 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt2dqh6v create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt2zz7n9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt304sfh create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt3adrmf create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt3e4dc2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt3h1taq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt3vm89b create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt3vnm8t create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt3vvqwi create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt42chjt create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt42jzcw create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt46cyae create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt48vm08 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt48wi2g create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4bmgcy create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4c55m9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4e60zb create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4gr2v9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4mzriq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4q83sz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4xtadv create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4yx10q create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt4zc1kg create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt51t5y6 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt55sjrn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt5n887w create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt5qd302 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt5twm9e create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt65v1sn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt6c7vre create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt6dq9se create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt6g26rn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt6gi0b5 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt6kfx6i create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt6tze3s create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt73vatx create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt7fs99w create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt7ja1s8 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt7njjhw create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt7s1sw6 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt7xgg6h create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt7ykvec create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8fgnja create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8hk0km create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8kw9m0 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8ngb3w create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8q3htk create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8q7wss create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8qmdq9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8s49cb create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt8zw982 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt903g6g create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt9mdbfh create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt9tii6m create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopt9xs68h create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopta3ata3 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopta5hbfq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptaabh4z create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptae9fw2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptaek2j6 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptahtanj create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptajrs74 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptak99fc create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptaxk6y6 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptb84s9f create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptbc3ayg create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptbhb8ir create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptbin7c8 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptbj57q5 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptbt7y2r create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptbw5av9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptc2ewey create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptcatdcf create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptceddes create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptcr2z7y create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptcw5jcx create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptd42wzn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptd73ncv create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptd8q1ti create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptd9eszt create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptdwtd08 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopte3by5t create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopterjbne create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptewzwms create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptey6j55 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptfzexn2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptg3itef create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgfc83c create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgh694y create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgj09sr create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgjk5ib create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgkfeyz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgkx96a create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgneik3 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptgwdknb create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopth0mvka create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopth1bexi create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopth31iw4 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopth7wx3g create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopthbfncq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopthd5jm4 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopthecd8z create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopthizaii create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopthsw3di create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopti8yvt9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptie06aa create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptimd8rb create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptinhwyy create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptirch1m create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptiyswhn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptj3k9ec create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptj5ya8z create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptj942ct create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptjf2x9t create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptjm2qv3 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptjq05ys create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptk8baxb create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptkcdgj2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptke28ta create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptke9xx5 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptkfv4jx create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptksgd04 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptkt39i1 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptkw9jq2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptkyb7ay create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmczyb8 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmehdfj create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmf1ksc create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmfqanq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmr3by9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptms89dz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmt092v create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptmty6w0 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptn4tie8 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptn6hhj8 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptncvj5h create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptnfbheh create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptni0j2s create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptnt9fe1 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptnvr0kz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptnx8gdn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptq0qbgj create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptq0rt1m create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptq5yz3e create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqaa3k2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqax3fm create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqbn1c2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqfsvnw create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqi1z5m create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqj2cd6 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptqr9n0q create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptrwv5rc create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptrzm7y3 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopts7w6rz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopts7yrmd create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptsbtefi create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptsby5g2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptsksn16 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptssxwmz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptsx28wz create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptsxkn32 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptt8at74 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopttjnesc create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopttt6738 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptttw3s9 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptv6wd4a create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptvazqsn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptvtg87a create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptw2vcec create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptw387xj create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptwjiseq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptwmkx90 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptwwgn6w create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptwx3vb0 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptxaj1sn create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptxarj3z create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptxvt39v create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptxw97s2 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopty01qn4 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/vopty0m9n3 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptyay30w create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptygvktq create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptymn1ei create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptync14k create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptyrekbk create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptz0ds44 create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptz6skhc create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptzfni1k create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptzfr2yb create mode 100644 bsp3/Designflow/sim/pre/work/@_opt/voptzv8bv1 create mode 100644 bsp3/Designflow/sim/pre/work/_info create mode 100644 bsp3/Designflow/sim/pre/work/_vmake create mode 100644 bsp3/Designflow/sim/pre/work/vga/_primary.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga/_primary.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga/beh.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga/beh.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_conf_pre/_primary.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_control/_primary.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_control/_primary.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_control/beh.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_control/beh.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_driver/_primary.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_driver/_primary.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_driver/beh.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_driver/beh.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_pak/_primary.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_pak/_primary.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_pre_tb/_primary.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs create mode 100644 bsp3/Designflow/sim/pre/work/vga_pre_tb/structure.dat create mode 100644 bsp3/Designflow/sim/pre/work/vga_pre_tb/structure.dbs create mode 100644 bsp3/Designflow/src/board_driver_arc.vhd create mode 100644 bsp3/Designflow/src/board_driver_ent.vhd create mode 100644 bsp3/Designflow/src/dide_16_3.txt create mode 100755 bsp3/Designflow/src/vga_arc.vhd create mode 100644 bsp3/Designflow/src/vga_beh_tb.vhd create mode 100644 bsp3/Designflow/src/vga_control_arc.vhd create mode 100644 bsp3/Designflow/src/vga_control_ent.vhd create mode 100644 bsp3/Designflow/src/vga_driver_arc.vhd create mode 100644 bsp3/Designflow/src/vga_driver_ent.vhd create mode 100644 bsp3/Designflow/src/vga_ent.vhd create mode 100644 bsp3/Designflow/src/vga_pak.vhd create mode 100755 bsp3/Designflow/src/vga_pll.bdf create mode 100755 bsp3/Designflow/src/vga_pll.tcl create mode 100644 bsp3/Designflow/src/vga_pos_tb.vhd create mode 100644 bsp3/Designflow/src/vga_pre_tb.vhd create mode 100644 bsp3/Designflow/src/vpll.bsf create mode 100644 bsp3/Designflow/src/vpll.vhd create mode 100644 bsp3/Designflow/syn/rev_1/.recordref create mode 100644 bsp3/Designflow/syn/rev_1/backup/vga.srr create mode 100644 bsp3/Designflow/syn/rev_1/rpt_vga.areasrr create mode 100644 bsp3/Designflow/syn/rev_1/rpt_vga_areasrr.htm create mode 100644 bsp3/Designflow/syn/rev_1/run_options.txt create mode 100644 bsp3/Designflow/syn/rev_1/scratchproject.prs create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/sap_log_flink.htm create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/sap_log_srr.htm create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga.msg create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga.plg create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga_flink.htm create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga_srr.htm create mode 100644 bsp3/Designflow/syn/rev_1/syntmp/vga_toc.htm create mode 100644 bsp3/Designflow/syn/rev_1/verif/vga.vif create mode 100644 bsp3/Designflow/syn/rev_1/vga.fse create mode 100644 bsp3/Designflow/syn/rev_1/vga.htm create mode 100644 bsp3/Designflow/syn/rev_1/vga.map create mode 100644 bsp3/Designflow/syn/rev_1/vga.sap create mode 100644 bsp3/Designflow/syn/rev_1/vga.srd create mode 100644 bsp3/Designflow/syn/rev_1/vga.srm create mode 100644 bsp3/Designflow/syn/rev_1/vga.srr create mode 100644 bsp3/Designflow/syn/rev_1/vga.srs create mode 100644 bsp3/Designflow/syn/rev_1/vga.sxr create mode 100644 bsp3/Designflow/syn/rev_1/vga.szr create mode 100644 bsp3/Designflow/syn/rev_1/vga.tcl create mode 100644 bsp3/Designflow/syn/rev_1/vga.tlg create mode 100644 bsp3/Designflow/syn/rev_1/vga.vhm create mode 100644 bsp3/Designflow/syn/rev_1/vga.vqm create mode 100644 bsp3/Designflow/syn/rev_1/vga.xrf create mode 100644 bsp3/Designflow/syn/rev_1/vga_cons.tcl create mode 100644 bsp3/Designflow/syn/rev_1/vga_rm.tcl create mode 100644 bsp3/Designflow/syn/vga.prd create mode 100644 bsp3/Designflow/syn/vga.prj create mode 100644 bsp3/Protokolle/pics/1behsim.png create mode 100644 bsp3/Protokolle/pics/3pre-sim.png create mode 100644 bsp3/Protokolle/pics/3pre-sim_2.png create mode 100644 bsp3/Protokolle/pics/5postlayout1.png create mode 100644 bsp3/Protokolle/pics/5postlayout2.png create mode 100644 bsp3/Protokolle/pics/7auslastung.png create mode 100644 bsp3/Protokolle/pics/logik.JPG diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8f16c2e05a27b302ebcf61dedd8510dadfd4d9e8 GIT binary patch literal 1996 zcmV;-2Q&B&000233jqLN0AK(y00011WpZV@RQvd(}0002c1^@s60001;0RR910002Y2LJ#70001Z z0qk5$k|Q+`_4M$E;Lj=8bPs=4bprN;Asp>)hv^vOp0GV8SoLKHF2EWt#0B_{$tPKs zGL=q~7gzyJvKaN2Af-l(*x*;ehBqqz82Nfd0L-uR-}Q zx);d*q#V@`@gF7VTu;7Pf4{tPW%>_lL7di=AYLJ*>Fwp}dUCaR92)FKXAUTok@xlE z1(L63>)A#&kmXV@{6MyRAkR+f!+UhC>k0K?a&^0S`t6dkr_0T3CA%QYr7pd5z+2ob zXSHbW9?;y~8V=5JRtxu>>z$b@-+V5amE{uCzWG$D%W|pttE5OyFqthcr;C|vCCmLN z+e(zzTwm6k%MDpf^e1!z{*$IYe|nJ=SLc%_H%r+EjrWu;cTu)8_Pyau2CccQV|?uyButh5KMw zxDSVg`)F9WkB5c(WLUUQhlTr$!QuIzram+F?+Wb6Uf~oPn%yTfG`mY^Xm*d#(CiMO zq1pXGL$kYshGzE$4bAQh8XEV7H&aKT^FCjAi{$%nl8Ji}8rxaRvF!IV$Ff^mj%D|O z9Lw&VIhH+cgXy z<;zM#t9__@Ul``0o?_YWr+-MLSawUxvFtvOW7)kk$Fj$b9E(5H@p+%7zW4~N^=vy^ z(vPll{7zI69doR@G4g)ZanG^pY=UFe*(%4X^C6C9kBIqww8z67%N`ALEFKF_iL7{s z;Cx+hC#nRqTfWjWyXh-n-1ZSC(xKw~$KCD@^&$Ntr=q-o!b*o&*pfpfx(9<9BL<1LcsOr<3n)SC6rrokUEAHkd@9boV8lQ#WwQ?%45bL65c} zxVD6Oz@h1jj^;!=T;te5Gw%1&qs4SZJz7*%l!fcl#LQ70==NRbzGDj43^~y}3b*oQ zI^WJO-JL|eOV~LUZe+{7LI0aP>}hvd>MUtJop-O(5$Z4}cXb|0ly`8in91t+7WCbLBsE$fCgJH?iHkp@3q*;1{8hM6GE7m$c;>BQcdTr9#S&PC1l&c!t%mn95NrZ-5A z!P(R_IGvgX=Tp<*glZa`QB8wWs%daey>a@{`gNjF9-S+d^DE@-c^`8*A7w7*JmqrE zbS~%j3PvT?e#H*pVW%kY5hx0r0!1Nm2%AG6c^~2uz&D(Q}&E=efT%L2t`};mb$c}18czbkz!ypLI7YGWe`@ze*f_z`y;Vp^I zar7kj3u%N121K!0NFzirAd1aG z8X^#5!STfTM840^cN3ZYm|pP=!^1R6Ls{n%DwdP z0}4i}9sl=1lsdaO#Rt1PhPc8sRK8Jf;Q%Y@K~gG( zqSV>nHy}R1KL~2Nb5!~Qkkt$f0$`GXp%X~+12K~6{w}T)Rxe(_30C2Oqz}%^sevL5@Mr9+6My?%mA9017T0Am&9;b!c*1`cCTAOZjY0RR6aMZW_8 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb b/bsp3/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ccea43a902ab0fa0bacddad675b245b4bc88da19 GIT binary patch literal 1422 zcmV;91#$Wh000233jqLN0AK(y00011WpZV>15&!@I0001z1ONa40001Z0RR910001v1poj50001Z z0qmDcZWBQehKKhX!c#2G9yuPr!z#x>vaG>l4^9+gqOoI%U5=0=N!0NL)=v&vxgF!hWxNBJZf&-N~pl4px-$yHaV z`jFTpA(o6^&kkPA>F;2^%<}sMpY6^wp$`h``mJKurr?XtI_JMB|D_lo4Lix^qjZ+B zw({CdZhkphQjfDecw*j2_IKw_iVLYJ(zGa@7NygoG%ZR~SBk~paZ{5}c(kPOyz~kT zwuUC|+qh@ru8li3ZriwJ+swbaG-(3V#BU!u$IBv2J0BCJG|${5}unu--100n$Z9yAtI2X}IMaRI|RpdIswnjOU`;<2h@_Yoi&jp=P|+ zn#n%t>-ZcUDkQ`@NgE{i5GXN6io*ZfftlNODg5liDFWjiXA~YP!1Ev68;y&xh2exVFU?NfetK8lVm zkWBw*0 zG(zDa<+t8&y@=}75>`Q5k|3|ED6jS=+thH>5PL7v8qhV8d+JP%Xui-t(3Bv%Gv%I> zp;ftWXXU&+w5oXpe~@9tr-lS1*W*X(iPb2A?Amgt$y0OauC0od)fPXH!?tSD9sNT_ zTYM@>Kyp1=bL7*?Mz$5)fVYee?Vk*b(;XVhCOstx8TZg6ai*c!Nw92?ao?#+mBMPh z-oOVdk~t-A+6|Yj*lgb`I5&{_v@5R~Y?a%VVWTc&TxaXg9Z*Vc6o-D~Sa!&d=$}5* z)K^%w{SDOj2{PaBVb~h<%VVU55M3!i8KAoJAyK;Uy@|2D@FaZ_;m(k2mS#J)v**dOCUe3jhHB|9AlsVq*XTb|4l4;$U~bcpraf z#}H3{Aj2~_*b+3JNEX cyFdoJySktoZW#JogpENFr~qU;00030|6P=rJpcdz literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..0b51743ea12d636f5c11b92f3502490f10335c3c GIT binary patch literal 6224 zcmd^j^;cBi_dY{+cMhnCv~+g~(nt+03+E$%(9qCo2>@tTsP-I%4ei`L-nhB|#d-OG3SMuV zZGq1P1%Uhld;-FJ0{r~qKz((fikGu9@P9&`dH^a54eby0KZ7QY{-4#M{m=RW5a#}$ z*;QZNAF)5@V~xNhPqbcD(5#d{TD|>ZB=>~nJLpNQ-0$)wUP-%UHVth3%~AEwQV0iezcVfUjldiD<3U4F#bLs603BI zO}S{2!(q_FVgD0imj-8u?y*58izeG7#xM8a(%!MiWZEDhJn`&^mtEvB{TfIX&)L+#ImPl)9QZ(s8nJz^Wf0SucG`T^UUPTj}BD-!<>3f+r)|7K8IoibxM+H0~@c(&>zJ2l+qRuj@le{_3id^?An6%t2KhS z_~Tv_U?z-&6 zt~E$?BOE8Ks^+S&j*}~hv~DaepSh|LF6!6JeOSJ_5W(eSmi^W)(TSq2#tqW{QMgXC zy>Yk&&&cqLW`L87*4A9|hDEC6iVm|+$=)Y}Af3D7>}&?lF8rsIxdF2))kO;Ltn@WI zoS#H)$0X+B(nLT9l+noKq%gk}8ONZ(S$|RuDPWS40O~oY*+P7AeU%$X0fUNtTyc@k zx^go6+$#>cyTUMP45k?UYoO`rM3K0ie&I&~djfocu=tAXxk`LJ)ioOyLw~UeY#$p-Q3(Ii@5Z3YYJh`E){Fo z$D#JZx+@Ofq6xnI&=Gt+qsZXLhj#i4J6=+^-NS?7PIRRCo7gj6h^viQx!2s&)>lWd zYTJ3y<4e7xl~t;V^t#fus?htVzk9i7lq$yHf4SWJM=Hy50*+6i&oV-!)1a&#rJ%Qn z2rcb%vy@UIG6=|Z>-iel7aM~OyC^LK^ z^r!=Cc&-sr+%)~DZu|W>D-6x_Je!GI!pB7tVrp@pjnPk0K1M{nbSr6y0Ft@nzdZu7 zsM3*U*$4U0OG;t_Ayzm#WWxr>J{ac}ywkyhm8$att{BYOvhE0rBwK1P%IVc#y1g^! z9HlJX-NTISci7*Anr{dT)s|iE=(LL~ z7$`1~l2YR(?0l>>6+0r2E{t@Zs$4-NrE8Cbyi3@!xMSjpJJ4Ihq9^?~g zVc#!kuAkKhFL63N;k-*Mt1x#eH_F_9bD7AxWFEKndp%r(0V~zg)_XNcd)P5+M)|5P#PB_M3rIO!A;T7NX|?`0jeB#MtXvN}+YfmpWhTiFA|K$oTVlo>naOw$3=S%0qh=)SEgmg>P@VWC%B_i1T_ma|-8HcLaV^fx zW(k`6>6GJN+)U63rk9Xf64{zc`^&Nu3nq!*zh`r=$ZxQ3CcljfKf1YRO?wZKaXK$( z<2M&k(C+a2u0e0kq+_t2bidcm*n1us?r>w}^=EX3D<_~;#CYPKl*ZK1Q%9lVv-rz4IW_Ubn7a*`-0mYNz>5-o7`BJ6O^Z#U#JC3AE093!`uCdqT^8erH%s z8wbt0f9boL%Z70x{gV3Z_Y}2v1~j5$LcZFD&%~dC7!c5%Trww#u5dL=@#1ohC)VR> zh2d-U>cxXgR$%&p!I`C649S^VZeH%%Bd9l>jC$p7bh|wV-FL$$y6Tn&jW;97M=-=r zhiY-{XUFBnZkJp7JZT=^j4)w$@3!RB;ORJi)-`%@Nzmxxd|32`X#+OB=?h#F4DjBa z<8YofJ2}(r9Cr9~?$CJwSi9zyPEwYm(wYD4_faBZdsNBPaoTA=&t<=yQJ%sdA*u>J z`SkNMNMETeysr>9nl*i~{!`>P1p1GqcgOPtQ$!7UIm=ek;-cq%?ngZ5TPu49-)>@+ zRE=G4Iz1G@$%eX{TlkJSX7Y)tTorEaSi)L$1;gK{X2m+f=${(tY}!VdLPMsbqRq=x zh@IXLk?6NZ*(Zr$KyZbn2!GQv&p8Q8)oeQXW*p9*@R#$f`&Jq8zI>BEYPwIcSQ-dt zrg@sbumFt8vrLs&P=e^1evC6tH-1yAQDX*sVo2&9OBG>egN2!HtQ$GRjk(^yX2U9N zZc~S!C^YCv7KG4FAeFM6cofDs!4k&!@(_rl&o}z@Es;BdjcE@U7{*9g4#fGj1jK0~ z43xgR9~g>onY`-_c)j7VbMVoi!#>0STDWqy*+6$DK#rB%zmA!V4rm~KjgKA^9f8Ic zvbQ(*Q%8{Q8?6l*dHQKGDQW|yQw(6xIl`ke=*}=h6(?pm-_GCy%#YDy&=hcI6FwDi zuw@rV5tVPi@j0;3p3k?}$T|=^>_TaU> zhn<){H0vusXV<#<;Ei`b-e(^pbYSk_B?SDD9lk~==6h>7XHd|VFsrTN2xo84c(U`Wq-l}Xz8pES^kr|igd_g;XPjPD)B6&{#cEXp3YX?Nq1d%2ZUn2F@X zsHhKRD@R7l0HGN?8_jz56mcaI5OP&=Ro2qT>Jf5Prs8NI>CfohYL1wAF34Pbb;iE9 z_*wubK`4(5OqowMD&T6WKYq&pWPNhtojR6ad{m>jZNS9nq{{%k6}4Nf6*$FlkkIUa zz!*b{)9EPztu>rFK+@xljXgEd*P4)`fj}aHx`oV01w@U%r2f41M5=h-60Kbt2!8?~ z4sP7tD-vQ9T#}`jz-&SXY_m;oe5o9qR9W{Z=_&B)9HgJ){0L>G$iUz>;cwF6$W|O4 zK~TgFe5Pj3LI;!Az6$skY}=hDw_3a^2w6^H@Ovf+Nyo9CRgplf(fm5LlZx*jlH#5 zXJ@p{+@2@ZDU^Q>o^5S5C_2#Yxu5Mi&>36D)CG6icxL~h#ep}RADy#jwhGA0tOY6fqc%j^m z7te%CU5?Sox=&Lsq*b-sR1~l`~Z)?i$;p zdq8WU`(bl2bnwia9>o9;+X)NqjF#b-b9?lhCdHssUDRsS|h|@H#=Xw0x!GHRV!panf3%YpkzVMa5p; z;5}vF-ZWKW7el${`b~40AF__hFR8{TI?nddAJJ$9p#T!!O;@Lb!g{L1>8OL;$g>+q zhbg(d2Fo_ymdzL6Wemd!7KKlBav8>w=5$r7ScZ@D<;#ybixJFm2Z1e7I|6aC#zLsC zZ1Wle;eIwzL}JD~Mgc`8eOJfCO#eyCdchWCAn_uF!jjDLG|`4@d5~D>VgXFYqmMZK z%Z4qkdefGu%8L#O+_3WA8-1v5^iZXZ8FVM1HRIKy{09e-(zGJc$IuU-G`-%Y18P3m zJV_ZW{6L*ha`v)JZB^tpyKVc)j#WrQs=@#x=g*qQm~wie_LyBi6~!U`(;7s<_D?1`RlNsQKw z{Aew(RC<3|`VX|Y_8eU!T13D6@msbQgzeQnoSn47&`xF!)|}Hj$jyhOSE||S<(jnk zFJ7H~$&p!Gx8k$Bye?dum{$bf1@6!*A8;atwUNXpSNsC&nLNEC((3jk>5{K|&sJ+! zaw$G^IVrgNXsaFN;eA_`WbL?Nf9!J~vBkXilGg)He499aIJw@wa`gBA1U$EguZYf; z)4Ts1`lFwLgqbsVB9q8HS|}~p1OOX$qFQpkZ~Q4pEhHu|BhoEo02|xig;1TZ1gd+? zk5ZIeSt-8##TV6zBW0jkz*UW7bkkHyWJ3}R^9mHLD?K&{0b`t7>y7gcu11+wqD~Cr zX2~sT1{OD3sV*BSV``U8CB|Sx5FYPQkOq%`b!9EmJW6-T^xB-Fj=v{`lp_${OHV4+ z6=@({?l8_tCg;DfaWO|@3jC?g13^0uv5)5R8i|4MxG~vHv2S6yfV$3jj2!nt=B+Kr zFt-svTWzUbcgp$4o>%eARbZRF-zN~!vN1dE@) zt9ven=f?A{7JE#W3j6SO3iV7Xrx)w&7L8lO&N9ASJu@D*KmFX7Iy69VX$2-`+%idr z`ncdY{2s6}s%OxbWo{{4#6ccFBZiS~#|a`1q2 zmDg?(OV6Fk&xYvn^$(_~!%Zm7lU4?|>2>DGPoamQ8_pV5UH)RrpsyEo8_vC$t!>2i zT@23Q8_t*EkcP}dNx-jgD~!4-Vo;9g2|fs*ry-XY*4@oC<-bZ5bT}wt`Ybadt2+@hpTIE;(__=tWsw9W|eU5Ck8WR=7(EK4tW>y;R@;9OH3baB znvs=vJjgtYn#vJ%=f##??HTc)JXp^`O6rs)j->-jmsyPCxt2b+Gd{b0SJyFVsEMa> z%~RCf8;7JWVNr;)R$UE8;?7vg`!VQA2{$x^A-mP)lh-1V-X*;WNRAmFw$i&lxOxYo z2Tg6jTdxw?a3r9_L+w~qA_+O4e8)X=lgzB*$2XI&cx_#xp(Y!HG%e}fEt#DY{kbxd zqSy0lo!b`nSRqI)sqFHO1P$%}-WNb_^BN7E7)Xr{xAN)rm7OPLnfjURYt)-uFdB6t zOP2toA|$r@5E_c77M>>2B{qc9B^lM;FJ#c8>$(BpI*`}nj4Ya6To(n(^vU8nc6u0G zqw{{Y0aSeA078?BhNjksy!sD@2zV>lD*ylh0002o2LJ#70001a0RR910002l2mk;80001Z z0qk1Ij$AbqZRUBNM+pH7Hc3@icXc-#9!erMp^<X!5C7_X96B;Kj~1K<+~(A<2>|iXq#v@aH9PP`!wVE<-u%B zY3ZeV9ar-);bVaD`{A17#pT7A06TTSqci}Vv2%rXpPf60#|MY!9XY!p4uBXY(G2f{ zlG!f{9A?gBO5R>3O`l4S~7L77kW!3v80gn@J6ABII=LNHw!vxR6^^8g4WVtag>-V zj(OX*Ie+2e`=6VSFMM+0Q!^i5U)V|Mn^6-8rem%nm|L^{UJjXaA6>lk;h}w8It+bv zJFOK2TW5>D>D_h@T`c8xIJu=9S>H8nq+=el?V8x0&at@s-esn9u3Q(dSS9A@WWn7a zigSS0F=f1~;M)q`Q}7)H-&OFw$D;+8*xAO#&NeP~wsEnujfR_8@KYlWo?<%Z(B{i+6q#W3<)Rs@>^qcQs`v!^nBmDyF99hK>+ z%(lvORi@*a%r(IWIU_#E8Sz2Rh!1i`e2_EZgPai`}W(!Bepf7s}UW;m6H$L#)eAm>(ri3^>u1jr*?Fz zr&HTH)zztv;em>Z$2+K2pMJn=a?0KyW?^1ivsjao~3P9N$;) zy#fwf`Nc^40nVK}$GOwzIKK=jjv@0)lH>gHq&VK&F*vi*-}V5H{Tcv0?g4zPc@+Td zBzHv+iC2dpxl2Qk63yCOGK8xWO}Kg!mVvSpxwH>mVRWtLD0zd{&|CFO;Y@T8WQ9AzYf%_fQ(z zD=4Z6aDNsTW@Ktqle7YWCbbYGXS+yDEQrLBio{(aNJ%@U9E9Uu11U*82oN?a06fe( z2x7Kk8Xf05h=oNA81rW@^Zw=vq_htK&-V(TTK)oDIfntJu!jN8b`XI47=~*SAQ~;F zb|8p|k(o5r0jlhe;o~|0*xG>rt+$y#bDc#Ez0xAi!nmZAMR93mw?7?P#By&A7a?FrOm}-Xmjxx+FU$_HW!bf&BbGAa~uP|1}@71vnjevMzR(!SWbb)a`H5m)2^`$ z7>#A9k&c?pV+1OV(8~Z9}V_)TL?5muOeU-DZuW~l_RVkwaU#-fj zfL9VIbfrSllL|>kDkS}=kaVL$(u)d7Cn_X;sE~A_nqpzRsWiQ$()5!`(^D!` zrPB15O4DO1O`oYWy~dL$w?e-|5@Mu!2#!y#uad2i=u1g_ZfwS81=-AJp(QQDmntVY znPrYMS>`y6Imb*6EG=`Kz%s|#D|4JW96OqP3*O?86TU+YZH1)-UA_Ff;qT! zCrof6W*^0Mjlp5oose~ngR*0gT#>(8aOU6xkCNLKrX=evF^A3luyJ!lalNtXh3{kA z5DR6s#Jx!e`rtx{WrijlgqLE8N$RhHAf=MX1yIR+IzjB(2=IXQ&c-1dAN)_^;Lt@h z|638)U;9l9iYwI03j-&(GiT1)fA$Yj&er3l>7KQj?K8`3SN{b70RR7Z0TN2}sKTF-U2EYfvyyk*i-wd~isR zr=NQ~kQo%29BJId0#*(o85qt3c`);RU4w%i-CZF{UE|j*2bsvgzyT&9N=1QekooRG z{-FW!A&~)4)hg^2Gnv8aB%rGKfwUA5J2?isM#Ot~x&}E0IeSFL2lxkt#C!U=`Ezrx zQ(y%v7JzC2StkO-zQOJYzXm&dxcWMNu&mdIxC7ZWAUi;cJzapl^K=8+@wY`&0~BNo n3@{IZ30PH!6mqRAh^3raCcbT-QC@tK!C*w?(Xg^uEE{i7W;YL@1HqS)zx#S zyQ=H<%;__Y0s#S0i2w;<@Od(Smeoz2oGtC`NVpl8NJLyMZA?g*Sy@P!SQuH@8CjT^ zxJgu`NhDltY)Jk;5Ml%J^C<|3c>4b-i01z@A%G13XL~@N`69Fsil<%(Y=7VTfntg( zC!{)n=9GjA7c)IZIl{^l0nJhuOPVa!51$#n9p}a3M@zG#mWAseaR_a$I)AE0081Pb zpkIwaXeeO{frePXN4U>S`vofNdm@R`~K); z1LN}mZF#5_%y{4(f`9)GpqZT}uw;|C;+$LWHQoI&5_wq3wVPsdIQuZXQ}{}N^Y-%WL1v@OB7=4D zfizh*YMBjENHKSr%?)YZ7}j_6vVS%rBBfy71#QU_0Gf*b_c*q^IFmwnS0rsom{RT& zDeFu{$+Sv%wWc%Qyn~{0jY?kspRT|3{k0dru^g$TX~J~Q|8kA&9*Y{;s;;{>pXLqX zqD*ld!@X;>WlZ&6LVTxvtj4{=iaPUPAn)c++D^Z_}SbZ_h;8nWxrmtx9UPdF$vJWd>>#a*8o?ZYZpkzL9GXC-WsSc4d8__(G$k)|6)oC)8RlY z)%`*gYm9TRzZ?9;YJK%slZ(E5f7ajW7k)*w1!1d>XBWYW?ezY)BmU$A>l~~5KMF?L zAHvN+=(8qxRvdHwPPy0+32gFy9)dp)FU-^WR~_@5bX2~?!g%)f$v5bZP;0zVFvY%B zKz8rlW&{+=i|U4pl?>J(Om;Z&#NM$@mUUQU8nn<)x+1?IhRW}eSu*QW$bDz^A_*h2 z%v7*pV0$3jZ{QQvckzvf-FMN}lwZOw-e1sYAUNTu!$QnVM+M3wc#h5mAFx&^l!UUe zht=p3F=m=&IC<-j(ZKz^%6HPb}fEeZ+i>r3Rl`aAZFm$*6vzf0h6IFL9zh*Hl7)9p)FtnKlEB-APh|FpcC0vw*l+Ugy=jN7#m| zc1&@~xQsI`6Ln%^%3R%hVm;-ra%tA;F8xAFM1@0x7Ivw*eUa8Z!=?Rz;bn8QjC&dG zO6Z~P(>Zu@n64s8Zqz=$ZNZPnCGO#f8zBWFYjs6t4 zEnwOoeBAagnn11=RN-_PpE7>3>*9-@mvynrWd=IgeQ@Thqp#6bCzd2)he>2-W|BK4 zOdq16s05BBYQQ%`GP-16ZSlvFq5$O!{uvp+#Rqa&2D#zc_41E}z>W`|yvXbsuFesV zwmLM^QCoicyIIPne+qWUI0^6WCZ{t9HAGhlwS`carl>Cs)wiL{QDF zioS-0%4BZU-F}KOBw;Kp7~f_YoNDl+cjt8w?t+j1`KN`JOrckULT+5#g>Ih)A3h)U zSM;dtY(uw%C%N@63@^UTLl-*B)hc4-S+>apg-IDrp!|40YTBHW@Gg_B%F{fD!pjb+ zWm{K*!a7l3LkIXj6g_s6;DcIUblPDrBoMEkRLU4I=rn*{uHX=6IeW;Y_el2vqb&|j z1(9qbLr?}f^}ctRU=uhgOf|qaeI@EBF@3Q4he1Tyoki^0@_Wh~5887g|Cj#nDe|A; zrxV~K_j-#u#-YV76LSKaxk5T&n;q|wes8}r0I|nx?=EF^mV7eU$O+Oh-fRKfFu7TH zm#`1AlgO#@NBx{3r@rL{xH98(uzy&e3bU}N_Ka4ACGcJj#Ac0dQrP5Fd?E@hTN84M z;dSKuOL`I38dAJ9wI&?P2oR1QhMzax9Y$7VrBTtUFt$z3;%^Q3t8_wMV7F0U=ASgf z9{mGZ=Fx2Ukc?zxcPDGI3}g{nMvO-oEw#M5hZjo5>yT;*<~#tmWX@lT!`VVX-UwG( z3kQ#iF@8|@ivE4$)l}M%p#HayXqS^)IuyD!lH$OXXtsGA#7X!dymA%i$Qn>G`^Mj@bthJYafA$65T#p7`wS7^)}3tOHBOG*M&43gruj0#(D`%Z!v@QgaB{>XH$+f38mUy)Ck1|)rxsoo5wRGQtv z9CFF;pTzX3Q<%*6sIINX$e9#3y0%@d(};JH zG!AGt3^2SNvgM3ZEqKHF7SGFc%-17o+_%=qm)LcmWH{uUmr7wQbhuRNUuUf^bk~|D z?aLSNwN6e3&Y?Cw%2?-7FNX~NlY2@q1NB~y?E^nm4f5NMxUX^>MD9Kp^ALa|kY2n% z9UAuT#`3v?8A{*HR;FvhA^!I`g`jX2t`K)$m=#RegTLG6$U|winrm5ey0=ZYOSYP` z1cRHioC)=b-6Xk@@N2p_yXz9d4%LaT#fk;O4ghE-H#l*~V;@y?Vg8f?3gpZV?0$&o z1!6C%4^BLFIVO}~3dkgUpk;OOoh`e}0Le2{LQVTTf%L2Omf}urJxN~lgfd2vY2vc| zj3$Ag`(^fpi7XP6^tMOiE!Q)NQmn_j&2f9%kk-`D^CdE%vK-xZdDZCR{1Zl{Bs5lne)jKF+bxlNG%4 zVT!6A5;0?qxl@FkuVVV+61$8^)fhM8;QRq8UXxyRjtu5pDdO_$z)!jTb;kTb>>xwU zVsGfxCLZIef*sszf%@xcK+S6TUjf-DYC~^2zTPzlhn;~zxLDI)4wZ8_*fv{My5(pN zv+5)2xxCfSa#n|nD_9ZL1^N2S#Ra#${fGp$>Tc*4;T@;ey^aVs``em%`gV4BzI-mM zGt=#?s2Ct|$D>G^aV2&0;BY<1ES&4BXa~S8;n3IN)RFXX(bHYH0+humMWkV~@U__* zE{=e3KpBVVddRDpo<=XTm4ohs%}H(koV49=!*Rb7sjG&XkGO&yNCjzo2dQ%2uRA~ImT`dmtGZ)Dngie{7NfMXt}$m z9rDOtbkIlT$+eI3j)KIR5!e_}vQ{n5hav35n=o;PI_fP=$~{J+WfB-tPD@C#@`ZxH zeb{8c@~K=t;=|dnmoXh=#Q+gG@Z}Dc)~k)`mZD+UaqET*1g*L!m+}C889EsUoxG0`HVU zb-AJxx)_tz)Lrsk3&WQP6#nz1NzO8)$7;kN%Sm`bGVT)0B>nsAM}r+Fvv8>?+R#D` z-`Aypkb>BsA1UqtA8bmcj_kX#_-+WPs$}6Z}+e+no8H zJ{YqEiR7i_>~PNr%6G}sOmF%*q$JFvoe_%Q|8OcOQ?D?4F_Z}xys3btR~X6vg9JD* zSX3_vDVTZbc1_muK*?~M2e3*0ZTy}#?iX3_XCba@`(r4r4)Y1n(12r6^TZq{smCvD zEU3eW8|$Eqgrsgr9XoUZgI*a73%sdz)yBbIp9rF8SPvYZKcHdmT($Ea7oFwD5c|^f z6F`oSU^~N|5UOfG2!Mzy=nZekPFU*rd=1zjBinI1X)}X3hxOhT*m@_Gc_Q@IA&(KD z?EJ=dwM*ckDnm6-ABPQU7X?{FY*qE<~R=s5i_Nnz7DCo(R7#__g+4Ll0B@4R);FJmwHR`rE8!g25_n z8|WhofZ@qM!Y|u?72)*Mn{5Ye>h%cvEk=LM^xTDkO&xCvx~zGjoL|%_lQwH(!^G&C z(`p#jGVK0Ya9=rMHZnZSf42Yr1!NlQsrOJ&|TFxoy;345)vmU9LhB<=#!b_Hj)SbsrO zR#>JiB?YTA)?uj<<-(cnvWI#RA||4n4lzf~LeLk#y7j&2>bTKP_HX~GT|;eaV^eGz z%4SOx&lg$v4xzU!2>n`d>Q#O_+KAmSbS|6bXJ@cxh!xj+Nq9bcvJRr(vvj&0g!oQA zN@aA-S|Ma5z9{*lf8N+LNB=bFE5?47Tij=2kQPQt@^;>Tt*xN__F_*R@82_X#zYEQ zP>Cwtn&v^6TdbT5E=|X1lPrc;VLWtsl*cHxlRaI=sXSl(n9cEnk-ZED`cl7ssl$Bu zF77ix`uF7CJj)k+{eCeP`X0Ymsoh>Ydq|nA;~`@%P;?IEguc6Jl$| zu8_LzhZ947cd$|(`?R=uR$7;idx$+y&W9fknEVvci*+|G-g{n^NXoGKLfg}czV*aR zIDKh#O5URJXR)Mr(p3fRSxvnj)(s@d136rW2Mq9@&Ny&~h#`r#sI(ZTgPF3JGA#eg z?UP3K?SdAziiT!XW8f!Bl$BjhHMjIDS`=Ph=J13z(#t84NWpM}#BnF6ZF)G2A+Uz( z;_##FDraK)UlD%@_LA=O0h}cTxJpdP{U#W$L64cqk6IfKrsx1Qn9d2S;MHVmAQWZc zU$D-LqHPve8SNoqlLHvwGi0lX+X4a_qM9I2$_kucmQ>(1F2ECQlvL0{ z_-+mPSK~HSR>)1cm2zE7lG)w5uFi=EV*ecNl`GFJ-K#Cz!U_@I!DwHua&4< z^Dbc%d>&G3#5pg*R6J*a;t3imT8RTG#C0VoJ{3m{)dfwcUMc=j!ljMQRNmRt`QE2F z$nSYSt=5X)_DqeRg+^~CUB;_}tj8?S@Xcok;F@#jAlHF!L!#Or>ez}cW>}zzv#5X& z|1=;HX>B_VJM(AP?PTzpzI0ikl9vzEG0vij1oB^P`w9Pw%~uUcM3J%kfZj%%PmPS* zagHjXlvq9L@}T|{_=5%?&4RIED!-whq=7wDZU54=Hr{ENs4h!YWg!^1j0f4{>1&9L zOeO$LZ4#z`L#M+LP@9dfsR8X4lPV1cU^YVZ*wGt$x5*u&B@QSFuNL2637nUIO=k@4 zKx^aW>U)gv;@}$V-y4#=c#c_6X&#d7_IL7Px_64WE4S>MA6J?+Gf3i4KF}Rd+FQ}* zgpsbLz8Sb8?g>fe*4OES#OlQa(EY)#NX^(U1QoX@9S`;-5H7^p{Jh(v9m$T=i$E%{H3{F`^kB&7qn||Axu8 z7@%udivQk5Y1#F0D7mpZ1q{1DhS-1ih<316XOL8o!&xHi|HyNfm54gGh>yi#Jc0WC zp@9|SJLHP0fln8lVTw@lQ6#6QiI!j?!A54TnqYPC-1562hF_zL###g2erO;lFSFBD zViXgdOpIL}EzvD_leV0!otAxxtGn#sfh=|)a3*&9Us6w084Ur(O(WsUO`NyAx&62% z`}a4t{Crj!vKeJm)elv5aX0Lv>UDf|f#34FeWQ91x2bgoMs#7Q4VH-Fy#qwv#7ke9 z0JI207p8A73TQ%V3Oyz1ZEw>U6Q@wWFZTm_h3Fo{<=Xdef5(A|;u>8@vasDDrxv&! z@(D}zhR!Q4TY2;UytPA6fn>~&hN`yQ%&asOuc2J)b+ET|)zmrNy6^BeEm}(tW-eln zif2CinuJWw!$&A#U3XZQfIT^AR&Wu3c>6=ZHREQCRLQ#jsv*Yt=n9G0y-l*qote+t9nz3|oARX^A6;s`1WloF2N?F|(~v*0_l_3z(TE>AlWQQmbNq=7A;5(EbU zC4JtDHzxC+3$NOUQ;m87&YqrJZgwQaoBUkBOQ@{zvHnQk)KZ(ZM1@dAnqAFFwC$_c zr;z5B)T4%y4rcv+ncZNW@95v{zbtMQZD$lsofO$-TO5fop7ZH_BT@x;!38yF{05Fo zJBRPPC^6bb?tIDUU?UC!HqnnGt_7(GhqKHDNfev#yNNO1Qo0$-XvbNC^V@uzJV@o1 zZg)!lO`rfzXlL1OUUM}j4vQooO2u~#m*59-GmuSVQ3!B7-!Y$W#`cTUQrR_fRHalq z(3&3Y1G|AeNGuBRd!^+HcVBC)pY*l$y@AKAlmHYp(XefA6f54lABfG;D`N4UG!FfXT9JRacXGFWR~EEx7K~tznRmZ z@`tLZ!%;os{iu8ztsBep1~CqeZ_xW*?*0kGP5d|2bd#=X)qPPq$9I;s+_Sbct+Hdc zua?4)qUduzB6ps|9MB$^f;{B>a1u-YjY)@Ku-Mc z5>GnnnC!(Z-**=8?m4v6Q|gRRjuFP5PB-2){Tq|Cbak~H&~4bLo2}fL zAB-eyWkN&#%tH>5rz79(9kBTaU=QHWndU-aWh zYQQXZfZHffK?Z)~kK3968tXA!XN_caiA4}DsunSd4J@}$Fzx9Ztk~i(QeXvuiKI@z z49>)NI$$L8R5JKNRG*KeZm69Cn(6%s@08HCxANqrowg5&(m7U$Z@c? zS(J)go%2>F4-czd{%REoD$+qK-q9+#IfY9W=g&pNGv;rF`;?pN6E#Rl80xqAvg9AqJfZ@a*#5cpANbrg9<5D#- zs+O}X(@~vY|7T#%%yA0-Of2lqxN)L!ZugVZ1twR$wfBh(l@m{3U~RX!l-zxNdWSER z)eFOlOo(L8?E?eKi(eOCM(Aaz5B39@Q@Ow}#jeO3B>W;}`$Opx| zZ(OR_a`9=Wsu5{dr|Noqk~vV6>{Qhtf&!p?UI82e_S2j;|Lt69tWaT(lOMIKASx;9Hg%Vv^W}CF{Rt$VrY9j8fQB6fn$8)8em^DN6iw>jpgO zpx*&|R|9u>*MV}Vol}a*?-}NduqUk_N&L>|6SdK~Y1couDB$(M2%73+?&n+D ztm|BIUT(?WA7#A*0z>%;wz?j0z*1d0lWdFV#YODo%FU0ec)##O3n%np^{TMz7;bZ} zj%y+TB9D@fE4-PWZiL`SmEI5UbsirYkU8XLaF0aBQqv#poWyY5{ZXDfQf#4|bh8mK zYN7D^C_c%hB8tyP_9aFAlr{>Pr**nhYDxI?fgqG;o$flP*SZ>6-5$3>PM#hBvYr1& z!N;!do#LUWNc#cr{p$hrY594kG83tzxij8;*R}Zc%L5nq{N-yNpMrDO7EhMw%e>c! zOu1FN=@8xroEF2twPQniB{UE9aU&n>(Q`XTN#S4J8y~0T;qOIS3vS*N){|~^?bd%f zw5pAZ|8K0j;<#hn^r}HR2sz(~XRGU~fR82mKYtlVq#W-)O+}|H5Yk>y>b55|2%PRx zg)rWf?wswqeDWYdq2zvtm%Y)q82#Z+aY%rB{sE7H?#@xd@_zeb^^QH3xw-UIDN}8lE!|RAfqgil z9iL~dHEc?Fq1B`BQeQRsuW@3Bg>Dx?`CCLtmr#i9}RbgtoS4&@Q9tsL+4BUGz>Sz$S-N#ejyr@Nf z{9{%8BAc@sO_2%m(}qRZ_oVh&@4wJ%{v^{P-u_kVTmtOeoQZo^oASH<*RSs4eG4^1df9t@Q+*4cHE;BIQCarF zZjN?Nf>4q)WTKy!9pI%`l{F`>&z{0xyz==Jl2@8~bBlAGwQD^=S(4&s$y{0W~;FVixV0$m~;P$U%6kg z>uA6M!`Z&yw@9vE@{(*SJn-KH~b$PN5a<5YZfSCufz$KG$4FZ<6`Cz%ZI8rVESU^ zLrrg^T-802@rMJ<9wQ6V{QqprhyBWO1iBd}Bm$jQ72X(Qz z#g(gY7r>6tKhD$?z6AAW+0A0f=UwrXw7XMd9UJpuj&r{uTx0&c_qT-w(5RWuT?XZq zA0`if(cO2QD8@phHKN1gB8`aSKUC|(m7UM6Kzw>fgWba%_W88b$au{?io#BFG(%WL zwX1Powarq}5msS#bVKlrq{?OV0>Y#e-r?oF!~1Z9b&%7gP}^%4#vEk=)up`?sAFFM zB>UpLJU}Mt+$b;RgF=us9AJLbJ7wvich~b`5UZldm=h~|1l^1=kthqXICKi)db!*E z6FtRM$=zhrzk1MCJM%nwZ_PlUv#Q(XwZ6P+YHv?8*unXR>VoRV@)eggF)=oFXyEA! zjx03Mf&4eR#1Ew!26)VueuyE~-vzLphVl9|$ z3b49es4nSM`i&Q_wPYy@UeX?N*TtMC^ev?{No-+KYMfP;Qm;$X8@EleF`HlLwmVf) z1Sn9ZR3CgyQf+8|Nm{n9kE_?r2CcM>|51FYN&b@-6a|3IsO-NEezj>P8BaW~?98F=Ja-?dV~yjOcY{oY z+6hf&O%+H39MdGZ3oml45Q$)!S@*AXR?Ti6YK#GgR~z}+1E-5;{iYsbFr@I|DC>P` z0390n%1v`CR`)vW#*wol9~jVtc6UkMiLCYK2v)8f)C6cFe&>TPBm>a`f3rQ@13VDS z)>?gwbQCRnZNAcFuXN2)x5YIe*}DswecgNDkpiyhpEsbMVPScHn43I@jZr-Yv+|BX zt;8YM^%@x&I@d~Hx~vG1MJ75vGC^)R{MPa?36&IzWzxTJ$s|pvPY6|Txfm^RWB+@c z*;L31@0)%tw&{`4b+x0wQSiUZ#3#at0fR`gFzD4`;=TJ(S98Ifpel;U(+a4%8JFEA;BzGW7o8riOmA`P&c(2Qa8)+0KR(w#aDyfENZ} zhfK4k=X#cU&dt_*#uOA_l$W+30)2U0(ES@>8()<=?WTJ zKUQS^4fx%=1I5hh)rC^70()|u4OW!Tcq+X&bHwq7cZ^WUw$D>fIati|Vgn0j1=#g-!Ct%i#N&F+tBgUano zaTmFIOUDN8;aB=$Dm?s%<25%0%RXM{Iw>Jpx*Mi!P7lxsjUW7WpQLc3totzIS^H;p zy@&91sxKv3bS~|$sK8duvAMZYd66**M=x`A1nNY6Kd&YZJ-1m84$xm@H{84ImE-cv zA zxGpse>y}o=>E>cYv5yjdvCXo`qv~UXJA}Ds{u#hLnwNIWx5%j7aK^jTIPaCQ>eVS2 zdfu8k9oPeQjVey)gaapJv9t+muQgbK2<%YBK2`0F=Db4W$gU&YzQt+gChk6bv-L=7 zsIAc}c9Nq8ILjb#8%aox>x+-?(ZIn`(4sZVUcx0CX|IEJf+*%VTv$}0Tr#{TZ#Rb^ zvjdXYz_4?7#XDC@bg0;%_hEpJ@h%PzsOd==%7euR zNou?|oNEi}067$Pq)E6MW5xEWp%AHalTS$Sc8HUEDRy#jz~*Kxi-pr_a*1Yzc;(;^ zs@gLZAAV8YY5(0{HC(69-yMUftr3W?&)ar{u}N!VxoWtk(>-26+`9nK5UjY#bY}Yu z@`cjv1JSZ@Ig63MW%o!fdvF0_*Rl?718o7~#ylg-m3a&uPZDInal%n_4zBkB-OGj! z6j0@c!F~%5Zbt>$1~8BLf)&Ul`p1`&=Mlf+qim}?G>MlQ>~(U#Z!1cGtLhs|wC&HA z&kZP)_T`UZxMErxf)NKSB1#^%amV}ohQ1746VjA)YZ#zS`Nuu8#LwD~sF~9Qhctl` z1~XuJhUCXAoPB7Gz$r(P`< zAGR8;=uZ^y_AAXfM)nybUaHZ3w2fNRe!N$QsT`vTM!Abde05xQBpik4`ER)Z!t2mc%fzmL z^diT6to7?x^yDltB(eKD(peg|k3?rbTs~e9+)~A6Ik-5N_EMIYcpG3LlM~^gll8n} zh=RjWhB{9I>0Bw(v?-RJPu!QJ#gbr#(|7Z*svG}3A2PTRr6T%N&Wra@*Z<{U9M;}G zDtETsK1i+<`boU*&NAXdIr&lQLJf!VEK&hkq%xSLbvz&xxLp@WUU_J|<5Im|!f8+w z6wdcf{Sl`wGX_0t&uu$-4ZM9qQGA4|*oB?#46o^!dTPrH+&||xsb>GZ`fV-kkfjT* zd0Rl7iH1Rxj|g<<1#ZjrK3Xy6o|Ngn9s(ttK*ngjXPpX|{)kCFZfCymc`)FnG6Wv_ zrFb(9Sarfsd{*l*(c)GUCq_%Xrs=)Em8ZxPuZm6LQ!1Xa9d$L0`jy%txa!n!Bv`2Y zT@%?NIH|QIL5;~U%G(_B&y;-E-u5?+px)eWvEj|m9C~hdOE+YrS(y!y=&$@K=C7Nu z^0X$_od4IRNbvcGTFdu!qPka1BI!{L?dn)o5x;6-_2(0X=m6|pdz30`pe;-tU$7iK zHF>+nMz=%vN&3dblO9(gwIz{Q+xrNd;HW?zU2bP54$Ch0>%Q=7ZiX*@{k;HHw_O=@ zbYT8-twa=YdKl*IRXWA_%fHH-mmjHD8cTxkl`Qr+QqF>u(f(?)-aEN31+U_;q}9a2JnlW4=N9iohl0cR^$u5@k4IY`aQ0xZO3TNWxZuP` zA%fRznqp&0M#Jb%LfF&Yc-$Kw)+*wDM}eI^bBrC%?PWqo8*CZB7_M7os^#94t!Am= z$aGg$!A#q}*VnH<7DY2(drO@i{2&hb9p2%m!gmnuS^PMrKJd+3-Epdj2icDeJ%_Hu zcwQa<0(U34E@kuzKOFz*M6vDyC9_;>`TV!i%xBw)hCh1VfZKfdhm*EbDa@zaC{PF4 z_#ea&5FZ~NkmyFn5KwL;SWr6#?%f`OOQ^)4tppE^?u6Wtuq#2_7?SUZ$OcJ)f$&nn zDLn05gRt$qVJ&@Zs$EL<+h6ETgq6qQ%jP!+UHeW35n6g^`EpODpe-RWUEfKoguDLS zx!2dQ=)!7SK&ky53aXmMD4aGsB{Rkfs}@qA5&A9xNk|66&dkTM%*r>*zkzMx-ce#7 z00N23>AHoPMcJ0P#btY2}!#x&OAK#q5inRJAo)KGy_2*fy80% Y4=BN9h}Pu75vtvX9{6wj_{Ycp1L-cC%K!iX literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb b/bsp3/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ae66b0693e1858c135c0baf12268b9a7e5e34b25 GIT binary patch literal 3620 zcmV+<4%_h$000233jqLN0AK(y00011WpZV?-PXGV_0000e4FCWD0001a0RR910000a4gdfE0001Z z0qk2_j~z)3ULY*@`&C@TEFgqHB&WO2^=+g83({`(VcC_K2THTEqh!QnhE2|l5($Za z$BzLC0fGmv!Jpl(E?1TN^nnEhX*!wY^k7JuklJg%|!wpKpF{ zd~g#3H!+aLzz1l3_y?^G-jA*ye$Czn?j z+tWwk@!9<_tD;R6ZL4TkMdwv?QAL+kbX7&yA15o`K7SgGXmVf%;QH~?i<9tpd%Qgj zZ4NAQpv!?}4$O0ayG?uHZXe{`rU1)Gfuad3mU3_}DZrYh!18ls?aAf&)x(SE5*}9v zZydaJ@Xo>K4!&@3PtTRZzjpA=C*$a97+0Wm$+aq>WeF`xXkJ2H3AH8El+X;244={7 zLae=oSbGbx_7-C8EyUVeh_$y6Yi}Xe-a@m_lJ;+h$EVvMk>A_!Rf4ZBni&OP9*5`K zWoUNLJ0v;R0aFK`9`L7+@u$;^S7tvm0=d1 z3fcL1aNEmk-~feqNf;&zFctG?dks+qb7B1(YKS*LX zr+=F1ymiEu4M3R`13NF?r06_z!Wsrh#EH|%kNk(-+Iw_nV>)^?$F-9j=Y5_>z{GYh zy~(8WzCqkM&N&3(o#j6u0|@QyN+L(+RG4AXrLn`~9Le1{xzP?%FIfrPflqFSNnca1 z8SkIBS2A#sjhq+O%P)&VoHcbUV0j0=y4cvBXraO4`f|WBBV$%BaxXFmEphHzwR9+es*TvMhNk?$!2F)ZAE0bGpQu3f5Mzu7b@g*rI|hE7+=n ztt;4uF}rDr9TpQiEGBkXOzg0j*kLiT!(w8G#l#Mai5(W(gwNA>WZNt@5tp#`TC6<6 z(jzQ9!rUWt9-;LJjYpV;PsB)+wQtFY_(u@oNs*@DSq99lVlu4j@Tv|wLKb!Ic^&TR za9f9)I^3I_ZtO?wD1#umlaw|b;d8&IGiU0qMd7Y_fpi7ZGLo)IA}2*ePKt<}6e;D% z@TEMnz==+B#5K8HI62}(C!w1(gy_gq^EEWvgcGjRJ0;g`l6CjBSKfH_Uby?(8~1)9 zc``|_Z<&`Hbe@W=|cZlniAK&{yAv6zLO$}3#R?CLOA$jyUXzAa<(Gkb{Ne1?9 zKB0sbBGX~9ovKKm18RMXWW4$MPw(BeW|ld__lR*1FE77N{6AvBKYabwI3(HLUuVh^ z0jMaF%BYnAqq~ z+V(I=9CbyD^T7}^V}i21%`>K7&4!~&Z_L;cs8@AoXasisZnh4Fmu#Azsg)Di+HXf` zJAy4Z60_h9EOF8d3rlo9jdf!9vW_A#jq*Hv9aHjipEDvkTlZZuW8%t5S$REh^V9uu z8r$j)^}WwB6`FedAJ+VtO;^fte?3yqtx{%bu~&| zj#3w+)cGj28>P0R)NyajLj4|-zOBL!?nCw2anMz^zx358h>*i?tL>|+7V%n&jqt`IT)Ri=EhO4 z6pYPNklhEFDHvs@Dn-r|taii>$Yruc$QrYJ48br)t7^?YbA+)<4vlSaXsoqEV~3Q5 zFBVtAtU;wFhS4u!4vG@y7fdcjd_3sCF{EI^7*ODs8#B^U@)#Zwd3zmM}*{ z2|HuJ!v<~%VAVTzY2nzVg=3c%j$K;V>5`+tsm;N;ralMY7HLg2I(5A5go9|1X8ep6 z{Z3QA7ohUw1RgeTC{v=vP^!dRUanLuJre=T%9enjd8Kp-63nKWBtF*nVx<_H`ED7M z1D0IRb^2WvY`b=1@Qm)fVAzoX4E=cOTy0g19bI$10hU;#zze1*Y8|Ex6$Mn5bxa{^ zD2b%POru2D$mJ56qY{}d*~JkWd>9t#LJtZ>5fp52dCJDJw2(TeTUJC9T5++Vg4vWj z)xeb&7;sx)XjL;1HfeYdWlE`fLK1k!?l{0xt$r$@xHthp2ED9;f(EZiFsO5rN@&tJ zKf>`0?*-?yxEHe5OsL6*jLAu~?3yd-O;3ip=EfbATIDp>q?eeTM%&d92cv#|UF z(Ri%hs-30YG!sZxPITt8u%X(S&lWQg_M(}8A#UMB%daqaHySsWHHa0$Y<^NoMc+_? zrTqdoD{6H#DR?W?@}p4><;QVqs(lt8A&t45#+LQ*m_DO&i?!sHljq_*!wI48-_19Ub)y%rzrwfJDK#Rq#WF7~=LUKs5VMWRC#H5{Vo?hwUbhbUfhh~ip@ zDC6M}We^>rjC@%OhHHR|KeZlQLq&YfD_+0~VU_`$cg3|;k~p?X8WAW?t+o=ER!QQ} zDoNZ~C5g3F(%?#yLLI5oOwzUkKxvRy-92N6&R4fCUF4}|K6dmNI z=pZ*m>vD(CNO{FioN6X@Ws?fig*oi!R5RhpYtP`BR)0=8Q;*u@2UqyuyiNYuqx5je zN0Vu_Xo%mZx*3yqUuzV9Ar>jV&xIoLZZ6cO!KL+SeC89YBoRZ+QC0-&zO;*Uz%7@m zJGovB9rmqRqfNaeoh4;HW0r-1adQ0{%=?To=bNZYl@-3~aj(vr=Wm>EPO4`^UnGdd z(X0=L1EQJbD;A37Tv)`G9*XSHOKjDZFY4RSX^6L70<%K^_nQ^%)yP_{8#EYcR_?ly zP`|8FXJI90$Z4#cX4hG(=g4WSob;m%ExPWkfx`oJ$=O7Zl>m~b6}b7dG@X5qsSq7k z&YFBGMAmZT@|yvkHFZzqtSoiE8o9c;RJo{=PiN%=;vE{1JvOcWlC*AUKIGg%oi+Cf z-&V+BO`~_XwUGrtsp)1V^>dgEYQ2UI>fToJ7!5LIU((e?^l?kea(r;flE}JbNoHNL zB(yGBax#}J$(c)*EX^fLy5?R0quvf@yX}QMUU!FpF%I$G7>77;j6-}l#vyJT;}B1d zafma=IK-c09J@UxX)(&_Kcg9d3otx~X_a~81vlvMIJd!Xhyvi3_w^t6MXTHVVnp8} zC<*{YsfYMIb>xG14k1>50oAGlHs%4FHU@Br8+m;!#ju$d{aOLqO>Kh`llEoXz%Z4_ zlscQyz`WqZR5#nO`7gguo#?X_l>eyHLK?h@$9hLYrKC(0VAwuFVh~JtxSv1wfpPdt zLgFYqB@|V1Jj`a=;3SO4DvEx7qtZ;Lcu_OTHX8LziK2f+ZGNZHeoY^6s>f3bKq(Lp zQ#8=a7yZ5oQ&c~GF*D1UfXKqbY$hy!tGYpwag||O&lbnDP{%|Sev3XTYNQ!9-#FuV<7@L`BERxQ5&fssJAX`HOz{0dDVQ#?4Kgf!4v{zL^De)be*BmJ zl?J#~)#nf=Xnmfym;W0@pJylP27y7II>7% z-mI4I?gDB0@n8On8W_2}sKTF-U2EYfvyyk*i-w zd~isRr=NQ~kQo%29BJId0#*(o85k^pX28t%bqx-7ba#a)b&X%M9AqK`0|%IdC=~^= zLFT&$`G*F?heQTIRjaU9%wz_ulYpw`1kzGK?Bp2i8WHc|=^Er1*b+3JNDlAO;y6;u;ZxZn{rj$wD>;HlQ+)^#A|>0RR6Pir0_; literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..d134112b7edaaf3d4f32e3e26be7dcea1a9676ce GIT binary patch literal 1858 zcmV-I2fg?Y000233jqLN0AK(y00011WpZV@CDgXcg0000*1^@s60001&0RR910000&2LJ#70001Z z0qj~yZyZGwZkxqn3qfoLgoK1Ralnp)eM0mdDG^sLE!(jii^&)n8wCkz$PeI>3rCJf zTsVS+0CC_B2u{ESu}WZ&SZop}!}nF!tNE&!X?M3L0>hM5UCUegeXm}B3g}z1AFa~`m$EODa^*ugjeG6^%-2uUK#cFqHxwFd1JB*$e z#-Qgx7_i-)o5gNOH$`V_LH7lBMqH})1-4`sz>%?u@Bv1J;NZ?~qL)T(qPo)5sCyu_ z-CZcoc3uprW45^9wPj6hxfOydoo9>Z){%)!3EQTCmQC0Mk@0AZEA0Q$@AsGvF_Clf zJh$aJL!&ENQY1i0lYWqjnYC;$vTk51C;|6{kBAre((8d+0 zu?oo@-j$GJI40yUq#I;mUj#-)z zsyi{JonM1SJ?uBBw2}EFt(eYl!G(qQSSz}#7q*J^Vq?Bod4{t)JG^Guj9W*jD7`fG zG6Jv{#r$KAFTMnRKW#WZ0|uOo4R38Mb#ZJ49E%>u#~;y_ejlrf!k+6K5(ktbce(ZG z;ce8#Qk`|FgM)9*%K^uHfg`(Hkq@49mSaU&#)aflp!gk?S)dLA<7td!X|b5|NG>|- z+pY;MC_PbLEw38x_Zy(8i{x~1avnQmwpg1jmOPU4ozjMOYl0p9Hze=Caz@mT zg5WLboBxNkhJ@KdcRXwiyB!$^yWIuUrB@k5ZI{76CQAIcav9#ljhP13xHpoq(zI{J ze+B+3mDb}&V>W09FLZQ{?cP?687{27Nt?9WLI zmi{~_20uYQ-~o%=lNc<0hHD;r=v2kvko;+~`Q9W)nj6h0ZhTHQF5?pqMn`8KRZEVC zuA_1C*9w3B*PknEiO>Gf`K&4r zre~Ya;mb$7-zLu$=RRKY&_k!npXqZ2Z#=yZeT=5@$^Cvm>cglLUk49Iz7Dg}!HZe% zB~(l~-lyaz1Yw&7nbp{Yq+Pt8_56o=vTC^<1Nnw&Bz_`(8j3CNNQyTW-{v|_mS0E_ z+pfHc2oJgu+oLf}MiHCblxXBjrb&P!L?P$CsCR!+Y?^$V>-f5%IgYzT-!tv5#PdB? z2ymSxM-dOdYAPOo(m!f=qHmi=9FLjLmLaC&njxk?d8v4!t@+MYWfR-f8)ZM&DM?)X zZz}!#Xl(SMPajUS=K6|e)8t#H#pbSCN-W_FK0?|J3Fo#l$ebbnayVzikNm|_hD`e3 zBDWJ!ojMIZR`T-8K2HuD^LfuVPyRDW9X(Y%__pix^G70{C3vc1%ab2ltJz-w00960 zcmWb(V*mnnAQl4RV0XWGKi3c+&)|@FSHF;;$cFGg8H^wm3=UwDfq@A~gOobEIK>CM zJBGNzG*rG(Z{Ywd>OoS3T?J7GG<{|4wO`YDm)LQ#ef*($neNu&+th1cweC1@t(c`K0gahbJ-cV zfYKsRV?w2mk;80001k0ssI20000>0RR910001A0{{R30001Z z0nAm)j?+L8-cfC~qnp=x$I z&Nvx|-G8?#%s*Kh_BM5E)Ht@18rAn{&8I?0%ZRM|!FUv5%-L-GBSi}-=`83A~vT=F~U+<2Oxx5{{p`ek(GM7N|K!OKs zkup150GcEcIzSakaJgfsJ50k!29W}jd!VS5qDq+Nn>6Ber!wYJUoN?fA0u-(WHx?e zu;w}&s1XZ@I~~1kjFN#pG}p8DRV5bL(gnox5Qo2DNKfskqZV}3!j9UYqZW14;*MIv zn&Slm)(Q*{c+BWM&||c<4Nva2>4|yls;b~jhQ|IH)EZ0~?D%pAq_xrnuV zt9*_xwQtKfgsiDGgt0Y*xf$*=IPpCuZ(zsh%F;Ib4sH!keAo1fZs~{diT=dQa0GnF z94XIUN6PoZ{={j!sXbU-0@7v<;DD$_$kvh~&z+sdhsOUMEHooc&8*RQ(&HoS`sgtlxN9s?UJ^ztB z2WPL>$LI9C?AO^!!u~3s^j4ow{s900|NnRa5@KTj0uUht#KG=<@jm{}jv=1@K!#^< z$m!l)M;JjW7#zSP0|OI~mH}dr(g4?>V4xybzmWLgkRVS#_jn*PC^9+HxQQ98oCT^$ z4M@Yx_jL^pc64`zD0Pirvm9h10|N(`WMBX(l>%ZX$6(ipcn?q4AjcqQkI47{|Dcd~ zPd_(*Ztiso5OW2fT0jPf0I_edJHqwB&K|D5jvp-R_1VG7kj>|ZD)w{%y4TYUXvg0c pO$~5JFuV>73IG5A0001X0{{R30002d0RR910001n1ONa40001Z z0qj=GZqzUoO+mcHOCVqYXcjD}*vxb~t;8x7OG+yN-B6(@P2ARM#t9Qo+bn<&;s@Bk zPqE-t7|wAXPSRxnM@{=-M5=v8T2)l~Scf|bLNk{iES;N1cjLpH5#5y*774FGU zZ+kjR#2HAI)?Ti@MV;5M=SlOzvCh083nIb347y_|FJKoXLt{i&Iaf5hUYZTZk(No6 zc^ets4(9sm*(hn4SEYptMF_iH83=FFAId1>tN%ied@c9sg_*~R;B&0JVH^rlyt4ZI z+0t?ug{M-el+_2MkrY2!ep+Q=Do?ikRCwvO+|*TycLD4Ku}Tq7D*do|0A?Af*U@oj zo{`8#9bC5D!WIa---5N9V&QYsyq0~iFcX}wNIkeI$&zl-Jvx2RLZh}ROk1Nx8nwx2 zvTngst8BNx!cF>ZQraD{aFhSdR{nqs<N?Yj?wiSI(~Xg&Ff8n z7a`9JJX+C=QnP4=s43b$n z$Xw?S00030|9AlsVq*XT4j>i+;$U~bct6(=AJ5>BcvruWpvZ>sKN*Z56$}nwl7WE< zNQ0C*yEw%MyE}%s!ZcLAQE%Y{E9yZ~DvhGl+21!HKEN@^(HCNTkIRM&AiEhDc)%nB zgBp;Q0%C;m5Iz1uP!~Bzr7vIyD;9uikpR;CC|dmdU0f%uUc7({til7Tjul9Q^dOA( z4{;6h-K4*pg_VIBC}DzAp(jY8&DKT6EMOB9pb9}Ya|5xnyJNh+lb5S=$hxG@rXUdp z1{N?02``Z0B0!9=*~8N{$T7&-Bl79oy_=aBKtZen#JnhqJpFHV@4dqe4)Oq?45|^J YaPjv8#!{-ztJiE`BR~NU00030|ARyrr~m)} literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb b/bsp3/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..fe00fe98ff2f1d69feda37948f440f551440f0f0 GIT binary patch literal 1240 zcmV;}1Sk6s000233jqLN0AK(y00011WpZV@03jhEB0000n0{{R30002I0ssI20002k1ONa40001Z z0qj;wZxb;Pc6mR9#7hEhZlx;aK)PuX67`fq3k5~3)P^)FLaR+;m8IKFwYyE>7jopE zaN+$7^VxASSz3zJLpWf?`|W)GX2v_V?DTrQ-h>e1C*ftnAB4y0=P1T^it(pT5`kRb zNOzq6mHfX@$NXN-Y%#j)BaRjE05*$3V`&rhyFuRRhZg?qm=G2^xckgSQ+Wn+I@OC&rSQ zW<(9uN9$JyTauaMsED49-ar4uME;jxjJtDu#UEQ{eKI*0Y44k3%k{(L5?S$H)7|$Y zRe0(=<93N`sLf#s^#!JOxHRuJyL>RujRIE|Q_3P&mbg*Af|=?|Wq6z5;xKoD`5Nw^ z&qEp?oIH5vf;vY-T3!;uFm*#VsFwsAq( zlIDUj)=h##uHg^#$^28X*l7+64(_1BgCE^GmKkmjFno><2%Z%6O^fnrqLB7Nz0#s$ znkc1-G6=It@HX5yu-;RDWh+Qnc})ZwxXLL$GFVQGyy;7{%<8p)h}Nxww6<0F3jVFZ z7j@Lniet&X!zW ztjbO#>%jfK$V;U4ohju>+-bg0twc_lzduvbi(`K)RBh?Wt{3bn+1yKHsJbeWk@DI# zN_a&4>AA^xau|3zN9K`nuTxwzg^~G5qD3Ji#5CbXeeFTRt(!s$+@V#8G76UKIg`~uj zZrPK<_ZswMY~vu1L_r)0h<=Ei&S^y&t^;}KmLtgV=|v9$B-HJNeG(!d-P{s2#9MXs z9?uEMsF@J_>VpU<&t*c{u(Osuk0~U480#Btf<=omof$=6swXipTf5Y*-~>rV2((HZHQJc>q&w=$hS}f|0v0gBDMAU!sZOx@mDtHi$$TN zm526N1<3VhcPfKDCnW3;CWkepA%fzmQ?^?i{r;T3NvQm@fDAP;oiW{S;qG3p(p^dx zpVpcj8uLDT^cZhypgWk>^6SLcQ$&?mgQJngv7i+&Kl~Yk`bzujhni|Q8t@AM0RR8G C;!H#U literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..4b16a591f638fd71ca52abb79c9782e4485f8e0e GIT binary patch literal 1814 zcmV+x2kH0^000233jqLN0AK(y00011WpZV@UEdT%j0002n1poj50000Q0ssI20000M2LJ#70001Z z0qk1OkK05P_HHS(<(G;82@s+Z|G;ibrAi3N*TRK6RLF6h*4i{#CC+ZSwEI_Z<;0a^ z53S%vC2sr+9Np`%F->H(bhWkGH&-)|X$v(jg=rmF~i2GZgZCp_dbzyCr=EK+&7_`Br zCXAC%?RC#vDI$65vSL=o?cJmPTEbY$+C`}6DJXinvMY6`Rs#8 z9z1Tn`x3!Fg0v0(A)^ueV?-nPZ&Vt=f0yE>TkKNS{B9qmC5Zos2uu72MS%E^OL-9K zMBN2_f)FK$zfZVFVrT30lTA6hIJqP>O*R9u;Z||Wb&n2Q%c3|-cape2jKyxUEqU1~0&Kv3fAp`5*KA7UK=&ki75p z6Eh2jFvmhus?9xHYSM2tE}=tKYQi}!93pDfWuL8htMh{39}|bnIb}r0>$1nhA$8po z{8dkdGnidDzu_0WEeJJ-5B#Tslfhr*^Zgqr^AvqhdQd+9Q}1`=>9eNv z8gLmK%Sg2SeO^G%A>qTe%9j_uF_W~1!d@&ijaNcq-{EjmS_jdOcy4&96;hQrRu7;Y3%HWh=F_e&FdbGL)n?PgW%yWvt?S( zy|Z2&QFgvYcu>8XY60uf85{*yhXYg$tC7_4j7E{8o=fDp_Sfmns_XJtrhWI3_}Z3v zZLhwAHAVcUi(w0Xo6+YfWiY7!IufStl#lB4o7#8l5Wfvkf7W=_Veo}?Tk^4~O`mnV zvK==uCS0B_Lxg7bn0|&29%7BuUE^E^U%d*XDO_nOdy5y)t4Q+O;>~m9-q;T+1OTcU z+%Z7# zd27?47&&!~g{mYj8cncdHk5_Wg=v2jOMn)?hs+P?9N~^4;Q&WEl(s)YLwr$p6#ZnI z?;!np2<>x~$3Ll6Kh+w|zf~(YmlqhLB9nLhgv|Urgrh?!^*)sccO|gI{{a91|NnRa z5@KTj0uCS+0^(qIzj!~_5FgLrka$2}pyKI=eW<2fI6l zxWY74zEN-C1}o}8QYw$4G(0lcGd$8gKGHoXKETH(-qSb0$7#WeFir-LB_7OBjX;VY zMW3I)i|d5dix=>K6?j0EMFD966m|X~u0g(=^mntcGWY={OmHgn1Sz!Hy2zM?Aq1#M z0ZE}4kPY%2%&opayTK0qS!kNe0d}YeR5^$OdD+?BG2Y+F%hfq#UD9V$Ua%|+n1qBE zE07J+g9tMZPuC#FAZL%rr*rpiW&#_k15_Y|q6p>!XlVTit+>SoR$2g5h8hPUL7wqW zp~2^jUff{^D*=TO$RP+TJ^gQW@4ds!U;z{j0Akb-cJ}vmn&GmDg^K|c2|hp!00030 E|Ka{$U;qFB literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb b/bsp3/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..1e9ea30dc0541cb73f2cc61577d4ed9a7bef15cc GIT binary patch literal 989 zcmV<310wtn000233jqLN0AK(y00011WpZV>?2mk;80002u0ssI20001a0RR910002p0{{R30001Z z0nAlBkJK;_J-)v=1SK>S*P`9_sx%a|G&D#j$8qkg^(9f_-MxbU$e#d-f|3*Bb9pcJ zBu+S5be62ldov!-cs$N-x7+Pu9AN&2eA(tL#Ptht{cB3>KVfs(=8t%`jPWL>t+ZR+ zfKcYPVq%SRrc!qyLD6lk*C(V^YdYh5gR+1DB^X3gbLIoM4Z72cPVbE(tnNglv};9f zjVibi7i?SWjVKD`)e|V16V(}AuPblb&N!p}ePP#4QEw}*?u|Ncng;;7u1b3hrSgWj z%uns9)wwNfd!2f>V&oy-m_x1#hK(R|XO^S5;ATpDyD{3;dPNP^ySnSRl9VZE!}u9; zz4k)}brrL5E6|I;_wsTGx_}Xb+~~UAn6_2bv3VHqx+)^UB9%FOJmOBiRo$dg-pN0B@;4T zeQ}Y+@~Q~? z{eG}>l=HY3FMLt{0RRC1|9AlsVq*XTb|4l4;$U~bcpraf#}H3{Aj2~_0b<`^cZ6SqojqKA9Y0vs z>qFdu>>7TkVow*K?>yarcKmJ8)Bpt;0|U&1AVWdnBniYIgF{>+LeNe3=_^^t#-IvR L0kR$d00960_D;a_ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.asm.qmsg b/bsp3/Designflow/ppr/download/db/vga_pll.asm.qmsg new file mode 100644 index 0000000..50bf0cb --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.asm.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 29 17:13:07 2009 " "Info: Processing started: Thu Oct 29 17:13:07 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "269 " "Info: Peak virtual memory: 269 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 29 17:13:25 2009 " "Info: Processing ended: Thu Oct 29 17:13:25 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Info: Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Info: Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cbx.xml b/bsp3/Designflow/ppr/download/db/vga_pll.cbx.xml new file mode 100644 index 0000000..0c82b90 --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cmp.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..e3d063ac1440bc5d72e76dd72e9b01b7f7a21cdb GIT binary patch literal 46147 zcmXV%cT^Ky(D3aI6%_=LW&@;)NQXp4Kx&lUBVD8u>5!vUfMN0i(W#Nk6yn<^Gr z_HtxUa!FknXjun=CMnfAuLlU2SHGUZc|pikEg*CtUeOP)l8a6Er8 zd=V2sgdnl-AWD$`l;xKy56P^la{Os(;G0Jv_?@#OE6)s6W-c!8awSzCIxRDp8k*w=yq4I zPge}cq)dXYHL4ab6azu;e_yK8NZrGX2W>^fS&gEK>$OH#Y6DxCnq4It@=J_5(TyoJ z%`ObK6}3KLr#Y3ty>0aeyCO{@dI9mjXkm@0$m}J0n1BR&04t0>RB37PX*8x?w?8yx z%u4N4Heo9w|9R)e*^tPv`Zi=4GSTz#a_N%dvt9M5Q?anC2+pVb7CkhMQ|ol*21tXY z!Y}|;Q_TtcegDrUgjIiFcs3ZaJUsE$;h9IIT*eFlN4|bjN5-d@j}{YNIl0`w05xg# zJI9TGtWz7e)=5&-{u_g3(*3hEggs=1JwW5o*P1}}mPnc5fJlOh->1rkkVDyiwL@C_ zKrWWDb!nv@dE%wk3w+NB+t9BaY1Xd>byDebiFXZXPn68o4?x0YfXgNQR*8iNF)x>Y zXva#%wT>7@$iDcuGj-Gd*Ir!Z0KBP5J?J{VvGv-~P4lQH$E;;uU9T+@9Y9YN#@kV= zpr(H~DN}wl$0WbT)-xZB%~(&Gew5-?^uJ?6ELedxPXb;`=Qly?v(e@kf<`IaoRb4O z3gC9n^_bR^=j@DKE16Z5=!^L;_L}*@6(L%&zEMX$eY!()0P}SiWeWaLSX4LNPeUC& zQ$KQ(xqN*1xOIwf7C+0u0>}ipcP%JUkj7jq`KqOzCT(byixDGJp3w>HI-VBExQV=P zc0;TCW`qybS8wbfYvehay=zrVK@;sRcfY=j_?^1)D{nB(Av#X<>8zJ-hM$IfF&I$q zcISPQDy7~L*mvY@n_rD;EB;BDs&Pypho{&h3d78LUrrYFN$pz0H)p~|ItE{L*>Ktl zjlj7-rwQ(HO?0j45sr~=fvkP$DuSYygp@OZJ$M+P{u)vs6Kf?#5W5;MMPuYFy?*KK z(9X!W2p=HZU<2sSGF7$mjkA0YRhym-4KI<0OtW&HUoL)ig*c&qXG&zMV=ZXua`A3V zo+zeI>tY%4*e{2^yBT8s;U8Xu$bZvH09b*9iwWCR8ot^eky3^&TJnp_=R21$W}v?tjW{OpnCG7QOMNBXZ6YfF*i*mKZwOADKp zEf#d-T?H@q!U|8{Kzrwes|5Xb)T_aMBdoecl*hRmp0U2IWah1z-AqfwJCzQWxSxv> z1-EVHcAi?IFZUC0a%a)Vidv!;TwewAjxUF2do?w2+9aqX*QEQ5-VJpdVcG*lLv&?) zRvH28P|#p7zu`Vf@LTs|zSvvpiL6&H%`npn%$zKg<5N}}UeEhVExvW*46pmwg&$^> zrR8Y1n~J)#SIIX{K~T^Tu!cK5X6sL8wuVf4oo==HIe#tGkgDsH%s56Z9IQ2@G+B zIsl4(dhhmnTCIH@PxDkms<$T8n_HJlZnba^d;6c-*Rv;hc#(~pKs*EekW+V%wcw_IZdEY z-#O(K_i+5RHB(0yAtDzhB&ga~?MQV~>Gd|Zs{9v1G)QU$vg#Wbx7ISd5}f9&8)m?c;KwJmH=Wr7vc`&3 z#LOJ6aRWD8CC8qH`9`6pVApnjuBoSuFt{7Zm9lOu^U}bz%AM=1Qv36)uHo=nU(iZh zx~!FD#c@yc+$TIbNX@B!P>b+h|DwtQLqo#;K5{SYc|aD+pmY1XC*93Z7=z=5Wf1h78-4klC!pVzOJGYx2B>+h;^Wc2`O#G-MT=v)#g3PIF%zkG$o-4iK7|L zip<+Ut`|04V-S?aP?c!#RM!+Wq*7JBEu|2e z%0{W4eOwU^gMC33QNftN)Qv9W{+nMI%`VOAdyQJbKYzJs{CcJJpv_eoR&K{FJLO4PA2-m@y5GBLuj1~IyqM?P z*YvCZdIKud64vXEA)n1*$ukihe*RTom;B+vF!eEqi^Jies*$wbRBv8+~vbx*}FT zKJ%a@mlXE;ym{#}j&rF*+JPgMkxIW#ip`2S+;W)L&RRw;lqFZA+21$fD*xSN_gHDk z1=3BX;kqU4=7h9{FCxU`F?@Rp&YO0ky24hX05@CCsPkIOd-9yF21kjGxYC=y|B6!yOTp*ws?n3k}WGfYQf zj@vfMDftLZ^&~=z1}lKs!C)fs;QhY;TK|*<22~HWmoTi1kdrvrBC{WT5G9baibY9H z!7Y(S`La5`GyQN#`26zt3Iu%$S#ISQsuoq8B#I$GXK^jLh%{t=8pby0^)<~y9D_Kn zC|qG8r@&jG&vBXTK%26JiyP98Zt5n8?})U3UjshfK^mn}nW8Kq+m#_Z$Es{% zEHr}u0rfmW3h_APrA~RYVKzxt6m$O|$c8V5v1cy+MarVFxvvdbp+nsXZW&6h5|qFD zXc`Ee&DQ1_N=WMt!Z^)M(S8A-WIC}*SiT~?X)iD%sOvsA+PACBH{nr4D6I>b?K@f} zj4WjbMrEPEjH+1fpNCV)a`GJzz}3(h5m{N|UH=y!SfLQBK}S!gKN!i7BLOTYOrT4{ZRE`->pu3@-M zEBX3!)$EENI`P@f@$HnhJ~_%4H}>d}gj2d_IEtV4=ZIDkY9dyAJR*@4Um!tmG**F4 zZ_X=Yg95T<#txU~qhWS`6lgU$&NfUfYDztuX$_!mNI7nG+D(NsN?i`Q5-lY^E?I1M zQt+wcF4nLSP4E4Bc{r4M|UYgwA(Vt#f2`3;Loc`VGNr*jyEPZVY)V3EPm8I83vaT_~b6ZaUeidCoyk^|Dk2t@RfCi9Gp{8p$%hv-%|9APE=cBN1l z83}aou_rwy3yZKI81`6;X%uF{G4;<%kZQI0iZT-T zLSI9$sBJybExhOGd)l{)3x3=I7Mz*&q_sxv`)(OA?e&$IaK_ZA>ke!UEomcg*HM{M5-yU}+FFdQ3ddVnpw(uA3=QvDY>nQ@im0s9<1XRb z>JE!pe|=`WAq>#Av0yq1O;^UA%Bla*qXI5zrFO)!nBKWKSd*LM+1&fKtyMN-+)Q`v zdH+7${YaYiC?On`Id(dZJwToZIK%{|Pw_&!<->eq_odesuD{SU{L;`hK)kHw_o7UA zl>xDMccM|xn`58paduxcHv&mAd~psfFawx8OIwrg@Fw768U}#BDHD&fqc1Gsz9GBbD zIjSi;r}L`w1Ood78eiw#OnB?)$I~`Vg`AJ5%*=2t`%8M5c?YcrbbkM<@un8Hc|zuK z)0w{1j(#Vb86jl&B0iT_h0N-mlK!K+Yq%#+M6ZumTd_BQt+Am52+~HbpOK}WvdZ7O z7IE-=or#5Xmr2GXhfzMBR#kIMx0*z|E?Hl@&6d;%sEUs4gD|^lCa(S2c{r$aYeRbP z45sBs%(~D&t45{!|HkEP0Q6_o?8kvaa5>CLyI`zdiO>AEX|X?E+-}V&m!q&>e?@|; zUnu%_lRjff3Dta>Dk+`Q2RXH{^b2jKnL$rZ4B7fxJ&Jzl^e4$Bh*?_dM~$5R^+2yQ zB4@S6#b^7Wro|w*xnF}YCX%c6FP@Ykd~I6gX+V4ww_yP1y@>7c=&aV5_2HQx{u&9a z#*QGG&28ebD$aPEOr^k*>whLMxAIWTpnO=;w_}1uSl|e@xfuG9twK%*Zg^dg1KP(xcZ)Ikn{^n`s zLno206PuCt>%}n_tHZ<1;4;CcX;=$zQ@+|x@78joOP;LHyRQWMuf&-}XLFIfuY}aV z?cJ;mTGRl!TrpJ8&~l%AbJJz8w#FQ;b6Vf(K$GrHt$NzeFt=RJJ3s;U_V{VQpO<5g zHcSgk0!lY6>$!X)qz+9Qke14Gt%_l<%A z^|G@=?$2Fwb+eMVl+iSi0f%75WDVx1W|yiIyY zZ&iVg+Sx4V4XW~B?nMDph_~Miiw)mdZ0tE+rM_KNpgFS3&3rMtEV|5lq2oFCWN{T# zgZ502>z`^vP>lZ*HKwLWPeUy~^}j7?EJQQ$819IwrGr zJt=Bv{Syel+p#Q9lW)kLK?|u)R<7|BnYODOh4RS+p#9&_nQOGcsr^}KI;D}ic8ceV z;^#n8^GvbU%K81-UK$1*mH}7m{^Y0BNZu|t;q%*4d#kYYRYoE!E%5J;+M-UNro=DU zytbeldx1g!ET%u^?;Z~eh1yH?y9wB6j(zFxYWYgA!hTqgt^KJj$Wf1a!}Lm&DfUiV z`Fw%Gh@;gJXdQq0Rp;y|6VHhJIgo_D#Zbx!H*Rnad)pJn6vQZv2e)s%TcZk3yxm)5 zmgTuqy_cm2LDxvbsv=N|u~X??xD_7%XX;zw=M>Rp=&7i;ZU34pM3hM3aKvq#=?4QC zYoOx?f5#Q)0X3>Xuzs*Etn;>OcE}Pw>f?;4!$7kXG-A1`Lt#O!Sp>c^5$KA*ZiS`w z#I6MQFU~|UBBm`F^_cLvbY(}&mA9zGQ8$!ih1IfRM}6W|T;4@+TP3U!-Kg^1Z9M*$ zORj0^RW+R^P-lDhL$KGive2H^0Ebg@Grk#IU5S`uZ^BamDLN5rRrlCXV8_;CY(K+n z#sm_j==+J*QT@w}Lzt2AjoOKC*q9_|+pzVK16qvFF(QK4a&{FZ}aMp&lHywVC_v@l#=Z z8;9|;&Q{UwPB`d~R|<<(2Z-?e^R}8=iPwgX3rQ0d_PR%kw{f2*%kCiTbfGD(XSj=S z5AM5LQ6`7{>>Tq5W$Rr}E+#$My z3avhpz0Z5q9=kcqO#ZxE|@HdI-OfJ zHPD;ry~ee-_+MLI!SeuA%ddAUJ5AQV*iki4VEr4+ob^g+0nz=}7oUdTAPfv8WeqAB zD@uqIhOfW;qh&#F8Q}cbD5J$-H%wYEt~WVyh{(fAVlz&j;giYKSZYp%trK_9?sb6U zan`z^SOWUC`+ArWG{65_OHif)E%#_IEL)PZmq~GR>$>WyjTcubn#f?Guof;6s|QDv z2e$l!`Rv>@7DR6f5=tXEq>PEb9_WEh4IlGnm*LDg;NoId+BqsyQSBt}R zF^Vw70zyNeozv|U0Jdi%gP{jm#)3A}y#v1T<|bx^dRL2$uSQo|8NV=TaeEWEh?2fp zw`C*zmDUa_DBhf*HONTJWzgF9uL7zZQ;RiGcxhU@R66KVj7Q-?FM?~{(Jee!!szSv zU^haFG*WvS12O%>%@l$Sg?+vqLovsCSC_IiBqp-5|NSTh?{wshi-*ikktV2Pdg2bS z_^8U}86~=O#PvqBvzNEh7PfR;9A^pSaS@&I3{Q4}H=#rr7xi64s}ac^W6I)&9yu}f zMgZz<+Gw35cfT$1Fb6>J^!U4HW}zZeV>CgRhXIJm2P=6^w7!$ad7JghU0X4c_*$7@ zLSnY%mljdCst8NI=CU6-VJ^O1vq{3V*_jJH36SK?Z}9ldnC!2U&!PW5JxJop*}wL; z-1FkG7OCJ$5Wq%7Pwhtk^L1y=8f&?+#C)*Js-&}~Y+cXSi4w&0Gu+v$jAQ9cwX=PE zoMC;i8~c05bpn6Ok*`*v1Gx{`SjF%9Z>9O?Hk;rtJ@lp63EXYkraEy_Ite?FPLpxj zo3hzdoXg6ItoLoP(cJprbck1zE&UvT)?>jv$I>adA?ei5(si&G3H=;5F-v@qV>im4 zSMi<$r)4IHww>+)?DEP6`DtCb@Y_D*p!nKr}G#V1W2Wp_K2MzIGouRM|bQVnif zX;xYsj3m|}=?*aTaa0uhN&U_N!7G!Y9lm23s!2Nj^?atI8*$;ZMX=^6PxJLaEKiJW zl*RVHaDaWH7GsfGy9)%PW%EC%lQ#k}@7AIsEXboWn@U4te)%?%oOZ5E(eua>(TWo$ zB@ytMZ#wbNY|&ZecJj_n80JjS#lVCy>V06d<{R{fW0q{of#y3n1tp%x;(;k?q^=n<9Oave*Jxgld~X7GQK++A+Wv*M zLPk1bWTn-ES_dt~7}^!J#3+YpmRel8%Va&QF>6F{a_F>wBUs|Jb9Y+5$McEIJl3jU zf5OUsx%Ln6Pj~p6Z~zK)y+C|f3>OhF>miSFnaytHWEGw+p(1Phccagd3jRU&7qS1(nfcrVWGRJ_|D z>b`f3tV>eb`^@1G7>SfSJ!frnUPL;+oQ;=FZ46mLFE|;kgx!4mcbCl3-3aX2)UcHq zrR^H*SVuX?Am+qVxq(Q6^&EYK9q)$2Lc?{+-8tiQ_~gWY6(=s@B8$|zdKt`DD}AgK z?GO`)OlN0IBDOCd<*y;y@Rp)IE40>KqVWPN;^0<}z8^jLb|(vMd-CnyQlI@VKyQ*= z`CGcyie!;4lS4r#Wfy_i>j71>v-L4qU1~w?IZID*jwy#2l?5Shr9uK7FYPk`B6b#i zz11Uo#QJu4+V>a}W8>hNAlM|INHu&A7kB`E3!E{^Iu(E7J~ zsmwD$c&C|h0JRkaN1@}914Erw(=1>A;-lfZ-dz@b7yHsg`vZ?bgh$_RjF;!gWAlQp z2GGb`_|4__(xwRQ0R72`z)?_BkfOwa-#H>{%Cm^)YD!bq&s`PMduNNKB$(nAakJj2k}Fzm3%$di*=t6d(ZKxddJ77Io< ziE1f_&?+X?;Ku8L*?C}#sSbCV&2ZPw1Dm`L*ahw^kXMAV12Rr>yiumgPIuay$xB8iuZLE z9BA!jo)RcsSGn%9ztmg`%~0N}f&jZ>uu&-V{p%A^WducyW)6%<*#;0$%S+YI4{P)) zCiHJi#xiHQ4=jPOvxAC3_Z&$gb`Ky$Kv)`~&#y>J?M_KGt&09tD<}w1wLuNv{}ddN zz|$}ZVQ%@8($x{)wuR$9HD!hM9HF&4_ekhsY{NDSBYF2(C2I=x%hTJ6X#J{bL|AVW zesWoHxx{Q)5%@H$JBs`XXPeXO_D7{f1h(o^LIV47a}Piqmr<_#dS#laE0QE))n7pf zdImElX}SM(Td{zdUN7bXoztd7c+k?d&^6UzjutY;bT@33ziX!Tl;>W~bVFRBz;ydi zosYBUkaE@QwIdxve{E!1zpPln3p-vpdxlePyYyowH3Ofld(a&?7|j9hPT$eKPsC=E z^=qP0TaC=m`T3@4B$3P+x<24}x+3ge!%9Ju5_|BDbV?Iz$7_lynj%0C1Na@*_CfvU z14LGLY74j{MN~txb0AX#$5pvC?^GCn&1M?H0@;O7#N(XM@3*i=tUj^0eH{dFnn~E> zl$Y8Cu&hYGjARe-Ui{nTJQu?-{HAgk9wkujvcCUKcl#A3XyeOP4MV$;{pzY~^zM=} z>DOf|JG2Y3bYG>#U9Hu(4{%VC)3q5goK=7xQv<;5R4|GzQpCO%rHw4=vKgeugkPNF zE;M_eLh@Y4po>_`@F7)oqhNLWgUwIQikp3*LzRe_S^-d`@2UP$=)r>B7c~*ka$}XP1ZboldT?Z&G4HS7f_%f!tEWs-EIbW1dZFG*+pvFmTTIKQNi0z3x z8ImZ8og`O}%}|S8VJ}Dbz;~3}D}3v!R5l|1ID*DgjB{E|l_y@noePrMQ}`&h^Lo6qeNs(n11AUvB@Y`HZanaBpYJ3w*U zu?25l;=?*zd=Rx*EB-h`?^jy_TV+!?XdLaOnx7i0XQ)|cgUG=j=k*FHv=)@r0`wDs z3St-9`iGSWRgx0?tGnDQuyi@2y|N-q6*|xi3kc7-Ek`Tn>k>KmI?h0+n;R`&}hWArcc47 zNsZ}>2ngaeqK(_q1iJelJ^at|>1adfZgC%XDBiij+vJw$R`R!#{>1Z*ggAn?$zN5+ zl!*QCQi9UM=GFwKHJh^smb9LQJ7QN`s!E|0Womno4@odrl>6WH@I_uZO$jZVF*vda zieeD)s=x=M!`zN&?Oxfwu26z>kh<*d?L#^%s@Y5YdaGd=!Nc;HJ8rjgBHh$GAif>L!6-+=UR;KqB=AeIabh~2qh2v7>Zo0ZSzU?R^&g_r<3Y9auRcq(W> zec+14Y&c;`c_TZZtpZf#mx7Jg2T(0G^a}S^nDc<zl}JiOh7N@1vk_ zF;E-frxHrZf~dB+=_ME#O{k&)6%p-%ff`Mqi|CQgnrhIy4V34VT8?j8*Tu$PYe_9j zvrDG|i@2~{J~jUyx4o7*tLk5T;mPX6zZ{msIb?5_hY1^o5|^L9IDDETnoR?$jj|9l zXA6_o+x>)}zP=$;WHWqw65U`J5&y!X4gou5@nfW8Trt9m+Dw8e%_<^EJ390*?q2G3 zl@XwI;XJPGK4LeEj`Y5eNEpGSbnSlfd=)6AydjO)%L;#fJ?3jjj?tK&sfkwptzwiM znr2BX5in2-GQyi3Ozb9`ZRAwh2M5QEmIfqSPlZa5Bm-?@Iq%redp*?7=BK`BI14I{ zX0#6t+Zm0lw5zavw>Bc(D@NmCFnF7wyIKI-hia%{ zHLz*qJ8>gE-tPwzl}_JVHucSgSDg=bWv{ThC#)$m)6-r1n#v;o+`Y3TwoZ;whQBDY zYMp>op8DKxD%Rfe85AB=0{UVP{;-`eR|I`__d`id-CV27(~T%d2jtz!zY=VD*d8mj-bX z_#MFMKe{9_jqRY1Ydus*PeQF>l|b-N+lzlOh9XFuWs77?h+}9Sg+~=BIhM%cTA99v zq$6glv?#zYkz@q|K^!;l+*U+u(8T%E5*K5Z;i?kd|-DB)%Z>jFHPc_Db)aE4|2F zfSZ035x@sRsVFvzNAME2m;b`gogPm-u5L-&e0+WZU8Zo>qK7Icz}2WH?jNef zvb09oufe*Ya-hSl0ejhJUtD6yuu3wB-58bG+9P)ArQgtwZ0lV^&Rv?sejDr#^cz9@ zegIXofb;$G55(S>yT~HyNrqoLOJtqfFie~Z`s1RdnYeT0mEC&eUqb$AagOWeaH=G+ zix@&XRRw92EaC#(#Klrp*QvK*5z%T_uZyumH zbI7}2NdE-*(!V(Mfv!{XOyCT{MuK);tETAnUR|Ax@QHI~oS)%BD4nMHW?1t8y#VNz zB2=}RJcd3{QRAL}(Z4rzAoOwl!`ScLotf<6upTa zydyM05hKA+Wvgg4rQ{97Wi?MOFED}AcKT%$z>o;*BwwgeU?o0iXu3NK_vpj7%5w}{ zhOd{7SL6(EpSA<$m9a-55?y}7aAL_|(>`OW?Zo)KOMFjBq4d3Gx(p)T2UN7rb(UQ_ zpd@>a-;Ko_H%B7Qooc;u%+cxBo85Lu8Qp0qvv0s6?6Y<2I>8d;noRiEz9)NI7Orr7 z%;nMU=bcQ0CD^w^n!l%B-Ct8kr9gnUYz!8iZnYgd?of=-y(+bujt7-<7Hv->W^N1*6GE4< z59(vIPjeX-q6t$(KmC_(1S3$I_Qs?&4j1^J@v=5_LLupRrhe1UQENNFv6ZTtQ{9g1 zWp^c@Rr=x*jm}b0`@q6AezweY_IyAj^SHa$&nKnBl^GF7rwedr{uGw7xr-+!8Y{2k zDdDN&XLv>I+lhU$uds}QPr&6NYcAw&uY?=|^3rHvkih=gnZz zL~K}z*&0bJMr(+fB^2;q=mxCiL@0^v6!_;yYyY+Jr(-)_IR$0EQmqX`8RrQ5ZASc4 zGL_-vdHd_{Wj`!&z`S%q93aTkl$pPpx5ybn6} zk=?z*5Q1f5Badd?kLp~_LhqP4-`iHpkX<1m-PKKC2dEM@U8TdK1bY7waDzT>6qv(cgv9@EcPso7B@QVT}(L{(3t+ONEDn`MYywmy6j%Cp=fExdlU% z`N#R~^VU)}o{Y8nQ(B5!z2*f^wVjJe>b7I1ua*tdD-`gU`mJ=9b%qwdYm>a*rf1?AqBe`;!q;^ zbz}*-G9&gZG|acgOqeyxvE1@&E<;?CC~n~a)dxNq17S);$&prs^9JD~)uJ^CV{2I? zh73X!`(@u{fLF5tUT{oBhEhF|^-H55<%) z`7OosJl+ONlechEo}zgssFTy()UN9^@-W9g?;z?+Pcfq7!Sb+d-)=eJdrj1(R=r!j z2}}T*%5i}+6(wjTqHc{^Gyb=%=nmC@#wdy+>Bh5b)nzA-?WgxSBj*JOZl2i*;)L-h`;O&3jI zwIYtW_e8tbi5D# z<~y;n&SPlmeII(o(b@-YJIlY`EDyR|eN^1Sr9`}56vuOCgDD2Kt2UOiRbI&t1V?Jc zhgpf)eThTXGM|+v1uC#8Y@p0oRZt4jJA)kpPG@)Mvri94TpevW2*XQv8SuaTOZJq> zm9GVy_bReyJ}X7vV!ZLv`_B6)&<60&mV6$|u zIpL?uqg+#hpAhmAtKYB_OQ)Kfgg4n6FQ9$d3i%o`0Vaxo&6VeQpTV z%SH;n*up^2ZHX+Cxk5EQ(Yw>JwhwbKZe;{=x^xXkvm}9jvj+-a75x~fWhC0EJ0Q76 z9a;DXoK(U}q^$7Y75XmfZ@Qb`k`rJxzpK-v@*jtF^EQKf8;^hb+ydCKHU_g`m5Do1 z$ec~g-VzyBeD+9TmUNl{5tqJCM_rRBFk+MKl7II0AoN%mmk*A^S4VCgSPz0BjtIt1 zHWULXF6h}JKhGd-SHPY~hsB;+dYoS}FTUw`GlW{~ktKIw@-bp?Y3a1zc zq(`p4j2GSfvu?5Tm3?m%T|zfB%lR`9`7u6rIUixskm*FrKfIcUIOh%6kO3QGPR|Cv5oI&p^y3;ycMQ-v z{or%hUCS;ix7Fkh&8>_yKPK)mVHC%GF6_Uchb{lCJbI(Q6Y4dsb87q$Q&@Il|77nL z6|^O4xV6#QD8TmFmuFBDKC!*0F6G}dkmE}>>t$n`udd|G%rKjMMFMQ;V7?bCPe@pQ*?NR?&0(DH3v-oQ)h08~g9FpZ!deuP+xM-pg1-9p8d zIO%_4uRd|%EaSRdIUtC|s603y=i9HT-XD#jEkK6F`VgCNHe7;ZYpJjPfq zxEU~!UN!E}ijN$Lt4s-%Og`boJSRl}M{8WEpIMvXdc8nh=gBTxYx|td^THW)TB+6& zwTfSbw9)j6+h;c~PkW-QpR*yD2EA=oj|<4hwiaN98MQxgZ`~EU`Nv;*N3*#mBYdIX zI(8lei_A>|^T+YU{JtA%zzRshC@Gu3%>-q{r0gisyLYhnm-i#?^?(y#S_4AUoWP^s zVHH!z?^)l=&QO(DU+DyG|GNbF11=$P;3&~5osBf($S5NR(Yof=9!1bkRMm@D24b)i z>TmYxA;fHT-qTzI_Wv>VH}25BiF&6^a=y57j>8*hf9}<3NS1N#SMaPe^^oVdUtLKs zVrz4X6fEZRRqqaRZmfvDNOLEL#gsgpNi#K+Zg!(+6o!*bG7Mo}jkWJt_T z?g@D{meVN2#F-HDI05OLsGLst_baR>ro%}4PTnU@{3K&}0K1A#Ulm2a>4&Y4rI%$} zYoX~+sxg$d^M09I*KnMdnz!fQyCMofn_<~u0rU!hqiq8BrXna7Q4RAIx68RHJf`}a z3E`{5-CE&fwoiAV;YDjhi0@|4#Wta}cV%lJ|c2UlpJrk+e8kaqsv&4>3 zCZN|E-@HU*C$Tz;pASv7UX#1t!*^3QI*zDLQrIIvNpYu5gr>g(SykGLpsYkLs9Nh9 zh;6Kv_pm_$ALkUG-QQNV=uOn0dU#1l_-{h^AMx=Z+LGN`*hY0!&eJUxREemSK|dWn zt#1;VP3wO}+fIqJ6@2dz1W9`r8E4h4LgOx58Ln3Rp&u$SO%hF_aS)rD-VeH&X1_Y{ zV;{|C!3_uGgVXhq;^+Zt)QIxI~e^vZBsO;XkJ2`u{|8kT3 zf0M0I;ZRvDSF^7>Wj+}(d+EpC+|l(z&XT_oG?7;d)iXXJ+2*wlE77@IxSd{H!e6te zACW6d9(IIWD~$Iys0Z=f%H;>oWcC@3LTkry8Dt?@pAdZ%DEs9J;lGF>4o#Bqh!Rl_ zBze~y51!Ix{g9rI_lQ?Ms93Y{MXqs$F62D`Ic2%}IsUX}+7vbqyc}5SwDFaiOGV#L z2>HS4Sj&^`%qxw`+IY2JrdO<~h*wHN>^Rv771N$r-G1z_V)d@*Ll__EhE$8lN7Tq z7DRAfMch3jGzMA{qH~^ab(P8<82*4NjQUNFk`Z=SSPdVRNUNDct+P#0vA`l6IECS{ z(9WqQGoBuxrRjcRY&vf6IRHkzdfx$Y06}F=-dYtHvHl0^Sk62Wd~fC+C7`M)IJ^2u z#?#~#JC!{c@v!4sk+sUrzomGEQ%4~xsQyNLn^NeR___`oj*i;Z!C_-y0B$wS4&2fw zpl=f_RWujbeO?shP6d=ugRX4o5tAM|p)2|xx_;#)9aAAFh$hrBt*v0M=ur-{ssUYd zU+vLw9=2b)fW$x={Dp?<_p*x%Z5I6(T@1qwTC8CnO~|(h2bU_OyN*IgDSBRI4E{S< zKdM^|3tzotIhNm#2^ zWS)#noSn#oZV5$Ss=2;1h+N@pIwDVUox~!E>0qIkhc3Ud*K=>|z$?dgEvcrC22SKM zKDt70#NhbX!nOl3^9d6uG+qu0U+cSYEAs#a^0wRW(Vk*L=f6QyhYM=d?hxdAsq&a* zR}mS1YiK}z5eki)tR=AbBea&k1YR@+y^jV~v2VmIyZpi`h0LDbW&y`XNO$7@+D8?@{2r85s!jb<&zjPAcF5nNVJ{0`ztruHgR zVXF-{-`#H>@@%R(Nk0E*xVgCG+0k%x`E~j0U_^Syl1S$W@g!8|5B)y2Er6mOF&a** zj`4*>T*3kHm~+Dl=KeZ+oS|3Uh^T#t<$c|8 z3X%WIDpEIYo$_w7JnKK9oATMEI=bQb+Y2Vs$3AfHJiBSaf)-QKpr_^D}5>{vE?;w0DSybs*?8Lbu8Bae$eS)??!a&LS7xuZ_rH6VD&(l6^n zo}#nzb+T_P)bg?Z^KD(qO;-BvPIc$yPT6}1&0ltRoE+}Jba}(rv)i-xGiIbU){C_N zeRK?!)RlqyCx!1RJ! z0dj!;m*8tBI=BHm+XAwDkA{SGs7=0?OJQG!8(+rhd?63|J|}2F!?@(erhf>^@tXgY zl)Zl`Z!;D!^Up#%VV|pUWPU`yM$Y<=;~d3)dK@_(81x4w+n7#m+qSi9 z+cu`QZQJDo-#f4yD3yBSTs;XZE z#)Q6eETQes8R5mcNI2I*pv?IA-5%P+quQ`#Gl10)gMQf*N zI__M|)IfjSGbp$UP8Z_HGo|aUEpW;@He#ae;*#$($Q|Q^cSm=OppJh%^a%9Sm;8oJ zAerMU2Fc$bOrblpSuQF+OS0x0)74Etkr{Tf<8|0i5g)90Ftcl^fmqEuoq&+J0NVqt zYkti9LlROx-}K^$Kf|Ux8(W>69NqK;L0><42GQ6U^+O?H1ze{(FRadc1_C$6x50S5mmt)pyF_Rh8I{t$z9xAE`m{W~~k$R65f2M@E&_iKHJu4Vc39>XR*G|5V$ zn_8SCNB$5NPJmY337c9(#-k*8=IZmR27qYs#=eg^+mln*2$Z9j{ar{=eJTn9K%`Fdr5%sXCMZILE)WXgOMz+2S&?MLAH1m(D=$*fkf!U0ro$+Td6Zuxf4Ja4 zmtj!n?(PzxyFKepI(?#F(DHDscaGPto1>&5;y!W&tx9u~6@O!GYn=MnGmBEV(tKG} zx2SUh6BOEvx^AKMH0)dLf@3020z7YpxuX*&&V%bIH} z90Cj-HV*3W;h!({r@)*oVDJgAF@85pPR~R7_jnNi2&G!Oqdk3@+RkFp^(MBc@rrYO z-0^da`*4~fWVDQp;W&j-oqfZi_zKx8mS&w?*rkU^Xd`|2 z9)yT}cheKXSrSH8KFa;r2yEKvk+B@bIxI0g(+N@QWQ5F^xCirS;L=HDOWM*+SA^=- z(5>rJ0C4&J0b%_?=_+1i{YXQm<`FF(W_`xVamb6_&WtR8^_&k9)Vj`9A!rv>n+vdZwGVbyf(S77&r56NrVVnnauM-E(6KR!Z5hnI7}j z9MYpKf@%>cC$vxQ9x|Y~rv`>E;+3c&K1?vWJB!ciYOZzX7HOsHRH)mrtwIYI;0q^j zImac9H}QGxb4&}^9+2B@9rp)!$C~1plTN;(u`*Zu@KKEX+^2w%thI$1Cg4Fl;@$Xd zFwmvecsB*hVMtSToFBCcLE{FU*`b_0kXvYAklvkzVX|M>Qefr}EI=&mD*$NIV&2%A zo6@wk*lq-o~@;-*tBA|mnS@Vi7Z$G{FA_|=GXO4TS<-=1 zJx`y^F$8@@Y{3-cc}SmiriNM8-;S!t)v}lZo#M^XX5Q&%TaA|J&0-#sdj>o9C6G%9 zAHLWjbLyVJG9z-<2+^4b5x&Ted`~v|kJcak91rLiY;fYPexJ%`wvnBMXBW6P3b5YxtM z|3&=i_;EcYJzRRtYFh2#x_nESeMIYR;DclzSvn-^_X~izPZ2(MoXoE{-OtKQHL%iO z@F-8^IPr)P1olpQ?(!X`j%^~0Yi0zrtN+5rkXF=-OSc=1lKPi_r-|B+6P)ZdZlP8g zt<10zRTZOD&4{3WVnQOnNBu;TNk(D$FpCp5XT`@vy;n$J0h~~Mwu8pI$$1f_MWZab zeW*XUk5%3g^!`PR%g$R+-nf4ex0*^}`6rp)zAyx|_1GZ~KG}Xns%3^=ItES& z_K~r5ulNF|Tp(n=yr>lf2tR~#?_R85ul0Ci$M4e`p5>V~tkglK@~>t@!0)lqs#^oz z#Cp@SA(K|xPM(6zvnWnX;~f7~3;G~~+yHM5UXe2#{(JJ)dLJ3gk-5N(4LxAE!Q9_l z2*RrqLegh~o-*Fer;|Qy)*N*jG3HsQh;XT6@EN<;I^0X}3!nOU{oAY3V2Hqw+o>Wx z84}hewsiZSX^NrL7H^sO-20M7wt;2KJ!Y@ZwQ2r-E;G!Zc4}Fik1jIG_*QQQi6RQz zSGWj*Tjl?@+WImNaH4qfMA3N5-RYX2EvN?H2_stHLN2^BiyXt{{zrEQ#YT!P*PJOP z*Vf^L03#;_cNQ+<<4yAW-}l-TIiGT!lsz{>7vTe~os9gU-X-CNnlpTgc%03GIoA=o z&ijaeM*NbBPKv^+X}M5?Hc0r=rKz+(5P-iyb%@+jW%NC?I)BGx3#zx5T^Rf^liTUf z+T@^Cm@kA`e>!8!aJYnoOQE}idtId}7 zhvRhJ-7SRlFsf4BE}?xvX_s1|%zGnCnk{!eZ6_|PI%sS8+&6ic;KG!wkAK(G8k3tp zR4bv0AzHc_VCo}0#Af~eiYDi1ucs|6dynG9$9c0)Ui-a?{mFP-ynfVA=2u)lF(4`c zw(VYPrX^3cY*9qtA5@d(0I8QxqrNgcU13Ews3=`6r3_;ctc{Ghe*Y1N(6pZt9=WM} z=s`duybZrN10$;IPE0mNs;Wd<=@{Kkp_9LVNy>e53?NXVne*SZ_8=Q;4SO@yY^^i< z%jeLvXcdV{oDIXaDTsz`foIyX2Gyp7lXPh9x-og%<`&d$Y}6q*8zJ1hlFr;bCssG} z39o(bSY(@m6m-RwTR}O6?q~&=wSkA}jNYuX52#>~BG}GlXy+=>7~cR*i#*S}^;yu+ zAV4m3J_m#7l=6Iv7oKoBlJf6bjRn_U%=#pkwON}#>M}0Y8|V_t!4&rEM7M1Ylb2B8 zVK^oa^KsaC$;{odiuOT7*WzVQu2hD@hSw1NDZ*;f$3qt<% z8=xZP3jV~^K$_#aRPA^KR$3iInxPW!=>M5SD3L2RHGO$1U}YWvV7Y;F-l4>#SCW3% zhH8^rr=fl35h%rvqw{+E)Yqve|2mUv?v;~^8|HJ?cBczaW0OF&X!y*^<^Sske8~EK z$HRg7r-}Q~docPmj*UjH9WSLWGZQ_nx{_r{O%qbD@(BJHQYANOy>4AxCAUq8k(6EK z6$ZVBtW2d1I{lkN`?vN^WHrJM=D=CCRPxhlDFI&6&;af{flP^~1Ol zc*W2QE|`78kZ4`0zRXm>*q@F2c9{lmnv+!il1`Wp5%7VCp_DVRzq-G)^QDqGMOuV+ zTHz^QvuKaA>C$tzZu~D7Kt;Ouz(ts@7(UAlaI8RIcaZs~?)TD@rXKw(i)by3hDC84 z+;W1UiUj#uMH`kSK8ybvabF8BCIPqAogF_y`)EW4zcJz!DAl2}=?mO)_t!0732i*Y z{qUwfcKX&%EeeUlR~@>vCaYuSiXNdROKrsPrE`|MN4rNknQoFmR(1POeb4TSm!m@< zZ(8oe5n9}({*ElwaW?eUp#Y@Q-0Bf4CVRz~B<7XHbI&=YZUD>&_qeR86{J+(og<6p zxG@Ea)1ruBiC{w{lA|I3A$k7&aO$v`LSl#t+~n|HHlT0Re<(PLA;mP*mX7_TD*4a~ zzm1@(DM76Cc!6Zl31Z1WWZis#2R!t*v;>&N@9Mx~+zh<@D!qI8PT5>Kd7i_% zTQf9nsNO%#m5rI_9xzVeV5|8pJ2Y=k4#E^^ZD9ic-MOG@eZe5Ew_2)kpDcAk5STIF z=#YYGC{BbQ0jtItT`&?`^~56GcvA{>4f&i>pDF!=HpnWn0v{S$<`zi>KHUbgkn3K? zYyLTAQ&vq+FEp-_+b^v0EdI!bcHTXr)ocD8q^S`K>YTms^EXU1{-=yUKb})zKJWxh&&cRyT-3lyR+6HRuIB!nbw~9WehZuo5 zXW9~9^0qz4I%CwaY~Pl@@o|-RsMt_cR59R|=kay+=<_Fdi+6}NEyXbyoxHK8>NKl# zk?+-d++aYN3O%OC^D^AJKF^m$w`K^G+j-aG?n<7_}n0+c%dY?bI()PHiO zMn>$$l>Dyq_ik-p_-#a5kdsAtGo>CfI2me$H1+jMJLR*EhKC`+@ogXe>Nd%hgr_wc zVmmVw;m0|Dw3zJF^SeOH*6Gku*1*wjFyFO?7FyBR^Dp?;0JF3E+fdp3j+TPf(iw#1 z;Ma=MCiZ55yk{do$`mDEENStA1o9h-L|1!_sqBYrl*Ff*o%MrQ2bzy(4Q`GJxXw4l zVE3~lj;g#WHG;xN=(pkZ|6RXeQx%UFufD9Sd#WgF@f@WQUL4G>r1h_$3T&pkH8-O8 zQA|YEb!5!nT{{y!PyHaoAK{NU4bNbeDd9@J+x-z+U*GFdY1U`AY_W!k9N_A=Onk1o zi3s4z%CCam@d19Dee^bW$D!K5f?6u)-NJ_J>1y$B%lUB2}x=h1bqZBL-_5uD|)r>vG0%{%P1 z6(LosB1{K>i7`z79lSLwK8ey}Jr6U*9b;=||0UmZSq|ea28*_?0-n{CXCro#eJ|s% z>AO+CQdI?sPC?#IxST7jyq!OC^Y16x>bPx{r2u%SeFe$!1D(8W*wG1&{kH^N`^Qw& z22p1LPEw49Hl`y8SVZ){Z=-X%q)$|fR@4ceMQMl9&vElrJEyFVz3 zUI=Mt`M>43kLOF?Twb1nul-L)RIdBoBjw54w%CS*Wtfgwrqb0H8Wo$VJ@8@s94vm1ShPx zzreuXxvR+Kx4xzd*J{53*#E5$=CjN|UqHBAlMubMJ+9Lq9wjxyIBGu`W@|9^s!<&} zI@IKQ$>5dFc_YhL3QUO-itIV3aPR(*?A$#4t%5!DMkCU0egn(9>vK{Nb^&DCWEQjQ zN~Wv%uB*~Ot-6T)VUmQ2^XfF92W*s7V%2iCNZ*6o?B}@C$tN2_d-ZMR`;Buodkp4| zuJ+U_snxl`5>oc1umZU5~Pz#Xaep zp`ebh1>mVFuT@WkMhOWMVXp7P3JMIdp*a@PSVL#VhA%JvTnSnv_uMk_F|=zpVny{3Ivsh9o4adK zaw>$|L6u!}ioeBd?{~XCvVtE@s2+`KC)VL9(|PD9-DofH!@*~N(`2YkA0qIl*GS#F zj8-UlafM^MlQG+^d!&i9tMf~W^XSq=kL&qWeSbW7p$5$1?w7~|+BnH3%E@&C)5tqi zqIrK=p!aast1;EL&*~m-RY`i)+%XwF`|#=NEK;!AvO^PCXM^zI@#? zex#rzw*L~GF3#tmoy*}B>OYmlzAS4|#Izn4E00>}P+gyJ7TBpTr;KJ!17-YlktpB4 zN47d2CA6g!SV=;p^P0~~_kdW480lMtzr1#n)x2Da)jsTevz=Z_MdsxkKpvu``ts1~d7IbY{)-$qz`(L1#N=K@fMGYYPqpK%)-pimbsbof z+Kd>5Ra@JYjjn3>Xv(l73sAG@4FKxKY_*!3W?ZiHy8x4|EMNyA=bJbQppZP0xCj54 zpb=i0UR>U7+36gwu0Dn7ZXXZc#p$sxVVEL^>=B*YG)WGxf}YUkbJ44A6KsIOr*VfL zk={uc+31_TVJ5eqoL2 zm>rB)?bu1mS!8*g#mooK?6s$9qlxTYzRnY;XA04N?Q z*onT04X!+-9V*K+b6%T3-nrj7^G9i|M247u%G-?O*&gxEiJ^K7M$fH= za!L75xZ03$^Nd#oEY~B;zPg9vAGNtFLjJ1!PmCG?KvfVRhlNlAXT;R}pSo|1GK^ge zsY;uvx`k22tW@8Y4A8eaZ}+fySuNq(ogL$d`?af1=wmTUL8+Q9J}rma+MS;&-RdJR z6v7!ne=b#fh^Wr^0-y8`y^cPeB)w(;>V-x6&=z z#E-BH49eL^+o4rEB-0c%?|Nl9kDNvgObRZND4a+6`HTgr((IdIYGL?FY~jDSE+dtVX$4_d8B?+ zUjxu)NxI<}k5EpsL9tO_c@!r4Z;~SzZED5gRv4$7$gQ`7 zE-rA_Gv2dmV8a{Luh9(k@+#c0H^bE1(q=`-=M+r{ z0Rfpy)$x==EC2Z-u8U+n9qOe})cU(WC2h*dmp=PPUZiPAgM7hKl0COu8Cc}Sp61Vp zNz#by6@OSq$emkNimY3z$J3!=YOsCHImvDV6up9#${;Y!9MW))W&!R%pNd>5)@Nrl zIp;kZf;i&&%E)rp`slk4m3W_QM|(#^UWF>*#L!b{k~?Th@MkUZQ{$jQMACHNa9;OkBk+%HIT1Xjd)E z2s@p~#+-zFs&vfed8uIawzkB)@F=yAgR~PH0st!2(2r0oEX@^gTR|cnr`;H{1#4zy&9$%OcPypShXW zFBi|=I$4R+e{H1vO^ z2K6gzD9xjv%`;dQR|1nh8v_Y;=n)pokiA4t1IVBhvu?u*hfs7k?B``d)nCU@0`>%J zvGI@?AVci_e#zM0pJR$a@1@o1=qyD>s!~EBKnNhEB1TNFII#sgpkJ6dO;m==a*7!k zxZLJJpKwT_@bqcG)m6P!TqD(s&MnbG0B2jSADKkI;XajG03zl1Y*e zK;1d;8{#S0^!|gt>4gjqgPJ?mJ5%t58#jiL`1}>!Hl>r#bU+4Rf@z24%b1Pe}CoPEg@V(`_e5v zL2*Xyi_C@O3BO5GVd5^lPm#iUc*O3#xy^4EMzG}d(N6LBM@HV7dxG)@9sDq1ikjQe zElu7``Xj8b&P3`Sj3$Me$JTerBsBA9X?*+}|ItsYuZ>Np>hM*A*W-ZrPp)abj@eSrzq z-KwafIPK1cP(uA%_pkK9YAG>eezW6hV-_S6(bZk2yaK=4<35TeN*t z_@zV^>?)sQhDOyXo)khk|CJ^~!~+ozF@j}Hs^&|=$f;)(hmhSbW(#B@Dy=)m7p;AX zVxOPN@5vC$c_7`j4cifood@Xio#Vxf9x7P69Gf6&5cl9}Q=wHdg9^z)=!Bm&L10gk*a7%@ z>}rt@+4o!6U~=6xitg!k;oyhszefEx{^yx!8=w{lzYbTK_r@ub=~) zC>Dzmt8VLJ6I=Ja5EGjgWzlD=Sx_<__L2u**?go4_&8ih*H(S-a1Ws;o*Ur6mfA;a z37>V~X{)iaPRtB?y>GEUvbs?zv8@b9FkrR!S1_hu_lEPUq{%WPKZ%++Qbx%A9WPub zPt_US?{=TN!+n4O(m0qqBvu&#>jRlyptDuJDZW49uPi{StOEH75}nlG@&GnAqpYBG!}vO&+*t1)uXR@m89$qkp45|I74=))9bi} zOU5G37{{NOFj;r=4}ez}cOCy16CTk1etRBj-zR4R=&oUZLcfQi`@i6na7$)dVZ*;J zm?0%F9Y=>HnaNwapw$!s&@o{MdHIwz_N9Gbkk3%E%AsM%ukz>axu)>{LiY|)awy=% z!dbNtQ0p|lcn8z~?Vq90E`GPanPl|l50<>6x#c~HE!1Av`IFUk@((Jfo~X$`3;4b& zVxIP3ctvG<$y|Li4VS}(!#>tiTV&{N>xi_4YZ~G>vd^Un-z;7v~yGr9k&T}Tqb3l9pn29GX2=ACr z4p{*QpS&$zDM@lH2B6r}5Svg+AVk#5BP})vAsvJUQ-7Z317cW;1&*IJ96Esk-5hSb14WjH*A-aM z^0_t<$Vp^$?BkL?-EBgBPit}T7t}IJ&i4JO2pXG6R%fuK4uIzc_b%ODD>tA`go?On)Y`Mv;)3Gmp{tkDZ>M`0h0+Gg)~axI#E@b z;$=TU6zDi=;di2aoP{U?S_#+!fTTCr{FTg3DOAO$=ah~`9fL)f@_Yr4(CJosrULO( z3z}rX1~r{Lmx9R)18@3vw%Y>q^i@x8aD-11bvplEC7tol<3@LKF5-j7TGd8PFxD=r zWbXeqE9dywGKZ2vI%U)>*{NP)_+QL^^Xmae>d{Gk_hH!4XH^>G0yWflF;Bm?aIl9i zj#5&*kXB zC&x)d+CU4v_pPZ2zu2Y9s7@jK(OhWR)?V7Qky1~T6IYIQL}EW`(AB?L{K~2Y6IqNk z;r>!SzPbn-+e-+sL$G+lUN^yjixCn?^egk1ThL|CE54Yr*cIT&YLFYw<2CX zQINW0-Je$z>{j`)RZOr6ObD*ek(0pbv+v$oVFa59uyRB(+mAWDuT-Jxv6W$h#^Wtf{AOW%`%C>y zADiR#lF#Jzv#9+gx49dqD2@BW-IO=q$!2tqsY5^}?83jhFAJSlewpm`j;pbv0=Pv& zyniP`xsq7dR7V#tbF7C6M9;{BgdPR>Bq(yycAHCNNBz~QSp2W)koVh4Cgs$+$9fP9 z{kdvG6Ax5-PX`YIw^V1#DefGV+BwwiT$|5$o!t&E4t#q;mY#MFeDuT5v&Zm!t>N#! z(Wr_TYDUi%)JwZ>1N$jBJ`HOufI*Xf`t-h=bPsR*Ny`MSXBnh~3cJY=3Wa-{_}3`8 zp{8M_ztbdwWfiwy>pAX1`Rp9hG%TLYBlCowWyUXZ*QIrqNq;Z&38vh95DNJC2yHo6 zN8eOV->9oSWzr;InrEjg8`K+38nlR8`YId+moWd}NUXMo(hj_baVZM#a0EC*a~&8J zT0mW_*8GWqAX^?pru6;+`mLgWQ0+VReNXwulK=C2=0^NTR8K7f9LP%JlI(yJ)~?PH_#iVoIOgV=_oZ0K zk=QAv?4nwZ-UdmeZa?npEK+$zeCXNqBuS5>$j5pN!qGPm@rQNjW*|tm($L+s!1B!v zlv>?sDsJD@239N4I!(u9wD^1mUYw@iWezkvyp#4g+1?(q%1;3nwQr(q!!MV>ApsBY zp%?xHl6B_KC3o=f14;Yr{`Xb*?O`6VALWlw7`ln+ZUf5cG*J?6%XeS{BglLTyXm0P zWCK_CDi8q(cTn27ekT%jW5mtk8%;vW7#>N6 zTtZ+J7=3--x%lm~Q#Nry;lJc?1r3ncZEpVQV);kWrprS``8|fV$y4^{`>v>6@q)s8 z7(f{`1`Y)tLPfst)3AI7aQ1CY`6)f#dq?w7Os~8=1bTq8KR)Cnx7~M>c_h%@nSp%H zec#!>{2ut-CGWq4IN&^p3;8Mxg87*wsjwrFH(*L5iLi{dCka~Kt-w|yyvyM_xC?l{ z#IK!;_nQf1>mU4wl0HsC=6{wSn)uGO+QcaJz!i>+3OQqX>R3Rc;cuY$EKU{9kmrwF z+ls)=Be8l*>HOC=z?~27NUSz~c>kwy1ik$79BMfsTYqps`7uIcl8T7#BYq4zJVb3UfPk;tVYvgg({hwT3EaZvLLV|falM1XYQa}7~9;-B$v&xnQRl9z>i zo+Ou_FC%&TNOBPyw4>v`ooH-rZa-?XhmmxTst@75h-~x6)}p`g7g0L}sIlcr(VkdBmR1;(TcRH!Y0iYV!nij*_C_nAsK zfoo*5>lU-Q!uf|xh+k-hiNQv28?pb$cHa4~8oRc(bi1#vnti6O?s<+lv(#KVvm2K- zExn#xbhlW~JAGo7*bh==85aF~SAbB@S(YvpRp=`h?@b}I%9NmZ#aYQSCKydVVvGHP z^58`VyrY(eB$S|R6X{Atq4iVNXtftwHN|2VK=hlTO2STkLs;P}he{gf8}P2tFGuwq0KlRA2bNlHh~ zvg&7QiD%Y7l9$udkiaHuWdv*_ES(@M<%gz0u+bROM>Y&Y{nA19<|`?vl123hbcsSX z3JknF!J!1G?8!FBAQLB`AJ{e$i+)_ls|nEJQ;=CbH0H=vK%v?C-O`{fbz1f`GR8{% zY5NIPf1pg`98_o)ger@fVk04oC#5v2#(^{kJ-kH(}>_F(k z=KE;t-sf%Iil_wBoxveFT{@jifL$;3;sv)8$^F4J5<&$Gc38IYil_&!H|i!iO%GSl zgO|&zA#Psya{PRJi(n&V;waXd`tf+Xch3I< zgs`Ev!dwO0AzIRz2K`sO>?r5N6ymdQ42w#7XnWGOE+c+`_p`-8B@5#7hm}lfqG5d5 z=nbT2iKd*cY*>$EtI#ynh^m_AxwsCm$B$_8QysTJak+*C3wL0EBcqK=?T%4Ui`1^a zwj#5tEj&jd^g1(54Oe=5SljPBl6d*k$10RheR;~>PYUCqjZO^;SY2p&u`;<))hcif zbUS+qR2l%rgpo)Fiusf67^kb>hmCBa{vP&Nf#MvV4z!HS8(iKc69WGY2jEx(0E1Om zR{|-8WK$K1O9Jj+y3M7~Lizct3A1BulwxP9*M6oG}IYtj8#DZC2;iJ?FPd zG7XItj87lQO0#bln?kygkmv{^?%!BI%KMB{mbpYgzT?s%d}~7!Pk8Z>o3}heH4?>_|cD9(E`IJ-;(Xzp_X- z#K{jd91oz6QX7Fi>TMIK@}XuiCEK51{5$yrNH=NucNR-~<`k_c94@dP5*xx6hGYUC z-+c}rnz8f=j0sYw_!KWm!YH6;mmR_*=&DCYx(NRHQLjxd`9%y|mn6vE;Ea<6qGpUV zbn(AE5>*4m(oToojC?f`v8rgB=*QndM2Z2AHz_gnCCl2+6Fs_G=RDIFBx}jV?u80D zhW==-C@5Q7pjuRXv=dY$S4oht%WQ!gq#~+OoI>e6m5jhI6_TKgoJAMCBmC6#4V{-O zF@>AMBwj*2z-3{|Rtgdi0+M!ppD-+^FaiwR^+DGqnGCXFS)5r0NLkRr9>QZ4bg~}Q9Lj)#cZpieS%XpYK9`%699oZvA^wy6mu=DP z`dad#9<+YE*uEPrf94($lXRh7w0mZ(fD)6s;HP(nq(hhO;__c{JxW}9-G?xD6;7p@ z<2Vi%K_hGXNim69x%*9_+&wdo%Xl;XuSL^=ij7_&61n+tM#IG` zc4@uPb@{{`hADUnHpTGHC137TmP`gG3LOa%fFs;p+YIJNf`%6GWk82+`qqqbKnu-*1473WDYc;PZ=as_T$}$p?f4u9|DQbF{){P zID(OpWmGIF{sz%CiJE*W*BP-6-X4st`#oQzZ3j(rtk z6h0$f5C5pKUFNphE3&q}CBq&iyCO|e9Tp55>9V_IaQ{&1fBCp8GgFP=)kTtQSQr)B z_g4T-ywBOWjk&chR6kI%NRp8G7GJ2~!>}x*|NM;Lb2X8X+z~jh6(Z9q$6i6?D`T4S=-AlI-@`afy7ANOxj6 zwdt~EHavdP-ez>*yi-{CO)x#pFNKpva)bT5;Z+27KNG`r*p`42^L&|;9H!!pA+dZ? zA&mS~zqITHhr3q{=;Etp?x6bngoQVKx#%`*6#QT5;=p~?@2+2#_~r7C9hT{=qMf_x zYu&6bxKs$ZTKeZ{Y|Ri-RHcxUSNtxllVh}o8HBL4JM@hQ$Chwar%s~q%;%oFR#=@6 z%rOi+soJkNV9`zz^i)oVuceY-zXp=F&tL^s6MHBX`Z>?R5N%ZxYX+3+5HR`#Ez#|4 zJ3_xSR+4&V6&AjaCFuvp15~8`Hx`snu*s!%1ud=(etHbwcZsq7UDPosBHqt8tLdr9 zsrnh=t|lu3>r|7viS&{a|LB!2M_}hR_j{jQVqqV!#^`QRw)Q)fVm7AZs96MZ)sjOV zjN7_a0B<~FV+Ih`#5IT{p|z$vpNqt07i#ROCksg&AO@*Jt`jeDLo=X5hTKd9E{U|| zMh5*i1I4#=2XAq!Knxnyt8R)nyGRwfWg{JboHdz2U?uR+Uz?Gi1e-RJLs$swOAwqin)2H@*PL3s&s_|5yt1+oJUxr z(BLs6%HM{3p^lObGF$q!jg*(fi@KVv{l?x~CNqd}@3~7c zG%l&XgeT!pDaX}9gic&Cc@!LbWIQi_M8CYiAU-S1UGsq?qbIo~1ywg`2^zn{*vLtU zF@0Uh=9jmvUqWun$H$=S%4ztNX!|J1_dhGUC;EPyh-eb(JeL~qQ&~W_L?OX}vss+! zVga>cwxk+i;b$=(vX|!}KYDt_L zmTVDfmM{*a@y0z)akwZIn7#TBGemCaYh4D{(tClMNm*3*wBr*gCpx-WbQ@b_Limaw zatVy;`v>T3b&f$vsTytLb|^}ynBQift*+mXc#B^*b_W6W$_N)2;GA_U_x0k5W33|c z|JAcc0;;#im-za{Kr+Ud$cXp(NjwTJc|&=Um^nvcHxO7`8kHce;;i6ZzWzqG5Xxx|3gIN>A!(4gyDZ6@LH%Di zVve5+`5ldzP3%^{Vbl)=sh4V(HJ-{tv- zIfp#AG@XZ1cGH5qKXX9hgb6V%aej~m3IXf%D?LhY-C*aPcyTlSu-Nb;YK{H!`WTQCWsyAHj}~&MP|M2FT`OixmRKT4PmDxyrksByn2AChTwLWn#D~ z#X^N=N6nc;bX&^vqGCw>BE}Y-H1^;aG}0VKOqlWaExJgK$6aXuM3L|7-(ka_fy`gR zm!X}B<6rG0MCFl9d?4R_=>7_?9|sjJLY+gIT}gwF_90oeA=JWr@#{q8s|a(i!3F$6L^fLWjV*#Tfp$F*Goie*$h`DPBU zwz+qyeyb`vE&9!OoEJcj6o{D)6$#F#!*||Ug3ZRQfBudgPvzGx`v7iun)IX4?0dfS z5qvl*iR`aa7ZE2H0+2u=8lcs{bzI-hFZlC6PrJVuA1-}&Pf%0JWQNzP*Srqv# zG0aRrYi*O)AwwH420lYv%!4OJdFs_0HvC@p)eaD}A}9J@M#rv6uX3L2l z2Mxy-f;)BudRUuk-+7-LiQQO-bV3>5m<$YF8A@_TS2=l5M~~rUQWg-tSzs}=cg|V) z*s^^zX|Brvk&(A2sAohGd4pv7@X$8@V3(yKQ#H7s&CEPF?5s)>VJvu6*r>4dbsR?L zCdg4aWw#>0CtA`yWGJpc$zJwuj=M+`b?!~wl7F;)N9a|h^-nZi*nh{@MD$p}1+s=@ z1gcVk-3Gcu$7`EARw%Pi(9v=A%HgW!U^A1&a z)Fhc8r4#xu=}O$CMxgJW=%vqgOeQ)RZEc`t;#ec_v4Q8*#Nk%Imfc~aNY0!pNjPgg zjWcHrsl$KN3znM8*8@0!0m0beG>El*{m{CUgc3WEgw6dV&nd0 z;+o!@2W^_RKUx5o0r8}-Ov#`AW#4EC2KO4Wm(^Sz!=TZLci%V0AZ!)PV@zL@{EW`` z?Gl~Xcg#DUy)&g0rM~NTSm%C*l1ReSTz@<+0K(E+o@wRt@x|;yq)GGJrO<3JXx@|= zAS;!r1pXDZ`rw@+MD6)alQEW3Tp%=#!y1mNtS9aLt^K49dike3Im3#-huWE*T787Y ze&*dGh8nTBs}vx7#J&6Z3u2s;OAOUgIbD9i(*o49pmbJPE0j|C@M;-@SQ2r^wdht0 zqUl{@;xqBF^#AD(yLlG?6?B;85B}3aO<*XF8XWQ+Dke0t6Al_lMs#feB_Yl2@ZY(X ze&ff#I@Dt#RBKnhw8egeSoP(WXNO*_jLLF`yTZb^#ISr zQ&3XkJI-d|FRz@v!Vt;PXAjQeg6O{dLG3|a>YF+DZVu|Xe(%Fqo9hK!Hf`8Do|B4n z>A0naiDM}96r3ehN%&{9=){3b7iCdyEuH%eu4y+B>FmNkubAGE^A&R&PF#tz)S>^V zM7GzabvMO?vsVy8b^Fn>_Cv0;^_24sQHM9b6htv6JF=Wy!4i!syIQxQ!Rdz%XlX4| zDb>mBfvVF{l4h4On0tZlja$KUhhMu?c&u(U3ISNot{KudyZdWerzbrbLFJYh-_8=s z{F6#DXs=drl2fm0cH#eZblvf6z2Cn}Rkd_bTd1lnRkejrYZXQ9nyI4Ns=Z1iF^Z}^ z+SsYRsl7sIYp>c{YQ_#iA|lDp_jmuhuh;W>?!D)A&VAm`Ip=x5dz=JEWD_@N3f3c| z{`MAXk(Mm)J8zCk1D+*w#%{{a@ML=0MH^Z_gf33}$COPKG*pdg(Y<5@bh|M++Btd$ z@`yEWaZfj(;I<HqN9^)J(?BUgkmHs2dtRb4=n--;`D-=20J(o$RRl;A2 zcl3to0f*!wtB+D}JuNaM8l5-6-+d9)KzR1XPeCvIN&S^aICVqYmh9l~Ohq5ZYrQ2| zj`~ZSpHkIiEl1tWssZl@H?yrbL9JZZKF zJYztSe@`W8lx**bjz?aDd^tV1>2soN8Sg}#gVxWc@N)U^acO>PkO13tN|B}~^tj@+ zt|2YEih0EJSPF}}LAh+$O1k6GUrxbd-BF*zc0syoMVNB=*1F%UEi}VB3O-)EU$?2U zZi@k}@HWk&|5c2< z7?+E9WQEMnrSY{xe2;`Y7dMGmGLv1yQVePByC`1=k%E=@d#>Tp2z9P{%1=bh zBOtq3OMM;utr=HU{R&mTGM=lxvF^ugPEv$`DggwOXk7^+EFpQggDK$J&ifW7mK)H z;a6-7r&=Z><(IiFdM6XZKN;*Z#0~7ChIHHyPlL`QwtPEp%fZw(NcvARvvb#B8SHs+ zQ!cZpa|VR*0|llsf>qS#Yk7KF!N9plGGF1)I9f9XEv z!!&3gZ*GwZ#;P{s$QizIi^)5Jb=O?N7H{+vkGp?NqIeoMTx5InJ*`MA3}LK!Dv0RtSmC$nKuJy z3RCN_z(t(o*Eu(M5N) zxZf)s>z+C~@e~5ya8C{T097o;-QKw?A}{CU1T9bVi!K}#+3Bpj)Hb8j?_yH$EBPU> z!P#28%<%lj)&D{aC$fTAGCel$`)7W;%m<{a?y?Nd|7r$0aYpHarf-rQ@TOMu?aPlIAp?l@T`&r*YHH| zKdNU_5r#fR@?a5!Ot-SPc-|A`*0t=nMgm z`T{jxnwne@${o-hK&U6EA3SvAXx7<;gbKa^d}$jGVoG}c0Q8gx%=z=TSM7uAmZu}H zx3E!?5ptN^^AMCB-_?U+Xe$hiIzNCP+jD<@74 z-95e>Ab1+~$-3*KiMDs{kJUR_?Ih?D`Blf}L~^NaMxR=cgGwo_M8P(6(^}YhCK&a? zL%;6!VZ@!YymePTWKq#c9JQ!t%K>Qj=rvze!MR@DhrMB^9V=*k@nug1Ls)q4Vy*W+ z6HNF|Nzi=W^Q5Bcs}~1atSr=mg!oLQRbC4o8`hb6Fg!;`RnM+{YVLv8ypM|4(~W)e zfpBHDL<14oTs8V%Rk-5%>~h(-d#*Fc;v1WKT9C1^^^Wwl$I;;d7cQE7c8IIzRQgfD zMZfgfla8Tc?0Q?YmxigZ;RXLkYzyO)a;bE5kmt&>8g3R(h&pK@7Sl0d<{!$rgKr_m$WMkGz{2 zrK4C{Rvk23>RO44@-FI9rgq1fxg!;&6nZLNFI7*C1IF*TAj1R3o$^Tlv1w>)Hq$CT z`KH@B&Hn5t)>L5WEWE7gDNvv8I<}^(snXqb^4~aU)1WW8ugTalcpi{lkv^-OI$`m5 z6wkF)_gywQhG{b&X0LQSayp_w8E@exYK9}l9+xfDX8YftmVQCd!Lw=Wn#%@Wnwg)v zi&z7diCJIo`FMu`0qzY!TwGeG!KEAkuP#F#KBq?Fm68Cn&AJHs=RhVqX;PQTe1? z>cjW8cQutO3#itHaNP58+#R1RgI8&)TQGBB!_eZ8@BH%g|EXZ5GV{`VVy_4<_w|ZWAR~J44!2RUfU2 zYTlc;sdqgmb_`s-Z9`7w`4g+XEi!?ZHvJ6EWSjMD{XULeT~%GxEzuq~OM{0#p#@(R zx~2AHSkfRV(IeiZQz3GB5;k)jqG80?GF6=JC+04t)m?W8 zFQ)7FZX?m>4YTG2-&PHc+1S*yUIh4!ER8#FpC!Hd0j=itY9|9p4J$$l(a-G=gLdrYcm z-uR`t%+xs`6y4e;KBHFr(;YXnR5TiA-p*e<56e~}>(J%2|G_{Ghuo4n71qRegUwBf zfD286d@$Doo#tN3f)H~$s`6Z1i|tc7dS0Ebz`Bb(r zb6YgSB&ty@1TVZ4XoFTS6${T;e0}jyg3irIVrDFE)kjHA-ZT@X?U>i5Q>o7C01c?w zrxbNC9G@ElY=(6>U-LB%rg7|I$1J$9i&2)I*U%NUNB;Al9&Dj@?<|7c1GC3pTB^oH zjf=gL(esZ>zu=UgpXmd0E(K0_rGItQMtjSt4cxwc zgNdNi?O<4*UBK1uep^MoZV`+?W9rqZFNV~ywa_(}+`I}os&ogakh$Wlbl&O1HbbE0 zs6$)y3o~hX(BQYRZDmp&0(H|gEIT&Kj`?%a-WJ=7!`)vPN{F`M{jsU2;3!idz3*f} z&fh_UNnYt7`uNPk8yVJ;9=n@QJ#w4ql-Im}@Xl{Ms9JewCauRA|81vNHh-)>1f^}ykK%=^TfBY~~%#rAI-(UDx`=w@K(kTggQ(I5v% z-fd^2{4>IbuF!RV*oEJy$hJEBH?>ZWyH_E^ppU%f7g8cm?|;z=iriR!&28dgd$$RvSV< zzN9vqr?@He z_bB9^>h$lkP7(s>NYLPiN$u#=Xq#-~t?8 zel|=d88wIPyhlFX);^Jj_AKqC7e-nwfkLy&hoY%jqEuP)`foqN_Z|mAlqqiP_cD03 z928gpcUWKS_V5}sJfXfCF0b4rn5;ArLYe{FAoy9gAfEQY@F6142J=-3X-=MY+lH< zYl3i2u(eO9&%3_@iWPn>U!-ciaBswXmF`@UgturjQ3Um_bWPo}!O(vpoTL%%2mPj> z6edq`tYN~m+ZH+-T6!6?z#_cUWUkB}RZ@{_CDCf1mu;c`^L^*itHsQo3(Ju0yg4w^fBS-S2CpFrC zi0pcgg8I9+Zc%Vh37BZh&2ym+mouO%{#t6WQ|bOD>(Y1~TqX z1LGu=hP31EdnrB2W2e3CXO1@?OQ}sbNw?eoQ*&qRD+aC3e2_ev8A7Vqn5{|CiCrd2 zv-}sVWRzMJTJ?>~P|c9ee75B0$)#QJHRM=~`>aC$S*BnLQq=jq>=0!HhfM=hEKXn! z^%o>hDN}<;xqH0Xd$Dc_d)wD*HqlpdRpQ@=#BC|=*=pv$>uVjI$+4Pih;1oNN711a zG|8^6Fq+}goQ}7fw0|yCu4Cj%Qrv{yi*w35L<;(r7yM1zGppzptLN}Ui3I_2Md8lM z6KCP-LImq-B%#~XLvG8>k+N9s(fjG>rj)3Ff=)E@8x!R*R7t-;Kjz^OF zPmDc4h9evKM{&PPJ%XFUSDgPfmTuc`@^y$ySgSBcx#L)v_Z!j*kaUh%1XM5Yd& zOu0nX=c{w5?$;*v^5bLN_|gcOdi0OOOoBf%=O@Qa1UG4dFpX;7Bgp!JnhbI}vg=?a z?ZcV7Rgi22q+X69r61}?>obTc92iV665ov`l|f}!^^Pr(WI|Dl| z(N}O{lFHE(!xOV)TUlxUKoyhm0t`w-j&%2MNc-yf4=~2$i%_dsnyp|n=27$KnL8i6 zAlO|AiZG!;PY<|#9pm%|x!!9L%YNSIhu2<@e0i&-DSBm6?gjjesS`Nxwq2mGzwh|N zCv^nruH{o%d@FhKShd|I+Yyc_r zjJMJ8TO{04#i8-M7p15(hMB!h`UP+V=_gIQd(t>W-@_<3`?ro1Tp6e9a`5fGTk(i; zW-|HqV|n;_u~6xfpgiizSFyRo5wzyCsPWA6>7 zb+cF6X2Y&zxC;6m5BO)g-t&x5W3nk|UKpNYa<3bfzahAAGjMB4TT%%Y_V{?jm23fr z)cun+Q$csSntv5p$f}T49zwo5+VJO!GGBDd*8b{_ly2U+EA8a`+pmN(`Owdks|VcX z?4dlnD?O?D*xToe?_9@@Z&`0ucIVi;21E)*ZEnZN&P9K!Y=zNf!q37-NpyYn- z+e{G>Jw7c+u-nS7g~`2@hz1DhHWzVi5(J& zZAan4W-%lS_12x#6WU@Fov0hoQUJIO48A*s@)_e9wpj$yXGrO{8B^363R%klkqaLC8vgLNltbT+ z!;gcTn(|0=P_BL(?@@D7Oa&Ms(#kv!p0j+iG`KtY5T{O8!i{&1Hwk&h4GY55_aVhW=n_$J1N)BOd+il zqej}=0HuGkD7F;YewH;;%)|V^GA6^tVGCM&+XhqW#kfP*r#}Z9cPBnQ$U1BjKbc)^ zRouVEQQck2Q;x2R5E+YhRzZx<%kdVwWR-Yv?PlemPBR;BM4)yeL)fN^)~$d4vu+8^>dxRd7s>|XqIFsSL*{@U zQI!}~qVpVf+5b#%`9OT-ZuulW2044;QBpTtMfy~WOPqw8%TOmj`s)*`Z?CnsuTt_h zJ_x)&GuHG=K>-paxLs3F>6e96>;(JBcasn)50&zNxgI#aYf(k^g{bdtvqw|znG>+5 zO&xbXLX)#g)oLr-gp<~lHm{CQKKEY#bmXLBWvqh`g$(?-fBNmOV_@xs$euBoY=^vd zsFLSjXe~c9(~NiSCP`+-_n-DSL;Q2iQ0wzy!c&o-X!MSq$Lez;%cm?O5^qYt7I6E@ zSc1p4n+ME2^|)dCoK`Mbx#Hjh_ot&A1s6F=fn#9Q@Xf`7n=2htk8II1h=5#(0H z87Qv!C%shHLEVhn-nTXHi$c!KS*azA-te*JLEhmzH=d}DzKH{-f-3H{2{*K{Iz+j9$0KMa*G2ga=4ii<_o)B0X*TqHXraa*UQW$| zTKK#pVc*BoiuP)8rwSYe(yym_r0(;GKu*pCXIB8{BfI-}{}^@4mBII;rBSZsl{eypL#9mwBU zbJ#twUrMSpkf&CBApC{IFf>=etv?VN|2sKxq{%|g?9?G2ZI0u#szIM%kt)i1le{Q4 z*{`5a0ERTB`acj1Sjpg|)^EN}E1GEVlXyJ?4qQ1q8jSh^icNh3*?@L^j03VS)*nnE znPCl`yoHuD`R@IFRf)eowdcwt@8TrHAVFFy7CGFq)V5c(ZcpSpybR<9hfiZXe9V^u zn);OVc*|`AvbawCbXvGTLM+%wT#b(~c}&do?NjDY zq5>@P1(`ab-N@-S0bIyW=EDa`g?fuTH})d0vaPjtodq?;_y5PS2FiU>VDzM0xDq#p z(nD3rdQl5>7AG|0iLxU;jg1Cg>Aku)-10UMe#qr$(4+QlXcYMdras3XqTwgH>d0)2 zJ6wY)473GQK8AbI!3`JFW6(|pd^oITGV$T>hOm?6rdGTh>tXEju+|lY$s=t}(<-IkuUgo?e*V$wdwGB049P1B znv0qp&MZ_KrT-k4f(wW~Z1enca>k<&>5cp{3^XI)8pC_Zeb*)XAkDq~C)us`G@EAq zBkWw`xtfN^xpKL>>MIN2m5b)T-Fc4>N%ts`uJd;t%bs^fp~0fgfxV3py<&*nUv<4o z();YYWW+sc4!0|2P{X)lAzz7=u-4?2j#8C{{6xmU@*Bz!@>G?Bs@kmu8^^^7&%hB^ zD`)$g@@~U^o41zU)(+_A*bX_5fYYG{x7;A2!?ON4P&Tbc2YzLbh1_b1r#Vo}+XJ1| z8e3Gf=ks}S+}o={GLER*LyAyE%q+%kIQ9Pgiq?44t} zc*LucL-3~!R4XUj7FN39Rp3Rf%pCLv@w0TBaaa;gpIerYd#ZFPNhtK|6w}OIf}sxS z70)uBqfOv~D~1oJHg*)aZaKn32wXSY#%`yG6VTUByUHPYu{B{hlg-Lq(m=K2t?e;g zYg)yJ6Y9g}-&w=s1ordcOVhcF^zUovy$bqJNibgbZ~NLBxvEM8%S+|fr=h3;%F{Sn(^ja63=wq76dE9;S!gsa;t#@O%s{qXoR$fF3 z2ZGCw=y{j+3i36_F^u=Bsm(X$B9G+uNdwfxhHxmNK&FqGOd2pB;B~=iHG*WNAs@%z z#6e!b%Zw|EmUU~pYqV;+8O0#t(VxKEDR@~3w|pnzByqoYN{AbdaUlF9E;3Nx&`#<$ zarj?;ySE_X3OOL#+Aq`gfnRqIG-|r-M)?C&G$=+=Eo5puF|K@o7gOb9VI8sf`jlL@ z-)iYB+~$8398D~Zea8%YWYZwDUI<`wi*=h0{6ci#j)iFxo}dpFaro+TxU%cl-KxY@ zXu@L%|6kXdZsZj;vhjec9!(e%u~*5)_U+IsMz#N*fo!8DaIJ+XT^D~Lwu-T_^ClBC zb*O!XCM&ZRwRLOCzkgb>@=|YMj*>ej!Y>%dW`n`9$$^G#=4tW7)MY)iTk?PGYni6K zx=N6SWW&W3QUBv&3nCOHjKhr6dqXa1WuD^;pWq|?G5jNcgYRXi76yti5Lh7UNM4k* zp!oYH6Z3RzT^omv*w)sF%I)+oGILPF0qlfKepDdWq zgNbr0p{h&XaE=01XxhSYsokks97>20<8lg#cpdNaLeSkKP-)<-Zwj4Ww{zE3^oouM zpj0hTvbHqF&1gj)g2G6(+)P5SZ+f_-psad$y>5WhQFH8Zl~S}Qs;9P;Z(_?^3tRhh z=*juEL9Kj2Bi4N?{d^N1tk8QBoiE~o3L{X-5I9xh6$wX1gu9ayLt3xPl?`< zAHK!9%b+G!*qzF~EI{dVDB$0+*!DHDoZDX&nIlpX_hO_oF}D_ zSa(>y7flRb>c1Fde`7T*MT29k;KK!1p0&FJ_uBtpFrP9G{POqrGfO3<+l0HfOo}qG z01WF3t_*6WTDX052XXG-lkUMY?VY@eb3`OP<^n@Vu51R*En_A=IH4=X3ETcr%hB(_ z9UmnZNbvC}&mBSfd$DKMx4`c3*mJ(SFzTJNgBH%h6ea;UwZ&?~zu<7;q1K;0yg6^bGc>xrMp5_{EGJ4e_uOa=a~%k%=|uQk-f>tvDLBZ- zkbBRd9$8eGm#lKWLVTPw^Zxo1)w512Vbarpmo24be@?z#!{5=*P#7BO_Z|gTZ?w9h zuW`O9rs&~I1_179jBgiza8djnMK$8u7(X`cU=7gS$t1ilFc&0Sl&7+u6pw0xL+?uH3C@%uohkn)Mpslpm(|_=-A4qn+|A% zW&(k^+*+xsXuGd#|C)l|JuKu}YpfLp9gv^Mu}QuoFp3m7BPDhws zz!;yzkqkGM5^kH{BV=60OjIHA@~ny#(Eum=iCol3CY*ry$352)_`t z*afBh{BqjgWDw(TI@<+=H1@$@xiq(Ys+>Yw5dwP!?FrI?tC+C1#l zR*yZB)6WY6YWvmC(Mmqg&MBq6_s`qi@T>)sL&gSsYGQWhP5e?r7m^C+NJ? z6#yYjb(wBh5Xu9<0_9k{@Y7w^&v%%{F@&r1`l*UE$qhb*+R0u*``a?O436WAL6!J6 zvwUyXo?K%OW;C3+?Msqh!#`taL1~iuIgInoylZF>K%AZ(KvFJk4OyU4oUryCgW!e4`QIiIV>%6?tq#qP@ zR`u|ukFC&HToAv8JjpOT^dT%h_-gwmc~YFd@zbPN-f^yQ1WZifH?-&%-H>p1jmFD~ z&7>UN_ljF9ZmhTSc$%-@T)@~xdS0{XlGAmc4+6f_Y{c~){5WP~hx9RE*j#ZuJ1$ktU3*Y}}PCQz=i_7EIJurM{ ze0y^X^h+G*1(`fpk?Ki^O&M4 zKBA1QJq&Oe!MicWTmixGE1_5EcNHmS;TpE@s?EEz?(dH9x=numZ-RqK^+GrcDG4Uc z4J#b;=sJGt&RP20KXwAR4`4I6QV#nJn?&WH36 zdc>whST}$7wjhn~<&!)9s%zLZ?!~>eLJjs5tjHA3)V~EW)ngcBuQCL;!JihPoh)f< zE!8}`7T13^%Q>NOkVJE=g8mZF7O*raelrr=D`e^|FmD?Ys`~G5T{nWg+d!@v!ua<4 zp{2wy#P$a@`}|BB)K-32W;S6@k#j%0CG&8%+Nw=Af?duR&@Vyq`(<7n_+)v^E4>V% z`x#e%9sIc^^?FAUWF2!su|7=ol<~|(P@anH>63sR--x0g^}8hHCDfPVS}(tOV9+4= zItRf0BerzwLDd-a?cIMl+y*j`47*R~PTS~w)75$DO~se_(0^I)VV1aq%jfCXutc>9 z1=#Q0{%kzcOcJ$$?*FqZ)w?mGj| z*QP9Ls__8E_bvU|#aRy!MO^RIzG@tN5twNJggf}EnXQ{o$JK9`tNy)Ew1txO1e~Yu z`x~rw?h!fWU9s#S_k@Se4K5Gp$w@oeA;3LE#)mV0Aa!1LXnyPsMz~uXkFI=C#ho6d zdP1b$-fhWvh?+KE&I(#sZ~F-g&%B`q%%`{aOb^-ecg&^5GH$zC zC=}qkZ|+IQO(@Kaxjw$+-aIrzsnugz7i>oRs5)Ja0>)zc-!-_De!@LUPC7=*MXDmx zLx88qbArueY&w$tu|CobrbC--7#6|gtBu#3I!^*%m&!J)fqSlR0-nMLDpMdK(#uMN z8>420Md+1599q;`X5QhL7_ibmWi^6B$e_FFfApt)dt8wD0NC7)WU0reF{W&oS5{H^ z8-{41Pcce2$D^ozLjP~_fK>Z{5qxNL_fw%#wsrcmuGH?*4Rb)^v*g2oi~gOiIrnE9 zc9ph;yNA|+a{5)=rCeZF^gE1D8gwC!;wPGruWvc$h=ynH^W;)H;4+1vS>oJVj4qvD z)%xr7>3PX5N2MeLv6d>IhvqR{zd!<&W3CZM68LF|i}iwrw?92BPIE8o#IGo3EV?C* zH6w1yFjm^(QzU|tsa30z0cQ={q_kN~`{6hNFw;h}JpbY=$Gic9_uBsDyjF^6Z0l)$O zv)}m*z#?9zE{|ZF{k?u$=sMuhvxM~?;u$evqawe5OMTN|oqit+%{bklPmh!`^&=}h z%9EwGn-4SJp9ehzk_&msSC{T)l{xKaiYc5aog=bxPlr{yJ>3vIuD{))u*Q4IJzA@M zjCT#xzn&RkabBa6>L)#mz|DN3s`qANIN8CP(8zjWTuDjL9({6L4vA6*VL6|?F29Oc-91;$g*BM2?gR> z{zXyzIPhbkF}#l+j0`J)H{5I4%@dgJs+{$0=W@4v71_8$-Zwr-u*;?T8eX6q!kVi9 z;!c%xzx-fS@%F2HTr04krpL4laAr9NO0HI}W=aQc{*GOoqW3e10K%^s2Z@c{B;i1_c0|KQ^|nD z=grj&z$kv{;Hn1Ag~_X2OBGU};d?d&+%XOLIPkO8iz}uGCNqN6Mbrq5>gc@*Ky_cf zA+ikV>EfWNRQz}Rn{-kYx4)U{REh$MJxRRoiDe_8hjO53r-KiG&@sOz4wW`Hj}XO% zzj*>0c&lIexH)O-WHmgikz&ujc3Ci0OH~UVQA80rL@3>mJoKw8$1|~%;jaewV9aEP zNh$k3;dD1d4jbrLe}w@Cq%&Wh)8=x`&$R6my?dKmmZHf8Fzk|(MGIxFW(iyT(pH1HL z^HMtiW}_T^e~T>8?B=c~ z@7}nHsljsAeD-2kA|sZ@&NT!RLWmhhsKn$HCu!Tn;&{mFfC7V*34&T750BY*dF`Hn z77{z>ukxx(AD^l(>57l;VM_nPcVbpeEuxKO>KNPg*cps5oS1lHB^S*QEvdAN^o!>S z)GAW&%9!K#hORZNj13s=pNYt5Hn*>g4r~V5G4;%Tc%P{o;g4qH9ANJKb7?D5 zqesp5X)5%>?7wv1Err5Ze0n)dDD$@}F%;ny;rB{s>pga{IWu5u%hOJZexrELk_Z(JWe1XX!7#-kOXLl5!h2}`QuFlJwk1@y!FmsDFkoRg;#=CJlf1)d zi|&fFNeEkW&qdW}&_4FDvK98X`>1dJ-b`(CL~|N?>?StbERGlRV*th8yv3|g;8o%G zxGQNk#VXjzd=0R1Hs=ei*J(vg)JdZ*N9gZA?Xxdi1#zv~?Zxd(M`26bTLAoGM1G|J zUuE2cLgTQhSy`Wa`{gsv<^ni(@c;;CPE8!DH0}j$u(Ws%p?Jv`x{nY0{;FsT;vNKX zSt~PQo{}DC;oU$OtdBBX31ryFLEnr$2EXV&{gbCSJ0*uM*F4U1an$msOmF z|69zRL;5fIMbod|70Tv(i@0OR)yX0oGBnWYH!sMD>wb((C7n}uU~StAzc>RV4Kr(U z3hqP|M(d?nm>T3XG|)0P!))Gx3kN}h%8`CWi80^u)k-CPET=4dI&G8@9OGlH8-O@4 z7l;aZy&(APJECxZ4_1fPmFX^TEb#;_hP&V9WVdM$ZTkVRSyYti(gFE$(&;IRPcUkB zX0s|XIsO*rnG1MrRM#jA*|P(*=(TKkbGy58gG@|I!(KfPdF8P2JrMJ*ZSl9tZyps} zL(%fAbi}Xt9FME0oJ)^GCB4OCVth<(Pf2xfpt0?RO+v?)QO$y51d+O!<9TTZ%kz&S z`{MR@AlSzBB0Y~UOA_-xo6k=pDj4bmY@S@pbN(z3HhIBQ94-ROfF)q#Y@Uii4bp#9 z&xyY%AKjLgo6=mmZz=LnWLjI}nda?a$HwjU5&fSaLCg@1H1S(R>>cio#!RAcK<=;# zP)aIvwk79QM`~IP8p-5dz|0<|IPraa^z73?*WoQ^*PM~zCk+|8R9C#t`zs}{*6+^k zT5mgt*$1$HcaM(CT@Y#~jJ3Z^F>i9xy1suohSj<)pXueBv$5k|*sIPE9?cpgloPP^ ifCeKL%6f*1+m&(IU5x$+eXq~LA17M0Lc91sFZn;+9s}?I literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cmp.hdb b/bsp3/Designflow/ppr/download/db/vga_pll.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ffd36458d1ffaf70ffdcac072ddfe2ae41207dbf GIT binary patch literal 20363 zcmagFbxTukz8QVp%wJf;%*ol(-j0}?fr(he#nQ%3Q&8DdlS{;Tv#848OzA2Te7xA&7T= zA?2Ir?r*|F5ZvN?+_!?$Q&X1{=Tj5DhDjXqZfle0U3XnqU3XKwjbGQByqugIU(`N# zh{(_Vik`WSgam$vR|Kg;A|u+aZzD)t!w!6ixZ#l=+3O@vLDk#HuA*doAp#i>W#FLg zzgN-eK6nEIz41jju^156k|QHavGGl0(m34i3ct63?&+R(Fhm6STU>0z-e&m;*GgRX zYo;(^aN<9*kLw*ue(x4h_h)Pr*I5UUhtt!(jKQm&pP9CyOE-Whz@irHiEZyf?pnP;^ovl}? z7}JRU7%D=FzfWGMwiBxH-g?WfuvE(>rKXnHQS!r24$4VnKB-qQ2xJUqrn6;6WJETV zcj_QNY|!aWj!(P51K(J4GD{n@h$p8T<{E%$>1-J+agMpQah4Uf=7By93qMPYowjpV zdvaRsw|b7;t4o|e9o|MvW8MUKEq;tpkxjd`n%U)*H^&iZ{<2snxeB{ou_Md67%Lus z1nzHuw?jI?A7BCL<8_H!|2~?7O=UgX1l>GpJe}wnzI0i7#jJ8v@y2z-1H8z8ijZ*b+`fhXoy2TFA(p-zgin(9umMhrkBWSHm&PJ|Fz~^F7lN*mG2L(1&yAGIAA> z&U~xMbz|`c#kEo!1W()$`sW4`>ok(h{5#M#{!Qo-q8<4_;J?7(tB{BDB|*+tc)!}U z{R_?;JB6mxvyZ#23$ZR_>xAk2|Aha4>-WDZAYgHQSo*tqBY})sg|=VS3wgCPAh&cV ziav0WHSbK$)`>;ekK+H^e;amqx?y&*HXEb;)u7$s#_AFTxDuoq6YJBK?o@ulcVn;S zkKa{p+#uq5mR!4s0t40JtYlh~CH>UaW|LJUUER1*I>Y8#KE~GElpWgYpoMxBkkc~_ zeWgl|)9>}II@O=5V{-6Kws=0WvkNWi<7y`<*{Z2ky4;QwX110@jdoTaB_i0X45}oZ zdKoHdd{bYO+Gt#7XNGVqDz6e2J5%y)2|*pxH57{PRbqHKCi>dVmQjn>b*m8_Jqu>N zt)jLlzTUc83Mdish>*_(jM;wjTAaAu4W{UOYY0KA1Jrwq9|`edL^iYndyJbMj12WyoSH6 zjyR>g2_ZV60C!|L111jz=PoeH_d>Sf)(vkVM-DzVO9{^=5byU*d(Xr|${|Ro6s1Ol zPu(ZiU-`Q>u8127><1&f*m_ci%XUcnAMf(aU9RuxKiyFN2^H8Y-@KkaQ7L##(TvJ8btd;zBA60fQ`<%W}2HEt1&Sc&h^I zxuDPw#uWBG3L7|R1igf%uE)>n7bHulI=d-#a~D)k`4?|e8SG0IEJ{{w zE7mE}Y7LJ|*NBq#^QL>Ml+po6juyl^FGoPl}ZC z$iRIR;2t7sjRN&gHR%&)>4fHj#Ay#k?^9#V-jea{E%`01JW4jr_$l;DO6}<`WnE{M zPX0~b`VL`k-^4}8+x`^vG?|H8qx>##iP`{|Wb62)Y+2gXGhgguVbwXY;JG>+W?S5| z9+}I*tBBk|AlcfjwH9|1TGHQ9ay?46U(vteKuX+IRA;d}N7AR%c5|ionOy#yP%Sj} zB6|3nrYkjrbfy}KI~cTaVONAX1f0k z^kr^}|I<1P<3Nf@T|%y=sm3P~=RvZpe)+~1+i4jpxg2-LClU9HQFA_OZ}L|$V&TAk z@BGfOSf>(oAVg-v12v?d$BxzD792N0X_-iM&467W^$+`PYBie*5ooPOyNiD_Wd(y( zQq+>_4E{eM5^5~GcDEz9=vvvBoD5=+=E5kK2%Q)#ZO<;ay1T2L9oI>flJf`JFCwoY zzqd@QI&du(Y^aNu0e-k>tu3wb*EYAh+%y=Z8I_BAaVD^@7Bn+ZKjABHwoHj@JG$T= zE?Nw-n+~#fI3&1Cor|kCXjA#~SXaxsXy}FUoG}zA-6t=3WcXW{ zLgfmE#Gs;3w{oEGvc6S(gEfD{6Vs0GtaI_dOu0WMZL)0gbB1_?9S#I@Z<#Uz&6a40 zdD_owo;aKKS5jOz47=F}(bAL493Eng&eXs1cGMGgUJ(K@o8%qX$By-+vizN0OZ3w! z1_dUM3m|4cFQA>TZ;s_d`rn#kZ;fX-qnE6ld*@zv)qlG*()Dq9X=usJb{!CyCWXja z_5P5fHmIha68_8Q8m}&c@hIZ;Gc;mwpmuGE1jv3M`)XERiTotvdXT8Fnu` z?|uW5{Z(ErAcqbKtK=Cfp*TaMkqP$>l#jQS7>(=4L1|Kx7c-iEXko%~^t76uiI4=3 zFQckewR~!_Ok9+TC7V84Mu>9=3P^n%YBGP>RNLk=`7|I>-n zj$M!JArJ-0gH|WIXV)xFc7!RwOJq~F>)bJBeg(OKw)dyE_yjzTNQU?by_)YVv%R?Z z2$A~#0RH^NO62SW5S?72+c*PvmjZK7Kya1e7PIPB7c%Ya}#njPN&4ak#;AOZs&2 zfVL6MBB2R`a&X7qTB(5aZWYMAEyDzGX0KwnK@*|>n5EF5JRL@2gs;wHltDQTlog^6 z-Mu%>d3+WKSi@X$7V17t5Hz>wT2y4~Na(K;JeWDNB~Q+3ag1yHm0tqyXuPG+*yqYWmWRyHmtRP2lP40z;G zhJ~R!OCf?iteH%Ci&;i<@8Qw_<R@US44d`+4|5q*_4^?vxcC=YC?j>57GBQv2SNlyh z1XZO=Vpy3o5HljamODAQ5?OO&mJb2+q}6s7<_xl?slje!V=-*bb30vh`gi&Kj|TN7 zT_4pJU37ykG+FTy*;93SGiE}Sr#-b)I1FcA6RRI~nsR22yv8(9>xin_8`bG!iGA|P zma$&P>N|BAvl}(UlxBA2gSH5i_IBAPMVF!MvzHuSa>O=!nM$s6apc>r-ehQxd9kc3 zo>tnr{xYG{@e$kl{q!2cM!%A_TF`GY6yE3UrEL^ARyiI1fXfE2UO8QD^FAUU+#_#G zw=i}_(}Wl8-BiW`-CUj0%x<@MudKwUQ-Nr|FbK4-^J;04hD4)m{E?4u%W-e7yU|`% z*}2f-uI*O4Vikf{ybV{wz65jaZ-owl2s?Q@wn=ohBvfPTq!ht5xUb z`n#2C!pHzla(Ef9lBffhN zxgL~bBOW}o=SaaMcCu9rCTTZCn~jj1<%+u3)*}NG0QO&?78|i0chesq44|<%bZaH} zWnklTOt;Z-|8zpx&53N9TkDBWiMXLF9150e=up3$hlTl#^V zzH)0vYA$T3#k}4oT0G9Pep%r*l_JjUOAT*>$b_ZQgks=pL)34lf8q@Z;`GgDqEQAV zvq1HboLneF3{x_ANqBja>vXSyG2Hl=6vNGl8n9KPg-Tzpdu&iOheP+}7KI6}Hlwau z*U~^z@yak<A|vMcI&6*yg?v0HfdYa838T zyIzP|kgz_fM9v@qs_NkG+#1w$F+=*#3Qj4g&dPt!q_oTN4`*IWQ8qNKl{>!~?U*)3 zxr<@DR|X1OExgrb7FSFxrx2R@M51e*6wWGZ>rpgor~rX;KBRgyGX}fWjt3;#-rRlr zG(~tJWpPY2*JjwAmA^WYpT^QGjo4~@DB~_wRwLOWEuIoLt<7Vy=sW`9h(#7iMq9x zxaY-MOyyu)oX2^h*6U`NwJd@v=lWwtWrq6^8M?3Uqk_2g9b0~zI#jQLTotCOCQf8h zjrsXT*CnXOLr~mYZE}Ydvq8t}d?N0;)7+KXYk@CKA!+3|}Dsp4`9u5gPVZ)6F_TI)txb_B^ z`Asx$+i;z(kQp*^j;8HCft3CQF26t@MU3Rc;#gzz zXwuLUr6QJY7N53_?X7A3e-m-*OHJ=a<$@<5&m@?Y3UWE`YEjQF|Ckpe;aUdqal43` zQh3aNzq;3gjzV~CJ2EolR}^b3JXQt|Gx;H}mkMV4PHu+yXC~C@MaX{3UcIn0<=Vf{pLoEd}9gsvyM&8XY= z$!%Pv-ZuRq^$+b3-J3LI-3@4G#}Vx+nl5G?bci2XNQs86$o5F8W6w33J$i1U+~NiB z#Zf|xiDuv70Xnc7BfOQ@s_*i(!cz2^DnlQQJktwpqX;KzQ_w_|+>gR3 zECJQ4_|GN0_cTZ2+q%|B=ZHV~7C$)ojU&G4JeA%4*9(O;?Cw)eg}SvmH1u3TDeP@t zj6>!+B+}ZK&Mh2OD}1i?FmE8nWK#tMOha)7>_z*%%vsiz4nU%XKtY7T51SF+*k~Ct zxZQ*+PkXEE@1bx?9L(gxnVHNoxG`W|;^NW8ypUAB$NORjTPyvVx%OccR1sKmBN;+# zTv^|>^h2^X#syL^2|(m)cb<;n89|QQ~r> zsqsi0R`7xK!>l<%lSZpYlI{5!=5^*c!B#ivtj)N!T$Qj9Xs<*Ww9>DS@3<`8wzE{B zS?91UzJZA8uq?UZOuJ(j-IhhsI>ViGNMb7_1;jX{oG^O7~T{*6c3*f)+(P`K(?xdi% ziXL=Z@{iC`*(CtuXcN)3TRHHc=MD%^SE$S?y_gUrhAk6XPy{h5)Xq4^Vth&Y)#C0pb|m)E zhiql>uNAsbaFQ&Wn z&vcoyw&pF43(6`EZP%ni^%*#2L#dUE>A6vKZsm~~C$5en&TFrPJLn%V_ER)*8L%AbAJBu>sg0A?Kd1Iqul6>(63Y|aiPU9ErFip?%$bVw zAYuu1^RqwPIx5qa4->|dh#81-(E~@$SQW=9R#@Tp;yb=m1q9N88W~kZzmL_F9{aJx z!8ImY=pL~Xhanl)yJadMq${ zr+=U}o-GcHpnH`+5Od{434n=)Q zpGxjX!U}_T*6gLylP{=Fe_kh4i+$85xm73IRVF>UGo1@E@4(oPjSs|peGr{UdkqS1 zy|oWB3Xk4}CugB*$kbO%XwHB9o-1==96TUqS){uLMwvMR4&J3RpMGA8agUU7>lBPc zl%QUab(+m+C3-la%?UzSJ`Q47pT+>XXlNY$tv3X13DXTzKAI2!f#|sdWyAQ<| zq*KAQBQmWV`o^z+3+h*U0ShfNl0Q|X-p|ANJM38cWRUG_Bj1C<?2QvQ-1DnV9^0jI~t zu&3?>e8(T&O+B^XKML`xM}m&i2csmRX#TNE>B0RycD&ZZz*~?HSqz4i;KOb?sv+^Q zSAn4w$$XT~O|1o$J3^;Z7#c6cs10YycYKQX zNXZ-#U(w-Tg$9FNWEip$X%&0|KJ8(TaV4*kU{3#C4(hr;skPY?N){<2p|$5#uK z&6NAZ5WmZ&Gqz8RWQjsj>gyq7q*=*25RK@THc%@;8`>hzqpRl}jk-+*#$sN`J4KKw zx#K@lq5>VA9?!X-dwp$;6rQDQT|akZro0BCqa==_5pC%fS-c*H@0MpAF^Twsvbr4~ zh_hPlO|#2y`cej@2*c-AI*BAIHToFc`k1bzvl|IfJw&M-^xF3I-zK@Zo}O61uG3J) z8|IO=@Bt~pSY8Bq#46BY!g6{0+;&{xIpIIouzexF)my&CYg8Z9!!wG5#Sw!@CAdd} z7$YHNHo%M5;*V)r>GN8rF3M1NwC{fhTyX2>C5tpSK%50pv1OrP{_NcQ9a$htdTr&_ zw^o10@bNqtwX%e8Ymj$bM1LHCB_zx%IuqG=kVPjh>GFN-Muml;EEYf2Z;Vs;L?{ z28Z)cmUs4eM(RM#BPJ}2M``|ZCF;nRa0R5ZagN2H{A6jxMSv4yGjQ~#>9eXL$`a82 z+u3oZr{DMKolWBXTx0TuV=UvumgC&>>y(+YC zDyFrl7&n?yQc0|YH$jg>-RBqTgF;NWkL{TI52nES7}x(950fzr+kZqW<$iRpdj zP>VjG-sMMvZ5NH^)MM!Mg+@m2>iZxMW5fOS1PA~!wlepAR{tbm)EQhdX!mh?3r@?+ z4?6-eHGUM-weMfE5o+2#&;aEdZU|(R=v?c@GVGojIX-MX*RDA_D%jap>)Y*a;K{r`z{Xt<-Gl-Yo5$o?}=eZ>7qyZ3V@V4^_XR^MywMB?jU(D z&&M^)LnlLS0{&5_Ue6~^Az%9RgX}08jPS}J;|;w*Uh~Sl{YLNIJL-;N!#Mx$}(yvyxVMV1^H~du9 z#xmivx;Z93A#ceQhBw|;q4{3OV{Y6WvLh76J|qA_$CrTyaVBIFX#xBgBlZRR_2I${ zb&RaJK_(Qj30zzGG!d8PzopXmmdNd&NO-cV}A-Wdkp4~A00M^JiUZ$iQuMZIB- zecAV&2Jun-z?m;*A-#N1UEjXbgd7YK5RB|RE2M7$j-mH=bfGrvNBA>0uqV1zykoA2 z2RG7Wd1sL%NkEW$`>3DanR}V;AqJgMw*#KZ2Wy28Sh4N;5AxBkUVOlANC!E|1Tb%~ zQQe3`;UGOg6f;YjI=?+J4mP4F5+6^SH}0%F~RKoRtR zCLZjSm=F+IT~5T_RooGTKW^fEy2ykcKNi*n*H}Tna3bHkDy*3n&GA4&Ta+Roh77ox zLX&*U2G8w8nU;7*9Hb%x;qDcpZpXSa?0KO8BA=ndui!4E`Ej{GO30_Cr!?~_$D8?D z5OtOvA$#B%Zu^XGV?J1iE(IHLqy_=$w$rZNU^gs-hTQ(f<+>EK;2kO)$ z$erlG57{Y!y`D7|-9ZmfVimYu?AFaDtn8voY`&EpT($#&u1mFkpQsuUn!+z6` zH(S2Chd;k$b2DmX-SQ0H!mjJBw4O=dGi^JGRr^=FacFsq4)0&0RZr;>jBi{?!MQJ+*-p}7ZMQSwKIw28PlZ=A3MpDLHFClrNjq*pvRK?&$ zc0Vp>qeB<-0@rov2Y6OduZ2`&_+{2>C~;%RJd-XvElYAiKzoE6inlx|L3?3xG-Jhift<6#b&@tBz8RO?4b67FusGLL#}*lo2tV=9@W zA%WzHD1GV-Y%e7iMpxq4hnuXRCUc=#iO;Xm3z9;pglqmW?J#gRL7c49Uit^W+7`5HxK)~ zOnbC?p^N#PpE8FvU8LY>wz+QkC+XG)6bT|GXL2Jrnrp>+Q^Uj*rDg_NGm~lp{3(mN zkub-$meP8*c=KV#SoW12m}S$;5p-KIREIO&^Z~)$q~W|2Hb<}c+`Nu{%injoo^EP< z8ddAuQ#;CXEltXYPL^h~|5om$0>Lu)tQwMQ3a!&)IqETn)33>2VXKK)>3SrPsYqY zYUE5@(^UOV_%H0zQYg<{GUedTT%Ndp*!Y%2`Fge7lrC$_Yn$3rCN=X||1f(n#T)lz zIa%EQ?OWsOl)Jp9bH?`dO8{rm+Y?u3vCVj{4a0hp?b=F`E!~-8t4C4Rx+R5;2S=rg z#cj-`T(!XV8n|LUeLEcgXEJ1Uc%TE>(SsIW+|i>SWQrU7^Kdp?wg~b5g#NWSa@gt(_4u5U*wm3z%7a3 z!GQlx?*JVHP`><@jzbCu3bjybOtl?Ym1As z-T8RSF7p^HACe9?bG=Qi&0Yr9(|ppL`+{bJ{4fN|N2yH=`fHA!UJrARxv?77jBl(n zdLvf0XAeOD8rl1S!*Jgsy4kqY5Z@{SaUw?9d&4miL1nDhTC;+@?`y1Bph@JHPQWdr z;K8;pP}RT7-?z01uUEXoRNMZcD>rt2RUPZg7juxS)dqI%W0dbOvIkatLkCAIeDcs= zk}A4T8m4nvgt=LHulvW}+5XI6y%hM%27>!?MO7@W)V&Cos&tjnIb)0_$4=w!NH}AF zUvAK8rD5l=z&<9Yk-bxj>TdWn4HK!F;cr{B%~h2hZlip{i@F6U9|ZjdAn-@1L7&A{ zXqYQgzQLyE$EK_?;JxqPYf5v_UM`1TFAr~}7cb9xnnvF2Y-gRPueBuAn~r^08xN$* zg}z>Qok?H+X|e3ug+Qy|;9PjD^*fk<+nGBt%HgE4!B=8BN<^HnF&O)e1R89wX1vdr zB3R7`6YJ0BT4W;10q%TnSJ-+po zMDv-%{!VlYGQ3k?@EqGI2XMRX@8C3|duH`6(q`$M0U~!ee_&rMJfoP57KDuULjwsG zkS|ZZm$DiOKtzww+ue%_oP~LBA-7|q2!xF&R=T;;iC;0vlg+ojnE4DKzZeQ0WcdPH z-{bAyNVac8?Vdz0uSI*ls!Sud&m+J5*96<>z9Z?45BrGs19u|#hE7s)o}#EpvS?~$ zs4xf=k3>(UPY^I4_7YWXZGa|TpHD%$hx14<1Qz%^jI6xpKF-S^vg^Z7v$&+3H2eNM z>%z;q!e)Vj3G0o$+}8xTMD0L<9{-Z{C}1`|aB&p|vM|!i8lde3uBr zcT%nHOnXC@ZWx>;f_UN`HuiT(iH%B@Jq9SG&#z_SVMo&<`8TWsk*e*jD8qxO0R#-F zv}x^Q*XqSO;UWv&Fcr+%18U-o)o3do>~0t#ozv`Z7dONa{a;hZmR9pY{RGsfmgqLx zfV(y{KOoMhYPOJTR_j|x*8p<+8nQW@2>U!O#yZ}I4P_KEe(Cbm zY_kp4`Ye5WR-o3?as+(jYx6YdF89`>g#8A0?cz(K7%YNUt?tVrwxEmZcSl;GgsEtI z`VlW7Xj%i9I|yTYBcb=tUqk8@m}NX57d$W(JSg@Bj(>Bsesk)L*AU{;jl-&Q$i-8* z`T8v}j1DY#+J8CDDC;y7w2&yohgipNtR)T7@x=2j?p;{^nlIn_t2din8u8<#XhWM? z&A{|w<2$u$zyl@z9qF4}bXGUDLWF+Sj0`Qik9RCZx#wZukPw&IU)@sZozs8!ixOHIzi8#l}6v zARCrtK(3>hdgh^Eft=#Wp_v&Se`*D+Tm*VYpz5?&0n?!P;su1b+!6HK>@HT^Eoav9 zGIkT1S(2MQ^P5};o;wh|K{r|Re|F*=d=IGIxb#+ zKHB@LZL1M8OtP-0eZ+CEy;GERrnnh!Rc59TDIE~lb$B6Drwa0A#{EigC$ZTXEmyGmBF zL2bkk=0Bk(NR2jfYR04fA)zA9BAKY34UzomnVLvAp)eS<+*0ur5leEZXjq8)2O0PT zqamIaLTXiwpRR~3&IatrxWO%ec{|1Q6Q9|n8SjSijTxag3BhJQ7w?*j;+l@a0qb{j z)Y?yJz=E0f@0_AN@a~QOS`Z($oVIqbZ?Oaqs(pd#zQFa5c(-Q~&9Hc#H?d1m|2F787KC=IAbX|Wi1c@PcGF5A;Exn ziBv%YQO~8=!t~EpGMdl^(Yv(L#Y3Bqwl$sL@;T>mu8**&k6uAO-Qgx8!9JT$D=0tx z>h$5icZ7Wi{6qcb9=5$1iygjpVb6IUOdHgR>-tEVyDxqY{vE@KzkGEO*`ua4d$TKi zvpbx>EBx34b^3|)@t*YYmh|zF^znxD@qzU5j`R__f4&3SJ%r$&c-P-3_X5KNY>yCv z1M#lGD6W;LGN^u&M)7}4!4vETc4|b$PBh*qv6-pp)_4ko1`>RvdUNYrE;3$zi4oqw|pJ&mstMdVf6v@dOa% z5kJ9G#2J}}DPn*9eC9M3QLwj8B4_ob4CW%Txj4_eLIoLDB3s^wDtyK3G^}d^`Nu0s>9>B$D}#;ASZemB zm!XL}(KRsGn*Jy+S@&2$#%5|1Ue-N@JM7i{u=_9DHxdi*ehT!Se~Z56_``%fuRb!8 zZcNktc948b7r!d)u57NXt}YR`+nen4wJsU2=>$zf08TA{TR5RMGSiRemC%42L=({# zbKQ$H_Vci=Ib?2Rl*>lLxp@OC-3|U;lK}Z*)ZRMwuYD8>AiAgYB#M5l_?Sz|gTzSR z8ahlO6{(iHz{S-2S5@unzxlA?P|2klp5YP_FMu0t$n=6OQ*2W1&4t4CZQ@U#+-o1x zKTRUe_nU;|O*4@;NRZ8oXG_+&GR96d8f@kEGo!V?ao^YO4tRG_H-0bw<5Vw0>fkaf5<4@Y47^aT;pYh49pcil%Cg2kJHxlaJD#ekw$5JH zGhAMnQJG)Cm=+NTZ=LY1_D+*$q{-N;m4IZ;G1Uk}GeTeZ-LGbnGP!e@O@wy7xa*sf zTT02{I5)U8mSUPa!)97v5$q`W(v7gMBUMa?k5)FhCpjj_e0C6!x47XO*4Q;#eMKq-zStA}j=P z91U25{0VI(b5@|5NX~{@b}EQZRBFi*Bv@c zM8Z*=gA53pbP`~kY}u1_@1J;NE%Bb9lVKQh{~?oE3wqa)Mj!F{*NXp|G$onxE-aZl z{#Sp^4Uf$Z1PTzY1iN4e;=peL;5Y3Hzm*H~Vm0OAHWdoLg$SE$zorO#Vg9OR{WV#3 zcOjAU@;K6pxaK|cJWz*%8dB-lG8{iL(Ymi?JyZMr!aK+n^4A&Sny%2g(ahHOHAtun z#C(0z83Sm%5ehNoHUx`Wj$hN_`XIZ7!^6Lu<~wUbZxzB`MDBS^?k~f`nh1E?a4(s{ z`&o3`OuxJ(kFuaHNR!{73OC?|ypn|XRsCrZ)?V`UEoYVjA6v@MDS|hMlZ;h=@;^j`*7Vr0m;WU)CYUM z=-^;+Xg0qHub|~l`)d62C;PScK+OFW?(=EgMk(T}M?tn5z7rDi$$PzVw!|(*wlZiL z@wMh>`zPChbB!?X)vq1yC*mAIy%=h^?B#e6LC};3(n%-I{c)hHVC)AX&#|M8B+a-K zl)?H5)f>)lfd+CP!=R}bg7q8iLW8j2`%;8gqWn+Pk;_nmDQBtef&44vH6O0$fz9wg ze=!HPcUJoHoi&k98WDJoC6&aJ>H)u+z^J6d|J316m5tvaymx+m)H5E;_v0_hGoDcd z|Imesxtp{=z>|u&CQ*7t*nk#3|p?qQ;*HPdUURAzm@}`wl zHCcZ%Was(Mt_8f1>Qxl=Z`jyr9Im;>&?b-Wu{Ra+3G2el>9b=_g2P^dmm>o8Lq<}^ z%Aj7%7elv$JDyi|N#8YZ96IG4m-8niUjsoRhcEV)S5lzXtJmcK5dFT*bz7O>*Gu+^ zaPt;|@Fu zpm7ot+}lNVy-})tgKY1)zFv%%Jg0+R@E3r~L0-)J8Q}|U{3o*{NE`U6DCvuQ`#F-} zhj%M@0W^$#kK?_S1@=xQY)8CL^WM&1X7!;#ZW#t_o<>E*`z4WmsvY?CC2R-0XA!wHflCOqMI=1V}(%RP0c6EqJSE7{OMnQ<(qDF}xK9dZut46CSpk0B;30+cm?jtI;K9u+Td>{!+F1wDs6MdR${bZc{nv>hpe9quSk_eClE_7j z_Ckp!3$-|WGo)L))g6+T!vSgD6y4%XMsW>bjf+i3N3f})$|o=*Kci(i@b8+7O=-QW$=5waK7XxU1mgB*`j0vl#+^N}4!TmuJmn6fGIf_o@eCg7245|+!clMm&~CPl?=}whOkImoa#kSva#q14n4N--7L;Ak^vlYc<;!&C z(^<4&=P4Qj*zKKL!g%CvEFlOX)7G6r-J-(b!ra9pB11hwdK(H1P?dHaYNg740Xd&p z5x3~1klwSiZN$i&bn1OY{Z0aR>kIH!<%Ocabrl4B|3sBAFRkMoI#Z-1q@idV`;_|? z#%NmQ-&U+~tl)5O{;Xr>Si|vI^BfqVn~U7NkBZzhwngcNhnu497IE-Q}) zV*0I?A>3qdgrtuBgTO06)p#fdOJRU$wAu3|u*5I$e;)7Oj)MmEO$7ffoUu18ACfdG z6C#!~=mJc~0HA^&8MlH3cMv&z?X19~kwG3KT@g2AhF;fd!{L6soCs{3h>J4BCZ6DV zCBe6hcsZ;bP4#NyD(3clev<`*-vOP88WtURlBy!+ zpj1##JK_heZuoksi=Ti#Jj2w2Qu+66JJ(Oo1^?;Dmw&-wxI~CCLKLdcoHaz>KV#MV zax%|{l9gjjjEwSY{PKHC>f75b>*~vET51Y>4~_KoMV)PBMV&uU9KG;g5g#R&2>v%xF>Qc*)qL-tbYqC&QJE@$&IMI`Nu@Qzl)Sn+_Fi(&^dtzdMkh#EWvjMH3YHhatAl$+U5iGzQYk!Lu^7zi0!!>`L=u-E?_2y04sH zK|da%c5z+FN1LVD%_Wn1r16k0Y?svAMo-hrR?oQHBT!OY4;$W92VdQ7c8PW1nXZGa zj%l`XmG3GeO_mFv=9k2mOE0(Fa@*CWkIkkw{B)z+?Dor){%C7Qm#?_;Drx6(?PsfB zxpH#6n32;ClVuChHuR7}k$)ImK4EK1(U)hFy~sZdlw<4THhN|C;w)4z*DWWQLKexy z;Hs}}Y_ZX8<=X6K!>7^NN~D*Y$NH69zO8+1^faAZ?O(1wHoT4gi(u@0)w7@3QTGc$ zrzLZGcz<7{F&NlhxqWgw1kRBALov5QK2GN2p?f?O^Pr@kluH-$hol@E-6r3Lx6#G? zAz7}CZj+ORjw#8er*Opc&1b8A4&qLji|BMB3dNj0J?$W`3yhcHTd`beq6hKKO1wGA z_0=LYFHWcU-_WaC=mxc71!qbks8diGvvQT>$mRU>Ssk)%fhR1e)%)O45>&RFGhNulD-P* ziEo63Pm#V*Nxu>4|4`DeM|!Q2eml}HSJJm3-K?Zm((gceP)WZZ=>aAE0i^qt^aqjdQ_>$odX1948tD-w z{Z6FMQ_}B3`jtxh-AE5B>GvSLT1meb=~YVl8l+b$>1&Z5Qqu23`t?fsKaj?-HdRCE zSO7fh~pyWBX*U5A4$d%{Zx+BlI-B_OU&QYFoBN?Ahu`GFThn~!r-yOhr z0)jhFxp^Cq!eZQwQf~&nJM}bVAS&i@Zinr`57fTE`m*0LaCOG)116UJnt>Z7P3-2T z&9XRdFl5myc(x5J-|X02J*Er4X%D#_`H~q_$LV70T#tP0N4J``a{zNJ;CzXJbDquh zT~jvaUkqDfjOYB0fiEU(ZapNwSs%_D8F=lIn5?lWOTMDP`D0cYTN}qZ@WqUwLAhS>`tj1rb*uV>!DEZ_9#Q(3*}r+;Xa?fNlk44|{j9N5PSA-6)+_>6ro@x+oIcfd zu-SjA>9%^6TdqycOr_iGBkJ3f=tlw{yjWTcIv4)9`j<_9xpbTT?+Mn5lKt97FSlG9 z-X?!*(QSH87JX~gYg;LIvg|xra%^_9^&=a7vh)#hg4HT*+?ZVX$#G!1$+y{AwAT(C zOEvr0+ELISwBWfymnKK7DKL|P))MwPA@QbYxpouZw$E;V8(!EaslU)CcH{$J^y)+-w z^IUw%yqVB`v437eGZy)Wfp&@WRwsNn&eP{${4m}bB!-EJ+D%mZ7X~(-`!T~kWEiswe@R%Hojzkck#LUgNrZD z+cJ8_<>_y_vvikFxa#N1i_0soelA^NnaMWV(tIvmwv{}W&9-#jwqmm_t*5IUwzMv; z@?3hl@?0|Gyx>!QMGoi;?ETCu6vnlCIMP{`IcIaxg`9;HTjU>xSbnfOpcoKCx{#0e zFb%x8e}92@>DmQ^GZ1G$BqW0@@S4?|49Uc1ka={!rrPanFl^Q=UD1wE;!jm~S1 zxRclGYs1^7VWwPkymRl~~rsuZOuZ8|U3+`d?o%Kx{o{hi6TttU0vgH}_L~euJ4}z|c z_l4;^9&pe~AGqu}TdF>G4a}UNs|jy2>XYXPvlMgdSgy{S=OMW#29BkTA)9AWaOcu; z8w5_o-o+CciqT1)llKxhLq1aEHsF|$`$2Al+z)7h+z)aa1a46Nm}uV1XoKn`Cbm>L zW?zV_uvIje+(IW;n%z{}OiqOcVP7fo4@2xBd1B@nq(TqLonj_;49zLV;PekaExsx# zGb)K^!D8}|{4y@ud@18|;2{x5+)M#0__@{^ap!g7$3 zmqlJy%#Xry<|y)i1KJ@!3$C$~_y>Kjutatl ztPj2=nyQB^58vT*=1ZI}ek#=St}uQgj9(hce?hhnC&UcG3nBtl73>ykMHUg;l_pVo6eM3*1>NthizUKU%BPv_+-1lcM8%j z{LZ61_r)q7zqLy|{ctJ|e(!cF<>#`ew*5B8zWgpF`CWclj{owlDVHpn$1exTb2ARV zdGFN8Fn$qU*LW-a_b00030|9Am>m0L&^K^Vu!va+jI zcCoCErFF8@gbbvp_UxH+&cfLXyR)GXY(+1oK9s&_Q4bZ-OY{=eQ#S&kun2-8f*`1u zF3>}dy_Q5F2$4jW?>{?p_9)WA?1=N*|9sy!|8GwFTt?4o8uc^^*-T`Z!g8I+6OrWv z!f;F;S+-ZqKRfwm8LJ>@953~(qFH_vZ-3gBpx3S510FN#-DLOh!(TD`B8 zB^@hgaevN9XwmJ#*b|S(W-XK@(mjALAgiGP)-xHeX%FqD zRehGW;YDtaHdTEH3t;0`$dp_SJ)ET-U!Y-g&024J@mY@7O9R1K^~l zzt3$=qcIXu7#k+jhDhvF1UnQ=SA z{i)>M3#l_T?7UKhsh~K+b%ZihbUmu7mZeP*s7q=VEHA7()Kw=}ZqmwH8jvwL%w#JdsqIS + + + + + + + + + diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cmp.logdb b/bsp3/Designflow/ppr/download/db/vga_pll.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cmp.rdb b/bsp3/Designflow/ppr/download/db/vga_pll.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..9f8caac38cd2aaf881949f111193dbe2eca06d10 GIT binary patch literal 39739 zcmeFWWmH^2(=H0Z-Q6J&+}#G3!GlZC;O-J&(BKd>Sdb7L0tAOJgA;-V5(oi;1;~T| zgAXvw+{ycX=d5$j-~0EjS*v?@@7mQ})%848vo{$A21Y9pCWa&WCx*VZa19Ff^!H$ zl>N7l!2BCS5b@YDFrZDOT@1HV+A%ZGCA#Iz%d#f8TlzbfXP1Kgvz5%SA!#@IESseW zZHaT{L4oyg9;6&)UL_2*^9hrbqg;%GpjZL(0xMpH^ih&*`6TlW>5c105X-4K1RA;Q z<=Jgt(gog>8)*Jx{;j#mvhC-QjCMA&+&McUocWL+e(Dp%ir1!II8p1&=OAM7`J|xN ztZ~ICp?US)djpP4>5U zucu|P5s!j8y6lAJMZRtdslOgbi;vgPwsb#?D---S2&xi?o{6Sp61+suI7t$vN-4uXBbSwWbZE&cWTGo605;BE6w8`t--ybf1(AKwk1 zxpdeE=ey+RpF9QIh+wfV%NnHmaA!tGuC+@3(aRc8uoR4x3V&~7oum*DI-iWY(GUDq z)C5`xf>t}`y-%FM8^tqpf3o<02f10##J?dm`@Q4|q-(HkPmIQrZKUq44!92wrR;^zBcF`dtI5( zaExSXKbzXJBZ&)FWPImx3j5G76E z-Lpky92M!h5MC_8Go1)74lRL;khzGp%L;?+U{kE1N-d$@Vuv6F`6l~VX-iY&s~YTm zhF2nCA1DFQucV!ERr zy^((>zJF^6E*BA6P4Bj=wX>lujOe_*@&Z1gbyv;dF?Ttc@N?@YzQvQ&UyE@=^?liy z=V2}v>zooqKA8kbG^h9=KlSp}XkW1Mt#DL7cIJY%6c+O&rSdo-vQZGV@pkC*)&n6m zn@^W{B^^9b-O$jM)-8A{id}yh-ZgL(Kzy^;2Pf?FermUZO z$wISVTv5J@J5lbs??`Z*?_$(Galf zV;H4~BsanGTKuA0C`CNdw^tPodin9d8SZw&Sd2YN9F@egX(}qYmg;1;mLxO#Hkuq}vDP;n%W~ zIs0YPktrpl5^shPkZz2}ukvgb~;wofe=z ztQx?@jyIKYXet=;^;_|HRWdAY-72fcJ=k-+DiB&6(%SFKyYq`<3;Vjm2Wz5@beGT^ z>nYbDktQ#<1rgEAdb_p)=0GnEwu3KBJ zFL^gk2{ai`WV&IrJRC|9T{f#8xSFVF@)_UCU%#W~-HcSRofU8=3Ijp?B~o#b;SF=J zt>;Kq9mJqC9>k@Qd1DbjStUvAl5Czj^(e2j+c(jAtEY_C`=a>QBhN*OqGQ>^RV)%a zk303$6n9w8@>*SXCPZTqqYs-V!Glpy*)TEGQ8}964riWdZu|RRUZL`Zt9lkya#qP2OJ385C&#C7+RL@R}*t=ADPKnnF?DGME*jQwl^tH5U|8nRltHpD7_Z-T>s63!CV4E1zs@8_KQ z`8ElPQ%X)$G;Nkx*s(M!u>JE+;+#}|V*9ifv0ALj#f;v@o5`)a8W;Gov|6gF!|}u& zHwj|Y=s?&{Vm|V!TcvWByOh@P z$Co?IlXSAX=Vg1dhC?BpBw?SeN>xnLf1evTHhaIA{&9VG3(TmOjEq!icKaG9^(2k) z@4gYn^rIv4AFC!Y@%#Acq*is3RAXV4o@u!LNOI)S4co9gB4_LB1#cCuT9UB}_9Ds> z`>UBPhLi(-6$;hc3Z=o666pzl_gj{JYscwCuZI;(hNJ zKgqMPBl2K>ybZ4JT!4$VL|682MXctzUzciszhesCkvRbVwO(KJPX`Vk@D{lAdo-Bw zF~5R(=)hF>Hj|F3ZJ11c%IrL5$4|x7#pAyC*%TKqJPm`DXI)}lyuF=VGQ7!4Y`<}G zr}!M5W_wX9AG_0Qhv{Zz%q_C&KSu+^lNag0&IMt6Q34IJekfB_ojhW3xf%p#pNdrx zt9C?nIgM*kFyVNrFljLJc?d33s6nRwq;Y97^X=-Wj&Ot}f2VP_Onnw#KP0cYcpBJ5 zJ~bt)Jb5OTof8;+X{oep!of=Oe>U;H?C+&aB^Rl*A6V-N(enSA_RnS`8!~@nw-RYU z8&Bg0t6{SuLsW!YJAJ}GZn5u6{a077-akRl7(WlEUWx~NC;5iw|8W6R=J5TLG~Py_ z>-vhL{d$rLJxyrR*HeRBaoqH)kjECPEsyZS0tHje$!%5t;upimJJ>B$eQ-Ls#yjHv z_y)>mjyW-t?gh}NjL9+wC5y3A>{4+2pG}0fnj+^o*Qh#xQ&MDScS#VrLn%CdP{(Zt z$KkQ5NUr(fNbEzaHc|ZYnbFgKvyZ#w#ryEHQyGePzGxW4L<&c(3hE$vK%7K zI6a%QBKWArW~=5y(82x5t?)ZbcD}-*Ry~*O`3`=%i$HdRY|w5)qm1g#%O~I2Lz#$E zLnNu=7PknwJgS`;R4Yk}ziu8hc*bV??#QmGwZN^;&WfpXbk8zzc2!!EtO#0-oF>$N zp{h9YE}Zm3#AGG`vsq`5`HZt4jKO=g)_Q*WfT`q^>(bkHr2dn@AN+b`olGriW^WoT zp0$h}!F!{+cYoD_9lvg?mbFIA-W=k|<#kBUUBaIB1;n$fgC%5)*E zi5xe3)P83-+qR(g{MavE1GwXl0GNc3j?P^p9LHW#GSboJi#Av#2vhc1n7}t{cB{5w zk@ZpkqZPVzsjIT#KY0H2%YLk}v~-~Ro)eb$WBy0N=1rmDq_HBt&4Xs==TdMIhc-hD zy63d~DS{BmKW=B1FQGCa5;K>BBa5G@DF%jH7f*9{PLJ%_0W#@Acdw!}0u(Bs+2&0! zfp34NOodgzBE`jJgK@E0;S^qEfe13<><$ICR)r}Znlfq)w>LqP2jpeyKBOHo#ww|a zs?5Z@j^ucWvh*q}!WCZQrdhH^7GtV^Ndn!--Esd{^F)g*XFRp%=g24t^78)IZx0eW zy;*>D?d{{%rESV=7VzHeCgw;ZM};Gsgs#} zQ3eo!;NcXZoH8Lt8II-f8&2r?)0z{*QIT9*Vnz|)(Jbj7FLMrJ_9%h^02043LHn_9 zO~_2fLmTiB(Ayf5I1(8i#-p#ry5IGmcdt6tovUZ?1%{*9WiN=4!S72%&% zw|H^7vu6^e1ShuoZQx%SfOoNv^$|MuK{`8QvMfMC*O(0wNvEn~^JGe$Ew7eR5!+5S zPQmHXAnx^;Z|o(#Uti}$lVt;)z<%jqZE6{D&j5|ROPkqd3Fij*>)hA{SOWIyti=`+ z`_z#_dd;lpBfp}-vx=$umrQV#OJ~=W8uvH{EG8}KH>9oD=HR6pdfntMD~b+I#52Ti z=?=|tS_<1FMGU{}#~t3|?iI>*#P7wQ(n^z}^1ji{kpQnprRze&pEPQ~UmFJV zCq5|ro!e)s9Z;~DUKrpiY*N@4LX(XD${eWy{pbd!$6nz;FV*;ElONbZVE#4TP|(l; zD}NEtZ@rAr#NDE`( z>Na6;-#WZq*0zPSOscn{k}tB`cQ|=(6lL(Kv=IVVYauJlueOd0edTYD?awt4#q!tx zbF(?)w}eB()r{KRx!b6Yr(y0zn`Zs?EoF%lqHw7)`8zCz3Zj?xLJ4!M{3~=Dch%{( zeuDE6-%7=|Tc3mP7_BZS>BCFxs&OZkYz2QE{bD3sXr1jhpD-eUR9!95kwtCe&FK9S z?fk@KZxL&%!Ww7tudr9T7TByhe+#U!SEs{{@?CGU{ByhXV;#4Cwx)NXUlWF~aj8q} zQ~SotX3rb-ExNnCT_1dhzlf^q+@84nb_HjqdZ=tM73B>&or6jLEj*t&SyW>sZjU** z5sZueExy!O8xhOAkh6Ci_+5wYe z_B*E1+>7|#h}wm0vw zQ|}nTS9G|UX*vAOO0Q)zgpeJ9{p%LIkFv~W?)S}4^j0a^b*>o~7+`42mh}Ez@W1{# z(r?QYyRD3pP6vPEIn;%XkjK2XeA#WeVI=l6X^zr@#CUi#OF+`a>vmff1EYYClCqyt zuzX=pIIF3wF)`iy%DFm>lDvIW&5(YJh1WzC(;~6TB*?bfhpUM|=61brZ=m9-#tj8C+ms(`c%Pe48GvG} zBflGu{ZxOWMEX-JKd~J|kO*x0^`+vm-s6$E_%JAPo%l7`KAj_eiL)x}OhO3rC=2s( zzENh%k$@Hzorg(-;Y3_nwU9(7ZOl_zAC~8=K$>)Zi!UDe!$$2ynYLd9)c{eO-4I$# z&RxmrMujkXtOy2Yy#Zod4Bus@uc=)sHAyG?=D)4*5tDnoLVK+Bg2%1=CXdg}9w*FX z2T!!@A(Uf>&t6s+Ihu0W2iiY0acLtNILS4|!Qt&ztul_JoT7Aqh+m(M$Pe^K94=`E zzPX0u_Bc^69KOGRKcvB=%S%GsfrX=(;Z<1d8$512oen$4fyxr?FA5t!VySa1ot=^# zy&xM_LIkU6;uz+9d{>f?8vhy)Pc7=vOF`pgK}q8T^Vc+CAhwSQ)G+(FYH0Sc_d^53 zdgza=)i(w{b5fIvRgA`IDseZ)qrOn0c0;Z2mC#)vnz9-i}_+hp#5*q*2`6fy=ll-LG>I&K+H|*y5o& z85t=oc>cudSj7a+f<^{B;?IV-VjiBU$7CnYxo2d^axpZ|MsXWslJ;_G@?v*%XGMng z&Xb#;)@gNohQ8c$RQtGvmDHL0Qi{~k&fxO##<8E}=?WNZ7@qMWxhy~=NL9PIyf_e( z7)(ahE;{%XiQ@65;o=jX+T6>Dt5-2-R-PBi%a z7R;1On?HVr#LBRxj8o#-*}vs;*}{`aF7 zf%)=#M@r{^DDQOBZ+1Uqy*2zwy<(u=pq)%+kZDk-ZED)DAGI1GuAo%-xi*F6a5})7 zy@Tt^`sU{H+Ip|hmv8I6HG|C%_o~ibkhX{cq?|NDA19yeVl(-)ap$?8Y%+Fmk2zkV>`%}VJm$OVqTuE8(%^b;-&gqR$ z<6o`BXAVBz)J<40OZxjuSC(A`pg`|?^vlXK9O1tVReVEy9?Lw+yP(0a;-~pDvkuG% zZmxbgpYYK@-`%!&>=gz_4L+8yfNq!e^L8E@Olj7~MY=f#IXs=vw7^=CazK9l*y8~% z>x2@^pPO%W5-s26_L#EvBuaKdJIsV73N+%4UV0OR6BJPVRbsN_-L*RZv9XB#ee&D# zp8Bknmrvf=SWA4s@}7kq4RM0t?^B~hw|a@jjrU(8y!cBDNDg_zPZ!>)KB|(gm^S2I z-diIs8y+vOUG5T;;O5ObI4C-}_#0OBNo(4aRb$2Yv&c7?D&@H&b4xy+>evNqDGw<> z&36EqQGVQ1)eEDjTdWiN!n;h9s6E+FLU@za)1GgC`Nel&-c*SYQ2gfant7ZA-M8t$ zRmCdDklCtvRzVmpuMoZqVJ+hCAaSm>NC_M)dr{6tCrU9Gmc+U1ORP?=^-(hdSnIXR zGa5+spx90vFYYZGZ$3tr78EQ|Yq-srQWExe?07DKDRYVC<_}yaZm`V;yG(k`I!z}b zMe%8W+NyU$i+QLLd8!z{bP2{mlgsU>TF2l1L4ocl>&c6>%ny{r8HQ|FZ!y2@u%8P;u$2_rZu^72A-bf~dz^mbxpA$N z3ePgmRyF4aU60hbep|~vu=#i-t6({Y6P6^IBt2Sq;(JwRKk_STwrpWRs;VjRf@dn8 zV`|Oby2&4s=KlQLo_~J0(;C*ka;=F~)3fNlfutTWrT-TRq zZ$+gsxu2g&@SlC^`ge>oghPN>miCP$pH^UlE-t#mFE0~GAW5#XO5GWu-;2PJn;4cZAM$g`t zjki_*$}nzO3@D4yohkgoc}cTXt2_ZD$un+>Gd6aJlaj#SBu+~Ije8&#YAPx-H^*!o z{;fSJF>Ro6a7*Zycd}xOttbOd>R$4rBu_`DYMc0D4XIw^^Yd@V+|fTb{JZg-SCW;Z zm8n&&^BU=ixfH{^E6gC*syWZtW%{LUVLflF{~?fXV+1}#mAXpR8@?ce|CD=#~F!BOu4 zVJ+4oFClr=*vmD%muc1UwWbCE`X@(;Ygn9rA`3Srs5`vZNq+PE) zK2g}%oXY>DyCjqM^#&5(I&v|N&c{NW#9KRWA%)P(b8ymKz=()FXs!6!d6|??}+E|v3=f4 z!Su^cH;+O!F$5p?-CIGl%mM4eKGymIL1QR zF};m36FcYgQ$eRSYFdL5qbWJIlXp)V?8oZ4vS?-s!rY#u+R!p#Sd8qzT+=p=vFz2sqE&hPn!MGAhk-Dr>{>Xi5v#r)l* z(6AxMoylDA9HYmJ)!QsVkWE;^*1!l}(t33BIHy>Dx$Iu?Aeeq>Sr= z%6Rq6ZOJOBTvz08vv-n@tu>3e?>>Ku=;KTr6Q7Ox%0zo0(Ir*&izTSu(RGDKx{fwq z-II>TsAv86=6yh}J7v@dmneb*`gS$bHeQJ5wVz$7Ug6oI$ae+fBX%QtehZ>3=OMy@ zypuw*VxMZYXWi}Ps0c<`e$r*8gx;bW31r`$&eh5Oc9=vucL? zd$HvNx5y7IDs09_Rl042*S)_Dg@<>|F$dIW+de6jW;|9jSASvd@v+&wi=9pM&2mAv z%1Hp$u35bPD~~pnJ*YIJsj*0v5G6%%-#Gg#$@#7HI|M(qom8d-#!H;mtS5`*KbMku|deb-IK^9rU( zot_PS%L{1ID4;2pTy$Pny-=IgANuf%=P+O#3m!@Tq(g_8JIJ!IVW-*Po{rs*^)1P< zj{T)uMjx9x9w6Wu{dEgwFB7>of5c+xA4S;ouMr>xt)SzVSOV6j_#p!E)RP&@4peJ_ z#~ZMXiQ z@#L4vqRRk$WgjapOmt#k^mwBP10RtY2ywk2mLDQ49roz1S+N=&f4-8udHO9%+l3(A zzfT}wX7P6gbe5WaXgq@`=BxD&_6eq3r%&-hMz$|aUj(byn7UG7)g*e&j>mNnEEHYJ zhEW}8d>$X0U`c+>YBV;PpLSL-V}%PS#)}`Cr#WQm3nv=rd3mJIdR&;2K$?@p(9XM2 zmMj4_>n3!{&BEMCsbe2VkN)kwA5+rm7fI;wa@&=6%zOwc4zyNEtqbIjGaxw?Av1Kp z?)|Bm$UMJ#v3ldbUes58rA!(Z0-^HbG}0B6cq_ksIZXN+yZx#CDIRKs){{%UCexz! zb5`+V%%UYu!y&QN;x-FLSCt|96Vd|?X!4a1ztsHB9XmfB&?*>?fz2D&+ne^wBj97! zdM!q0E$&eKpJW!S3CDOEpVS_qyZ!5fB;3L5uD?w#ynzToN$b87c}cbOfv(f` zTPC+8eK*o@_Ne{Q56XZgLt-4GkO7y$wq++=<*mPqo$6zb&y2f8jE!N?IhBNOrKm6D zNlrL@lUKN6qPKB9M5^zz%tl5Uzm0Vxo?x-~%rQ%eX!HyH2)Zb~Nd8c0_DkMTEMLZF zz|uW`F#7T5XW70SHI2KB;AKxpq{^zP+4_f}yhjr=mfC6(!I0p_^fsq$K z2;Xp7Xsq#jsc|R|Ke}>S^g9iRr6oO|D6AFocvnkG|JR8&xKVk?Qfy$G1az4hv4a8r;D0(Iy;?EwHupVyg4h%7>p4?HMXEyYQ!sYhoTaEM>N2&D2 zp5}xW%ZdSdvW?~`-X6x({A|MUT3?hE;<$b^{rDRh3GCUHeO|tXJy*vR@J0J2t)f-F z@wzO#T%wY1I|J!cj?!!z|CgT>3Yo_WT1lHVq=nyO0G;_2$wv*F9?jM-DpzTT7_E}3 z8M$;>cQBRe9Bek5jx}=7wL}IY3{=wwc)+6^1A z2y_L=%u{DuQjI8&eXZylJq8yKmVnB48_nPPc7L|JNap^y7zV3OidXz@uHaE7{cf+z zR*gpMS==b|y9-$A-QK>GcpYPlO3?b76a|IBmnknCGh)8>i2bVLNpbA=Bk>j2dy~ke zKGqnEuTU0WEcaM#sLBe{!nlnfVrnwNWr+{QhZP);W%p3Lt8^7L^BWohP|iyko-V5$E-@L1X+Q1yX`<4G{$O_bZp!x) z{_@n^QadoVBv@gA%y8sv)f$PT*rWDnyY4R?c^{#wY95Z`QCX$(l3LKEvq2C8Yi(fp zmRhLsD3r=iJ;-w<@Mo;Qz;wxsl($ zD!`g>g}&@DD3?#pD&w=}cJaZIY`}i7ZKo5|2H5Sl{Nr)6w6ii09V%BhYyw zv2gx%OAK*A%kwG zs(9W}d6*&rTGd6vUlL2%@;qI!Niv%2d#2oMb^;$YNSxrb1epFwwtYQ(CK~o!bapgA zXTmddsE~LbkmBg2>6H*a82c%4Z<$H7B+(r(yeHTECYiAL@5ykKp8yB*e6F!8DVb}E zFJIG#X#8-aL3laVFZm{k%f&_Destnz<^p4P_NM+|`qI*yyzh|_{EinQBEu@F3au&_ zic!xM%%52gYEdr!P@K13x@h0W(|QU#ypqGOwklTsg1b|;Q%`>$TI@8o^r-yE&u8_l zlEp_vA*ikH($pRkMCi=W<0fdaox-|Zj`y>W?K9py4mIXMEcF0+opiNMvo(g7`FEVf zclN5)`8n@bn0Pzefysxwl1+{|{1H7PmP{Zun29I+v9>_Ga$gOfK8oQCt2x7!w@dpDD4_4xHm$^9!IeA0f^84fk;#LQx=_h z`%bcG5J6PbW9(a?C*4;<$TG&gO^8iAe@*6kV$gF__O~uZoc-gXxp-`kUc|LTQas81 z^<5*HpqV6N;s@u~^OrnNM81hNznrFaU2E4Erx_)uFb?%&9z70oN2HBkpH#Wya< z{#^;)$!i^CBf4302$U$9F&ZXkpv#yvQ*^ z_HLUFMHrNXBPw`(9wkOXsTZdiD#n>{mC=b|TqslOzX+3+!E-g3?|XuNF_HA$$ddhw z)kK|=wAQSuQ>3<6aj}eUEQa?7$VwWPouzOFy9CYmC)tCj?=*u{e^@^7f84~JI6N_I z8p5gSH~)$qLG++V>Jk#}e{^!NOS)kybXzIv;V7Sat&*mDgqQcchIT_0Pit69>uqJx7%-Kr_I%% zU^;IgsM}#H=H^I>`v5wq0bRQUzI!vKHhl=yRD*svlB`WPzN6=e&GOJ^D6r=)2pcv( zc+XzYqy}YBON+dt_|mshbxB(N>msDvII6>VKu`s$puy#C90f^!`jj_Di+6}%-po6xubnHDxjJO>>h8oldFhFcQ2;*Otek<;8{UFwrH8w4sX5(hNuGk@ zCyKi>ffcT>E(6}%s5_bJkk`foHzHjjY)>jq{C7N6d2bbmp7OF#08eRwv&%QBOC-2P zDamhTa1`A73QF?JpIcby1iA(<0ybnFbHk8217p+CvN)g!}(db>1RR4MblT(9Y zR$r=BUs5bBgD6NkPv;dIZf~wGAGW^4$b=_??}@7~b2OmMLIrn%1xOR#A#L6m=t6f$ zOQ53YWZ-+5cNa-{ZD#-qAb=9sMgv5tD8|$z*DECDiEIWZFBQ3aL(xu?rEL#su;nBc z5otFbu;zWXQbcL%7RJKc5t5(FH)IxZ_shF$axL+ci?J?bt3xNeNav=rrvR*9pbuRE zrb+n$=?tN}ar?d=#1T2z`E%?nIM|H&o~Pr3e=8*nU_!V)TY^L ze~J|Rd|bfFQ6Q@vI4da?n`L|+8P#k&P%p%NqF}n`T|2(_rtvHQSg)w5(uS^XvT4%9F=Td6ow{3_(!X%6CV1c|EdIpe4fQQ-|_K7>F2 z1C1uCy-!*q@#X8tDvPoa;4Yf~YARcMNNDsrErgBp!YQk)V;h(ea+4ZzN(0;ib@$YV zQ*!GcvmBOuO}zZlugd#|z*6SR#42H}_QG!@JkxmB3P$qQ>3TCuZTfWZHBoIPzELGL zQ1NF+#Vl9=efHJHchKuYM|z+ z!+T5xBvRv}s=73Ldl{0rVKvavR1}(+le$D&L&3Ir&jZdI}HhD=SZs}ITvso1? zL8(nPgLWhsk(gpwm_8kp*4A_&v*cR z$_!N@!X|$tc{hElyR>;Xg$snqjOBB9eI?(7mP&G@KlRj8>N^l{&3u}*c~hqpr9c6ABCJe;QT-BmTG4*@@R36ka%-{@3+ zv4moM-0Nglug_(h|!kmHYJ;2Xq$U*$MmQjTjtV7OoB{Grr^0osv}cwK`Z+n_hr|g$n%W zfO6HB3~w*F-#Yz)a#sI3f(EO3I@khR0!@u}3jC;nSpQV{;M7%w;TwS~l=&5h%y}Pn zjY4M;?s+FiLQBsC3ZkJuc?*#5g=+mNxlIQGfNV6t8zLi=hGJlthud{u1oP#fC4o_y z+tnrn9eXcal}cEn%aWHal9nQU`C<~^Nhn32QDRV_PexjQDfu6i9OCOwcO@L!z8lnh z$3z80gW}LgqtO3ON()v`;r5Sv8NfY z)Q_jm0;0!!tS!M$RMKb09- z;bThK{5jN2Tf0zM0oc)|kHBMspFq5zS6o z=a_$Ph})%-QN;Pw_I>gblvVwM8dROS60BP=YvPrWyad9pO@~px8$X+7u0?NFVLV?B zm~1+L{=$$RQd!fnpH$RQtNrd`s>mocM1&3qLAtO^0d%8wXMc7j457)<_NQRM&&{Hx zRbBaI!co2$Gu{~82xq7)ZE@fRRRuy8`DAq2p{I77D}iAO?G?-qffCtmg_Jq?Zp;n> z+^iI{vS16KB4=?Rn)GDvO8<2cK4N=t8@@9Szsa-ir@Is*nR`10NG-t&Q|CQ9%Ml^H z>|pL&;+PQ9)U5mNiq~mb4)XObokmaxg51NAI=uUWXtHa*P5+%VzCdNar~uhoyyT^3 z4f*$)!Db^X*3wpFw9r?|IZtIWLX0S(2?c#G#d=5!BI;7Vh%p+yCNSzv4PmZ4@nw*6 z;8@xeT%yq&(C)6iye-O=C|`oAd0I!@iKbmV%bQ`AbKq0Fje7Wqp7tmFnd zumkIq(9wQ-9g<}%kA~OH8&5PQ>>n4*Q&;>nP*lpbpQG5J8qEiAUg8_g`0^>m^OkUp z+VBO|ZZcD#)06j?gVc5lpk0Qvb`sin;Gi65OW~5-yTD)#D}mZ46x{#mr>Oqhcc$h2 zhYI?w4%|^X?#_0t`|#(Jv;OH>_hJ_W&U+4MPSpD~;x=n1!2O=CSPX4KZ*u($v@HU>Z6+;g8Y6Sn|nf{2DPgmFEpvpD~bBSjjlq5 zY*XM~hri5d;2Ne~jvvu1>ZXN0s-0o@6?->(F1m2|F} zRHIqwSPl9H4fwP^G50ofqW$SSZ$HNOrlU%!3I=3>_Ek(Tl~}-Ra_4&!m^21_Y=Py? z#Xmn-09&5?i^lz=da>N>J@o71y*e61q2>Tz?t6}cyJX5K{R)$^f}d#O*W(?s;#D%| z4UA5ZlrUy^cxB8O|Dv40o}&QSAXMu>!7Two=Tv)FaKY~mG<+$8QJ0}rp?WY!6bBD`GCc>bQGuBsLgx( z<%UYlvtNjt9kavnP~Ldg{$~eifguMp;8>Mt&;n}6^GFHJWsl!}9V9Ji*f_at#o}f? zy<3f^oI0C9w>d(V8g(JfRtNjedmm+zVs1KdYhydkBzE}M*QdrO0lWqCbij|CBi3CE zl~2{CZ?Dhxy7`poP}E3zrdFje&5qm`i&} z8d~fo>pz`#Ts@No8Y?P~cBfr)`F!K+zU{5uK?5UL*eH z4-|a~5bsBaF-x6LZuwz)L*3x34)POP**UW8o?&!w34fFp-q&uw3cmjnX-RC;9NBbb z7xy~F0{ZpmL5fY;i978!hVQGMiMh;!G^-_m%v}BZjCZL2y*r*VL1o^h&i6d*Y z2!Enj3)q$+oEa?UvuGI+*@c7BP5`xTgIG5m4gx{q+>QO7?f8De8p~+@Chu0hNj3 ziwk2mMOhOepCz1Lpjh4B*o(CvwGGiCa)^-j7<8uainrOP(j~-QVpQbZ7e4Eu4Pnf~+qo(=F3)3DzX- zxnsn0@1#u8Z!S-jFXKkgq3bX-Qe+NIZ9DHEx01 zbMn**3kjh|lqFXLAl)%Dt`_zVv%a3!XI(pZ=7LmzLF{3X2n45=1zGVxX%Qi3aJG6ODEDo^*szEd%z+yYMzjEU&h@bX z-Xjn_7|ITQcy>f2boYY%fJ7Aqz|6A(U*jc!$fT6Cg|@uAP^?7A)a|XuaF8Zk(R_{( zF4DQpMvgedSIz;&;Xk-fwjEl-{HdY=u`v}t4qp>xU5}kTz-RWJ^!iWcY<=iLtruNK zBA!P}#G?IlmN;cJDWj*o(A@<}L7$KF8%;vd3<;C7NW}j2+?fRP78@!8;Tj!`=$jZt zT_l4XVp%~9TeAQ^bQGz;9*~Fdv(x7&Diy6Vby@H!1XNA2{a}q7JGX>9)w>6yoOxPN z*9`DZS_FV5+97rl?>heChy;;- zPCtbh!P@e!*Fb$S!3Z|$Xb=*9lYkDKd$PTEFYa zeR`C0{Q7JTsj#+$Y!f{0d=Lk(fp*}k_pZ^M$l$lN4-R_w%BXTsC(-}~7)d}?{X^RI z!f_drji&M<+z%iRUO=UcYn#B`ljw!i}LaIE0WZfjV~11MD#MdVmz0c;Byxy{~2$K(Pf!kqwh z${vvIP=kx?#oqEqoh1N-;HQtH4;Jj^?>}rq41R>;pr=pqD;-{DUIX~xU}O&w_!Py) zVRo>U2|)RboKi+RfS3UcTYpgj(U6B1#K<;DII6Gq!QON06qL3+>4*|1MDd_Wj)q z5tt1{&z8UfN0Hznn}y&K38zzSgQ=iCOpx2dpBq7V=*Z1Fh|TGPus$lL0|&wZU&Wx^ zLs0EpWXPc+<8e@KBMU^xDg44K8OKkcd>j;a!s$BOUgyK9orE%b0&?yS`sauHz05_* zw;fda51Bn}v*`DL4&?0Z-XEAX$PGCpAH7m(4X|fXK3bTqv`AUFlh|{YMek}YHgadJ z98@TDeFGXt){VykRIX>h0o+%d&jM%#;ce7tXo3=kOF+xWLqRx55DtEjP@W=NMp~kE z$Q*-0;lqOP98Xm97)oxZ?D}7zfL1F1jolwgt|9-H{l5k~@O;NmpH4;4bCk@n|D-dh zt>-)16hz%aD{1B4Yfn(~*dY>qCltPcs(a9!IJ^}(TIhm&+v!B=-`oB(;Qtx~AYzFmaf2&sS#A;kAVl|#f)Zm4G-BdCwt_h+xq zFzI(I*U;;^Ka@T6%12*=I#CuWD2d&zzmNd5-O=-lD9xA1XBkMa;N8WPLj|b)fs7uG zW~@`Xe@W+`6Bqu#)xsYs)P2YH{l$U|x$>#QclT`+wp}S# zK@O@x`**|s!WMny9_k7gnIrU1_7v2Y*NCd{-9`;3py)?#F18??zeuB`9#HGFh)x_3 z?Y6bNJz!lQuB3He`C&U8AH1d929R@%Ye#(=Mm-t1xo@+D9oELYM{`Vn>@6k{(mVm3 z%042AhZkc%d!U5SbmpLi2Cszj`e}PS$_<@YM{f2+_Bv6djPSPJZHFi5*br5|KQ+fi z9tpx%dnXRhT*ik{L`29=>@7A(KZ;HJ!T&u9JeuHuCdda^R?>9O^ScE$eB^z+02uNZ zp11_cTsSX7UQiMt4H6*Qu>RP4mb{HjwgtNAEuqI?@t0Y7|wMEtNRj9zR*7>=e{ zk)%7RQOP5SGICHOmJJ|ud~tWAtFdoW^RO;>3^4j}bJ*6#F-rx#vc^RQ3&F$jkqKQO zGACB{StBNRG1B5COa*GID34$d5NJ*xFGs*lo?cE0H z!ye!h4^!vp6nfgRjee#70KdZZDsi}Gg1@0ffY8ML&+IM7ZGW`zQ}o>n8!tv&H@L*! z6b>yygb;mDXn3F#LlX{4?_W|`KXqw*h)qNnOYj>4a2uck1gilbAdiA^P|s8fkB_|n z!!8Z`$CqB&l}6OjTOgz!?BVXl8=uN=c&i&1Rc`|W@xWsdNcT~Myi@7f5%vh^HS9lp zKeGp5d*qqD1xVmXtG(Vktzm2I%sy0Uj=rh+ij--5AV@@=5`sn0gmiOXxeAeuwfEcx zO(lSew(pPpPiwE&VrTE}A7~L5*jv49^A6c@SdxbT^e#nEw+W|hY|*cN+@uCefF2UI zA($r}?<>&TKt_}81S9XQ)sYKmo`i@{BblGg4*t=j^rin091&a;(suNr0wOmD-kA zk_>=7nBVRUlz3+XScP;Dzh-%bjYK%SuHYs+aCm*-Pw^WW*7iBYWa6pZ)B`yOU9eg;O9JGy$q`-2{-gEu75Xv!~JNGApu!TK_3vXq4rZ%z2HZ?o#DEbSZg8;# zlx+g!thp5?68%&HAvppm*?tXKAq4%Dn}flP8}~lGYErrZ4bdQ{G2!a}4^!V59?A2y zolI=o8{4*Rn;YAQO8yn}H-~ajYeweH7KHY^=b-LY+4Z?AShFd>7 z*96oo$KHqD1D1a+9KycMmR{MIxB6wU3R6VKm;X9i{4~J=vv+4npHXyng{g+&sQCsY zLp{*_2fp)kOYe4*JF!1^{{aMffqhzr6$%#L zaP1cJX#*M53iZhsV&&JHzN;(T*a=L6*)3GQdDwq8;Bitzr0?OhH)f${eCDEyAkdHlDK%h zAC5#&qvK$_S1`Aa%^D*JP-6?Qb|vpBw*iGoZv<}K_TMx@g(m=C@*&3|+0;rnXz#Sx z&&II+`Ow55GLT&o(~z$MpxeF&^~(Vi?yfNXO&-lG`bRD7 zry9b$QOI!&_xb%TBxoUgXP#a87{y?`+PR1OOk?hRTIqx;pcc#1abtY9M|jVKD!`!K zL_v~dDY@3${jVvk2NH!Vu?;Q20p(rZ6f?iw_6bvjcmDq}2{a4jKFjLwel-H!OpiKa zzNTOU=K+OS-peS|%YEAWObG9(kOkC%0%&}3CqY|)uaC-;&;1y|e=Di5pVM!8Z&Ojn zG2@pb!q;0ZgF$j9_agDZuEPyh#hZQvF5(-y(saJT!$ z9p-i*eK%+pU<|S(1zwlqet70~AP%TW#P%r4h2@zlImU@$DLzA@f1e z+btx$G3Yw{#3y}r(%YTId`62cG+6CV+Z}KU3A&lk6Ba-_8lqV~BRUR$(|^2Y2FykX zFd5t#1&2d@QUbnM{~QzlL23Nhu?V@w^k(X7>En+s3~C4dS1OGaXm0!}g8Br{{&acY z6GKtp1ac|0D0~V1&vqw1eJ-!*5Z+sWtsfM!Mknw^Ut$RFoPgQekjIIadws?m{hb~5=Qtp!6X>>= zd+@>)x`P29ly#Af(7W?3V13t^>cPh}?C6sE6^7yAOJx^yu;&N&(;@^k8htmz5i?F0 z!e08jB-Eg$`y-!PC7*zXASe=4*!3Euu*8jU8}LhG65Jq5pY+)Z{oN+R6_^ijVlueZ zGu#GzsfAd5d@;=*_q$;syqiN7;6QtmomG|llYVFyb~kY9$OB%O=&MxI*iAEu#E zDlngcyBqZnUp}poR+3M^PfYd+f|T;B7M~{``!oe`p2wZhi2{gc8CCKE@Nw z)Y>r%5hmG3avN&=tF{(^S{ZsL#0%!cG#ao32vP#uHaL5Wg!;S%_jaSb)yIBr1>F2q zJMv<D;@UnR+y}uePen0W%g@0^yUls5esA6K+l_R@q6fvGAyWMoSimcA!aal zCNxPCZ~*U2pGx@epBba7IH(u6p)!pCa`7}3w|0E)PQi6Z}E^o|bc!$~R7JK|h zQGpalE3#ui_X&aULE6`?K?t>NKnL1#0(j4o-(qgRaZ>{;uoCHeJOoF0j{*0d@%9<} zXNT}!0VXg@<1^+p0{9|b^iuRYz{7Tu12>Fr(E1*Zu+Y|T( z=ng>}M1hP(zQV$U!PiaXn{3p5)5E zaqFJI?ENnP_^Fsa&!C(I( zo*!VpRzmOkME=5BEY#gKhClbH5YEvbq-&bNc^D@Z(4O|D*TM8Qnm?#F;d;h_d}i(Z zxn;jc4eR6>5C@oLm)o|r3>zQ^CUq|%(zjLbmGNIK$xHII`y03G{Ht-SC}`xiO>|@3 zoZ*0nYShZLOWQ=|?wxa{hWF^S11dgTE4W`@DDARds(24u1WfYl)M3$o_Yz22pxQk3 zaTY4nr%NYxVHzMn<+sh0*VoxG2+1vdv%r@d!M_ zE5>8W8~rA#@qI{NSqso2f;m-SAgd}lSUMlQ6JY22zj)eSZev@pQdoY(KAc^4r8KqF z7zOQX8RMW8)U@DS-l*ZDlzZ3OaK-(7jnGjR5lL*wbWo-8o<0+9^ln#a+EH$oAoi#- z?fhB|oPJ%eF4a*4bt8vv1LGT8$rGHMzZ@#{_RmboEMNwh{>|R(c66LDX=e;aJaf|s z_{+l7I2m@MVm}WpIefg*dSH`t2qYOf51c~i7n}iKOpOa|kJdKXmJB6r1-oFoIq) z{E$~F&=O}-B6DUZUIwkWOv`udlqic==_w8Ty0(j(5&j-eD#Sm1U8G#XVL-1#=(MUE$vQ6;w;I4gq9!}62uNb3U0dlUU;Nd-U5lKg43U$-*h|r6|U(( zklB90_?b-J{ECBl(RXGEl|ta$1TOW;*0NatdDhO@V%;mv~&8_Oyy@=8!bq>>&E zW>uist&Rz}nuLYkDHX4tSJ|&}mK0!T{qguRCpUL}5>WaePb2$K>fU|zJB(?r3>23+ zSh7X0!l)=Nu}sg97RSA(6aC1>Mc~BsjPx6o?`O{iGNXufR7i4UR1@74VP(DKjV{%qh725n(r*P_aXAn*}OIoi?g(YIb{_CA+ zPIeA1#IPRS5d!h2lme{yZp2=)b=yeKwOw(VAyT0(&CJqhUoOF5G6v#ES9ye{EOI{G zf(XVs_7f8Y&J8;su3}CuYWXi1#QF)fYFF3;Iq-e8Zh$!s;?AQjl$oN-&phdYrGSbZ zX7IKYx%S;|o>=j}f5Hv#?vf>J4Q%9vc7r9<$if(l@dawQ=ex_8e^So3B}V$>pQ z@aC_T@A2@bNFq0b=7}aU`KjzWBDO)t z?wK~lKy}3mvY#iiO4{Kdl4gRKwtgAe=!KZGS>X8UXwpT=FDC*E6il!wNIbdbuRk zyE!?PCAd85?u3weSqh4jppekp&D9a-Shxgbg#=1zpD}*J28as=l>OAw((a2-SupW$ z6Q>svV6eX*a!wDeeJz32=OEJX#vhLKj)K(NK$D*G2k@}1M|Zw%RLvD zV2+}4VueSp$Xo^3CPyYQ%HVnXfvR3Jb3V~}e(q{+&Sc0|f|3Q>9RFoqI33q-+$v(r zP9k(mt?JvuU2D2bR%gjv&oGJa-=E5;-Tb18v@ck2rRyj*JbK{>&-jy|CdsQ8Z%oh? zEzEpvVFh6L**zSV&H7hg!X|F_0#5hp&fbdxOvd44x(-T0EU7BhCQKI)(iFHLc!*FV zsh<*#ai<)Mvb-$SO3AycHK@`@XO;~!Cz89{7ua&N*}v(@(!k4s+2a4AMc6JRfE0FI zp$F?GXR`>h`1SKwa({iY=#D1(qeSFIBH|hr9vd27ODi6_bo6$?6_*Q`Vyv$nPd~R{ zp6!M41K|Zfg%ZbliFPiXCts@J-ZoCSDrA zhN|G0s^EN8a2VI&1>Ij)PbdjPU@^Ry88W#o#FShT3DL=@+~35+4x?e=n#sRWNF;#- z!XN=;3@jWg1xSE~P{zm)e2*J?;|Nb)+{P@s%==ZN8!6bSro-=QH+W`@p|z9KaM{Wvj`ERmGHh^3%4Bm+#(Y+2yh5qCzgL@ zv{}LJ)o4YI-T*vP&s_-VEYfEn+Fw{9XOo=ZI~b^8x*vaipopG}=qsj$PGWUiQP$IV zHoPC9;*vREfoSN`Bs^kQClJyyB+b9-9(&cGPlp@w?p~VctpbEDCSwXw2*|!}L&(cS zgq*_}e!+B5iz%|g|`K|^hnh5;jxs8p`)E7Yy zK5X{{tDE^2QLOQ1ONpLMh&PiE(JlsdsWRM;-Q{?UKB(G>dWq=IVU>f$8 zG4wPRY=H>8f*PRU1ex^{mgNJ@#8V;|4>n4b_`0za>8en{%o|A1l3czi1dmB!+CsHb zfPLtJR#X&A2o7KK2LvXGK;SP3z>|Q$>z+!N5e%15_XhFXDOX}RStlzcLU+Qc;V*|e zrpV1Pw(lU|1p-4hzb=XPI$_qg11YQ>770s3!$`oh%+rueR^%`}>tzNBkLnb&O7oCN z+tE>~)4gTtN5tvkVF<%SQrV=Se9GR&aKHDW_#%$Na7ONMkMYn4n8&wn!4O6Mfx(L0 zF)j{JG12!Y)E5a-JWx)S4uy+X<-(mih;dcm^ZBZcH5#-5ZO z9;cb}#O1>EIJvw*L&hYCM8ZevC)(zcSW&rnayYO(($Tlj3p)C7sKUk$IkwXfsE}-M z9}k$2T%t)fXior4fMQ3I4ZIQo6A_mnz?%f%6@mJ|BE3~buab5@OD8gl-N4GrqivGl zXlk%F*_sFXmV`V?L7dw`%eSYr34>u1qNRy>LIR71f>~86;Na~^!Ip`@hR@JO($Z_Z zAv;`Ekq1e~B#NP%snAy^HN|b+k0Puf!*DUnRbkIlfF-xkApw-OtGebXMPu6Gz6_JH z{67I&1@KS(D0t4f8gp6xzk*3BLYGg)p$U6OH{pJCtU{4W^Fwf*i9_o-g^bW~U0mmd za%NAQHcxEMJuG5sVEUY4V8+_}nwhb2{~(TF{LxJ-G(M_m9~O{%gR}b$R%k>c%ue=r zRaeE1p9dESXdL`x*(G4x(!zIB?Cea~-PFa$n=I8uz_$f8e&6N z9Eed1aUBmk!*9`AeQUZ}!<)CBODuOJ^~3yBb+tM)1i64QWc+kDW;3ITo0l~`qQBSA z)?A!^@R1l?0eCZ z2%k4P75-oIcK1m-@?3TybaC5aswGc7Zz*WkeQU-zArM)?tkL{-r*&1X$3`$865fZc z^L(+1W8P4AoNpDJ75ShK&J!fVu4Gu)O8|v0%U}{D4Ewu`b5oKKI-&h^666XFT7&Sn z&BSPD2(&%uM#W>Q)El6HeKII63_tIonSbkUl~PawR$ap<6n#?bV68f4zC$^-0O><9 zRqu;7mGG_5p&_;uTJWTTTow@+VZ^{Uz92PhiOm~bg_A35 zJ%2N|AlRtsK4Looy5kZ>T4b!sajJbd1lz{#5guON@KF46M&p*S9 z(>@()QR04b?pF!gC2l;nn_4B*dyZbczMW5x9zFV-^qb*+DSottqJKdjWMJ~_nt0S1 z7IcL~4po|ef=RP?Yd)&**Vd8T*=nSS&7)NHrn42$R4_bzBLRxBlaQ{hlq=Wox7Kz5 zxC%v!DVzx&+MW>!5vYI)K2@SC!OfP8T3J zDsG~xATS5TTIkRi81>$nN%x{#x>Nu@-aQvJ8}*GwN@3I_6!=u{6B;=ByuK2V(Qj_2 ztes@DcCv;joI?ny!m%-e47s(wv0^3mhgDcn2tp7FaB#{8B@heKr0OJ?tYk(QdLU8* z2Hxl`Bi`ECy$^MA?-#e^3&Cd{V@*@Ox3GA6iE;LAtP=d;cR`?qFe@;y8;_}$Y1fS~ zIebc)>YF=&^2A(cak@e6J2d_f2H~y*9@xkjFwMomqmFwX>KmyJ^`x@znZ;)6ZQv~N zfoF*yOtMxdD{+zsPy_}HcMoo7AYr;S5ogbUPGto#KPJ3CG&!aoLQqiO?=3}J64ft+ z^`$0L81Wb(#ciT`(cd z>3pJ0V~nG5GwwcJk-E0{*%ey?Ztu9@@daK>aONaE_^bz=Omo=C)4&@kbg8J4$s zEPpzQp3%XS0PNm>;R(f0H23N{*z#CQLLW2u5+ydgoOTe*5q-suC4mjTdps!(8{Rpgw_+hu$87)kjmB6j=wX{o+EZ#y`jy@5s%fja_G~L zgUL&|Ay1kz|9SAwKsmd4xe(8UN$ejb`aCgh5&PjiGj{M4Rzf?Cw(?lju8Q)1By~d* z+PmA83MA_TyL?| z8_~kS`y*1mNsoAn3%83@8^V4=qzSdV5D{m1;+lwvTtc~CT!t6x23-$J{Ji4!UbRrC zU*|#`WwJbt3;9O-^wD5Z#6#ddn!%!1twvbLpBj}CCB?(CO8&NFgt)(~vZfjC`PLMm zn&xD>V8#XsH5fE+38F%oa4m0Zd*J#lHW{>WIWImaL@LaAQhKi(wut<;=KI$!swUZQ zgOx4>2yJ42+kMiG~H1jsEFP zhb1lgOYt1oCwYR7hS@l1r1E2Mi}d{U?H0ROU&8tTUM@V@+YNLU98D#^lx~K@Ek4yB zE46JV?0Q^3*oZM0{skOd3t0+uAjBBFzsUrI_B;$cg7RCBP#>@g9V;CmxfWV2mXxV- zXTgn2!*ei^CRVX*gVB-BM9NAz3rjGSTHR{x_Q{u~*$(A1&i?KoMBi`j_arN^Xo1AO zyS6DdQ<|ETeOueRj%Z*T3NF}$Zce`?>^tVt4Zt0jZHIpl^0KCyEb%BpVZBNBUIKN( z@o%A7MP7oArVSJ-=r_U1b#AE!lLHbAE{+Zhwqic8ZIvP;&YRG`s-&pO^lZSF!etNBliMf+y3o^WbGVV( zCsD~@?wby93tSdvW%3EyAtyVnt`EXjY$ksr6{6)kZ=;fnd^f+(O>8uHet;zgyPT5! zgK<|IsKG%`cfabWl}7@I%By9P+!;kPfLAzL!}g-9RC7Ux7(LsLEk$h>)}!aa<<-Q0 z(;nVAD)xoH>o)Fgk&9c5{%eegZ2kJy>=GJ1eJ(c{t@M$Oap2lp{hpAb*G6ZIGD%L4 z2t7$}&pCTg6GWIi;hmPtMUaFK#Z_~3p5jeW9B&vQn+KOW*2-F9ReO7~KM|etWc!rf zd>*dUJrdbUWXOl7%FEG|w5P89rpedC3ivvpvCr7Gn$`Qu;djyAiS+#q`-#=HB<1=R zPx6x9!;AjX32~*psS)CM$>9!~5jb{Z7zf7Znn-&LrAY94t)`t$PhzzLx`2MxobI%_ zb-*-VJ8Fit_rAsyFN*iE6_>i7D!Bk>Fu@tf~D+qz}BHnW(c&d0g#ly$C8 zCbo&W1j#h2m76BlUscX&XoazX^_l%rqw(d$1_M8?TLc18fc${K?0O>(oyHb?CydFV zMguCkD={D33_4s6m&9pF3_KrQb>;`srwn2Gf~T|e4ot2jfcByfYy><6uA)~?ECxP zc51LR(VM&7QBng-8Qc9Adb*S zdO(iI2UnDHhua?<8>$ zmo+re5-))+Ag`imjS{ArV9wLIvAKhi67i>l1(|b;m>2~{(H$xoSBvn;QHJ2<@>V*h z;GwDw)@SfNw#FCJd7Ub=ui#+?CU3)jTg0<=k5-Cob)R%OHbG`kzr1Cp+2+gu{#D|A z+dqY-)HT8+xZenj}k3(p^mo(X2bpLqpOOKLh%3&C!UA~gX ziEEEL&WOiN6`;o+=x}c0{+wi&Hefqyd_IazWfefR?FE(UC21gB`k*!?(2htY@T)Pj z`8F_Nhe{X85*=5?gx&ftw31GmLSQeH3UG~>t;=Hc1wLRHnXUU{k66vfWMZ7zMwf)u zwZHvTV(@yI-L&waT&AfpJtv5@5!j4Aw|S^Tz2h$NXGWb3kawyas#;l*URt6`)tUyR z{*nwT!YpCf`WubHx=t*b?qP;aT(gxpl8_Aj~kuGsuV!KC#s z(ZghS4tLTFO?fVDdmXlk5th4Hmb70$G#z)6-JVd$tYz_Q#l_$KMy!s7+uQHP$6e}^ zG8gM8aJ%D~0Sc~~`64b29Yv?d6F^5Ur4ln%5<{oS8d$u!0oRjc>wDC*uW7CGm9fS? z4q$(f2Lx^q{l6X~-tuGIK?Rc|ppQX&)6QGvn*()g&m#_&d5Mf_89oHnSss|@c)?aLn(8g zm9yuH`GnAt-G)kYqcLw4_lX=z8oh$|Btaq_K@lP+p_8qD&{$<@0e?W@jv_)uqG>~v z#&4bVRcocI_$x|8NU6`kY~0L8raD7z@Z{&<2>gTDC=7=Px$!Q#oHT3t*faCUy~HHi z1dw``IWYD19qJ;liV`i5*~s!4SfM3~>Ap~4VRFKCd@p#3+-|n>&l;b7xwF4F91$s9 zypFFP$i9|k5i47AvKJON4S8C~wP8crtYVdjvfJGg@4$A>5{W(RUDZHm$V{0pFA}T^ zNO>EydYCMqBomm5GQAK?7z&34A5#)LsrQI&;=_a8C?ZGe7SEZ%Y>}sYCm(7PWb#5yL`?+G!{UCv$1y(o5`~=sWG1#F>c1I@}FXHm7 zVjF6yH`utkJs*i~X+#*%4@duXHS9(;k5oi<1f9t_ zHH6eiST?=;t1pb5y`_X%6n>rWjC53y#_H-^qX0UMJB;OZp3eiY{m4@bT;6*Z;<>PM zV71#sZ_R=`y6Wd&++5Z^@*VMW6o2t++_v;XTnFOub1iS6D6QF}pHsoi)Kn)3q3-Im z7Zd)(nf)!O!hsGqXoo{mL7Xv{Mqh>xX-vb)%?> zEGZWp?WVAOBVMBYTVtgk{KV9@NEzyvhm**oQ;Bv&0tiGrOEgZRE%$?`*HgBY*84%w z^-;TLK#XBpO46gKDQ0sJ{D|E)?5aj#eAHWhS|x@oJH3e6U|a5A=W< z98e#Amw^+M)%ypXMnrk@{9<16E#KEx+;GPryjK)YO)U4U*;*WlItF}cX}ko9Q^)-@ z^bjPWF6wFM`RSnYPVj)CVvSUPmc;=e3fbBz=UyO8l}d~9dIW!LDns-_AZ+C@V=3Mj z(H6cbU4tcP)#Ck9-Kq!`%?u2D*0ER!`zq{qa&RP{Xh|jS5sv4+$anmGHPc}HJ&C)* zOA1rfI~KzzY7LTBb>B`_MzDno8axFx!Wworw5X?jo;7G4?FnWg$&Ky09w!!+*oRk3 zngc;(Nzlh>d%SwOj-f%-{i6fQaGAjV*Vb?hvYrOrzArT5zWx}pX4UB*cnnnUJQ&gA zs801EJX4m)st9;mt2W3K0hI*;5I->m%3{uq5xbjg+RAe*Rw&T+KGYxY=YFZUG`bxl z?gTVhal+8<@Htov6O%ib04d@btwq}B2YJya3A^gz{) z)$No>Xaa+C!BmEC2tk+54-5Ww&!N+@??WF$Kbk~Q!^mPn5<2SJX%hmTJyNALhj8J5 z5FgHyvpRWHnV-fp)IUW3jg;j_tJd$t{HvQ&PneQcbeqg~t%W#cw$HCZubqpN9GV}f zVUH)GF&0_E8+=Tv!wgTJILw`?S-LK|@ofg7y**G^tFzFYeo+uX7oqsZN;c5n_6!#| zBdBs@{8wywSQaQu!_l#^bIRi9&?NwU6$^$`ITZ18Y8cWd9(^RQY$g zxvoimBdIU_ij)qPC~T7OA6mN+$DLWmZj8+>ffQ2j-@v|S9G8&wc3{S6LT)4)1OFq$q?Mqrj1Pvoz_aM?6qq=m;g&MwZ$Pg({ewjv;<1S1Sy8+AQdvR{OeuP#KhbF$q`n9V4MPi>*59ACz^qzRX!v zmns~NN#uSuo&b>_`ObZwp6G(4v0BxqRPois;KQQ(Jrzlw*}*ij3+e6Hq_nc)CM*{q z9m`Hle!|I!{ke!t`1dRm(+)#j3-Ra!hhayyDPBqQ2U6(5^xH~sdiN7Nt=(xpst|k1 zCRhIZ3zS&5Djf`2UazM3t9dk@h|Ha%jSp8as@(FQYDoxK9zJz1d7K0S5t)6*Q;It9 z@UqLl87%Qls2nPH7SihDf{ZK_HryT=g8*??PxVaLa$InkC~hnXON>7Q4}+JH0~EGe zgr9f&2;mANy*?|b`;t=XnR(z!f7DqZWKFm|dN?CsMYH@tDYr*b;-w4c&fB}Lrp3d*PE)lyeFLmf7HFb871?PDb)qf zQcT&HKV69Qt&1RrgG;Ni2uVp6=}J$jM@O&nOTjxSp1Q>6+p`WKo8Owl>cGZ5frge7 z_o{6M1}j7rlV^cyJ4Om1MJ^OqrOlLaA2cjw8bm`4mWWNVe765pB{EAr{KmudJ7P*f zhz}CB#NI|&D1t3Hl__yi4Lfo6{;-h+dlg&Q)&>VxB$}YzeZCo7LJYE87?BkHTQtPA z#>1YbqmCuC#ReQin_b`#SZZ$r>F>(qL-62`c9oeS@fLlo~290QrTT7LBHQyUQHD859+{PtD_px&xH&7|-_V zSsN`=2JcRzPW{;EYl=i9Fd{(A6IP10T+sJ%H^(FN zB0&6yh&s$|IqYYRn>RM--Qd|mucF}{-5msD)vf0b%(=PpUTj=AQ4Vs7URqH|+|=L@ zVmQ%7kNp3H;lp~TWU@u7%B;T>95cF9AE_+-04iZEPES!dsHmpEQoq1e8SkZHkDl8&tp~N6$WA{V zSP?B&BYshIHD#*ZK>d3#1~=Gj8$BG;0;0F}+?Sst;QsvOilc7q(;x(&)dqaa=?skS zG)Vsry8kcEwUWS> zX&OTIy{WoZFW7~Is7hDKc&x>wh87w;w{UTPqw33u?~KkzNFc&BX^h9b<@h8S_-yz7 zV(!=5YWPBKr0dt?*WveS*15GM%;&Q`q3ZDy*K&Qj zo%G%2>K`1p1y|h*yZjqsUKVoG<>U~qvOB(6(>}3Gh>$?$qGicUs)q+hJOUE#KcH&@Y$S?wOol;J%J?Ymce_!rGk&s^<2U+x-T7}F zy^N~6D1RuPx!RNU`|9fFpZwBds(9CDHi$J)kMh6CMt-kVdgCd)ZBd+iI^FRBlL4Dy z)04yqCc=&6(zBZwIa5gpdB_KGda+nRGYbuDgEpO5tO=rhzo?HBIHwU_WjMFk_^tvJ-%0eRr*r0B@84b-~ zJ?MHBdSr*+pJCE~O&W}q?e{duMK{mfLu;dbh?PY;2B8vy0R)2)54X+4Q(LYasvws5 z6tPt#edjSK+fPp%XqesiQxIOXN$_B&dkTua@!tLsEFK*m$n$1NBdng- zmCw(;#(Q4e`l7vqO`s>ZSC>O77AJk@UeA3-811n?y#0s2w{)PDVOVk>-a~+YR{>8M zJ)@fbc6Z=@s9xvWS{Esg=HQr!_q%ynphNs>)HWWwiIuwoo*{PVzyiee_cgu*uj#c|Kq@eIP7cC4*l5% zFPewf;#*_x=dq>4;OrAnLs8$roP&s5M4?!y4-tIa<&?SCHHC!LWug@MmSza?V)rmD z>6o}>Nmk$lCYBF_luv@>s1I2L6PyC!M&1ancL7Gn_5NVP7FBO|1jvb=-p4~69(eT^ z+x_;6c$eqRu@Sr_7zV)`NU;oq?*!gF86q#!dpvxN(<5xaZZ@Ek(9?5cgogYJ-`hZ%ulQ6SFN3be;;IkI7{?h4dRFoS$Qf{<|X zR6L{%RoA3iqZ4=w8OqtK8hab5#@!>>klcCC+KK~_9aqGg!avo z!i`-^Nn{t$gY!g4H&5J=sH+#Pgu2@VE1~6OuX7Zb=zDFe#j_6njosU)d{S!fh6fUA zPc1?_1v*m?21KKCFpaK~`9r==yT(EL%FT8StN$pch(9zw`}be$m|A)W-A9wxK6=d0 z@xL#5ue9pZw@XH3Ao`Xa(L&OfhitmlCw(;omz|2EaBR?W6zE_i;(drQyBSTz zQDefsm2+D2-9K$Aspx4f{|sW5Zvr{_u13Oy({@9lwdR>qw?E<3`p+5N zkNc>y;=jF6(JUIv6|8DHnNk{JxavQ8NZ;MgHsgKv^EJhV`o3!hOk8a_m$4w!_JNEI&5O> zYW5FK$YwzXHp_C_L7o@W6uu}sU$Q-14x&@4T&`hGqHGHK)uL0`(L0{K93zuyXhrF& z*6v43Id03=KG`aaCl=j?If*%h)7;U{xW{nc^ZRh;jO4J&sEOyMpXK~KU@fiZgV+EW z23)PMaLm$EDlj=8kDb9qiSs+wV{^FDC0l$wp`o4e7%p3Rl(^r^jkQ4MKq<@c>8mbL7OyWwkz2xwwyE*fRAg9s0*DeRGUe1xQS7$9@v zG4HCtDcLMKjgUcEc>ri~So?=a#t0+O zTqGs&&j+eMip6;s#{0(6}9GfJ37T{~Ctc2W2w9LbY!&YDN1n?kV%BM_;Z%_XuQ7 z$P$uTuU8g;jNQ5ijh$as>e$_o*B+DZHQxc!L&L^*`dkMl z{6hc5eutS>+EZ5Qi$BY-vueQ|wW01)(iW&pM3ds*^XRD{*dNF_<`4= z8flw`uatDf?V~8f)-{W^ILtMw;s%*-Vfakx&(jD3KF}!YnDNx&*v5%2ATY2RETElW zY8`jH{WR82&(BpaN?r&jJxeTYTCgY0t;Z8kd@dDw){S-I3h>WCd_N6KDu1?IIIesh z@iipTW*X(zr8#^x?yRgkPt7iWrmAfd2qY{2^_b{-Kg5{Z^Q=6>nI}GO*OkWfEZ@uy7;)gMdway? zoPa2`ru;qK_2^FU(pWw0CJapXB}|^Gg5tW9a+{!|8$sn!SzLxUEL3 zxlqkoGyc-Wjf2FPmb8f#+7PcoNFzRv0(vnb^+_@6IFC`UzfYs|$X!tb!B0`wD!@J% zdSK19KOAy!*CYO}$OWbr1nuo3AF={ixXjYylKG$_g=Sv^0T&>+!QDpF(tlPSukpOs zGqe^eM5u*vDD3f~`&nyxQ4!T2`AA>sXP4IWAUeX!zAkI$JLr#H9+GV~#eR0FOxHM( zkysWtj$skJz8k#cUv&_y2dR)6ym_>4&E1vrjr3qSioDqWyZ@HE0KkcN7wp83^f$6_ z0}c6u9!uu2B$w6P1EAjAD$3+^Hv3l#7c1zNU5>Epfwb$7g`i@uLa)hsHc?}$WM+dy zN_OVm>f+-8yF<{dUZL25fl*}CJIB=vs@EiX^-AFhBMC1)^L_x$P88=#Ab+M`$= z%`$&tu;kQ)_MeJAt~P&42fk8Ny`Mk00_*~;U*y&~^hUle< z+x6O)aM`ldIOeCc<}1MO`W09SshD{Ynb8QTsQrIQRG9b_&R2|w5?Px+MZl|-K`WW+ zj%Zyb^CwBqhZ>-UuLiNt2Le0@+R$s0>1zIy%E){gH|M^JJ@=F71s|n`Z`C9Oyq70l zYKZdLCEcYUTkf97;UN4xBUdH(Tw56#xu;ipe+689XT9Hq&uo3&%Y^H}ZjAEK>LpwcY0yHxay?z1TWUVDryO3w zYD{Lau1*eZJ}D+~13rBqXL>O(zQUjUb5INJ*jl8!6g+pcOs}Toi7GcMmnI~P4!Lz5*7Y)_i&E>MUm_fM z>j_WjBBZkALWoEg*A<uBDj-BP{Gq(XR zIm^ZVt?3F13k=xZV;JfokzUhDF9SW4FxGTp$^t_oy~cZkfC<;dunzv!bmL`Yta%xC zndccjK*AR#NdVw4;9Yn-Tz`sJq-Ecf<|BN3GrhW*`gh3T*?^4~(ZP#OC%Fg8wSW+x zPgMFMmzusVC%BRl^flcNBRBvAx_Ht3JOTo+yN`PB`d@tcYaG458V-Lq7+p`}pL)Y7 zKlnfBs&`l8QGYc3315Et_^)v8S$ikKGYQeiUHxzHP4>d#6+gr87)pqyAFhB!)V<#I z^wUS?sI&9lC^~=J8;txfM?m`YQLlJ6zQ7gC{zv1 z_~iEK<5&1`2d(XouJQiC=zKUn|K4Bj4lAEN{`=e+7m?c$aML>;HM&lP{ac)R_QPO$ z{&xJnKZ(YpXgI#Uj>d0PuA<)0pLjmL8BP0>RM5Z1?GG=4W2^@h>OUON@_#h0{W+fwYD^gUo@~~1oq4%SlKoQOQ!$#GgK{wgqr4hmu z5&y-PjNgq`r4?OWfBML?4gkry6D?|Z`=>Fb(& zd8A+R@~U@^!$>+Hy66vkA5t^XbB=ENk=h+rRh;%Lzdf4y*17GON=gCveS7@F==y5X z?_H$d(<{&1cUg-o#xL;e&fDJPvL9XDyt|6dZzdDO+81APUa(q;Zni&t{3{$DjK^2e zo52vS6;1lr1Kf*^==Eka8r=Rz(dglW@$|I(XNIp6BDyo5AJHgtAhL(q?Jmhz|GnXqn6j%t$A&Qh)Nk zA2Ic)PmCuQ3{9yXKAG`%IBIV?7$XVMJ{8p!#qh*NSHfKAro~ z`5Nd2EyW26`en8CFy5jcdZ>+7^vy=A+CbI;4owN?zxL`kDx17l=GBkouTD<$QDcUG zT-n@;jxR2f0RyHQoco{f=k}WS6Po&pH~Q=_%p*i+h`tN#MeAE|Fz(@qQQhfJn7HYB z(P$Fg%Phrk%s%Gq0Wt^V0Jvt%_v-Vv!T$hmRILaRG^R z)5j!rY(tHS$Unr19zybpzB%bldc$FVD4m5S!qZKFalyv# zeaAeS*Bo9!cF{YZMhk+Fxokf^iDJl~--CK)^|NQvfIFSej8Phu83X<~PCYy89BkB( zE_xs@Vi_^}ukiECRSzOH)>(goDvW;k`HYxZIeJmjeI;^|=2XKpClRw+a}u@UM{Bjm z)puGsm9tudSO@TG7Totdfw+8|zyvf>X1dJ3HE8xqX+`GJnO^d54@HEp3|hQ$AyB5_ zgBNY&DIV3GYD|Y9G2V&WgEw#bR0I;=m#5mV~n z#_9GUjwz#kb`tFl`|sdY_=YzX{ATM%RC-nqeuy9a$hGA9vDW;N>w+l~Y(>Pp7)+)& zywys+Ie6aLI35i@LHTG|i(7TCV_c7;Z(`V;EJt5?00JXCX7N9~ zUU!GW^|Wql%ZsM@E73OfU} z{+d6!;jvveP(m0vNxn$Wjcz|TJ?TG`oK$QPZyh>2$6k9J?D}fFha0ZJ=rY>9z#vqv z5v6ZYaZ-KI>fLgPzl=y(Xy7xiZ^`;V)cSaD&ySF}0}u87`orkl8fyNGhn9v)=1F zvtD*%I6Ld{uEf`^E8TC;7R*xnD*A4)zjXOW-;v}W){;v zH_T$%XTe!a`+U#&v5VZeWAdhFJMvHhYF|%FK>sA>wfCbEP3;4w1k^q=lz`f&z!FgV zTweleU(|g0&W+RG8OhJ1-WAEuquM8>G7H;hr7~3ev{Z&_pO?x|?GsZOs(ofEL$yy$ zWvKSK$&)1?ul`y0KLw_}b!YXo_oG=%`-qvvw9gH*nD$w47Slf8lRV@fIgjl-v;@@N zb4x(&L$n0+ZgNq+PwnT3=(~ALdtNq+Y0t`LG3{e?7V~a$QNBO#CgrbaxDmk+*lIwOOzjPaaxF|GP#qJd0BAqT5735F+9WUd*jVL*v ztHjIK8vm4Grrl;YSt-ut(=Hfaf=)SDgyGIB!isW8TT<~{>AbN<69s0eA|Dg=?Hw$A{vycry)&YrKMwW)e z;_iji{9Hg}4A6uDYTY9|A+>aCwij%TE)4DBiF*WN#r=n6dV}s5cIN_o*A;c&6?iy{ z2^Ia$N1^cznHTo4e6pK@*Du0(SSkNJuTl1v}W;U>@3)f z`Qe^1iFA&b1P`?>?40P&g)DM2YIgJa8&xss62XTpXnDR5gpUtyv&KkxJcx3!!Ue}4 z`q$oQ66+GWE=TMfJmbc+&}6~ZZFO^*cR0nR+B}UU4PLkmS0eic4c+tjw>iu*4anur zTmv+FXeckyfJI|RmpRXm9p|)-?h#CD7CTv@;wo%a>R;L+HTnx;N16e%EFL>}WNV2H zBgiJ*jvn4Kn;E^jVv)j;%K>@*lork<3Bj^$$Z3CD8^SS!Wt(?1 ziYvGc$Zi40q&1w5(Zwok(cYew)6Fmx`lj4VGTlMw&Vv2;xymcz7UdI8=bTKhG1@AU zewl4prqI6PUH<3J;a$0$gBrJjqr$`2x*nF5>(}TwVN#H=s1kWk$5m_u#H@C`u&0%; zm-gcicbfa-S?6@Sv;X_<-hQXEd)nPO-QDi&w!7H0^N;(!r2t&ifxM=rgp@i%(rP+O z$FF=n67yR4!T?srmz%$puLt#5tNjwdE8|Px;QBQJM;w1WA*m(SXbGAi=0wLXk%zov zr_QwK)!DE}uU<5$<}g)i0gEi!j;tim?v@J;yhf zr%ZTic>0R72GRqoSt0F%)vibL*TR=LSlNnFN>;vJ(h{y;)Pu8;lv;1mKFk;5Vjr%N zP||Q%LrCh=99uZP;?_eYL;9WZcqn6y9KATijzq(=!MlxZ?z`EGzIlN?(T3wo+(V&N z_a660_!c|Oj{4IfHYbYSj3?2Xo6$L?V~e2c!MhvTjUZ3~4 zf!~*q7Q1~3$s0No$e`Te7~3+uO*Tln>P_Ebyo9%`Ztr7mrF7W4iU!y)?-F=V+Sut5 zdx>I)I=4?!a^io+iF;_tv_Fbo-(2^vvGFZ7oEVHQ2JZ(K+|6hNO|zo!JJC3cyAmZW z$Y3MM-1~OIZ6gq^*lYFu;Jm+qy+WZi$*$~e z>Lyo`cK-@zwZ~}OH2PsOfI+6%1a;Vd-ycRVs4O=Kn`Z6$nimYNxho>tH0`^d;GVr` z)Xi0dO&FuM;~_TnpzYre#=duGj%fO}k3ZatccME@@xs@Gjf(*`B9$)1JWf^(-b`;} z^D4CMe0qajLPpqziPBv2U>V92@9>jG*VD<3JN4eZXSg_Vq3PSf+{Lr%DdMoc7`i;u}t4QUPbUF9B#wgHuc+#(4S|7UPOD?Zxx1ki7z+b zj^FjKH(%dOUiU_u7jS{$jr)V~^Uc@SSGCPHcDB46y%~;w*u1)$Y+hiKTn@QyrZ}72 z>3N9HncwgyK-(j-l?yt0ARxN18TfM7o#(u zr4oE_BbdMpvDGoWjg3RzVc+b!$dC5r$9wmfDn7r7te>0Zq~yj%bbPYQ+q2`N?%{D8 zgCVR8N5`j!+Xo&)PUF~N=j91bV57I6cQE7l7dXra-M!P@e|Wxow1eoO_BCwXjJ_(K9nYREz4KS8Tx_e`J$!!9**`gW z+5Hv*<&;bVc}jc##eRD?djf5}&yC8lBiRVaE1iJz+aIxEGGq5O4tL@vdLlg?y+Me2 z0~`L;WyELiQ?#QBc#NyctO2*K3g4QkIc=ex%J=?H{U8;@u+ykUlJk41##m+ zqlxXp(hL3!KehMw_V$lqYBc80@wvh?n>efDo58XF_CK7l;zgL=r3cY<+-Vi;QK#pz zxj1hF*K)63S0MdBHBTk12-Fj6)v^=o>4}7)#7Eilw297EB9UXMZC73*3=Msc7Sc+v z4K%6LA5@w-E79WII^U%n$1u*hg%qx$s)fe#D;WjWv)HVv8Y!-MD=`OV)TWMA+Pvo4 z+43jR+}OR)@6-=GwuF+ZwFi|gDxUN`x6SAHI1(qyAM;_sZJZmx{J6OBpqW>Yo_1QD z@tphk`cV^Cz|*$aan;n%PG`<0wTNpioQ}N@A2eoN^R(2_s=T6FeX!M_3nlu{X53{f zXKwAvtheQJyWhc5x0{TjZ?>@$9_GKJzmHlEA94S^e+R*7vOC!R_8MpTlJoc2h!ST= zy5k@J0ZI1%;-CLeb6?9ZpzG-`jqESD4fz-DGsU#Br=cFDzijC*EIB&0?XxPH=84^z z+XS7>OqHoVtZ)at&nrWd#gVHx$!z)mbjom|AV#HxC;K?||Cg`8@%$dw|HGY3uZ~@b zO{6g6`q?A>!O!RYFLyz{R;vnr-Ooi%mDa@SMbx+Qt;E%=isO5HSp6^8vHap z4SxE+6CC)dzeY{qf!rsw!HdY#CEO1n523=jb!2(>jpK(^?)3S2|0ODnnYIyV{vUsV zz0(iH$V^o!YMkl?jZ*>hBa^zOo$hU`o$h70PiTd2toxK0-hDzlGQTA$!u^)m-hDz- zGH1g2DFTUU`dbzDprZdz00000|NnRaWRkl}13?hR#~6*#XcFHdHdQfDZE~9! zy}QU|LyQCtK@kF$0kIZqNo8SSr>LMs2!d#1ECemARnR}c7a~|#ID5C72QGZO#r*c0 z*_jQs0xl=217#=?)1dQ7gLzgjhnsi^+N>SGf#)EKGjWoR)0C+YMog+wjq2?4y5MTJ z(4e@$;cjt(k%&qq`t|#J!vi(%!+b4&GBQh}Z2azMtH)w?tQ}wxPcLc+#cN2VHH{cq z)3&y6Eyun$ozQ~BDM}_6)!InrWNE_<%^2QA^`f{L)gn|;sAAgCQ)p$;11=1np#}sL zogoHm$oDc*+V)%dO2`ZgjuG8VsU$kr!2Vp6PdY8n3UacOcJ&HXNmjO}csp6_f_*oD z?;n`ZiB|1nVLIUR!XpmIgJ6F(J8yMDXVNcM*sJ(}V3=dFeRkp$GZK1Sl2f^GrVWZ= zo9q*YI?XgZBsv&fD%23zNA~^4VPOa8AqU=onC1L~3%W4Okv{+c0RR6MUkFA3 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cmp.tdb b/bsp3/Designflow/ppr/download/db/vga_pll.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..e2444024be6c0a36e88ac00dc35657a72181d1f7 GIT binary patch literal 35715 zcmXV02RK__*zcfrRTWjMEwxwe6>8U3duwa&SVctCs8L1j+Pf)=+B=lmdvCE~6Cy-J z`24@`d-6Q@ocr8!b8}DL^Zv$rDDT|4Q%-jG&imWX>)XDOotL+hhx>C$e!=GozD};T z&tD4*Jr@)b5E2y-5)_ntuCM-F#n;vK`Tq|@!0+DPb>|LE^#3+@yb1oV4U74|*8lE7 zHsO*%_w@~(fvfT#c_#z(LP%50+^=6Ia~~~R7?3sZ{buV@TpB*+hsG{{B^9fmi@v;q z=L!pNgZ<83-?tv&+aV+S>DiLPx!4&n$4FKUuC~6uUfZ1W$-@OZoEv7|CO*Bd0`~WD zSgM76tX-OU^-XmQ5YO=a)xs0f_QY!i1F8AV5VjXnUqn`Y-3kXbq|9kiFWjcYrpz-u zWyeTM7UsZtR1;&e0u*+4T_(*$+ya(hE57B;jTS<4LYWP|^)j1Kk-E!>R!0J<{NJ>2 zU5}FhtS;?D>!-5;h2>&k<@LAXt2t`l@FHT`SRm)+k*YB9CP)P!tui9pjC z1CF^)eL7N}L|RDRdSE2i@B9bBk?l+O;2)6f8#i$jQ)|J7!6pl0Hx4LV+0J#k&~n;?wlNT35G8kG~NCIv^K z-Pa+T)-O_AJAak0XD65Ivl_PjF{^w_+DS-16<7BvKfn1iRgiL>p)P5yukXZok@WS-rSA-MolMTR!f$=ip@bctFyuq^J(Z}BCNNLkIXk#ugouahoB7^X_d25 z&%5*FQa|X?Kr*-M+tyUn@Y_n%8Fz!k*-Z~j^Gc3gR>dN>dNe4r!}!pu@LN&md9zV4 zr*8ICrWBw*)OsW zZ~klZLxlf`;}$g_m1&MTs0oj9K4&0yL#?lG;_=o7Vp)H)fZ=!ucqk49r{8D zG0(6xP50iW?Yh+cS`CR((l)!ivI7)mjEA}fC)>3rAG@W-9!4QbO--z&M^-6n@hB!YSXSK)@8bs zF-@AeWxwwHC0yL*;{9yKvsL=y^8?red;ZUzor^1~eMaY>U+KUM=)P-MJ=qMMDBypS zGEwlA@XMFAI>tST#e0v{bYp^C>Ldp1AL+^S`4tkXy1ueBZd!vHkn$Rqa=VPUHL+9E z#|@w&4UUxZXq%4mNk2vxnc2q0paQ0n_jIyaT{4R7m0Qd}ngKBx>?KXE(<1$3b>A9I z_&iVIz%{eU+zo{y?wjOY(M^1W63kYSQ}-E(R>we}ZSInn6G=2vk@W*j0Hhz^QGa%p zs<{^CW!I~DNvwAEen+>gz^(kNMPW>Da>EZHy*(2wt7>aq;I)|RdGB3@DH~5R-kEZu zr`Sl_?_2?)$7vYw@UG1#AH@gTJU-5k*;2k$#*_xY?B!MrG~$=?`K&x93RL-I!<-GL zp5)mpOX>)b0J&wH>ziLz((Kq`Sxdx*>w|OpWEM(4kw&-r0uoq8U0x+PnZ7KcO;`28 z(vVG;`g6rcvPq|Zy`8X9CxkMzQCUlG-&8NjL>ztKDP3UoVE)^${b*T37>6Fua?%9> zH}Ndz_5C5AT9+q_;?cOT~`2Z$~fcgjV9wn{P1 zM-ekat((POmMmR$D<_f(eMjs8aiz^>Ctg5MgqgtJa&CGa&*j&GN{6V}9gPT(k0Hl` z^mF*9e{al3W05^_oh|B-V-6c!<}~D!2B@X#e`h{@{~k1-K?W}0hpBCzF&aTOVV612tJ~ikKXAvWOjW0k$#9TqabgVI3#pqdHfVuk=iU`RFNM z1_Rz9iGFv~?b%Vz?}B^mjVnCZ-T&6*dJ*5&|cRtcb+!m%`DsDZED$bP=>We!RZDmpBdb%-*f;u85ng;VuN*nmule%xIWL7rj5#?I z-41o;pTn&ccNLXW%y9(mde~@7QbOf^xe%skTcd!!nCr09C!}Ai4_%MnCA%`crTG#N z1?q-8(|q=zyl@#TU(Y7sAt91zdW`u7g^LnX@nq|E?NEfhPLNA0^?34(O$wh-9dSBn z&5f|`3Q{kT?b5Vx3ixR#UGl)ihHTr6jpWU2es!Wk4#VAg1DA8lCf(}BjyFSDHdn<< zHzHhv<%Q+Xe5aULvuepouVu}(o!W`q*BQw((cdc+?TR@Krqb$OJRg01-MAWH(8ejB zka6xoJF7b&^Wxeiwr7)k??F%+M{rFKmbEcL4E0aVxe zJMX1cH+#qeCw|@aq)7U4p%Svjl9ENolqvE%A#qqcr8%JO+_nSH&sC_hl&a#ApTIe) z0+OX#q|i4?xrM8j5g$N_-a7O3+d8ZS8>L_N{HSg$W3M^=&SCmT=1w}-{0TQMu`6$k zqxr2%4td)(T3g*OY6hQ?ZqX-RRcfLG3fuFa1;l>iYk$b>GN2bZ#Dgm&m(<8Yy+{{>RluS^al73snODw5kLeWx>?*efH9dm~yJGz3`K?0qA}GS$ zXvMVv#pIN27j%Q2e^m_Wi8u}I0;+5K|DGflmGTj(-kzZ$g9!qZy(F6Y=moLp!Hp{U zB)0~~#|>H!kK*Sbvy7nNf9C;c)IoLNL%7URj@CMAp~o(Aoi6{k8`mP`+5-P?$@@+R z^Z66S?KMV}H{6`3;m}vbt-QTpnz~6WQ7l(MfsvH0=m1{3UCx%OTVJbmi;=y)4j$QWUTLAGGDZyy9&7AUqbY_Yc- z(3wq<3@*mG`CNhpn-*t?9nA;kaR#Ohp;jpr+|K!?l781tbvK!MhPy3J%Q;PK4aSja z)xEs0;8r|}LaHbJO~6NTf9g9c0uO^b9xFD$H||(A+AcDkuXyLSVHTV!??>!uks4Kr z>{R*YlbtvmwUH7sQ`W-H-smmCofAsee%-fj?z^EUUq-8dudr*Po+_i<jm7m-gU zYc)tC?tA6bL+lb&!=BAUb=X)ZO?Z{mtF3^g7{uC^lZDyq!sl;S@55P1t-Gu!=+PFzn{D zFyG^S*slD{<&}#2AWZv|B&J?h;m)a!K3P&9K497nB;)8vytSE1=o0dJg&) zgEo1A*xlI`{q@BQNUsxlKNa13?|nJ=wfl3(nUu^*H2SH03%NPJ>}TuY7?_zqZyUcw zF9`j!+|g`_JArv}l^|{WQ_HX5?#T&Ut6KZBP-avaGAb2GuwxEzSB#(MoE-n$-3>-O z0F2v!i+Y7P)0lN5yxgrxX`ZaE{TmNZoIvqS0jf;0x+iT zoEYzgqFqt4-BO++UE-iR^1AX6l9(zfiyRVSsa?76f-o1T9{jX&V;^;4FZK3~crHZ4 ze12?#;VUEkOgY)a89oa6I)S;>*-(PiOjQXKHKWE=Z;{oDLH>xUv@(X463{C&T}a?x zfBm#>gP;cRz=d*FcA~5zJkhYukurIWjll_hw+KGEZ8RRIdmpqQ_xoOX{IgZDBAdGL zY4$~w9loUcbZHJ-*f*l1gb$QvVwVnL9-n;n+|q)RPBkB?c|3NQj>z2QF4~7TIhMJ> zy1OC8*`z-|+!#hvr+l+-l89`8-=~7xIdS|ZLwrmi&kReQAx;~ATy2p>6Cw99Q%u1K z=D|7mM>}3}Oz||lyPWC-&%DtO(GRO@{Fb^QO#}7~KQ>jWl$%v()aAFjuT7b3|7~*; z^jLSo#@!>W_a!4PCb2u{O?$bfc_Jwwyx-R6@>9{_b^`eROKfwO@ue)o$*^OzR}&|U zr&GV#q-FEu@D5y!3NB9tuY6zHCjUF~!oGD-d7hq`0$)n>{IDE7qYA+O(%I?0F1ViK zOR2H|vtWHR%luyR0+^0Wuqz37J9Zn#);|I+g+`{uPUvlcxD$mB#mUgVc8+(X!4m-tbGe_N3U znQ)i!#@K>gOcYXD5O6n#NO5xgBTj3wh{f@$RN$lB@*P+@KjP)nFM2Y_YU_#)&pCYw z+NHY*U}xU+lu|gXAh~qxvaKFG9W>Z3Hid_&!;_{+w?B;w(rz`|^E>!-g)l(ErMvknb!YkE6wr@A6eOS+x;5vS-}0 zR$4?A{>`uHA_+6;50-$e)mVUkT-%Kr>9w1;M9sK!A-z0(b>eLzNwYY!KcF2W-`U#4 zX>uQA_5Y+rthW@eW2<`Pc+2WRWF|6;=s? zi7SCefT2JP6I{l0s&xZcmne<{D1P@=rW>v1M ziL=kSf5=j`(QwwFw>%~wEN_rN$K5o>->^go&p@(z{2OE>V^){MQv8@SGpNf8XaqBD z1vh(q#hPV}B-FwsxI1?(qDvDuEcy4O!#2xCURwrT@X|Tty~_ckUTAn!Lot^B#C5%# znl7kfef)!n#`jL_r#vk48hC5hPn8ZGe#3rL9`o4=D}ym#ck04C=q+3w>ZUCiH*tUW z#~m$$SU^`PDv!&V(_ITp_|HG*oD&_ya06SOTSxd_Ux_}=b}s+7wf1OS+e zUVK9vbAu|Xd&BSU4qvRRw`yY(=VZp?i&8n*W^+&xk*kbKpO>c@De{T%vnJi@*a_ZWroS_YaqS~aI#Al0Y-VDT@kIuIat!OA(>DX_xX*Q*i!YZ4n*%o79DQRitmx(g zTt*wlVeWUpvtdSHmOpk=?GqTji%&5Z*F5Vn0JA!}s6$>rIo|mUnL`DCz4Ol+y8;{ zr;~GK!#@iv2*9kTmp)>Txa2$fXN;^r@VX1U*^5zu0EN#dpS6LMJWd#KZ4c~2-okr> z%WL6_JDx;Od-T76Z#FL#2B>#;8v<8(07r2bmxLg{0Fa-u z#5AQAuF>Lv2yiwpl>jdA3;BDfC2hcEnGohJb7snaL zSA#A>lD(&mMNbD6PRi%HwYbUXGxqo0GeLOU!|X9ZIzOF?MObp+-##gco~>zfX;N*(G2RDM|^PH<((WzTMm-~9e9ui zZ0OZ3;5cdEEByLjwKk!7g#mQ0dadnyzomveH7ZC z2oRx5Tgft{^Xe?2aQD)NZT9|4!1}es&j{w;$(a%3$f@zJh04s?4WEU@>psB|2=%y4 zpl#9;t>42>ac5)m3!nt%J#T1Pp`Qf>K>JQwxhUf0wqHLuSA0bUDl*uOZDrom;j#YR z%ds;~@$G1w&s}L#U?J^M>3J20-cMidl5Qkr1*^5WKf#iW6-?jB1>1w#4Y>MS>mqWa z)|#1D-1fWJ&)Vl^=Ada~MSD!IRUj3d{w?d&yWCe0nKib~|A44JVjn&7**RO<3`FM- z`T5GP2u6j%V_E(`qA@{99CIdd+ zuFbLO_hLjYyMXM4x$zbTg#ur_|5zVT`QnI(Z>c>Ld0!G-d9M(=jA|2EmnOxnO&0f4 zX)g(_)Qx(>^0K>qq1orJJ;EV zkSQx8z4DU_uadNq2bFWgYmdnI%J0%b@n#VS0N+?z*gX4sps%e%tamx!d-->rC0@WD zb<1~yRUcV*PyMw!s1TcIBbcNU$A1Zb`9&k8-5zy}bcm1!Wz7?Q!Wzqen4fPcuQn;M zF;_aLuHPw}5^_@VXT3l{ z!IIS$C#A|%Ys<&jluJcS{wmJ_@BDA@D9%%acRlk%k!MpQdH8u~>WXj8r>2+dJVCw! zzXF|O29JuF0ASeIJ$rv8fmCGfBikXvYz-0&KcavgYod{OjTc!jThL7NI+5KOCeaxo z;zsug#;uHuP2MiKI?&Q*n8pupmffGx4{qw2{Oyuh^ey zNHC6hRKScf9O_erN?`>h>R_G(s-P?hwUaF_Hnx3xRlt>zuY)Y4o+k>-gkfmS&c5l} z^zWU#t+k#ESQdC+OH?m0!lEuuHcxM|PQ*roo?>a!YA;ctm7HrdG%nT;P5HWRk;G?IZd=>?T9%j z{oz1L3_ict%tQ}vnl-{J{g?@6!FaffDBqTz5L-3+oO8*W!{Iyf@hs>*sBUH&K@MLT zV-=CX%rq5lwTDF;QO+5l7f+sm6@#;=*Uq~~P8ou!%nwB$=C>6?J=Iev5$pb59$~w* z30!tC$1-Lg@6HT%I|ja0 z(%J5To3wB!JfgKC0JPY__W2{DzgvF~{^!+3<~HE~0XNkQZo{^o+ZL z9}ed?ygskFj0n;PTT2s|(e}1!b{cy_T-#w00^Uxj9Cu9}xt-2*!)WJF9L%iz#2&jR z+eE*_xGJLB1MVK4*pL++-`IH@k7I3lQzqC-0%{d_=e_a=oH?xssl=+gXt_FQ2<+qo zGP@DGp0?EJRa1!vW|3iaXwHg1EH<)L)9h$m)9k~^o$qHcpc2E#tQ^N=-g4PWN2d*?$ zsR2I9MV*cLZ)CTnhU~cZ27Dvi5Z?+EI1db|?;Ow;KH+ZZ$}3Qn97 zlIkoGBRhh)Pyh=vI?Bo1ZSQAY3_#uQx}5d-b8lZ%5NstxtyF654f=E1-6B%G#glxD zu4cSYOcLJc=fN6ruRo`0*3KCb-J;HESU2BjH)(B(&dj8rJ~Nx( zvYMIDH~r3k5i`=0?!XOfj`NfYooos%PJ>qFFm;dmo&s6&RH1Jv&kJc#o?A&ri%oty zu-v888?GdA7D1KA!6c2E*WY>QdOiQ(xWR{@e|Go!98q|2^&&;q8yrP9`e>7)&+YJ~ zS-MVIOrRGZ139Z%*7<)G{9u9h|MZHc{7pdroM*hqDo=aKV z8;7Y{<_cUKlkPc9p(qDm#uAQ`gX^p}s`uIwMwY_NvS1C~vbX9_f4r)({@Cu&`J&YU zgr2szwJmCEjfS+EP}T6a;ge<~%3ql0{q8fmYwh&Uy0e-E#lL~O9&yqI6R?>H#dk-4 zy;Lf>fc0$u3;@8CqJ_Y$in)D!hD>$6hatT*pw)cS zxG!~t$VhfP!}THpPT_wigSO$$EE7eX_x=nnAs$yk8Wg`FGjraH+3|hi7OB!m&Pp=S zP1dn%l@db=yUEXfbPJFzNeMbkbmRJR_9BDI2dNkET`It}q%C3F%A?6s`BfSaL0kyZ zk^Yfciwc_g+ej`>p3?f)0-Zy3v}Tk4V{%{0XO+SpA8pEG6-XM0B+3De!Cx$Czg-&d zH(iw`Fkf0VIc77CPh!x9{1xkiOLRw>JQwPeIqA@iH1Du*tHSMNK-J)j9V%Q+V|l_k zNjpba_AAxaik@>EtwLuv(mZPAmYaF&3Eema+v6pFir@W+D!aIDps>qWR5t$PL=-hv z;JACMf%-Ae`#%*iVbTp7t;yTy)&^JF{$bw`@~7%-yM*ht%9+qxmhil)>+6L8#*Ldz zZsqfp56J z$O_#c#{F$j$~M`Y>FDou?EB}9cB@o7e`}swNAG5bz=(ce_oNAuTwn7~%0F3_fc|!t zlNGR&rU$7i954+;C||~{f?lz*coVlEuP}?kD~Ol)l&kB%%6r23EmQ&yML}|6%+Us`zCM>@e=!k!z@PxKWJ(x z4;$y`R1@Q2X*V=`N~!G~T^F+@5R=&+2Qw(%xT4#kh)g}dNX_y2gSO94$nkWhbn|r6 zH*;QFVVPKhHqEWS*OyvCFRGD?#Wn=Jw2*VH4!A{3nJ3*35gE5Z4$APZ21uUL{Hv}1 zP(cbX(sn!8lulNvKA;+36hWl#<_inWoRPw2J==7?^3lb=kv;sojp5y@g+9q(C#Jx~7Nf+)&P_4?_rf6;6g&Z+fbP=L?*_)@@6PZ);MR4l;;% z=iB5ji6-Xz`ns)wi&|YG$D3n6AjdLQywSH~7mJ9LL<_ATJ{kkVm#}*m1`dAiJl5m> zQHXt=00lyp{^zJ9fZ~%J3wlIV=&Q z)#c}T2Q?Y?(!!qFlbvkzG+i4u9@x@0;d~J12$KEQ2?WS25&K?Q9;(@9y7PdDT$K4NT(DgAFpL$33V%nnX z<%AZarQy^k8!}b`4qssBZxu))Et)Xx6|&%Fj84eF&s*b~<%w=VLwuUYC@~bLn|&kg z+T`Do7VnKB1)X|j@2+o7GAjg1mwEK)H!Yy}Qc*qh(^%cz;qm&@U#C zZYd*zxt(c6Dnw}6+jQw=vYnIzyz7fUVTH%Pw1DC~Ur~=%3Q;^yJ6-u4gh&Nn<|~h6 zcOGd#IR(2TTBw73<6m0;k@&#-Fj!}EvazaBwsyEjuc_~Xzg5|^HQqn5iTfwf{zN?$ z;KNoPz?K+M0Ba}=Fy0tM5ze4!?MTR%l81;EK$9-aGP1#yWIX2to zS&q7SxoB!m63=Z_YB4FB(#*4cS1PZl5>lz|@@)p7fixOe5j@4ediv)2ab?)Tt=K~a zV&#`uJa6{eMDmJxUcw6OaEHbf#&@BBTl@|ZOYmAN?w#u6SgeXK=_vW+JCIB&{v@b@ zkZ$o+<~~y#M)pZ5Q%O4}yXX3`-yJHr{1#R8g9d*+fk!MRp?hVA^ z!gd1C+Y&;MTylG2K{4h@h_HAo!4_2P&RLv(~UuGonC*~4CfvKS2edIR2|S{}W& z9`-egzh14S{R`{luTEMi_7zHvIlcFY|L3h4=OK3|EP)RKW7+V}WzCOdF`6rulVQ{e z^12y>_T-&w&pxN&;QK2-_Q?!8Z{`GI6#XFD!s4 zr^D$hCv1hrd{Ym^3>pw&dX@t@*N)-zvW@}n!TkZV_{9I-5gf@q58uZ^5rdbL)y3(N zd4{^y|E>$F_8fO>EG+JIyA!7QwSS+FfK%RI9twJb;x@p$PYL>)fXv{Vm`*bQt(F_d ztpk%TQM4udfls?Gm031#>{xd1;|`VCll}2BKbAUKH>Q>Y%$<+eO_%>dF9G5XXFIl$p)$t9ww=loDcVbR zC{KchRz)b)!~rff;JR&#l?yoHg67N+T|2V?Ssb6-kiEr?8EO|f80#`f+U`UqKv4;4 zwK=`?7^AA9(X@a&z_Y@J?nlG7$ECZ_=5r3c_}83u(HnzSLRibFR(#1L{$uIywo?uP z;9Mba7v2c$J|n)~64~J08f;|!J9Tdec?XMqE{inCg)5VzUd*yI!xy9wTwf6%1!R3y zs7G7&H1-A77d3CzdkM>#%vyfuer167YxKU`X_!Nb#~d_}JQxd7Zl&Z=xM8wM)2L#} z-^1oz*oVM*5TOpy#s0bFxUVMGSQ*;&?aJh(1k{l1g~w=kKxqWn+-8{t5bieT-=SjDFKW1ls)MyS78kKYP=92lz_@E=#_Blhwe*Cwc-5acl+!*AF*pZ25 zjjgg<&H4<>MHRO`aX&ff@qlvMEJw>fFfwmwqO2QpOx$Z`DiKnBTmHeQ-u}#mp8apO zrtv?bBEbvDfy#%`JInuWYkRUTDHL(Bz(_rN&k04lUggI8)j`mATu>B*%6ivR z&~3w8^#oXp-bH_Bx#C&pS^f>a@RA?Z_t(~6Groj{6!#uG0v8yk9+lG_>#nxQj%0z&~pz($tzVSm*C3twfNHxb2jhBj#g%}yo;7}t9c+|C^&q5b+k_`Jw$WC)PnNy=>;5{$yW^4QDPuNi@b@}cgo2P?(=qdo3{7{1mV`%id5Q7Y1YU2wAQ>m}k_=!F(TQ%&!~T%Lf5s zbh!a0l!KpxfaCC(hIILt0e@Z}$sOncy$|kW31>v7Cjw^DKA97HD(j zf-NM}eKrlsIiQI`?0vJLW9y><8gBty-P@qAJWxQ4*!8Gfn2N4Jq$_SbKh z5p)1<+!3ZKAp-M zyQ|8jb(Oqd*wKv+9|SKf_XfXdNn!;J0`X4|v%eu;w(oym(h;EA^?Z1_mtFFCk?c}u zBDJV<Hm$J0TgynesAW&tZ^$$bakS+9PA3K>G``xe6-Z#j66PrKD4Bi)2RKJb&>M zms3FnfWVtSGT$&pT$5L*%Su!7-GSGSNl6v>S=pwk&0&uj0kA^3-|arPY5C4pJZVss z-50s`P-b&I+FS>@x9KBu&lQ2EhB}Ux>gk7+<;xE@fWJq-G;r=SpCBN=?nNGzclt&H z>G@Q3_V@NzX}<#JrH>zqC)&z1T2b*|-zb1?oVf%?Z{2=g>UsJbO-Q_gcVstK(nym8 zv0lCNn(Rj_7Kxu~SduFDMC<>X9Vf{h$!#jVyz$6NOJ&zP`cAKH!joG@nS0g#x*CNw zk^Sdxn!9uR&(QMgp9Mdvg<-@RsV?A36?J~qVC&W9n7@bd!ZFCZRB<>Qshoe#-HNsx z@brXvhA%s=JlyNVDr$|)uzmYH_ZOU2{xvpai$KHU{)JWQ$7S!w=N}DEd&to9e;@cg zL8Gk<8lqOXETofmli=`f{sWpah=Z#4G-nFylR>6(g#ylO7#tf7cy}c6w!U;EtGq36 zaxvCeEinvr=7HZ5HW~A*9O@X3Ys0_BPs=?Y4q^)LRL#_)V|Ip<_nzA}Nl0tn+*JvL z3>phbn>`e#j9ww4@`y=lrEL&J?WQkm5~qDg-Uxh+&Rpn08@Oz5$K*qsp4SgDCX?(d zH2vC63@<(He~|!guDoORCCo&Pz+x3IcTqaJj(v0Xt$S)@(u;5Y*|6~eSt5q=E%yP~ zYl`I{Eb*qd;I-@FmCWUe68#~`@Tkjy1~qQ{SE!W&JN|v{TPyFuB`WO=yZxz|gUmc= z5dEngVcTHS%;nD8)R=Gmo45xjSAJBDS`_YD&m57DM_rn429BBrx-EA_`U^z=Eg&9N z9LlP0ue7#U)gh<+t8kyT+H9AdS;mvVE%ey2nmlZ&O}KR0euYx$ZNxP9MG6=M321mn zU-5;6*aRnE!2-a{KTquOkWbGXD}Of4?rBs(UwuUsjOeuAgL=i7&!mtjandy?5Fd7d zlp6ylo2J$pIW)HF0DsLuSGl!%w_9vpxzIVTiPL}=y@pr0qcbkt%XA#GpR7&66&hD_ zkSuqOHi7@iEn`tQI#>l_MIp6+PQP8EEBdOeVtpl41)F&yZkDB{>ht>7{Ld}+E{(Ufs=$DkSX;VmHOi=qo?CC4^=~=D z+Jee$Pdt?2$=dq$cgr7(W@2%`jCP@wEDvCUL)wp=&B00WpADQ8y`6qf$Kx8#8tcI= zg>$VJ89DPa(#G_Icj(D~wu~!QTzynRzPo^I4{%>$-wX)dZmSY~q~NW)BIPgdoayBm zv=z(bv^ad6awQd03#wJ7Jfv91dd&K0(KK5uWsFYe6SgYAIDSNi1OMVHGS1%)ZPQ3B zYdlEa+4bA?iHCY;fX)>$n_$JjAD^k2hW%1=fD`g!uHlpEU$#&x61yGE^N*lG(KAIV z=-T?-Z@;MGgbz3UKn!cOkdKiO=qt0^%S~$Ags~Sw9BjxFkSX-xZ44xpl(G-?p29g=O~^~hDm}70(T$kM#$=s$GrybMqEb6 z8Ihj{b>nnLtn)o+&>TQ(b&iMq2JyLd+Smj_99_n-eYdu>+ei zW3W0Zz;fWu3Y5wn0Bm+}3^!-_Z@`*QWpQAmio~+Zu4y1^R?hrBa|x9@D^Oe{WU_7M zOl#Gr($|u@QLDppk-%LaI4cseNWL_!wTfmuFJ%XY{k5DYa3=#s8m#whE*movx!nHr;S_;3CSg%Dq~*zynAR#k5j1dB^Y3&YZ$)~ZT~c^%0TepOv- zwdb>W3CU8|YO2RQ%+to=%nksddp|pH^z%mR&wT5)JML@jK!GsxJd!1sRgg$X=A8<2 z>c)l+U$=X=aH6fX${w28K(a)%DkT_B*^%Phf> z190;8ZgThAS8fk=StK|T2fAf+c;*>$i-c^1n3kj{{!=-1VgR<^j(U~_2_72b*)9*8 z44u4jzKu$HMr4)fzu|lCden^%SJCE0u@|2RpzxP&;gcR2dkt?X`27I6_m_ILR=4h9 z-cdI;{cVF2R0vDpw_I4U{@cGr@<}Wxfg70}X9O4!wZt{iTQwx9SfYnKP(VCnqv4f? zfJ&o+GAEt*>Z5Sh4KJdB?M*@L>8uS}{)>rhhXz*btTtVCt|5h1&%`dyn|qO0q{7tL z;6bOwBz6Zkxtx(Y#85TxCDD3k%=Je>!$;ZMlKRG0i2Cw@CsSes{gXCSs`^66p}%>1 zQ|IqlPiYT@(gOqL|GAhxjC?Xx&l;&T;0-g`*&jtH2g8bmE@wUeBt}YlU4DbXmRQNR z7rwBxYW>^SwdTi>iy^k@rL!bOQwY}RPOwh>lw0p*lPk9mS<`XeI|X@HE{qSk1eT?5 zlIOwEcEZ?E#AlTsCcPw+wy5A?`gXy@532N^^RD<>P4ZjO3oCt)4Cc0ql_dRT@vo2F>+HDf7P)E4nZ zL+Tck$D#S#cAmC>S>?sigm{-LMoD%nl~8!dg7FWL)J){4sQ1WY(XFf&=h6-OAVXWIm z%iI{`n2TFdf_LpWpGS=-)zDS@Km>i_URUp8ylL1*8+w8tLU^om`g?MCUh!F4^SWQI zU!_&Q{)pAKRJ_h@C7 zQ}+XZI|Zu8){8&2$}d-vtD|Nim_OvIi7;DM-8xQ*Gp2{l&aSrjJ+!XNhB2@ZoCFY34`;Y*xSd<>@olgBo%35qjRVB&$5=#(;oGR*x=R&# zw=@IR(1V&^qb{)$-SJ#0@u#vSqU&#wr`*A|X0pVIZhOj!t_2$cGUSv9qb+S^Xyw75 zTzFY8D0}BDafnAUG5YF*R@zY6g%<)Na=BhgVx3ayenckqqi%6P_|JpN6#&!ye#w;s zao5E-(FHU5dX$Ytee++x2u-ohxm0+Cb|@GJwtBDo2=43L2hPY<+!R*5#EKokP3a!d zs5(@#?`Q&#{}C~ZV|-Lm@2%uft((Z`^=qj)+#er{?jem0{}6dM8*q8RH5c;&Pt zye&kw`1DF3hTZnDl*iPMMF(M*eb-iEDD5+9>LC;VY4o7NA*0r=#7Je+fZWz==fIFA zBFX8cusKl#yYP*`PH)2HiILn*%+@at;Q(f#R@0xp5C0?qA?(wry0QjMWdK;f#G~g{`b<*J@W#>JIra!nq77 z#r=y>X!i$&k3n2Oq8B2lfeqb`cC%}cg|zbxc|&-AfwTHCr`Nng%Mcx&A^DYv>g~HQ z0o#VUD+xpFa~aNxe7U z-Hgw~=(cEVwwFO2Y7^s6pIj(ka+E^lh07BA1=QTr6HbPt+F&6cmi*hyKf^xpKZ* zqY)@O7G?;(=)uKGD#&akgx4_gebGuynQ zudP%@@>+IUj}YTab#dEes1sPb(kZV%=78dN89*#-BUX!6Cr18fENHF#wI z_ckI=1JhrPCat(n>`TQ{Ro(|%v89S$@U?1%(>otrl?%{a`_w@2jx$kj93X<3ptes` zD}!8EV>F&8pi?sCco|@Yn7qJRfV9prgxpBm%LE4-wMSd;;n4NE$^^+F%1-ig6qz^l zFL<*zM$r1H6&bzNRjT3R@POqVR4h`zzdpPwAEKxze^D9Bv_PL>BV#2NFukZG3y#Sp z64{P_z41{NZls(oqg8k4w(!tN^{za=LZF;mVEzHNo;w&m$Nf8>J9vIk^+NJna73MX zYv)x7SO-)r76|S$FF8C_IOs(rl4JI zN`%qZMW($jv3R#O7E<_Pe1c_P?v5KL{XkD{!zsSP6A*vWaMt=i6kT^%6HOBr zeUT=;Ne5AS6O>LuuL6PyN)-h`Q9ybiBuMWaQIUj>h=541k)Tu|6p~piX`OU-U_GEeIYr^e5(1x+9cBUDiM^Sgu8=3?5Xp)Er=7Hl$%K z-YSaQ-uxG$`DEoi0qN%<4nm|Bmh+%C`}^m;-H7F85x!2fz-X`G%ptb* zy`Kq|=OzrnYOK5KdlISLh&q|I<9Thyr1WmIXHG5?h$F~eY*R@KJa;v%_CQJhP<_oR*EJ1Ne{Uqx z>nR^7*mC@AsrIV|&r~x7=5IZS#JcLOVO$N){Fu=#q%+&|Ull}7vYve*CdQc)XZ1!ov z{k+&#qSUkb;=6?5<~#tSc??1tk-<0Z-t~?+|KUx0^9MSGL$GH~W$MCQU`+z;52^hhL1RRSsN3PrCSZF!*RLf2q zIJliM<$W?~^4&x|z3bFIvBxivy5Wx~yLdM_YfxzPVf1c1d%|y5sZKq|^|l=U$VcK1 zUOIvUBi9jkXTldNYih#(HuI|QRVDEKdo(A+8Zx6+Q)B%jka;wT=L9@mY;`pHgd*LI z3Xt#CT+xaO+=M^Y@}qvqe*7ntZn|LS*GyOq4XabP&g&`2fA6v0P=z`>HT4f@2S>+wyh^ zx>Vspid67Kidb@yuWwq#j)o4@OEgOATN5@H@1rc<87mYf{6ih{IpY`Rqepq_D!PuG za+vcM>s=Vzer-tjFE?lgF9*hLl+x{d-}pQBpbf%m241|$4VRn=OhFl~8il&=!&z=F zyx##VsU`Fam!UzOucV2U&SsmYo>w@7V9% z@GD(Vq{$laM#dWcasVuu`RE#?2#lIM7mQ$l-ke5?(6|i-1E(i8?rpF2*EmC&_g){w z-1Qde8zG-H%~_uWeA*|yE^F!E8?(K5P;@K8cjNdE1q-4jz0<3uHG}^LWO*g>Z93~E zw3A^i@V;WlX6UE&9u|=YetT@Yyqkc_uB}zt3NyEscutRkKFfX@4f$HQUj)_c#ae{n zNu8G|y`BCdghKNVM6%b=o%Ch{zz8RH()EPEN1`j@oTAN>zIUnA4*0cFDX(stf_sM^ z`FZ?c_4uBL^fweT!iA7Gj8xUmFZ$fX%Zo#t43Koxyxj;8W^iz~3<&ntUM^!Z#ZMTT z2VGl>0b@XCfMgxjpCXmEhV>C$s6^OlCB$L1F&w;hj%9|9 zFiq>3;5U_pd51NA4r{QU6~*AG1%nD99|F+p|i9`;R4-Z-DO!A z9SD@P!T${U;{!XRA^pVi%nd5cq3#WK7U`rDlh7R&>v80`Ck+`$D@!7R|o!>5pzxZT|eWYlyp|o3b2o(y+rKm2q z2oSu9r7yn&4n98oZv8Pvxh9{YTQ{0Y7iogK-e*(P!VvDGjYwtR4O@4?>#H4vXAI+b zT~{7^$-OqqSaQVoWh^;drU-^K9Nk3?XEgnN(Ft6cUiblQM*Gc>-w<4|W}xTSOGuo8}`R#=)s5eC6Czmxpo`1kKXAj z9$OcMwp5mM5}nMgVqlc;UlI$eQ6M|BN%j9X3{@x9b(PdouAnodC(qPHEEG zNj|d=QJ8Y<-^Kz{^KqzAU00Sv_zTL-^I-XNkOSgj_?C-Uo*RSlT8fQS*O^Fbk}H_E zTj|O)t~#b?Yqs$3FTO6=J-k_%AaD#I;$Rs>`V~5~?);z}T``4KYf<Tqg$WudbPer@lF*9vZKE?RwXTkv!bU1i?~ z_0{`#w~nD#kWKpzT;Xrr!qiS919NIk+r?Syu^$J(X6?IlH8q+()1?0A&cm*bUO=%( z9s+ujd}%k3Z~6icDT29;rn;v~>SPBj?ChDGvzJ}@Py{fDUl?pTRHeSEg(Eeir-9ry zq?PW4?LS?5;Ix3Wj07pT(EOg+?9LCjqRuN@r`^w7%bXEu5Sf(+s_y1ytJ>F6=a@y4 z$(DwPZp@?&QM7Qoqlz&I;1*CrhO&1}Q9h=uo(haxy9|mLFH}0Sia}ZSv?hF=hHAxu zz%A9>94-kP$W72fz8Qs-IH&j-dIz}U?7G+2V*)vq-Vtuujf<$tkL3NSkZo{!{(S>r zINL!tDh{X<&)5&N`enVo?09PZ9cmp$Ux%$vT$K5fB1{R@cmdSHeMSVq=k(jpLJK*zSWV6esfDfNj+7}4l7Ez<0Ge@?%QE(S=mds7K_N>e8P^H&ubM$lLik04)y zKj_(_T)$RKWW=f52Z)n3nYSeAKSQqJ*DF`KHEkpjJ4;*l(g4{G_`8T_w(9()n+q59 zxr3BrNFm|H9nc6|C=(G!TsAzMj7;ylSRat2Olk~zY+q%&l|c9^3+k$zLmtztwn&CbFro}2-hrJ+o9qhl(+Eo`gBGV_UG}# z)w|FGp$Vi?E&Pb+uts=YTQz}gkW|eEELV~Ds5QaPtB4MeAn~IqL}}s#DR^_x4gI6G zAshBK@{eMJyJB3A;W_imn?8Bq`vIGLC)mSjCyaE&9*xfVpd`%dU>by(XD2AyD0B=$ zyTzEvARuTE82=eC5uq88gEh?6K7HD66xktG)`QhFa9GLT3oSvh`Qxm|rwE6FV8c+o z&A~ba_IHnN)cMnaj_U~a0X`J*^XAe*qV0y>M<~45nXLX!J(XHiNES+Z7qAsc|JrcF z<_s~^v@Vt=+cjN6pdKnitn(ZrhW=2z*sLY2v;WoIv(Wm;wRovcnfCkOr{aK_rhw{f zXO&e(Ke3b{?$~Dx)+c(e29GK1**+NZ+pk(VjMW!*?zga!2M^Gv;@?LUQzhbkX7>y- zCN-;ncz%~Ge*6}#J>m9L(Iq7$43YEdhPB%z8IhDv*(TH3CXr9px`%hVc%m69eK2!R zp@TI==l3^$WMeO1ty*13(suzO2_*zNrOe%79?1`iA)apEc@k)yvH(4+XY}_JOCh)Y z9qa0zV8$Fn$(6qUgiT0FLw7~GEzkJ|NZa(S>0bWd;Rrp;y=wVXzC1J_!uy9 zn^*6YW2g!8V&VR*$)B_C6_Jb~2!PcvS=`}k04ua^o~ z44w#e-{$^9mt764s`d1p0^Zv-Crc7QP7^|#*5|(##&X?`^mww79b@dSku!NaJqNt5 z-4#mzb*}16?_3DC(j|RlEqgHL6me@0m=N6(AZhs9B&qXA@XF$xq;7h2{5AqlkVgeG z<*gVM1hEr#q~?5SoX zHZ;HXYLk*c$h0zYJr?7ZkIQ_?G9GN|g$sy1VkWx!^qQ3I#N@#lL~P?<1PD#c|M&tZ zo8F3Mn@IWRDOOhfM!w77OQ4dT{t)(k({>jw^JOh4?|Wu?u@xcyNNb&LoT+M&&*37| z)c_*3XP`MVEHYsRgPIau#uMB&6tPI=$Adf5NyG8U%i-2p^@_iObv{_$dZ9<@G|8 zQF)HEKDfw)T@+TcR>4Tpu|W!|n(ZuG>__>V2}e?!DXyjn6XB_ZBZIpVv346K$b=x! zH)MicgdN5pIczZ4^x|wM(-lJ(Wa@B8;+xpg0hCz~g2l>$9Q1)@4kJ?gyP=WonuIju zRWY6Z6@&JVr!AQ$2UK-zx}o~vX)S)Hz$XFhh)l@ZjsgFAbtWW{IFxWCJco)K6H5C~R zLHTH_A2MylP}8{}o^5V@0viOZStwxZP!M_5*|gy!CGB5{YieenElABAjbecaP5?V# z`<6uMGWSKs7jkZ;KJ7pTG3FrsxzD8BzdqI_s$zu`CnRu8=JGo;`YjR+V%pm&XuAjf zBU)A)jE7?&H_8MDC6Dy^A27CN_8sf(XT}H1mbp8}21#3smX+#IGO2R&PtG#?h%trw z{Bv=4`smv9zu-Y}8Cl{)NGxtRA})8j%k0Od;N4Z$!@w$~{tJ*9NT-+nJ$romevdxr zz#0>bVdl_&fA`PXtnG_?oT&@Ll!V#Y=a<9c8DBh)~n8 zS+Jrc#1_yq@OL5CM?@f~k@WeZ>i**FQZT$)6jZBpT^1#UXk}`MMYBrzU98zo+GD}A zAK#4{T@B1HZmZ=}JbjO2ulP5IxoqIIVHhq+Ij{IP;np|M*_LH_x~6Bf&>v6yQmbA+ z_~9zFw&&lA)y|OtjUTzt=Vyzr=dAp^9Si)Pow+_g_$zV8a?N>WY&s%JkIdaw|HtGn z<#^QY$L_Nr^lT$(HdpcaP(NQc({+ttIG1-kHZ=+BhN;$8uvnW0QNj8UF<_;v6+z)^ zR(;)~`@vtJ$el>FuKJqe1X;`ZhSW&8!UE>!jm2&4hdFfj&dn-_##BTyWx621BJfVZ zY*9yH{=VvW7oWLBzcZNM*}lh6opO5ux-yg5>ki~N?eLZlil&d;BG7H`W@zELh#DAs z3VzYq^ZT{;wgxM{Yud=~nG2Hu`r9zh*)~Ha23P~YTU2Hg%L_le`qJkGrc2g?J;_0 zMY2U~FUVOyg(Sk88cueRewR(7K7^gwu;gf8AEbc&igVC$rpIe)fgBN5k8&IAZr`|M zxf<&5$%a2NBJ3j)xOJhnL?M02p>vfcWpruNiC@HguVl?mfLN}!5aJ1>9tuCk0Us7` zZVp_+8opz_LCjuo*%Q(@%b4v$ZGc}}`(X6DJ* zPe4ln>Me@D>3fKDb=3J+_3T8*$;`hLTfB18Y?S+xENSH*{7J8ZARI@zk0ywnoZrZn z+?53N!IzDk+;5y6TwHB`N1VPm>9lZqo8Mh2?!&rLfb^R(kUvMr7?WE%DbQ|uEQcWu zPRPrl@EB0QCM8O_mIP!sLbPymURdJGx@+gEd)x|<;-SHC-ixjMY=!$bJ5u}yu+&R= zu!(q5>>qLy^$1Au2j(t2RMgLnzE^?&j*9t`9#NHT8yBh#Vd*Pf476c`4mQNQhWi(D zDXWDE_$F!38xbH;qR7Jm^A?}9I$i>%o02Iag7XWQYMpWm+C2ln} zU`#yVi^FvR<0AjY#ai=TGR)jP^s4$Mh9e3NQjF5O-Ol367r(Y;Q9@T~s=stx2f+^T zy`t;YN-gx_AbVVM1F2jy?!lq8&I+^M*`T3Isj+l!!qI;R3pa@S!mJgHb!b$9%=ZnW zMZl-5vJ-|Q)Dy^3sN$q3=&17^t(-vl{d!qMgmlxpD`<`H8-Z|j?Tt8TvNM)-^85a% z@HnEcF5_EO_QrG9F^bns%0Gt$A;v5Q;C`s)dHu{71!}!z zUP)OugBF?ytknQ_95Jdy{Gln?b-}rC51rB#*(ZjI&x-V?!~$x}Ys({`rqqPl;wJP* zk(=~t!ddFUh2dEf>8@97m5$=+*(}e>tPG$y>UvV-yHP$jOjp$u@A^W)0Oi=XY7U<& zR!;eRO4;uUqhds`lMx^#7qdMs!12y8@^=ewOR%S&@Oqrgh1|{y1$y!?Q<%-Q>o!X; z^}G#vMO4I$7mKyq_48gmKMn0Kd3MiZAd+8QVp$RSA2(PD))FKwA@@)`lkcW=GL9|)ft2m_9PZ2w zk|``kTOMXpPUc%}=li;t#Ugen0(IX&-3M~)_OQF6g>0V`*cwq}7Exi6IC!1EN8EhC z!NzSkF#G(OvMV7Wq0iXOKaT#>Ck#MaN8Sjt??_#;IT0IrEpNy zB0&J*@-O;>lV7juh2hCz9S6@YS!E2tx=X`OwnDpsE;!vC5)UxSb%;L>!*rMKO(DE) zA4>Vp=bZ2c>c52N=m>i&cMEE^#mUAI7XjiIL=Md9!3l=XFBvg8Z-SF^Fc9{EGv9;8 zkqk1u-dKyYm~NqWx9aHk2D5-mbY|as3@t zM607K$l|a+BH|?wkv*+8031gpgb;;yu_ms%RWHN|ZV~Gt-OM;`?FYxCU(QOlBQU(zn3H6QMIH;;06g;mlB2fZjMwSFT#=JI2>Zetenp?TW_#eHR9$sh~-IAW76 z7P@#L=~;e%^dpS0@ghsx4Fr;dosK(-PJL+;gNZcb_wYn_SpuXHF7nd}_irQa{nWrp z3L{==x>N9!H!z#EJQG7-BQRmSs^uc9I{!h#RFUxcsj0ccVJyu} z+$NNosW>s=KK(X+B)=P89|NrG$o!>;zRjR_qET@B%Mbj94Cvhw`S!_%JPGVc>bF_y zF#lTlE0O#cqBl1(v*C<|i=3{vTy%Epk(z6+A>ATa4|$+!Aq zl;3^H6A<%7>^ZmhGQ4-Owi)#c0&S%wv(0aSDY*Nv$Zibeb;f4|>;U)b^(x=`X~gOA zvf8EVy^MM=#jb8`3+p{9Y&k-$$nOm3{Zc$KVRbX2{xE^L3rI)ZHpd&odMb_*!l2iw zXF-Tp2^JTmi4$Y;1MSFT2Sg(IHW|FaVE_eML;Y4azA7_kLt9SvCr+kWDiG^OWbReO z47}yhxgw4ftb|KIJ6yxMV*2kRmwj3=X+NNj=_B z+2B9lIK`uMNBNgY=O=Q8CD5|sIv?6S)C$RijkUS_KMz175UutdwbiXB3}HS|Dc5Dw zoCu9>*-$Vh|0to`2(CUS+kQB(I6F@s&K`O-)9Q5`UY{%C*UJppMR}sCO3x+7hMg2~ z2c%BY9_ZB!Ji~K8aqq?Nhm4)nr5KIF9;&Wt(rpgDqXyH@j6{0ENKGQHGMBt>f-h)Y zd?J8N&2V_RUnd&Yw-XV)Rrd`IU^rhPop_9TbB9?M=X#vcU%VcN%Y3J-kjVsf1K@6p zS`?&H z{is3^Ijce#>H2n?l=d0$_7}jdyQwD_O^6xZ{h_d^7{7^RxaY+LOP$s=aOY1Ksmy{ zlv^~191+5sTTYSZ3ulJp&WpHkQg!{Y&=sx!W&_Pnd$qn9OZ)E^Y43bc6sw4>sks zdhr565jY663ORcG&YXtVcCV%~{m5l_ej`?}vv0YH9YX6zQ3+ffe;iEIzVR<(wQysX zmekBns-4?p!|l7xNb;nouN#y?q+fUgMz4tUbtHSDgkd7{t=VrSW^Jy&{`eV6TH%_? z=g2${6D0m&cST6wFoh8X#8ACi#4}I&@=S&;o+WtFK}y)g#vt_C$u;asO8ilc7ku(Z z0zM&14V7Ub(@;zsDPmH=W(XHAU^5rvoZ##M@?)?#Tuc>mXZH+7?`BOt{;|UcrO)1& z)?9Hojs9K!f~^}yQoBrf8awT0bAF%kL+19^$e^3nI)w1%5WiUTz`Tjmtgc$w{E81y z^Z|V{v`Qupm$`3+8D@tCD;!#PEYcFKmU{8ddACkH((S@Dy*hVJ-As&5s?=OhXzH-- z38IAQi$kl&)NH9yW#bB_)5a4yU5cjb9KC12M$XqnWrT}che3)}k<<8%TRkEI<8>P- zxIg7MGM0LSj;2T;q4xa%zd-0m;*Ylutyx6)+YETdbPn{tvomlvjHni!f!a9ked^ko zZ9Tbad=x2%qlB-lu^h0PoH^~xY`_ON;c9BH}#y0c%DbNP#Pr5uLYQ#aj#Fb&3PBz6?Clsf=D8ntrGoQ3qjxw-vG9>BrC zrZKl#B-rY5^=Gz&6XNKU2ORFNSVnEkPZt9(eJ%L~{3-ZY_V+~GX~lIs<6H79gtB5T z3#?mGQ%hfmxrr?uy97JflFro|xw$U`h|M2mYly^;4OflgqF8sDsEtn|UrY3V)Oi!; zsk~$AyQqx>^pJmf9^6mmv5d@rL})6s_2VwyTlGaUBMtPCYPWOT`5)GgH-Rso9_;N5 z0A-sU?C6i6qATWKfb(x3+R>klk|ASx_K*;hkpD|TyxyQH{?WVqzf^4@!pgN}gh0{%8x&Hhxw01Ws>=It;Wv4TYCuJm5Gt9Z zw)30PqUSWe46IcVR{R;tB@gM)#P=%xf9NhF@q^T!TES}?muAU62_ZdlSvW|Z!>g6d?{Ci6f8g(4Gz*Fw`1sm_)Q-UZ1-R(l^} zz(1%4U;Ig^r4BgI*L4!;Ktm-HYGkOsZ@bi8_kS|WLryd;HDVC=y-1|wHp}s4-oX4e zxXU4}QJiQ7NMwTQkdr4=^%wtHw=v>1fRCu|LwBb~I&R7ur2uP2!CJD7-16NpZT#DZ zjbRsnlIlS~zJ~(U{kWY|duOxbjky_DstJ!fikpz{21=+=>d-d)57n$)eV^(~wSm^` zZ4|?pJ@wvcdj_AYuuDnefvTtxsP?f-{Fa0MO-e!?xk z*pVZf3UIho{W)r8khJg&>$QQa?Tg%Yrnfm3EPXZXv)1%#9i!%2A97)7zuMVYPhwyu4Y*InGLXrd&6B zvN^xFGpw04LzzgRP%G=Y!ASU3dQa$8GOYWYBn!PB7a4*-(OB5&uzLc_nIlJH)x6;8 z86=I9BogO>g~p9CqgJz8O3V1$@rs`&8uSmrOiRx@JxT@|TK*Oum>PYrIlW);xhF_~ zjyL(nS4DsfBc3K(`irw$yxptP0^!?yeS1;MPIe(rr0uwJYInbI4N9Ps|EP)24m54g zXC=RGtY%}6B-z;fISw{8x;w`a^;BS26k*og{~4<+A^##1Y-8hQZ)Xwt#>Pgzr8w{D z(?kmz*F@XoN}F@_WGUSJmf*W`<)4jR#`SDMPYLlQH|XSR>at{#le)V;n>HV_Z%RwV zv*oO?S=!t?;!iAjFPEO3{IaZ0<^MhYex5NtEtuzMDYoEh6Y}m#RLNnwl)Uq1HUF04 z3wv=WyYq)=$CTY?YR7B5Ph5=LVa3F7-}XNzk~o1Z$$8BrBF(B1RQBYz--P`d-UdPo zYHq6G&BR`f7ytR!<_NY-dA5JA2=V2M4%t9HK&ru&1(k3`St|Rp=u+lbk+)2lx~L3? zyOn5SbV>9hM)PEKU8zJ5DaPc6gP)HDpBC2%M*aCSK(}r;m>C^#0Y0I8>QJEk>38#~ zId$9V7$svnP=E-m%0GO^1}v~<#3gKfrQ6(7;a7bBnHy;zPJCXispFJ+%J$J#{b+JE zvp}}NK-jlX=;f6{A>|vhVrqtib=WaykM9N}wha@-%owkP(Krbo^*>pno(cOg{qx9LLrQ4I=ub=0h^gZ;~2HZ31v4NrC*o-r4uVY_NC?SbhK@~YZqI@@50OR z@^N(LSZDWv;m;;oe$u73F|3m_n}qO71b?{f$8U%DUu>+Q_Yd{%r0z@CPA10PU%z$M zal>y>kv(N$^8Gi)ZbjoSl$&SWYz&Wg|3h2>r+vH{Ne5opzG~-gFq@*uHgxaD)nbU+ z7si37bhzY$PvCm-DVHk7c7umfn^v;p^q9GuOQr6`OWludl);hW#Vr?{55&5zXT-v; ziE|I3)7SLLG>@c2Q%K*Oy(2A#*d6p(CFhVPBfbgT+ixPiM#;gVBuhT}{+v0KdKnYJ zqs-xW{iNrpi&xs2)}pxMW+_=Wkjw&R7U>Tha+8p>KhR#Lzh;Mew26>7?;n`wawOk& znsCVBj2y#1`cgrusPnacvahVDjVTHeJdb60eUCfCTGNH%W-buplA3_f(G+kuF@!48 zv&ZMOgB-#|nIC$$rqm-vg0VfE#`qRP<1_cZ_R05yV89rGa+6yoFtjcc>+8nu*Q!}d zco`QZ7vPi_CX)Pj^1$*vUKIv{nRQO7(-8cmPZA7RO^hFOu&-DowFE0)p)8!rl4OWA z542TpLTa+!x_o_0;Xd2O(ouN4&(ZN{2EK()G&(|azLy$oPxIn&xwI z(29L;%&0n_)ixJV$4*5i$cHoNjeqoc+Wi zUttTCS&6nS`Dd?6HkRj1os|qXiapF2r)BT7L~OEdYcPe|Wh3G`dyChg8SAqWaXY$4 zuRbA_X{T$Wn)zUHESL$j`aiDtH9BWr)xlGSsc{sxS&>R5kx6TgN>_xZir@?cAX=-jeOh`ia*i>9=B$SW5S*Q+mKwRT&bg zDC!6GkRt|p*!Q#?V6$7)n2~N@`fctaI|h_rvAv79=FXM*i~GP(JT+r8HJ;6QBjjVn zw;#Np4T>*gMK3Ei2X{0TNbdZ4en+)f%=egQe7FifGrR}!RS`0h&gXo}c@p!+1+#c`4Sl%L?F*Ip2Sd5%!cXVSQxg@GS0I zwj;Zu``i5{-psg&eWORe{SF*ekm6i|me1V-yR*jay?eBUkEq&Sl}Cy&Oe|Er21?29 zU5zXzHXXnXDtRchkzMt!DZFFh3qM7Gp3S8PMvtWAz;4dGA;*0lY>NwEAFIzxQne}G zPp?(f8}2ICmqXwu(xNHP=^3~?n%tyTR6UA4CEb(mzfoi4^fb|;EoY&XnTf7{>da^Z z-Q;e)iN^M}_b(*xUZyb^$Y6I2IiBM$M*AA~Uw6MC z#^LaG&jncIz*A;|5plP%RO1KpWk!Nn>D@v6tuiK#NR6!zy3ce{pXd~QuR7?vqBTcn z7dQ3;+@E@11)s{vux}L?B=!n4@lD|;CcZP^_+AkSc^6y*He+=loI6a>5o@Ryr7hT+)+)0ZI#|3&A>k4e| zuafBJ?jsD}#}x~kzK>IFZF14&af^{eJ~G$?HvLgp&uq8;bFUlTrQMX$fbmPe&40l@ z9XBMrN@y5-gmPu$zfc7i*DD6Pc<)}rC0%V{di`gn`?|6SE&pbMSj5eCI-8lh;}+7p zf<^URx0kPY4?GR6jaZ5~616R^Ul@?R)6A)?|4i*xo%gr;&6)-JbxyrQrd-lh@mPfD zb4*&4-0o}S8w`6pL14h-X#QaBkI;?>ONlw1s0iMc8=mn{UzRSDQQ)Z}WC)z+bwlP% z{^&djlKs|GY4TZ@Q2KW@X+y%p=g(2M3m%~EZ)W{-|E(3%*}zGmOU+IwyFD-+Y=1jd z{o|sp0fMpgQ$9<%eeCEStFJkN1U*W9f^3`{r! zKGvxLR!=L@%ZK;l8!Mh#*Kx(~SSri^u&e7ah==bgw zJ#m;I2Db1uqMdWFSQ&dR&t^tpV#$&R}(5eTh(xW_Q4VY!-^GS|r06F+QvljR`1o$)DbD^Bt zW}iMZt$yzDpv<-LM{chKKWsWMq+|l$+QlXeOfNPgp1g7X`K7+f78P~sAeTd7z9D)v zRuB~>x8B1!A;8Y(F6(FxC{AE%Qz*&E^5geDxRlX@DK=^ReVKnPFq)=HQ@hzH)**hy z;OnV<$&zPo7$6hE3(H*OGSaWJ_ovPtYbqZQPnCmTruF6&lQVC49`smsMwZ|lHpQ6| zXAII!rMKof7?1X|iFl(;b#opyv1$3qQ7q}x3Ddsqd^w~?VeaEtjv%eh`IYH7*gs>y z_!H~v*q6Yo0!lwL?s@;AxfVMrT$*o?Q4W#&XJXFc&^=eL5>uPOl82@vyN_l5y2;gT z>6)hb_BQq+3CKkX?G63(Drq#y(3>VGbUz<8ktvoEdELm7^Lk;c2*d|hjb1USeeqbb zLFClKq2Ote`qkdk8^jJ8W@n>D%H=!vA^moDp$QuoE9toXVeZ4;Bmt0Ms>*-yw?;&{ z9sTL5lqP4(ZYZ}M?4?_|OXNR^{je{={hVJ<=IM-D4*tHogad!~OaKO>qvm07Kel1#0)kiW6)Q3MxT(%0)ZDE_7OtoU0d12L9!C=8I{ zo$F+}ug>^fwg~{lPY@td+>VHkc2Wq2swg>vd)^p9=bQZikPRFAmr`iwea7;q`M!kT zze#_QHw?!Sx|#Raof`RV_A!>oXb96SYzH_55?!K%G zx9oUW{(@SR+jkO{LUfGBnw~XaNcTT6Ls<<1;GY-@FUr7cdpRma^8Wzm^t8`=@7i}q z;xua`voQ#Z_|Pw2VFk&U9GUowwzEy4lfi#XcMh)2y&L=Sd-})Q14EA+fqMWl`}2U9 zCZ)-kGUPo^;40L5F45)s{?CKuOSbMS1B}a6>;`795dKW@(QSAc_3N<2B3W;r^Tr~z zAkm-<7nbl~QDS2eFfY2>q^n4+?myCy`Iw06W*Zdp4ioy&ak$9bC(F<9mAtQd0`d8kK6*`#4_4W*= zX*6B_yd-zkzBpP@C5myaB}!71sd5VWZj1Ap7}{h2iFqPeCFKyaqZr|mvu~jg@p@#S zR!kvc#Nb1G>u&IWjo|#Q*v4Vkj>?YcVb{H--gHkzk#wKjVOPLL7WVrz?BMQ@XPqSG zB4+fKV#^QtSl_Ylyywr5E4||GL8{{1{4=q3n?Enxy5BL$vXP<)QlkQPgPVUb#csgO z3{Z^mLUQ7Z1RnU@c*mcPd_R2X!8cX8Y?(OoggnhA{!i}V&K+g+=sj~X!GmXFFKMxS zprD0G`I+s*{Y#W9xR<#J2{n3Gzi%e}et2+qn_+V=Q|%+@R|9*eqtnus`;9MG3CZ&*PdSUU_-~X8 zW1nC~EjkVnaavy@vj7zEHojKx;YNAKLjrO2U9eRc{^WXASjIra6M(qYzy#a%(oX{U75cx`6DXsjqccUQmcnv-;7_Z zLg{AgeJ5MLv+j7HwV>Czd0+9lyzK3cc8&&DT3v)!LL+!T92_ z>g}?+V%K2rA_wxf3E9)Xb^_prWCsVk&Ty%gSc8KvuNc~^B+soX3(mWR7h-(gIDazf z`?X-XHS#&27|mjXoFfW9RM>8*+;Zj}LJu8Ch^CBS7<}-EC5H3R5`&rClQ>EK*%QYo zLTF3Ukp*`|s89Cug~f$+PI~6U{SdCktA7z4O$LjdiwkoP-e$fDEr=eyZiR7+q1HO} zjp&`0!up>6K!vJd{Xa=NI9q4N;e?|l!Omkv9m32qi)1JB6gGZ9zN?H~h_E)h4oB(m zU_2{7*igb=A8tt>2lUrbwlFTD2;e`VGn@fiNC@1B6|hE_RSq-n!R zWf!q4YYTQps{fe)jjz_}+^F*L^o>3dWbDm@ue>1T{J}T=Nt-+5T65CvrCcf0?{$&m z+CG$1r+M61CdVF=8h;_%V=~G};P8ORkpNe_V5uZ}@kN@UJC%;A<_{+s&UtiuB}KI~ z2erPYI4!aEZn<7kX0iN)lDTiVXUGVTy9KrADi!~0b*~Y-v`WB6--BtnAdeSpgTMxV z>wF)#GGmc;tyo3+()FYi-Pb?b>o)|X$fEvDIWx7tq2 z4(`VM1|>oH&2`i#E=Ni}e6I%lpt5V7V}1Q3w9SObqs!C(%Camf?bz~*)Af_>qqClM z?fFYXbrtv6nJ(fNf;j{}(X!mSn>um&Wb6-DVM5{$p{n}!O#G|mi12d%q%WMKcWE=@ zB%KH$UVMd~kM2rg>R2?QLpJg?D4|9aWj51N6*%v9|7pCla>$-E@B$#FgQu zjN%h{3UjK#lXROU2=j$Ty%+(TjKr@cLY;YY$i?f^clgx*^RhB$0m*!Rua9Ydd+R#O zv1WW+&G6!#?qxXrAVn) z=KtAOgxpT0KO$+zaE}^u$?bl%dm_om#zW=_R+KRCOC0Y;Uwa((t||gQEc{01-73vc zjJAk1BY4>E^Q*hXoD*n0V^db$`Z0XEq3!_UgWVr!7zKA|bBzwkvmiH*oxk1R8raCI5ijZ= z?~=F?D9qkbpe&l=TGmmv86?3k6h-{7*~Wf$a}Lc2xH&Ouqov|*j&%nzBESs+f-GI} z?67ym7|<_^QoxH}vY$jfYQxT7c32ptT=H;VIXTXG&%|FvG<9XdnZG|0^5DVh=UXqm z4!%>Sp76K9oBOw{`^5QU#D~9*3@SNv6O6Rh5I$SY#7G8@4+?2!^k_4mnajQi2-(GN&W)}7q^%M7jhA6}WJvgLduKYeK? z_1+|83vQD@xeP$*u>X0qZ5ZwpWLtZm(FZYk;xc@g-QYX= zg~GpNa}T=}N@TrTUED8W9*)&6nZIvz>B*B%BbB&_)y2+o_l?os`S;?@K4X8~Gl%QW zTZ{<~?a0U1?0qy==WS<;*Cwp7h z{VeT-G%J_K>bVnqD?<9p`R4?6cATz)_U2<`ScDwkY~B+pnVs65H_@k08@ECq+TL?cT*rDDY6m!If1e7ME;Y z-Ps_UH{D>MKthcBB091nC($=unXq`7N@u=?3 z29?hD#PF+Y0lxaWWU8rfy+W*OYLpV=z8DvKAG^m(y&Uf6LrhP@vw44BTWOZ!&iv)+ z!NcBHFSYshxqHPG)40GamOJXFe6#$1Z%-^AZSB0sa=|z)*|eTXDOjMM@?$1-js>qq zYR5@I#XxnYL<#K?pDcc7m7_ED-tlGUFGV6#t6K0;{#Y!Spl)&Yaa|=>`cIo>;2dp~ zT%*O$$r5SxKWTsEgPsBz*`9wik}2~us2V%4Ify+P=8_9~DxqCWOe@nTXRUH({@O3o zm&A?8->?v>3(O}OBp0pJ$g1!xht0=sI))htY>ML61O_m9R|zm1+Rt+7Mil}BE+@I> zS9HEj=w8g~)=TqmGB=97p<&cMbo%_8^M_I6Dmy(QDO0HoblmrjXrfH70=m}f?GIg~ z<+Xd&+HL;6+q)I~Of_McA#xv8OGlp;438ebXx4Mol4LQOZTt$7*O2}s{<^p!FpaBW zt1)}+&VlKp*^Ep*^@m$lGOt`_KL)>a={9#y?A|1~n70CaDgccfwZeJ+ zg+8r`=8d)02h@d7jiJG#7SCs$-_<^6TewS=YmVPoKt@{R)>R#`#*)~tqb{xc;)wpMOS`>>>pl3sB*RhFa_4` zgzWR<`gT)%H@e|75m^3V(x5=iY3Qg%`46x4td~n#LXJMoZiYF<@ky;C;Y{ zytFD$9O_Wh!CFHzb}on1M@Pqso5P}Bgq@pT@%(c&nm<@H_4%`0O9@+fvt zSPO&qK*fPbl;R$K3C_ll_L3FKL{`JL6tmtV{yK1_{g$FpCXB1Gd42mxGqsg5T+AxD zwZSb-2lidj`T35iMDg-gnSMAU?f(M72|o4+4XH7L2sbW_5s|iW|2f?!eVTn8y+?V^D)^to_pE}x z3@)$>&nnmqJ$mk01=ERCZrnETKg0hrh6?5VHi1ySL5<%2?vUqmC81I7qY={G;Rzp# zEJ9esKrs`>Vk{B9JGvj9in!Pkjeed$yv{{GS0Lg(b14^BGNcHL$hT$hwtk~P=!erV z5gO;f$XhGjJ>e!I5%VH~R`Ux4LXCcjKuGsNNJP8gdTotDNpLi&2tm?5iH?CD+J)vZ zcrPG}rtpM4(qG)dj^L*ZL=@ug!%fH_RD2miLi#79LV6QYVIh9sKtLAT!xI)_z=VZ3 zp%7&u?lKW7JA>#7X;(Fb^zNj>LMD+4$l^<+!a|0V3JckoRFsAMh604jhHybh&nFeq zZApcN+(arMiw#JHg^VN>7V;HR5x@Hl*JHRSRMwSLNVgyr(td71Sjb_7N-XLA)WVbtE~D&;!h|N#=NGokr2X6-yjvz`Qf~@A8HVh3AbwTHHIX( z8L3ckDMCVeEU5^y&2&CN7GCTCLr7mpDx_E9v(W6`@C0PxryN8X1BY^9rj7^+=`o~2 zdWDLTvXkHm*u|6ZL>U7=<{-@E=64}|02zd|cVAJ)z%M!oFRtQ(kp2-Fg!C+UB6>Ky zSWb6I-HQtdj);qN=9=Gd5MF$q3!=Q3H_v$SVN{Cp;-=UkyqIUQVJIVSO!S@XdoTDg zMhM8l&oc;zqCQ*~Wu}w)N2t+nGYB*JjRxVe>Bn3bk;yj$d-9Jk(<`LHf&2tA3&`>~ zg;;&wgG}dgL6~V8sgOR6QiOChzH^9*kneMI;T`B$WQD8G%NeHyWZ{<|L=<=;1?JH} z1$R@JkRCv0A^jmrg|wf65YoO8CoHfpvcdx0SR^0|KOG^;0`DTTP(ig@`DTfb?~AiS zx+gp#Jp`Vxz?UdkSl~=%5CXFB+Y_QJu=>z{C7FfFc0;L<-W#5f?h8*y4~Hi#Fwc!F z@Bs=FkcA(w5V3*Lkh-ELRFGSX^zMX5NI!(-LOMS;a^-h6^&}t*zj7f;#hpcDx`C`CPO>L6p)4A#}K80^~fO1 zR2|KhQMypUNHPl*_`wWOx@N5k70e_R(#wgFkgmZK(%Vp&(6zj%4O#eQ4N)r2&o<38 zo6JIb8A^q8oYs*h5G_zDR6Lv-7LbLX;1H$D?&`=pI|f0ECbafrAxjljl5jq6|sYK;lPp*K&-b$BH* zLVh0ed?WWGX5%7CRWNs&RnT2gB;=PjSNcHBq1P>{LM_z}k)LHaUeBhIMf}w97sf+O zZG{?7B|<`aIVK2QI0G|eHU=YLjFoqw;C=2sQ6A=vU%b&Lh2A;&XD_-aKOfXi%$RDT(#=Ay|W+#GyKzv@p zPfV1I{0)gAQ-xzkNpn@KR4q{f6w(Vb>xHlgW-G7p2{zRVGGVj?+1hK66flBwtlH2 zAD$l!+x`jL)gc%*hb<8o!MGo#|BHkOhQIIf0rtT-h%EVp`e3|<#07|pU@U}@&zKL! zu{9QmY0)AWcOa2Z$qq($B=Rxv!Po#sKIc6cMm-E&le>fA@S2b24#rrrTy7)a#9wS(bfx_o+eFs>#` zJ{~(5XCjf$zmAdrEf^j?EgU&Kj9Nezm%|ewsKK5(Efn;WVxi!UjDMmO^tfrEpvO-O z1=}GcN&@;QyBog>Mr4 z(MpKu{NL<^TL)(L;Qij$|GoRv&CD4zcdxl=Z9+Gt)SgscLkH1mr9&QZU&OCwFE8~1 zWqtHAw!wT0=ECPpkftKeIaEv4)op}YH|wx7bBKkmtELM+-;;z>jq3$G=BTE~8+^mb&Oe<@cMP;3ARAAW8LP z8pvZSYn4reytW{bdot2P)KrDK&Xc+;|Kai}N;vm%la}hiizKLzr2?H-yx+WyQ zpqolb)b;UBX<-XI*h_lzD&^V5@`=`FqJFgX;CdA`U+6?~ukr5D&)!|cxpEE~9-b%% zT+o`G!z37Us%+CbpNGkQgkH;GBBU!gY|-;8 zzmD%FAgi3hxn)RVPdA#el_miTxfyF`UFr#*2)mw7>N9_Oh%3@-rTc%Ro{)0&(CFe; zVoqfwnsG&fST7QPf_!3ZZ`+O>Etgk}vpG}tL$k&R0nxzFz&=7;15g(`1*L$whR+Hw zYx>r`>rV@;XhMRc(s-mvXXw|My16#MMvWOG#or2HJlP=R+ttI-Mylh5vABW*MaDPJ zZgh0Bi^hOiJ6E%mWW75xH`K|hnbnCG^7CO3+0sfq<9$Qyz9EnrYmU@r3_(`=d~>Wc f$S!r-%mW#aq+G8n`}X^|-_O1n6T15c009604~R%> literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.cmp0.ddb b/bsp3/Designflow/ppr/download/db/vga_pll.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..4237f13513a016b17ce9c41664b22f8a628984e0 GIT binary patch literal 74452 zcmeF2Raab1w5|yW?iSp&ad!*u?(XjH1PBt`3GVJLjT79paVL1=5Ue@*_Bp@dT|8ADtgL)w>T+aKo(>LV|1Yp)9pT?BD5z4hPyf@afBBydYKh|ij(t8|^!RQnEkAt( zqqh5&$>58TkMl)ZRLOA4{8ZtLDoRFAgWcqjv|#F;aBa5$5`97AQxLvuwAIOvvR;P{X>MZ`MbHn=whQ2F@rJRZ9g`#`gUAPi?P z{*e~d${!wA%P!Bwb$ucqF2eHW2LbTT4AQo&5+{5L~;p*~oLDR*~577F~HEua%SQXk#4u0Jh=S~BApRxC-q(#TjYmFm9!oat=6q8o_PWh zyRRV1uAA$!z;mv+$Hs7tydVBy0M6zDyxqT5Q3O8%1N?U1kHAZC8J#@i<{v5`*!lk8 zuUt}0C;OM(?_5{@o&F?hL3#q;_x~Dtc7wOLfJW{pZu^ z_e7sMN zpPVzs79cNpx+IDuUCVby9d$+C@E8WuCVxq|f`VETdCp3^w*|!~;6QiScW~R6Lc)hi zS6Y@y`z#&8CExF#QU!XP2Gda7tf~NVjkaQdtI|^*-{QkMvknbC>$_?GTW8vKs|Vao zy}`7>xL4QgN9dBRI$MPL)zhjx#4ryon4LG=>hv4fK%-#+droXlwc1?l_MO+3_69|L zH0OnLy?bWGn+4jQ?smPWhaUi&TNc)fvN5lcjHk7WUW5>8lf-TL=9*snt)$_0U{Ze% ztAlnO4oo-d74~hE{Arz)y}J*Zh>K!TUGi9$(Q?NTQ~guzJEj5Px+=Dj$G|0{^renh zzhIBKDoI$mHE)VhNOq^d>LTg+X9#1GANxRAN#__Hb)(#2PHFroFqyfF78r9D$85N&>bsET@0F zuoCVW*F4>vmMvsQ2JHLMEzBiS)XCy^WVu8#Xm(s^{(Xs$40gj^&)x)#?fFT9Ula=n z)k@yfc5`7OB1%lv*66rn$|VeFWBY+$bYFE#wu3#IH*Tv*w`6va1`nS?xjvsdt}fEC zCvvu-b}@qF2Tjy14*3~dUj!=n!}`sjT!|%N7qsxa4AakH@uKBSt#{X|K6+;DPYPJ^s2!HHjHGNcFq9s~Bba@dY3hm6I^yRl^>w9Z#=ml(JXBfH01 zq~9l{8R7-LKPWz*%8~GotK-Xr&(X5{TR$J3MgZ(W>n3xQkOkQ#RzWStzjZvDjs@w~ zsk0seYY4JPY(@z}k}tpLynPG4EMP5xkK6l^+KC;Zp-vB~CdOkLL_`L#U#PNL;E=bG zOG0Z8lHt7elG`Fn?`tTiq!o!1co=6iR4A)@GQ@F|$m|l{jt3{!NBqF8h!9bS(CsWW zV91)jkxb^lDQE?&Y$NM*JFbAEWtQd9%@)*9AQygmf5v$ z@JT;bZ;DtnT|ZI24hm`g`Gs-@!GU4g2p2jRzb5u$5aFm$^xL_bVGtXuO1pvA(<4+r z^JfxRd6F8lR&0cX>ZVmCxmEjrjJs7uAO;Y?j-yczzgTqnKRi%kPRIwB>yfH3UWsf8 znI*U+rJz11JASwz#`B(eV5uQCVS<_&viuJ6ZNYoSRZ`OP8{oLx7-P?^X3siLgu|*4 zlgg1RS;M~AQ?M7{cH!uZz-N)_X(p!F(CV8Vcjq^5QptzMlr7kvk*;J?I1o&cEcXkR z^>ou-hq^ZBQs#FF?nZIIn`Zs}V_V-_S-VbeMMRj&0sc}2&%V_Y$QINo5E8)<_fZ0{ zT|wE=aMgBZE+(MgzKyE?HcS70&ij-_J5m)f0YcJE#wgWGIg4|x&Lb%tAU|Qjk-t%! z{0WA$@Ab%>tsuY{UJtyZdpfn3>asjc&x)fGw|%5q`rBzD={=IQUu8P@ptdUnIt?8% zwtcR7e@F^w@TB9;bIUlXnH7$He5qWVqIQaIUnT~iRhQqFc;W)MvGaEqpuSWQh_f1G zn+49&gA2TlHVwx<(PR9wYn`XL>RsyrpPetQ{xH#{EUm_7s}_Htuk&t5J)bbd`5Vg{ z4CUeZQQ&TKib1=eqT9;*_Mpb|)a~fBWW2v*+otfoSo82I1?a9QoNdEJd4s0dsN0xu zMKV^EV?+LVD-lSaiqM#SH4^R=xTm&(7gckFJ=JhbyaVP=w&pSuiTD$KH0$&bp!sA2 zT5$4zE*dlbd8b`47R z@+TBBkdm@(8k%wn(vrTpY3S~qB7n7lk-+T32r2H

pJA$+O-*B86jLUxnA0Py_n15MzWTcAK&;Sp1k{yKnTZWq?Cb9f`9T*CFhxN0ZL zM@Avm@`V)nlQR46c*o_&Y`2K6bfo=}u4E7~v@+7T^|}&EFmt{>;+h>Z^d(*OK57Q{ zt#(#G-1CUzi=Exl;Vq}H{h1LQsXsH7K9*<@56()rV>mO$CZ%U7{93Xnp}aKi>*P8q zkTl^%&hUx|nlOg38V@?VB-WdSn zH=_wqaJM~4tP{`4hW$KEi#RKGM}lduj{6xm%U~?vfZKpn8ZBLXh{!wRhJLE@WN-2m z+M(L3j~}_z8%cIJSd4{PY*ANE&b zXuEz-;&5-5BK^~&J?6l2Xyt*1ywIETVez3&@w*oFJ<;$y%=ZLQd&?| z4(v>J(QRR1hpL+Max5|oUuK(&5IsEr7wD$L4gxGju|ga#h1|Ahw?BG z8KA|(?gB&(R7XP@><;mLQYkVFCOe0gT;`AK$#Ldl+0r5mF9De(KBH;4xL+Bn)gSA?q=YW&1#fVWhg=GNB2yM#xl^DL_pe+>*N)M6Fh)I zxs{w7(G|a`DY-KDBw$0N-d`pl;_^xmavNpYiX8$0PwV#df{yNB$50+vbVFpD5y=|- zGr~}lGz!BD`6V5DXL{&aq43}RCs9m7 zu(Z;Ju8%6}q*e1?Qh(2_Xr?O93#h6V9dB*_jEfUmQPX%H)KHIw4sprFVwOCBU%n_F z^EUUKKBhEfFtLeO*67gL5_**F{6WBu-*}PP5_4k#5uhdhU75~7fuc)7hUTLxW2gFz z;lniiRL^<4VqyO$kiu>Qi{$Mo_64h!8q=K8( z-7wVdOIAW(umsRh)0|Y^K1GYZetx;je46ZV@NCBksd|H6Lf8L#&aAfR{~QnOJ{|Oo z59)&B(i@T!7BQdJkyHY@krTv5rcLcd6Bm2lDUHhA;Ah_TX9WN<7zN5-h7a3CTTljHj<&!YM^Gxen+5b(ao;eT?8X5~BmhrOug}Ca%m3wCE zxS$&r?ykntlVoXxkmK6cxoT7G$XOz+3p-G1#s!Tie;2}JpohDx)1^UO&gx+asK;oD zU8yUk6Ann$Zw5z#IrkPWs+)hq0Lh_KSaAM+nmEqbctK%z6;E>hEY6q32)irX-qr2@ zyMis=&X2Vu8{cfH4!CD0)mWG-2J1&tnc#&FRZQ{rW}+&GkNxhv|Mt(kFo;lFKR8 zo7Jeyp63X%0*14psi9Ae92}OFc5W0+LS#IbSvDRW)1%C`H)g63<19fLititV*j4qL zGP`e|>mIlW+_D+`X#QpyK=PAB5Bd~NC^*mN)^X`9EUroTG={SQ2GJvKe!45{M-qt= z_JY)UI+ch+?$}m|dh{roOg#N$Nek_=effV~W94HP>MjQUexXoJu`PD%0T|lGg)AFn zc$IE*HFL+?*#2dootQZsd;o`w$!{T02vWOdD_bjny>(0LUZA{Mwg7yyQhkDy8B?!6 zba4Xu{Wja>H}|)-!J`x^L)y`sPx7MlVyG|)?~6MxMv9S0_M}OY!=`VX@rs2CJ%Kl>rH=)uhu#SI?Zn(eqS(J!QMxJ z{e37;8U%MpyVv?Bi`L-hFlWyO_=IVD=xO^hX~Tx~3Qnqd?iw;w3FdI_-P6KNM(l={ z#^SV~J>T}PbE|fXJf~cz)=IQ$#v7-ZCg?1rTFXgs4}dq(8=%p4f3C4P+^?%Kdlq(w zE3;A_JI3y`<@($^y_5zN2h^YZu_G}!Mh8d>Ebu<2SS8SdHUg`(v(5(wG%-W$ORi<< z{6u_nUnAg`h+a*jJ4S+H2F(V&?EGez zx)$x3kgG=g5X8K2edkC6yR$b6HYUNfVhdfjP#K4jZg#3=mWTIEjl}&7l@V8cVn}3- zXh1C|Rxv%1{%h1>^I&J!s@^{Na#p`FbT^mFElBeL>FQn%HZu&pfM`pR%T?tWPPH*; zk^82F(R4C4EHvfEXqm_%29M?p=+_DB5}p3tr}}GiCczQDo|fv#oB71mJ54nv1&x`F zG2PK|G@rahH391VCF`0i2ArF`law8EP7$1Im@o4;k&oMp%Jh=mol3)t_*UZdu(b zHlz1c!wBH856y=cco_QnYfS>}t}wV%HH8Nr}X>=UrpwHH=xaBm!UA-?L_E&&r4inz%VRP8zR=O z^{0AoT&aBYYpdH4rqYMfvsJLWJJ;2g84peDB}IXDk(hXN#N6G&-KUj^ALTZN6cg?A z>e}r^%ROsD&i67?O2q+R=Qlp$;ja}T^V@0m5$vsq*Lm_OM;Lrni`J>_MQ?MzZKvSo zb}<)B_sme!Jro61CkfSxdqFDT+ymRBbgP7+A{|?6LezSVc+M{q2=yBFvICx7pvTZn zWu6;fvje^M{zduCPlKhf?a4H?QoA?6^z&zwd(FBH+XdZctLB5D=zry!F>Fs(2Gp>I z_R;>Nnb12(_Oj5NATXQxE_ACdaVX7E#JgG*Ldf|yy2$ml2E6gy49wcQV{{!$55sEu z`DVq9JG1jof7&3a;w_ZyGyzpNeRNVAoncU%+Rt)^3<0xtK&zWM!85b+UvIDoRox}s z>4s5yor>FpT+hP0wITh|`plljodBCAGm)eq-S7QBIXL8hx3!MbH$=hTk46c;N@Ui6 zd-pT36no)x^F#OM40Tf_QZ$FClcqs|{LLF<&HrMrmGHJBA^C949p?lVa-6~a1m_sP;`W;pj`<0389j`!XpWZp z;a{|}d&D;%9Ys8L(pe^8#)YNB6YjT990=ZP)#bny37V@ih=Sz;@{ftLp(*N_*tByEaq3e;CTaE~DpcO=iVYd-Zdr>^tlJEQk zek)1$cJ4RVy&_jZ#V*$?h1&)P3Rb1Ji{_ym{<3Cl`Ap};V2jp-sy0Q>I#D|7qjjE) zrB_MBq13wV%)KGRapTTKIQ_}uCtx~@)=uv+!+KM9O^J$8PX9Hr!q&XUElXv7j+z+Z zrm2@a94*L$w!O73KqE!WwdlktGJFtT{2qZho$_UQyZ&e9b`l4(?FxQs{f1lQ5D~IZ zs)qtHC|O*k@@ou-gBAQRy14JkU+Xqr7PQq8D#-()rn5!fAB`pA!KVlO0!F{p7qd`Y z;jHn`D3rp)ovOwq*m}ib_?k7AG|?592Ku^}lOK+&?*%tXu_qJJE9|IFI&rrS$1y00 zO&2WzTfpNx=CVV%Lnws0510kZ-FM?%xpHDI0_N-al6@+?6)fb-o(Ci!chuwCeif`= zf+kdxw3U!bv^#&y^qxs5OPS*z@+tiiK%XS*wImi6++$a=A}FO2ccG&uN@c`wDgv?r zGOiO{@;&2ItNZ)wHpo@S6TB{HO$^}_q8gIfD2^|+K(SAO!HaUkU-PsJDEqGH{YN{b z%R~*G(@l-an4XmZdPj-Hg&!I%+M=36d27e4;PvKj2)#b2^2>-^ROSZ9T{Jqdbts_KD0EF$zktP#F$y0`A^)C{gASHnG0gJCq*-d6$U!PK&N z&iQqHIkgJY@ur|Cq$EFU4Ic;lASs{ z+(P3cUA*gPz%rwLhS7#iUMX$)Fid7~mME1}v`VkVI~s6+h{geiVLROAX$wtL6RGb% z@>9TxWo6kcXbf+4Lp!(qHkdkJk`(v5Uw>^XWSEW97r0;LRuhpVuup#1h82$#@iJXP z4ckbi7BJjn7D(r5^iCnefOzNWfg5Cg#h=e>g*_}qGXEL6;b5yE5H?+kO8@Fi)l@rV zKaR$ScKwsCFhUnC;^j>CGw9@3vRM^q878nozOgmF#*Jp-bK89=Yo=dIg+94^-fsa9 zyPk>G*FV);fZ^M-3#qjHXjmk`>WIYN`;$t^iS^HKS!jj`J3OZNdPgSfsrLLabHfepkca= z-HsnAkU5fPK5dnPItS999^lHEwKK>ilb8l@a2;BQ& zRw4EwMv9_=9Q6woI*gBTjJZGtUww?pi#$?aUnYwL`}ZnAOuxJxh((&M?O1ilV9FFr zTwe&|BzsMf*jFmDEdbsjI$}Hn@eL^?zaXNMH?!)XeQ8DYDgSj zkWq381Ab|~o0)*Kp#Ksv-td%6WihPO=31l#ZqX(~um%@;u~bLs*q9ukrPLlTSb&!< zH8jeyakyo}%NLlkh(IM`5rKuk__H4|!|}ErKJ`E$!|vA!wx*x+`?suqG8F;ID=WGd z_4R^wYc7YU`Kp7zoB-<&;Cdogk+NX}?$zx1tPmpC9!X*jPjq z#zD$SxFL^!Q8^wuB!5$1(U#lxl3&fwE`?!L5fdOs#5j8$`&*y+t7Y&f1s!;Nv4*B( z<_#P3T1?N($P+%3GlZ-3yUN4&!=%KlAoY073d6GUS0$2QbcP3;L9ioU7$(gihP#M&9|~%Qd$0cMb&p{nMNvSFHH-= zIU=N&j{$GNRW%w$zkT48WGzgXt5(d>fMj$pcsW*R+D7id8~rgc;?Q)4@hS`U{qkQ- z=<(JHlUrCzCj0GW{%RM6rNxjIB?9W4m`~D;{jG?uD);)9SEhH)_@;?GR%c>t+I}5g z%d&aDP6U9(%U*);`n|Cu?lYGxEB5*#mLz)1DZK)(x!PA^3=O!c7hTz063tY7yRau~ zV#*8#DN;r`vrXenP^pue$3qx=9krdp$Vi`kCucRSQ?h3@nx|6lvJ zsG%#g0v?s=-IUA`ABP)8#TQ{7Sh}z0Sbi8cT5;n?J!hAbK4IbVSDd8;)Hg;juElLZ zz!H<^C{NX_Y}5pAKQyoNZXOwR>E&zUQx^T8!4O<-WAA2%lbR&O>^DRP4CSP&W{u%% z8oiRp_UUagr&dz8uC&rR53O(lN5Wd~pqa67QH9jM#bH;GHms;E$t;eycQ$jdEmJT- z{D05lWDBV4?M@fqyfsEiF)A{5-@LoJ6k;*TpiA*9wd}tiZi4n&VGKJkd#2iL3UN>! zLHY1Gp%K4O5SSAx`^De`kWr=ww=5HRjK+VfWFz~J$ipZVXHjU_)L}mt6#L3oC2{>U zFMMYBeyY3YIfO0}^na%Rsb_@?mNJUk^f5Bf0LMZ|>e*l8TbmY4=|Rq<>D zYU=aXC#7^GzZTbzXX$?3J1fXR4@J*M%|{7QH-)ScQ_vlX$4bRY5fM=kMs1OYQlO%a ztNgb4*9OSfV9hwqIQOT>|2Ob|x95LfivK+d|3AJIZ^qxH)+DvolcyHuK+QU~k0=1G ztk&9KrGJ00`KzDsh68rmrPQZ-&Rj|{gg$DPUc8?NA6|Vs-#f7%GaZU^*{nwe5(pQ9 zI_}DJ(t~|2P0EKHodt4(kYR#Y6dnTyEnC+FBs!fK%Jv!UX`xAr>#REC`P-3a_tQ^& z$Scn6R2L!RPwKU00(ZbZ;0NzHT0y5lyCHf6dmG)yZKCZ=UU?UvBfB-C%+6RJBr`^= z-sjxp_+OSemtt+Egj;|`qoq-#peFF-+wnC>KKBexeL-02PuX2i)y{pSE-}tp1td!!qobxAB?8qHy90FZA8IR&(G|4`?md~Zp%OL70aYoC- z<4Lcje>Ro9TV8ehAd$Y6XJzqRm^ZY8k1-!b1Jn2u(_-_tu7w zOR|oZERjuqYi?##!!NIzk!gWT+YU%)FIvtpxjPM_mo#&{m?rqAD>u$Kj`O?~fhWT~ z@ZFG*{M^mEHa?cTbO8eJo)~#I} z{+vbc0{?2f&H|LiA18AYny8+e_*+_C!HY8@q>NtdT&O^|Y{4s1ala##I7`^)tpzb4 zIYH2`SDtdmF!h<{s|fBs8N4^IK?{NAk*MrG@KSal&4cXR>h#vr5#N8DTC`O z=uFh!N>q$&Xf$IfpZ=9|dTPzl-ORp?nCPQ1fOzg(XzhGhxDB|>NR!`U+Ah{~VSl-k z_Uk?qw97}iaDj_k&7!z=&H>IA&T4tfAY7n_H7ct;_VFYLcxZop0=ZoN=&87< znPwP0&gLL|Y5P4*`YkRyzhP7AsEw~385M=FY?nQv$JLas0ei?oIXb%itB0Id*PyS5 zj!cy_*EvE1as-2Zf=4tPx9d+r{S|h-M9U#pYn?p{D5IFxXTZCuJ}jR!KllfKmkaPL2d#N1B|K&|}$NimXFYY#vYNrYG&*YK`(DA7GHS> zpA(zee9_mco_QxGn6uW~&$C1s-X{p+lMNFmHSc-(v7#Sru8)GsHEV@X^F))?EyX_^ zyu5z2J1fG7;&?#bb*txBzZy;i-c{Al72Fcvpo@^`C@N?#wEtQ=?3Yz%Aja12@u^%Y z{%yvWjhi??Kgmy1358TeG)rM0E4#Oq7*n&9=h5z*nSv zYYctpNo5;)NNCo{MegG`bx}u9(mYjae6N9&=+`K5;G40cN&MoCV&`PkQJ7#!kePWi z+wi4Cc8zt(HQGf*NpR6G)K6TJ&i?Q?sF}%Snc^r105k6N9h^$cW%?WKa`UJW#xIy_ zm#FQQDO9Z4cSqsqaZLq{-=rwcw*|BT1g`>RUu=-S;j%&Pup2CTg}WX&CBAc3&&A}v zE!*ZNkM{(7M2$SbcmFKBOd%kZg?)|ZC{UD{0L*UGQ(V8E$B+O0M{4qtPpLUUMG=cN zjnn^BZU1#6FCe;Z^}OaRaQt88|88OOHtt;TlNZG$g$6{`y@o@Cn&@W}W;$>IfOJWN zTG-^hyI{5So!=r?ZUIqyA4~` zJ%|fBn8xiLUgc>sX!H4aOMIpmG<4q&_iPMM5X|AH{h{q4-+U%-nvF2n+`D;zxusTH zUve!F$USBobi`V20BK-=ndG!bv?0#!T{FcS#IWfYbmIN> zDH1KwGtn2BUl)S`VVobiDKqBw9B|iv3S=`?n$KQ=Eet@dr1H)(towU)%2}_EJCm*n$l!e*}{~05{*qYglWMGRlT;OjRVp{SP@GJ$tR;y)D1Z$rKP-%$YoJoaW1#)e6{$ng=o~-b4VYN{u z5`#DniGVbmZRaMh&

S36)aE&$x*Tk{RuL-t7B6F)S*w|G@-`siA;-3rEtg^x=?Z zwxuOp6v@L02GMsvAeoQ1<{7cZFDfZX%K!X25QUIW-AeYQ?y)sJbX<%OAgI}hwY3n@IEh<9&> zz3d_gc|xSFs&+Jb9`VFf;{sfm(dq+1L3+I65W=3kx@6mtH zl+C!cgr9`0um39N2*eSve=pG;9%$HmgX1*&=n&K>X2N@iJx3zW^QvU2FA!yt)y|+` zu{9J>H0;D#z3`sfqXLB)~@L-FC>QDP@+r@did6qy#^QPQa^ktGBMyfvA!+*i~ zU1KPBq|eAg$)jE;`UMvWKgJmCP8=;n`rA-N<3wx&%rN&G=|JM2_=~5)Vm{2}xRSql zPA6M$-G6#m0+8ir^QG&1uh&b4X+exF)Uh%!~u*xWWz8uRmk^Dd^i2O@GiCnP&Q!B=g&=C>uy*_cI+pyl;|LSc0Me*#h8w43QI@IX6P` zRFA>PALbEcI*YP4o&K`?baQgQyLse%-1G$IxS`ME;( z^(1)x&?Q-2;FDT8ofQnQ(kQo%^#KdwpRC#HEDJhD!JZ$VUEbr%1XROMX~4(AU+MEd zhfw})3bYi?IL!=xeg1Awu9z2hdMW4~&Jfk%UMl1^+av`)PC}D=>}=4WQr7mK>lqv& zy0#D#BtWrIW?IjOnT}<}kp%fAyYTHX+Ioe{YB3seA|B3*F+bu@&q-M8g7vxQG=)1IH<);Nv4uC#-r(!kkfn{Yd?c9v0H1TEPnceT4nmXVgPUcGMKgFyJew-)*4 zvV}CMXU+P^iC4M|{Lkdew06!x+!97#8x~GxP1Z7Teq37diKR9(;=42E!*|)P;+GdO zY6|%nft5;e^%s_74-fBBEccX-{S?)I^r9q(cn1!iw4dNcBuEv9{f`KViY!N zG$)QZb6MIGRcGafYgUC!=mJfQJ9L=NN_3Y`u-zZ(#!FP{NPp}YNzaz_U4SfzH$@^s z?sI~}CyjHj@r`f$G~@?G?M#kkYrFtwUE!g>26jjb$6v)I@>(;z5-z+;7YqGxj(@i; zRz8KkZE&9Y`Of95G1dd?zR_ssq5RNJn{xz_2N7aoXjgCkAXf-qP86GLs9C%n2k}Mx z=UVxUJ>8B1kY01JMG|9@Bs&$4X|GRfY-&^Yl&;vb?Y?zhAKs0LxKFP7q~rjri(yOh zKGB*(^HcM=ZEv5gM|cmv6-)fgbm^DE#JPNmW}Kz89shw>gx!VYbaiE>?{ke)+iQ4b z^_O`n(s$c`s72&hT^WtLq^Kbb=)lIJqs$1mNL5GAo@Jm2QlV=A_rfNHg3wU;4GAR! z9?eN($h5wAO!VcernmY(lg^in*M>OclH1UHNrrc1s@i)?(J9gOkp6N}hR?Fn4}?is zAs)Z9-l%Hum&cf>{NrMAk_fJ(MO~i|JMez$OxF3aU(|c6I+0Is%u?>Z6$CPS?|g>m zQWcL@xK>X+=G#b=5QVzpy$8#g%4jer=xGTd#w5@F9H!=sg@EyQ2}TG3F_@Zs{%NtA+NRXQ3fC>ekc9Q6?$EkB32-U_o(h zsB1kcLd#mMCc-#OtiH@Ts%6!7x(KOO5su>g*le%o~2AhO~#jV&T8~?Fqs93jg zbqoU25B-&58=X%LDB3gn;xR8;;Pqy73W3Ws8R@tBA;>y4&-^we z%!8fIK*we@{59uLlB~a5l=F$)s|1l&`fF%Qq~}GT{a|2Z+TU4@hOC@R>E?dR0})D7 zuE{_}V-(7LG`f5E+(8O-Bm+wlq$ggxfd>r3xSr+`h|Ro9r!#>Ux3bmRN+p`e+)?jz zv3Pwzf*05CBH`>deNZodJL>57?0FY@0w;8#%XPZ<6bPj_dM%mBUpC;z+^R%1*|4=8TKXsXoag7#TA7@sQQ=+3;sSbHWdI zxGh+lpTTV97WT2zqFk3W6@c@e-ky3NtBhh|xUH#yZAESwK7>grlN(%d0ix(zP1d%e zKoKuDmdm45T!AHx53a17{I4e5p88-H64DGVbf4(nV67i7&W>5sBP*b_ZF+;Q59DOV znz2)=wP7<6f!m3mCF+zK4f|R34}Qls2pBn$8RU+tyo*p<8fBo|5ek780SapMHjMtkg0k^VR4@@!dMk~EOTAfsr%B|qyT?0geCLElK#ve4n zSv3(dGK=B_Yl*{{Aqk=o7wFn^EsHJ50au!@`ybo`rX1TlK#JYkbQ6U^qS2+Ymtw<)^6D+oICDyx66eXpN$VN$e; zNlzO^G=o|{eDToqoLA9u`fx4VegfVl;(dPviTi}Enr4B68q`yeZZl&%aVpIXc$brn%3&Be5oVjAZx6*;LM``mb|kMo;~@r$^Xv6~Pq$GC9==4m~NSrw3V^DDn%FDFW} z)v6mK-7>8L=9W?p5=sH9`Gj{vlLEDGn}0a@_r{)gP^n?3!DR!OUaq?9eO93CsmT7-)R zDA)#7GK>u$=>$AY%w|>d6fXBkJ@VZ2d50GhLPOOsO;HHA!-Dd&l92Ye%;6CzvHWQ; zbjkI&vv3B920;Oxk9Q!IBbpEa(-uCdMTpy+Zi{TD49Q&rkeVpLJfZTt$g+R2bwVNnQ`8QduuGdKOl!k{zIcRpq=Y zVMXA~(&5zQ>K<1x#Vm2|ecd+YTqonV71UU;8~pAXiS6ouGO0f=K-=Zwk}Fasuugk4 z-(R|@5WT#ByhiVm-5_OtBn~T1J#L$)VT_8;znF#NCY*@k)$>Z!MsD4dF+VwsMkg7Fjh;@%A_8xd38K`*fY<3?)Ys?DL2m!3|gV-kDvO zn$lj0UM?M;)GJ881V5=NhwjnoV=c4AKTlngUJEM_8EY$X(-`wCRQ3kjLUS0^86T3K z7s^1sC-47k+3|k>U_hV0x`~ESr#xyph4VCae-ZD?sVaxR4yIEiXOop%+9gM0oF}TK zxNS|Ruw-MggD3l6L!C!@H*_YNPT9bA)NKI85Wr5>q04s)i(DN|rwl~H_Vf(t!MRQs zoKyqUi?nN)6uQF6OlsWp(B|bBNt*pB8b-<+u3Ko*L#VOP-X!__dE9)}q;9z@ois4;2VFKRZ`&8RmT2JJLrJlPk6lsZvc7`C7$XUtYU{Fig% zUE%pCWvGCfJOBE_VOgiWfmcad0IwYHbcPyu_3mZ_V)N{_A2#r&WE17-l<3$`f!D)< zUiT(cMboZpOmO&tUexUx>=0w4Z*>@b733eKz*E?VeNGHp-65f&5!l)^c{*LW6b*5Q zVyEXjpX>{Bwig)9d*BWx+Q`SidjG=MzQfls8;<0TlWjP)UOhmu1G?$2;YGq>R8nHg zK19Rb`{GWAzZ3ymuM_gG|1Hn$-7`ZEBVH;euj3Dh8$>~-R-+CpjfP92N%bT zSu*|H?9heGLS=`pM#^I6rKaK1M^(w!{o<0(%gY*p8cWR1qeqsntYmr|UcXb8J~Wum zr=p7T=dR-EKz=;@M#C0$!UmO+oAz^90q1*po#bY-H(G^uO=2>FhH@m#InpSM zZ1>Tw10;bg=X5Ey)+uYa=EHC`c`wc7_|zZrMdx2A5M0RykZu;hPBG)k7%_l!#%2uQ zrtF~s)Itqe*-f(vu&GCjI@@=I?fM2aveE*^E|T`YzYIxt!PSxCH7 z^Dp5VOu+<;+2|oTFRIFKmDXDIlj~|&G89+_bymus&l$66&4xIut_VqT!o=tJjIZZX zNL8OPnZrwf{t{djGUw5W&u8Y42F$jccCjpmYnI@a88_#q{~}UN=8*bOXon3`gw_mJ zgT`EAhw{FIZk@wlC+E63Yv@&-gbJJj^vp3_y48Yhb-{ zs3s8sY90*T;Rs!ofj z^^yoDYhU;m9?4(yqQPO5dx);&Z31SbPQI{R34xdlhYW@qi%O8b7&=;BHJafpG!~U0 znPjQEv8V*eX!w<}C?!>9=ra}-MYf9c;`(#aU(8X+qr_N(PMIZrZKt3-BnHLZVo^FS zw-g3{FHUAGs)Dho##AQ9#w{BMBD%5As{JfSMN_S-fS*?(Wg*DnWNb?q4x!wW0GWKL zv0Ww6-=6@hxx}GT)!599O9v?pun7`J!b!6*QC0pw%TVpL?Jm0;6aSxh@oqOxj}(s^1jCzO)E^{vB_B>%yVF-2>#PI(i1 z5fxm_I2djUHqMZ68iBWnu_e4x-qz9CjlLK{Z)tz@M)|h#O<#FK=zcLa9lv^_fh-yN zcKwa}^-N%SBd`@*(}d)k@k^8Y36 z0r~%u_S7}VYwc@AO&d4oudvx`Q&M&6!tGclC~ewRns$}PdTAHgUfO+O?A;9-TcrgS zBOZC%P0}d}Z$-E^$$)9q{w+vTdrGv?p!XA8mBcGG+Mq@hZ4&sHXfxkLn*_;3n`Qq! z+En`Q(dHp(S2_&I<=K+JT(z2)Q2t&DX7psR--`h#yt??J+jO`d(WZ_F)Bt-8?P^QG z`aJVJInwR_jmYbfWs?J#ODI8IJ7DmWbDM}{o=yB4kD2_~ zhEQRL>YqEj?Z0F}NScLmFCdT^Jtn$O-u>3A1dE#=!o^x;rYF91RvC+0C8|kx$z^73 zjT(p9atXFt) z%%9N$-YCS_wu`ap+~GfHD4RjPPC3~Uu51L}K!5F_ad5F#nGz^rS#q8&%^Hgu?3;5` zfU|0kLYBp9%U*-P=RhU)lmK%!{a%O$3-lYyT3Y zeRjXW@P5Hi^NrI~Qwkv8>0QvJJ~}1cJfPEO1>~iMKb)UU1W2@teNnqY4!5f5lVAat2kG2K$H*ynBLvNm{C~@iO2j-%}@SSEReX=E*O2u*{-a$`n$loh&?X)QzQJ%!Y^|FF}eL*D<@{*Cq%? z+r(@%O-%@7<(uECs3HP2OG%J0Pe#~iPNbycxF$Ipv&4N;m#)BEz-(4U)3H#9s-GFN zX_#y_YsIZ}Qv4&z_s7`Gz#+aCxPvq!)#?Tp?;x;pOPkU~4sNq5^2EpCqORzYX1nNO z#+3es=X-eXz#Pmuy&oix*J~U7@w6;+>gyz&K$>Enn9lwe3a`f6e%juJdZ2a6v>lu07Y@YU(>_j11w{nktGF>fd zS1zr|vP2EbegH_GTV3A0{()xhFXpYWLG0B|VSS@w<@Wk2#KJ6LyB3pFVC6Qa71%WC zXf|6v6O1&0@p)^EovbShhIY7KyxPAjMcC+N)+=X@2;22GW8oS4lNV8WWjo8+&}=Fb zMF-O^hEQfxJywOCvJ6>|5V1|C{BSsIaz11grk5oZ(I0K7W(NAOn=@D9KlfmV=8aue9W{KFr|b2lJQB{eb+$WS zYzR**5HIa}fri(@7+0=%A_VF?TDyh*BJT3C{GvQzNEsd328kIPG?VhBGi!;`@+LAT z?uN_mrC!(5Uzsp$Qb%0S#_9Na~mf%kn!+X*Mr3Ebh9~$t_)(2PEejub1x z;&wjCA+S{aRRPe*Gcy#p0A%$t4*Q9|8YQ<1fvl3u0l6B+Q}WZ=!W86e9Cp201ZtLY z9E&+ZVFY$CKZ_L9L&*{*N8L(t(ueCC`lAt%jok|UnKRv#6Vjjf*BrW<8TOceHaJ6# zu??rSUkIxlGxp?&Yzw!~*wEM(z|esHV&EA6OmpX{!C_s!sAeafh9B=dwcL5p7@MZw zZF$c83dFQ;!%#ULS<5Jl@*Oh{*#?ap1gLb&n54t3Rid(iT;3a{-TVp!w%Bf3h+$a< zj%LYGv~Pj1GdE&PKO;htK>eM-o$|t!Ub3L?TPx(F%{e`irMt}{VP_u5#L#BuDaXv& z)Nyc4fQyL_Iem26S+iyp&IF4^!e7iH;Y!Q~Qk2{DT@)@7_DYf4;$K#@upp`jfk-k~ z-_mz0Ud#EGzS$a`)8jO=Re*vMaP%36c-5j7U`)#>jLn}jHeZaKtbX8nee`ErCe8>A zdmjV+SIKrb#9-*c@E-y!qXRz%^oPOlM^V7SiR7oi#>m8Hm{ZJP=u2cH?UA!0vYf*r za&*2Irr;v|E;!<-^TH*&?MgdQVy6x*MHD&Y zej6}0N2k0zp&H6C4uLG^aFXC7P`mWv056*~Ck<&NzB&^B8{R0t{%p(10wK#YxYjdx zE&Bk3ue`5?!|1&LAx%lMdO!MuHhGX)J!pMHo7QA(^@Ue9!|S@-0OTUyj2k4&!zDB5bn!Du?{46lf6RS=ZYw$ZO_s0l=_c zpP!;(y)yH?;jC>2$bU{@Fgzh#=~f+?=ka@bQTknnYBEtF#>OIibfz6`{LfK7*&S5i zGBVWrFi{rm=9V7hOb~a_g*!QEA}f6eG`&22Kd{MFPyYOVB2a!`^cwle#}dv?V{E!S zGypo2R94J>F-;yyUHd6W0wKRLIKzoMBhW3Hypl4DpvR^YNoTiG$rjZF`0*56Z-6ly z$xkG6zyLUj$;%;QWPcUit#i^<{+IL@ThEM_Ep}zFLw>o7y|#kJY?QS>Y7l8y73pZq zMoFp?HpsEnqQ-0zB(ux8GbMQ^>t&QT?K&Vp=@1~V&&dG;lqWr4UirRsimvJ9rU#lO zXJ19kys`p@+a42USDK$hc;|T7uEl_UH-j_3r9+(W>?UJ)7hTP46bvs4K&tm*FjU(C zLng)9m@aTN#Bf!DnG}D~A%u4WUGN>o#$j@{#;!ONn#~seRW5!Clppn&VlWgY-|7#I z;J|_MG6q9EBoDF}uu;gi zpHvYX@Rm16WV?!BZ#+dn4O~xFspRErFy?#|Kt--6uNuiaCo~Qc3s16e7dR0wL-~^2 z`_a&bK#c$;ku{Zev-H6jHd=aF$FJ<7y?wvPi}t^AT+jx|kD|cv8U1PIDSb^Zi}9xh zJ;%#r*y0OSLoN{&UUWV^9rd!_m)u-_5m43u=;flOmjjX{oVrTP(#I^*%St+nJMasa zxOooK%Sy_MVOPF3n5a%Qy{sfHz>i)oZF)IDGQC{T^m2k^n!LmGa)QLr#x!uJaUwbL zm1dQoV7c#-S-R@aLK>^m4QV7-W16g)-j*VkF6pGnOV>&&MEvTCGGe0o%J!T+A=e%r zC{1S0M1(P==RWX|>t8^Bgu&O0DMw5&4<4X2@zDP7o>JDNB4z2XHz-V#Cz@Vvj;w!a z3I``hMSe5!un%1|QlgnIjFahw`dL;_WHlz_u$2iZN-BE8HASLmw7*P$rCZdkTsT#m zOn+%)LyO=t{guT;HbYPhb+soNzv`n#6$;J~an!B8tSu_TUOJ@?ANl=b&T&5ZU}zNE zu*3IJle~rldAh)-Y*E276(`~9nKu_AioW#9_%-Lrgq4L<^Xr{AWsGd*bVQzv{bd$) ztC;>u)MX;uc++3f2cbJKe$iihjaU8{88++lyo8dH5M(})@^EJ9z=7}-_b1|LR$C0; z_?J8yoP%9R2g~-N{1%AhHT)RROCN-(epO7N0JdTJYv=aRI-UVp*KIK;Z)sBf{ zl7T9Ynq&@aO8#~x%wPu)O+H}Y;MYi4|B5y;y#MHLit&s{+-?45b`EhwI6c2sq(U`l29~AV0f>MI90S`sQfon z!)mL|k14T>kd<@2T+$?&`AQIxb zRjf_f!ov);q#~ORi;zDdy{s852T*-}BtVbi5a;D}{@^(7J#>{s(Zt+bniIVJ5ke{d znB%xz#SV2ck{}s7Y}1Y8POfXBrA1M^63h30iyAr-?I!|A&!pKL7Us3V8S=aOpJ7rd z(j>czKoX@`|9lko@_7NmmmI+2w-$AezTJ$Fq!k`QD(8sULXu60z@n6-1;?i`(_0=- z=28+rj*gElnjhL>1J+n?!9O!Rikzjw4#^BD6AQ(-ru3V^O@rfhtFg z*<6pnfe6HGI6uEWPnZJ~qd&GF(6lS>@UX6WQkAX$!wJ%1UWNEnY(u;970{}P_Cy=@ z5q}W_(8%=%;-`@Mw68HlY0?x z!W3)xJo1IE8Kz8 zten;*5a}iDPP2AV@cW`{BHKpbgK(9hVDc!xnc{3S!NG7_`hOX?|8Q#J*TNGdW&pJ9 zLDS0#5-AVAOL)Naa)M;Wl!s=9!Qn%cE0El-KTPaB0NYZ5P}?WTd4O$GTLy1lI8i2*bxsL2aRvMVhDqaomVGp1ZYlWwPw z1hA51DU~88l3ziWSB*f69T=i^4H?>Wkm^J=vgeyvXOkO&9m;e&98=6;*G`w%;rpm* zhPK~-43(9UD$ROH3Y+N*%g#G~3uBc2a~H}J7|0xC86aqWBlNzD^nvva*68!*53N`9 z5huao2Y3@1lFp$f=k!^UxOQxWnG&TSBVxy53EOX6Cz)4vB8%aFoxzl|sEJYh zzN0TMw^`9P+_~K0NOZSUWBp2D+Evs<8gY^s%^f` zBb2=fdxSlcJjx7&5g$%4H+ekrvhex+?z#8Mwk*rCEZefZDj0>?XskUvF9PsBigXYD!S2NY zJ!Q~bkd1ke*Zq$#IlKZ}bmjF0jLT5fOljcv%|zLkQac>r`2 zoNC}P{)s4uMUmnG=nZVI!+y>aDE35qKsmg^WctAf5W=?cnhhklzkyru(?ffP2>Hy1 zF&VTvxP=A;1q+k-Qw0763045NR;&E&?MdxyLTjXjQE-PiFT+PH4%R(3tgaW`M_D03FoWw27FTdgggdd6`ni+ZBhMIxdgUQzAL=laG z02Dx&KE5=kpgA~DC=Ud{E20%Rfsa!g(R%?Hk8FGbjHIx9KeVQZe2@r0?I&I7 z5uC?-9#&#@KQLrK>|Qt89f}gbw!xtI#W#hZ@*5L`7>$0;MfT&bc~PV>++f*tYA(OX z)o0N-Y=0L;8iOfQI6z_A`Nj83MEv6o6b?&%(Ae90KN<<{%N0Seui@J>e@)wXYQ?wb z1JO1=4jvz964>wI2R?jYDY@m5VfuKwegbXtRKr@X;-=k=H?an?*wA)B zD4x2gWiN+MLT@|>qNJ8zJE==RwE~*M2n69IeteFU?&e~U!P|Dj>4I?XT3%*FDl%{{ z3|97Ml=^xe602SIFB^gIZ@gz zkr(6whbmvq4c1o*{Nan6kr!r`(0P=T7$0K9?h%Tfe?=_Vs$7EbU6x+oh#p2ZJ{;q6 z%%v>DZO?ncV^gnN8?>a4k9-t(_)uDvL%32Z%fTm*O1XT2C~OrPT*&tOUMu$8;0LHD zeg>gSJ`x%N7usO5`bO!$&gWK1$8(1Mq8YfLZMxu$iM`>|W3K~T26vSk06o;du%rWH zdMLaV@vIL^t~bgB&l#lJz~9$@2>Vyfj}YxV=@=RJR%pVc2?Ygv4; z_L?OcfqOvP@HrJ@NQ!*$uOmVpR*ZIh^BV6dX3q#L((ECwQ)fZuclwgW7v>x~`g!(j z2~#@%2hRTrbCr_n;@?O1ehT(&hRz+91KRRCqN8|%*g4jGymOD|DRC@9IU(t(!5U8i z)4-b}9L;Nv8_~WtA*xvA#9^anDgJPDHl8j5azPv3u7bI$RFbZBD7-(_c zK``G36jWeOu~&Nci*f6r{w0k1LWqA7>{|>L5v(r^viQ`Fplx{H4R4ea^n$||=>kX$ zALTe&pmdUm=rKUJFC>Cz& z{DB^*v(0dq37#v#Xg(O8PvvHh5AbkBu^hOE?X6R|Ae?d4#9^XEUwvRP%eKO6e8n! z7*MYb;Fki=nZL7*Zj^SXMuY-30x!AH?QO?y~*f3Mis6VmgKhcz-qOQNICj2JA|#KffBdW9v_@ z8QOY)OpI5-=)W*AzLuT)!o)au_>xrRvJj@N@sdf5O$Ta&_-{<;5}R*T@SSdA!3g7LiY>bq+jJ zG1@`LV@CB)gJPS zT8p9d5Sk`@=P|kPflMDg%-txKV&GR94mcV$S5)Rcn=O%{lObf=Z7-{b(&Xcc#xH+Nh zF$)NT^Fe=$XGj>|-~=?zeY{J@KcmZz1LsCy_MkZBv(bBh#ev5FmydM%g{$%f+$;Bd zdbJtHTwLp@{9+Ku_z;BQ84E`I2>k$NL@*gtZrR=%75r=T1F&APOgjz}$9SGXH|c*N z1)Rw~S;+t87r4=%!)gAf7j12Qahul1?~c9R3!KEg&jj&k^f+GR+P3FSGG*$K@xB1( zU?0Lb>n%ow5ArV=r~K#PC;*#)!r8#cV^&zQl`EzdH$Mv@@%w})kM;N7{(Y23l7^nz z{Su$oR+3j0D0waQ3)8;frj6;3bHtIXN(I(J1AMeh1&hC~yJ9bPtlg*eVLl7PgKh$k z)cJE@j&6HP4C&9%!+r#14!=1pB0qi9Ou_Cw11;rk zZYd6K+R)mo92Z6OU{Kf%m4*v#HhgE=CH{tNy#4jb|?tN+JiV!3_%n;8C~Mq#M}LU*^;0zC>+!Y-5Y&w^1?y0caVx%Gp4+r<_FR1$IXXSPazeSXK}LY>K}eKdJA+?)q^Qa%-h^I z`%GhQsdrL5VbX(7gX;OfCngoVJ~2Pvr9vdXBI~Du@C=nvBm?lP{}Rofg;8IN;Uz_W zPnHQrqkOzuhrQRCcnnBhmI2)XDAG^R!(tr(gM=Bch;6wSaNUoWq*|Ph(DTn#Xv?<( zA@-WV#dA{`(I1W)SqkysI0>t0eHqd7)qA69AJ0Q}2g7U+yx{z7Pe6+|#(CH(`^6b? z^>!30WmCMQme=8f2K@6}7yfz_FPucebCo^se+IKo>qBk<1sqQxi=_tew0J?pgf7giU$A}bCnWa)-M?WR z<$^UM3`6OQAW@ru8?fhLfyL(K(XqoLEJ18H#u@%)UQ1!(;3cNTT{!e=KRHvTYU4<> z2{MF1fs|3NG0j{b?wKx(89|UJl*?xXO<6pT!U258Vb}NESjJzlhTX9c0O9hs z8!Ba8HaZ-n3C3B;4HU3g0XZTceBdJD2ag|tM@N9iGQ7o36F=v$IBc>xffd6|i~_(z zpVvzmr+ffzK*3_iX_?pDNU$|taMC~!QhC|HHt}LCQlU@AAOVDVgfGBc<3GH~ve)l? z|5b8+b;#K~DZ&G}9eQ5f&!~(40ig_~xt9qJ!!vL`JefSXWw;c`xgqY%NF)!HvL3gq)YMJ*1_+cPg zoJYx?PJFL4ij4CC;Hx(Wm&*&l{J`}S7y?o*mZ&j)awZUiH>RnupXeGU-gBc063%xO z;;SI2Nfh8^A)c>T8B_3z7YjD4O6cGIOuTO?D?%(T2N?jPY!CoH``rcQdV~L!6mC8; zny_Di=?kLifeZ-T?QBPFS(*nkLQXM;UHF7m{OzkZgB=ABUdBJ15dX{tQFpWX@H2bfDij_K_WB^~Qpd_;os4;rp#;5hCz;ZCE6RrY5M3Lf891qv;dhfouII{1*{sEc4 zE>%RO7OnEa4jLFsv7J-_SjY%BYw#V8VHIXCsEpJ2RZbFi;k>-CU4rgpIKP~@ps&t7 zkr%diARCXN5lNAIOHVW(#G(f%lzlhXNRcZ-aFz~QWx4YB6Oi#B$iUWcj8oj_vFRNL zGN2*Ky!H&um2U)r(%Xbvt@q-FC-;4F$i`Ag0Iz!ocNLgEV|I<~T>?BDgcpX=80-D| zyttBuM077|8OZQ?VedH8J`7^h8?_8)VN6%?^jc`@j@!hM|F|d?4{$#pWuM0K7SgCR3#tYz@BFuu_S}rSFmk- z>YYFa>}$xz;iom#Q7`d&o`2>?EzgM;^b^|Hsj^)4Hfs3;ys*O>zTZdwUu-MSL$KGv z3mfvV$+rK+ag@zxlyDD?AuV=1V=v-9GsWg`03_xS^!8Ys!Q#_%N5)jA0oS9bYK*_I zI`XUGk;36zKzfg{7MK#de5)VzJc?`CtL1U1#SKwknCin>oWJ8*_G)*N~*Ht{NpGWpFqU> zvbh?u5%u`LHs$<@>vK`?+z5pCpxn3-6`wC;X>3~{Tp#7eW+(_ZuFvq6!#+s$3`&3{ zbzENZ*SlgPVlPwP$q%kcgI)5=$iv-$>uO*X9o6Ni$azo8xs0`d7KI`GbK$%X`XQ%s zKOAsD&vTAGu;)p_c^~9o*d&Ls!y!AxA}0xg>X3TDvN!^M<7@Jtz z>%x<|zC&CP?3)P&DOSzkWUw)7CEwl5jl6oWxoCUX@n9d{eTwObTl_JMnC@zM&sX8ei1eJoR=XuwO zCwEoUNM1AjU(_2_5U%N1$L-&9qkAh@`~~SngMH)dIQe-B=za)1Mst9PRib0@;Zp_h zSAqcXhz{l=xjFstHl~8nu5y!9<$$gVm!L8)8?@V1af-VN!ff=CgNODk?;AZ6#9xaS ze7>OB_St`?(5J9l51)VqqR?2e%6Z;7vB(2a9}9r^@jqRwZdKmHxPmN55IPP&)4o26 z>}io^&LeKUh>WFs?4iT9Nv|Bnfw(WBHzXA^Dx}%~WXuSOLjMnw4%WQ)#@rC~F&_r| zKEwF(f9{6Nzf-DG4tnkZ|;AV)$`6?VQhZ~ng zh7pLx!uyy83`E0obD1;(Dss0hlXB3P%_&^+sW^mz@kW0HLUcD66D`YRc*|iO6nPsU z!~h&Ck9=Jh`2%KoF?9^x4j!kEC*ugD>I)vrQ!I-7yxv?s&AQ${Z8cb2EjiyAdDhe=-f;dzM9ujoXGiM2}DG zxLZloQQY0^T+dD<>X;{dsbW#TKT{NJ&acN@vY_Sz|BkkdLs4){+#&sBhiAw!!c{PALs;hbvpb7_cxIM&(BK85V(^xS_(=@!d@=`$v@pjiZa#C- zRvhA767fGIxCy$Q^+qeq{gUrqtN#lMw)c^XwDQobwTomw9}te402e&ZwL)f+FYI>L|hCbmVr$HMH$>t4LXxGjnQ5_0$~r}9o~ zFjLE*MUa^_2P<)M>pz$cuQk<7FLED3eFEq?+0nVyevSBLkm+Z@J5c2d$d`u8K zN0OTbg_9s~-uJNUBF$4>_$8b_SUB%JnY>iWLPl5Nd<$CTV&EahM3}d^S~w4U;lZ*g zIFFg7f#p1#4?F&`9)1*1vRBgJ?%?S==-6e8F&BDiL7Be?D@6*w$y05KZSw`J6wG4b z^Tr>dZS#1UKY-1$I>r#?qyX zkeNcxHJfy}jl`{%Xrzn0P;laVJD@Cr~KF!*uj^)D~!3pQ4e$HiTc` zSXm3W1x;&~RNFiwvpp4sBJ(SW%vV7o^SJy)b!`|R-~bl(xYUdi3b~eaDq-*SOktCe z)N__XnW-o#d<&(W3q1V&8lhFLBdZl%QQG&xsFfa`$q$$KAc^21IV*RQ^jselTv!S}e5GK-hTs$VNFQ&0!!4nB6nTk=o^)w6ID1QSj2(Kw=v;ej z6j9t?5JDlM7)_NO2i#ZP8lVtVbG1zNEV8_;u-J9zo1fZFzlqQC|y zQ_arIbU62c-EkN6rwf)mM0^?xT0Z@I*H#?rQM#>ej%>C<2}Eo#E8+$FR+RQ8q{1{N zOsw~hFte$``TxjTfX~ancmoAa{_nZNZ%gte5Q|RGHk;lhkn$TdoILs2dY|yH4DsHW zSj!%=azuDN#`)hz3E;hj1%^@LF1S5LfPY5`u+tyzF?DWutP(Wm?Je4q5IjU)v!5PS z=%UDGL%d+hVG3@I6(Sjn0$BmE!lESBIKC1xAZ*3su!zvzz-zIrub08uchFoU=;O`J zpcuvrjw;$gid=C63*(rfJ4W)Vg7*H=n(Vc>LgneSEa?6i8e4)&o9(~g(QI7jWe}6B zZP7H9_xsuu2$#Z(C#zd=nw&zsk>TOSM%hg=U^_a&c%y8v@!BZA75kdW6k$Y zC3e%h}!@!Q4Xg{RsIgd@tv+bZN@_-sOA-GRq1n>@t^m4uaB}Sw?~n8 z1j6+3WL%FT{{aZ)=^|Ar09NU7aUAwWB{n`BQ#x2G_>zlUO?^~J<1e4r&Y)l^&>|0{ z*BAL}Q1BdRks_1rMnxaqXf+#rMd11I2=@-EqsQfCSifP79fWN!P_So1Zd?KiE={@d z@Ig(-uv`3+Syg{9b%f6XuhrrF4+9@eeT-$FB|ok_3>5GLHJ_Su6;A2V%AUbhhlt?dhO+VAJzKFgw@fu2JRHMhxQj3E=|`hw&969r@+6S42~vpwT>9_Q_U5EN<8bU7#WANnm$w}v z1OVg8Esu;`JPLW8f)~6#F}fLXY#dkq6nTxpO9ojb@#mmiKjc()T$|oyeT`<4OsrO#NS2 ze*kbDUR-_7j_f@Uoc)4JP@wO^8pj60d3NyTo0PfSPB=e`Ij_c*@Q$br+bc4r_aJyj zRO3otZc(r-hr0j59v|%EKzHLuQWc{nkP0dpsmewF4^dw;V*nwk#vc{^ZRS9IeTJD^ zUWW6|UESFszw)>78A5E}Z&S9EiVO)tZ(c!bsjw&O=CnCi!nP%QDyT=c<^G;kWmqYO znK~RVY}kaG>|grEo#Pk5Og#-RNwrXI-{|>hUogF~&7<_ypBEF3gV4S(zA7;amm|^*)XvH8$gYGMWSGR`TDBJ7bnB_Yd(SF!3{~pMGkh9 zPqhNL0mBw{6c9?$B2GyK;;VA~4hZFhVeUi{3U~Fj91R#&--+GpQR2!tw3e%6&S7S- z_xE^-+v?LY_3;_lI{+`3ViSmh0LVaP^80LG+`;4~(BRp!%5Mv(uM_4Gr zGoGg-ik|}^CJ5otGQ=+sa?lDqzH)~F;fqi0#P?T@Xq|@-Nbsqu7kG%hH}}g0RXoKw zrrM;lEH3EJawBpZVALiq=(~SUT+pGO9z!Y|+;r9gv$dY}n@HhnP)|LO z3da;-&&ZZ6*vb|~{|_^3_M6Pz1j612>?j*4u=I@E!`0XU2(ff}#w&3KrYf??;g`%B zl?sc<7+3!8YooD6Z(JuXVHHAnZ(%FM^UoOp;Jo~T+F^DD95ydjnC@B0tbhx`$4Ax` zFK3A@x!4=}K zUz7br)g$wV|7J3(w(KLJ5n=KZrzLo8a|9&nBIG5U$9UOu{v|G-zYWcw(1;z@j3V@v zmx7EEo+qGvI2429RgiOK~*Hw+QgwB}lL2 z1x4i9`#4irtODu9;e6uiVtV0doKt3p217F!K4SbpO3iB zgt0w(2TV$$QoL=mKX|k>*ej_@9#+JQixKW4X+j~0SG6n_(JYL}*K&n0{pD-<1<<`d z>QkOK`7G|8!Tcpb+&wVrg+j=oS46x>&TEML^4nKobD;n7U3M2FSQM6nqyje-=RqM_ z<$Qb?g)i6HAY%l~9+8cm#8?^vGJXZKM^+HdWn)R{Lw{s3@8iItttUfD!|f$@h+vdY z^&aw?3kn$BDE!(vC~Tnchc^6|{}pIk_}nC025vz6>IxAS3LmoPieRP!XK`L3NBUG` zU=|Ao?la;`Tm``e5{tVyfW>Qo6G7oic%{U99v%vAERGl27Wl|Z>Vb!gLPyPsa!IOE zqTc1YE^j%UG1aG7b@sGKxz-ZLzemx+zXBPU6i3+}{bOvEpFnuL0Kxi# zK7tm21Mn&nGcoZsS zHspmPEI{Qygu*f_zo`LkKREPNwG?y#a6#u87(L>ET%hwfd|zM83LP&D^KnnuU-7?- zqIs|mmx6Xgn?NT8_n6b=I2u0Pj3=GYCa^sbo1t!Fb4ES5>ltSfeJE#`^g=Bj7DfU6 z{W*bOZ5%C!2T+SY2an)U9{)#;?Q2{aJHb0tWV)0mXu5J|2xn=nDr4bba;Gr?_bf;vkj zMKcl8t@fuhbvxWRKpvL&dc8(4kr?xk#vcM$STkxKYBCBl!$U|l^<{N{0D2Q#hp}Y` z0fzzqI<}sxgvdtUi|?qrhp~0#$JIEPw-L|S84%|N|1q523|^z=T-^@Q?B+4az~6CN zpcOmwXjtI+Y0XSaWUnfAJGm*Ajofdxf%?nyKCD*#0Og%0UL9TerT1;>^~Tb9s7E9e^g?@X+!U$F z1&kuG=fvdq_GFpmGBTcdPv+&Ah#+2G#PxOKam8?uMJJwofBLSl%%Pl`W?SwD4&|^= zi2unTsxwcYiuf32Q*YVgE>06G=Y4TO;c^a1Ii)=yh@A4pISJf0?T^)Sv|%E#=K+pW zn2hb7`uQv&ckVQJeT?csi2lCq{Nz|1t?QLGr>IuqFa(NLcK%5PRL+q&GJDBS+E66& z1mDLTA7s(RWGqk+^RMSKvzRn2F_hp}i?i<+-R`gYwq9NsEkXzsX^0vwTY#A0B(|FkE+C$EcDjIpz) zQAf)bkwlnImQJQGT*MO(Nq{7 zXe?$d#$GsyCq7g558xHn36hX3%YpzAPO5~pTYUVV1Te;b1e=fMCk3sNE9suc{ijq?5@WK#`ME_3$kmSEeQ~nRq2G#!t_&>bSmE=I(|4E1+k@^qPrvHP4^!C32{vTfR z-S|4%|4GnB{x8!17t;TK3;#cL3spo{)589|_6iHus_Vtx2@hq?-|*nr$T%0sp^?6cfb3VM<5867xs32&rl7kd9C=6F%fWqfJ=)FVbEd;?i_Z({Wx*;h+#n6Qmeuj;IkMiex zXvbu3&d>Vy!!_?vFivm#Hf1BQBwdGpzqDZrm#uU=7GhCxn@@G;|GOm=#c8-q zGvt#C@~cOWHL#{y5hwdfdvayt1kTe4!ma1!4`hfUhsv0!U= z`x!)mRW1%kz;rF6we7+Op!`heF}Iv0++>94o^zRZJ=5OCN#~M=E5#FD)+B zyMiWs6haPnftrm!PXuz_sd`d^V3SXXcYeY#QQ%|;vdX+ZEks?vG}&cPT0fqbehxEn z)4e-e@k3A({x$4!DY&2WCsZTgewVdpyG>$<0C762drW_M4CZ;UXdI| zkAZ5u3OvvbBBOo|H)*R{bNDqAcqH&m=!bo?X5TjU_cw~r`xuusBq5wM2^O0+=Way0 z<7H;}-&NVjMZiuPc%p4$^poM9Cv4$Jvd_&35g4Sx?LPC?!Y%agy9^)9_C0?rT`>l% zZA>0LOUu;|x^GbZe38<{5SJ@rT@`P=Arr9P?|H(MC|e0a!KUCh@7EBBN5LZH&vHY$ zI?2Pef9F9aY~CN4AJT?ND&(@W`C+vX>%2W2-+ z?L%46lZz!`R3wAfrpKQCo_sDJ|{%GX|me`X?{ z!)B#oYh&BvbJiFk?id@OuJotz)$e=%`R<_oFeuh*f_qX}%?}h?hW`P>G@;P+h1yA~ zP%2Go-Ja_=dFcjWCwtRby^SBg#iuTX8Q-k|!E6R$eonP8hFED7jD8UsBI!2F&t~(E z2n##d1@nWf#WR~mPt8`&3N5vKbhaZg7EA;!XQA-CztTl>YU9go(*DGMOaW)d(5uUZ z(}*N;e5uI%j4&LWRjH%$Q(xck{rtS^7drGyM1mLk!&{y>-Z)SxvB>D^K@WUEWt=$K zA?Nz{KAC0zqpCKC1*@TVKHHyIxs%;=GOZ9ZO6U^2DW{9k=@JY$#C&@k zB5;~YGPSr~6mKIve(1i5{8YU9>2|4Q zMd0Php6;}^wXJcPuJU!S(-U-V^PjPHiy8kFAw#PRgAGg^}A~-S7Nw!{HK1? zuV92T=>H&1*;#|Ud`KVk+%4twJGf7dWz0ZUiM^fXyZVD0k8WM`l6jZ)tFRzrFTsv$ zaibq|vt)}`7``sj5;wb&c3FD^M?(UP6j&?$Py~yP7-7QfPOJBw2`rJJ7C*emS^5pEK(x@g>w-;WgrwszpU;~G;VS(R)VKgDALlk}>nRpNaK`GKBR&WQ)qMu_sH=L zOv=8vlctju{b!A`E(%HC)Zn#zqdSL1SnYI6A-p8TmD1jL-O*p)e_KNtstp!r`VCRN z%gm0F@_Gy#8pZl-l${|Bia84UR-_82)U)xfKyJ(ykzAX`f+zmRSi*ly*>WH6S{Sva zQ=x|u0n!KN=i|{`!D_GU`fyZjq{6CyyQgjO^*c$AEKE})zH1Swt##J%&5U$DEROcx zFYBjd;Kl`y@PeTidf)m7#S76A_P>1?a%L^=IUjF{oh8DIjs>l;^$?P6+Ltz4vMBPW z{z6NM0h%^iCr+T@0vHLZr+0q`B)TT(nicYWm1tkx#{ZrBL?(8& z_?y^uGxa8E;w87I5qDd$mKgCunMPP=PdKSHfwW65p7-)p$d148JYGH63$8%Yt!jlHsiR=)T;8{nPtd_c4&^cmJ}_{$ z$8jm^Zn@MM5RfGYKx<5t0X#|~m*7Be-&$i!al;nhXF6E$XHXl6$HKD$;x>;$X4^&N zX>c7?l`qas24o8?JS{k5WL+^=2Hl43cx@=FO$S--!0o+B8WfW_eSHYaJ$-j7>|Nh% zA7Ez1jup*O$p_;OHFR$%=*z9*Kwlwd7?cdaj@_(HfX99tR-)ng^Nf0vqGA`E+spRg zi=9+w%Tjnx|DGjasz56xB7lnk%MK-sS=|6Y`wtkL`-QZ$&;e4mk45At{P}iBtS`F}Oz^w;OPHM)<@|6}$LHOs4l+eXX zVM4!6bQs_Ad+o{Pt+}h_+MYpd5mQ88j=Q&nu{S$!#9#=@!A`{J%P9!esga2EO=RvL&$JfXR4pw6w0A}DeIawpLiva))wPYj&@RFXfdg z=KDafCEr75oqIeitoP{aiSH8FtJqEHx;P)|#!GZyJ2F4{GxxPOtjsJYXHENbO6CSomI%7pKBDwbnE7vh=g-jN7bJ(Z)*YDM)PtBES3gkuRlrxOA5`<4dDa z!G*1VK_20Iy^ImaTSjtj*xdV={hhz9(pq_?sWWIIc|q$Dy(anb59JHxKLBMXb5i?Sjl!BA-==1fVMr7Z43$x|<8IO{1nV2R0eivmsq^B*wJw0Vs+$tt{-gI^s+I%`>NGJU3o|5lZBiZGfDW$1dPrhhp| z`}yy?!ES4bjxBaV@U4c0$7x*o0Pc9@wY>BY%WDJw`9!8R&jQ;XmW?%|*%2#e(e0nV zhE5ef)Z=Tb0oc<>@;uFa%br=w?~JCtQCX|HU_pP`ZVTM`4DMDjQo9fvhmlRV(Tw$xLFNptg6Jg(p00zcO{wHKhs=gnLro=Iau<|~Re7sfxk_5?!C(<6azg|a`q%f6XTpw2&2u=#8 zB_Dk>nXbFw+~arJKy#}llLb!TXXoGg{`Md`Yn1|QVlS#aGvPpAOBQ?|o(YpvL89gD zS%%|(4@c$1hB=e2jTk1|IaQ?rhgBb*<9!S`px$LJgbniKquRwS8ZnFjZ{a~)@8#9j z@?E@_(W%){YXhf3waHXlJ;2j3+aFiQmi*Emy~nF?jqAnK`^-~pyR^$PHh4L>z>H82 zZDvW7&d`Blqx7Zym_h<5{z|={D_<>1yom$keClSjHu$*@&49MMA=4ci(bDVul`>7?$ zL{e(vKy`UUTjSL;%JZ}sl|X-KLX$i@F~^CSC9bl5j&$UOnPCQx#On=iF%pty_C+|_b>>=0AaG|adn#eCIJQqL5XDrVj1BV;01%PrXwLQ~8y z`ktAS7}I)*c5ScsN}M6A$?>tW#)z1fQoq2Z4K;%xF@EKvBQd0F*w3R$s)*2Bly#+{ zry!gp=RcRf(d@V0*1`Zd;rGc(oPA{pkrh8XS=4fUI zy`{4&*jQ=^)>iV`GlsLwaa%IBoKF?%6JJ<(nPOxc>dHBd>Ga%|_jL8v*x4EH%Bus# z=fdU?IuQ_|x~P0o5@wTxUhq2{vt3<-ujDsE%TEy=$xhWakSs$5>6W5$Bj1$5)bwuL z9pkBB)@0DF(E?S4kakYvJJz5f$ZNvaO?mVf)qaV7uNfzVs2QLfJuWG8zYQ@wwxGX?j`F%OMfnA&?H?lU28=8u z<5dhK7amgre&Yt+A>ov3P5OPZMU(n$)^@w&TdSYl67{5iFe_xPsGdAw+BvX{w}TW- z8NK7dHA4?dF*krMiwe^5iHjyMY3ROIII1i>lqz$Cev_Ef8sNdl=DI>csm7{!&v2Ia zi|KqwDOf_%aXC4(Rjy<3W0kCgZd=|Gm6W13y5IP*l9q6DmF=0YKvtp-v`JHxFv&HR4ZdT!* zB67#O7L&VYtdZX`z1RBQt8;$%S+=CeF!LezW!~FSTI_d4az}!iXYTfY(B@_xy7dZM z);v{aFNlh*#jZ)`-s#0_W#kSml^{EuNqyo?#59(~N z*mpa5fXSto;f+woymfX*$$V#1FeUFUd~8FJoZc06SF9J@sk_op#%OvS+K254)rDzBmd}(fa4`|LjF~$HKNyyJA$?PD!t7@r?mOv zPyS_SyQOF01XY3ayW}{ct<^tmWan_A)JSf6m&dEQTa~z5VcIQMaBt^`s9XpUQdwl? zgQZZmCDhtk7k*ZjiHc;V9k)o>n-5l+_RLkzAfAqr9~5aiv()528}jfhoAxeT8dZc8 zXhJ71odXnd`(ysM?84jis9it z>CbcQe%TW9SE%#V98@{(p!Iu0bhWqPC7Qn^k3weWSrMjrgaU;ng2*!2#3meSZWZ}i zku>HGAVDiG6j#ZYsa>+hRL)%=)C0u|T6)^z$DW^|wy){?)*(Z33z8bbxT6Wu)-8E*;e;n^K4=u9V`mb}?GFQ2~G8ROq|0R$Sh6xTxGzX74 z7~EA`)@-4MJOCUam!Wmo{1zq=#No3kGt+gFUq>X&L`T*piwq{G#6*=nI|x<;KKK{_ zB&c$^Vf6jAUje4uS+1rNS+S0)C=`TehQnqv2t<$9@MEzo(5&sG$C~~&Qh?<-qa8%Z zLZ2iOCUhrT_;THWI&lMJ*u6?sg*mEmHKDGx!lOnyofq}2|y|BDcryI;2ggHH{$QP*e z&lR$c+Pf}}31trSI)&}5D!^zHl7F<$jGlZcl85@@v%?>GD@QgG^IH2ij*(^Dn zbpxzeQDUoZsE&Nh2)q7F{$NxwWCi8|s5pE= zyDPt-$s*`DoUu?(w?DReR9u(vbo%uR($X-If^CJB9hU>kQi&?eyWlFC%qxk&lV-ce zK3%U)nvdOJZz!Gcl5N|YLMgm+24Xb_@RH7CRBq0fe5uvPeO&!&oXovorM9-wXtaaC zd>#r8;Zw_;ZA-^B*-jVg|K{#!Ho-mqV_z*yIDKRzUSq~%E|%NaYLxuSx6&5pCWy(& zp5OlS5A{G;tdzama1&aEY!>Gj`LvAfV8;GV3niA3!hms1He-blC)I77qrNE*2PS(*9S_?huQqcY zHRZ=;!S1@op%Y&m28l(mra4&Er1iKduWdbp2U^^&ZwXPKp2$W)%kP)wAAVlW(Ks2` z$nH>ehLB@vW-;|*65?_83kz>aV+BRrCl8{z0hn{*Z8dLy#xqG_?HC=!wztM0QP28(#Vv}!E% zZ&W32bAFXKj~I;`Vfq<0y#p$uc4;XzRRSH&eHypqDUs%?;6s zJxqP|OG%ekk5{iWd5v|{mbKyyD?o|eAp1_^VE(oe$-LSfS?>y>-1A6tyQd3wAHXzMn&QphXI{n-j(HR!IM={QlBjo^aKCSWn zDNn%zJaOKu2WYcI`d26!Ohx|`8<4%OR2nFS$hp%{K9&#}m=wD>^6I!3$TKPb4CP1Jo-P8cZaPmMHp4V!V}5DOZf2r=>OI^ed9IyBK|E!C`=fk zX53kq-?0G)UE3jS5n@WsE)gWDzbES#`Z&Uq>tgm8|KPv3vnc%eZUo<;hZ!HLF8?-W z|IFd<_{{N`rVRo&8BO6U*)Yrg+Vsb*vykSC%2+R-f2+|BAYsSE)?v8W=+y%s{ zit46_u-!s+i^MY+LhZwGPg%acxx$r%1+7ofIU%^Vasx1~I~RE>@D0J=xDZ~Wa~K-{ z3wV=qF;caqBmEuJM0|Pnkc>7yXCB!yi+#F?pG+4Z=rn5?A-U%G@EA62h^9K@%jms28kHF@7VLOYYZ}Xj1-!Zf+i^T5QX4>g^UBu8T3}TO9D_Z9_B6N3!%zdc)Ej zn>~WarIveJRT1$gZfyGKCY!4nEM`ViGU4g5mc4);r+TqEc^m8?Jqfy21_N>@V1EE7|zE z#!X4o0Dx)@J%^UV{+w#GR_BHMv@*+tnB09F)Zz>i_p`>8AS$J_BB<$t{a$+KhCxc1 zcNW7urAonMQfV=0_1ya z*Y=+bV(g-$*hjepGyaZq!q_Xw*iJ}Zdn2$_qs5?x(MTHf0qGg)*x zMu~}_f%OQ{O9KFvFV|J{hknmU1^KXz3wYUd(s^TroJw8wgztr@e5w3)=*K`9G1gHN z#Cua`VhyCxC5PSE8y@Z<{8gX+Fm~k)iIUG*_X%$Fg`Mj6iG1QQA_lzONoi z$FWq@i~E(*FivqJ{bdimYb1Tp)WlU@J7T|nBkRa+i~7#H+z>;LQ^$gH|GT^uV=rEn z#n(ZE<`pwjJw>l|`0!Vzob6*&s;4fOGd)sg=583bLH3?Sa`>1iPdC-(=G<>Ox++fS z-^z+c#)9LA^o7NwyXUOWe!Iz)<((zSXV>0-wO56~H)62Hid4-}J zClmvV<=k%Q>|l4T<;<8UW6kpJ^WYM2VX0VgToj6d22^-QimKgIaLT&#pt8EjT|TBPY3=7!vDYdJN8#20c8=*8lK_|VE2wz+R*uN& zHsRf0i{H^tSKA%|&#|eLn$CJdS3}|gGLFo!c$r}27|O2K%{Gb8Y?&Zx)2K{$4bP`L z(SWhb?bDips?%#^wVorRLeb%h7Mmz^kvQTZVB|B_`uOoZ-vVq%W{G~oetO|^3}i=1 zEc6&(Vf4p}ckvL1T8)O?^=I$)Sk-1w8Xw^_7{EI{VH=*kZw26e(}7$aJlJxCUz9C@ z3WijS#R+n7h6bvG+?Nvs{!&uptk}eJC2&9JDN^|Fy0eN` zCik(d!e09MZ$mF5pkwtDosdoFa~?@jg(v1paXBrTKqW+ak!U9EyI z_-*{JtcxE$vF3h(8gm=Fw$u=?^uK}!M}kYkNVmbWA3W&^AGEupnHo-uV>n$Zd4A{3cBW4iUsW$s~4e&i%Hfz{{<|Y z;+2%Ab_j2(yTpC9-HYJ(fFO*XaWK+U_PLwGuIbPpS%=buVhty>bD zHsX^M=B<;ZhtPx;h3-%WQwD3BtBFE|x?`D!|AuU;!$*C>WsFZ?oF}uawsF9> z@(A(5$A>Z@*TpEhB?SExfNb|0MiGrP<~{G8!Rb%zxJC8Um-Wmp78!Im%$}(&edj0d z6RY|ya!yj}K7vnOnkLk==9Tz)^Fbm0+@t%~k@#rSgFzYiTLiI zhyLPjU1{R^zk_X;4iEVbrqR(_!2ovi?e$rYx17-&CGG0FB$%eEAR@ zG^(Ix19wJwKr+)$@MF&Iezm6x!MN663mHQc0x!Y_?%c_8Y%*mF?Uy~wZzF2mU}#lit$gBTOo8I>uWuY9WCu8SLbsjzRiFQP zZc3Dz=j?Co3>6K~)Yfp6GY&%JfQFH;v*cm9JX7`ACC+`FI zg44#MBgTGb$X#TsWYG?&T>CXH))p?G$>znX=UFi?eiW~%e39`(BpF?<*$M~gKZOnA zo735KDG4xE-732gOjneo9ym7aFCYi&)VEstr2BM;$esPhgoPkVNzcJm<{iZgdIhwI zN&i>|*`Tl)29H&5$P4U{f7d1mT|MI#C|cFV1mFxoB2*P|Q&JkZ1i$mwMJ_;BXSL;o z&~==`5>JD>F3=!dbO8^dQ}#X$qqLNAn9jdFfOyEmG?&dk>6&F|sdvI&k}u(aIGK`w zIEjb3oV%S>hZ6T=mWE-bU3uv~Pd#mbvm;|8XO#LE?X-tIGq;RDke{|6e;v(tjY2%1 z!uPp7Me^?^#z`#*wUMirfg5W|UNTvuF_>PZwa8VN!Ul?rxy^QJlFKWbx7N=L>Vd8Xden`(j2XElg!?-*>47F5e6lPREO%1kCm$m@F zAhz!?KJ^>9;`|9oGjWBX5g*%Ipp_NObLtT=xj>3?XPv6p)y$)FMdAB&yv4AVbB24?Zl57rJh^rHHqZ zn+d2dbJfS|lA!(i`fMMdjeyVLH{~c40_r<~MSRI^1O;hD9l4A*3zz1$0TlDsOnOs@S|Z&^;6p)KR0|RV&O;5NRf9pq^_f9+N{u60m$eHdLGY| zZ!M0I43Q4;7~_WtsN!^SeI z6#8!${IYGtnZv72^FT1wYQHnK!K8VX7TqNlHj!O&PSDWWV|Hfq>qV3>Cl!-29}>-r;y+lrg$=i% z85k&OLk|7@nj;g570FVrZALggQB}2tIC5qTe7YjEV)zzG)jC2~X+mz!k9RJ;yg ztm%uX7S(@v!WAETC3DMI{00pX*>T;)|2y5j98#(*>hhL4T>x#OoA-EWA8AnYTBMo8 zEyhU+{R@D@WZxyQA$Z0dp6hB(vQ{mrGp>$!9~=w|y8r7Rflf=g0WTOSvN#I=uz}`9 zF?jMN2kyXe-{$lvGASQ9SId+1r0FX|{&5{C{O0jUojEBQn;R_bH3tMZPZTC^7i$N_-D9sJ_24 zXn2o{Z}A<3fHyC2a%n}S6^*-!3y_py53qi&z1z?y?XP{tMV$t58&Tg@KL#KF#oulb zu@oPv?nMHnS@%i?ovUUeW81@h9Sm{N7o$;G%RjzU=OUhqVUW&yH(3%C7)s_nI0lhr zKnp+_vty7QhkHma;G$O?)tQQF_so*Xnx*Rx)+oLaO7zkJh~60vTMH)JgCUak+cRIa;=4D!)ht<>7U?kTS z(_x&vs9$>=i7w{gi0D6v5-$&o*dPE&-^q&+T2c%_X6bZ&kus1pit)S=w^r9l>if~b zo)zgeoISAZHAZWSThT(>Yu=_)Q6KW)nw=CO#$@I$51n;`j|i4i#V$P}Xeh5sSy=>J zVl8Ro!44yg>ALR23s1prs%*oh=0$ip>VFg<+A$Ktluoae4<>6pR^}h*_?JvxiA6O$6HthqK(?P1`O$Kl`+fv~ zk-r9Hwm2d&yDCfDmAgd<)OZ|%0~@h~)ojQ_7tJ9(Ov)964@#eCBDe(3UUd9oBh?Qy zD=d*zE1*OLNuI&FZ{`EyY7}=D0Rk9YECFx+&^Uen)fckP@x~DKjgf>aleP!+PevtM z5?%+9H&%X^&e+KX^;ufO&cs}inr>pmxF%wfc0+vxpbeIT1g8Fzia z2Tpowa+=85J^vD=Wd%;vtO_LYUfwbrmgQA^ZmK^&^tj<&;AnHzb?v+)%6!9s!`|M> zg*x4aM!UhnoRLdO$>pI}O#P^EV16rMf%%iZoAP|v zfG{smsQJDBAk){JlnVvLrBB*`f%W{N&u;JBG5izI4o00%L>_>~{-P6`n@S3cTCy85evO*q0ptxc_Jf9&yA) zU8lo=vLeY`N2hPLD~*fb#i%qzhiTrJgq%$!_i9VEvfTjH$S|#4S|>yr`4r-2$0(uxi>_We+jIBu{UJ(-aTgaw)e41 z22J21WCoAUVuf9uKg2*3l3&_{h&RTiUMP)Z3BwCvN!sT^5vxa@)M##~Eg3R^x*6Kd zd*ty68}IwQJRznJ&SfE<;bc{A2BIUcKJ{%oTP+2e(+Fn-V(=_wCicnjG>;LskO@WB zB8;1`r=$yP^}tF?gRI*=wAuvqWa6)G!)vw<8T%>b-^6zs-=9UI{iVM{_*pXV!J!A) z)+_5tpX>Y`?3ku^4%mws2h81h4p8ywc{*{{7a{BgTPhPp!;c4S?^g3+O(!B>p<7Zd z7{Hz?wk#gaAv2FK1(iDSbq#blIJl^2*U_1HJH`A zpF3@w$1oT+BhyQ06RQje_ilt`l=4ACL-ac2CuYa10hoJoigGbUyT3;$ND!Aj@zV;p zAQNnZies&)4_in%9f?-TtT)Vb(3JVQEZ28FbFq5CN)cy84 z%*-%rsY*s~YCGF-9@MXOLwxS3LL<@Qn@<3nia=Qiy$%w~uOw%C*8cRgl7EZ`GBUqO%JLb0j%ncS zB&XG2AoWAC@xJCkN&94bXgvQK>s;fE+rZL6rXUtc9mX%-j^&EzQIsmtM3PbdVWWvd zfX|`*R=s5{Ciaa#ix^O$ou`o#_>jIoifO$uX!-L~4xA$1fY7%)-88{H`g_>&P^kyy z=O+IvdumkNDDWAl9^xx=`oUFI2v)^aE!`YG-n^W;a<`tFk5f5%I&EUXFMf z{p#BD(P~kKcuUyRh_{`H@wg^&L)>(_`)!`dOqNXcsWmX)eYPFYd#nU-R$I6J$+syj z_SLbd@$y)DL(^K+{gzs@L6c6L%#ds*>=6&4XzL1#T(^c|+FmEi84w5ZHUFYIi>Fx5 z%DI#C&2jzs-7%sU{P-gxS;6fXWn{K~vP`8`kOIf1sFM!x9g$0_HX(gK_8HDliHvo} zF;Sw!a#F->gsM+O-BFfk++B0H3vv|ezK3&l9fTZjyzGN%fzO;6{j|u)#D{%&BxlF< z@JD@f+?f@HS01$4&548iy;*r%;e_04 zH~H-flC7M7A`&!hBu9yTLcgm0x6jVq~lVz+6igz!W!S=+TlD)HyN6?1Bl%;(`R zKpmXtM5g{)FiwsOe}9c^T?wWUnwWiDN#i9sSCQxIpMXQ(oUD2j{n-*T6q3wBe;Ic5 zAOAf)8J(bvyv6S@h~HNU3cbDzr{D2fhS5u{6)}f}1~4To!m#+=UjeI41)smcjPw7w zNSGT{2YWe!*5gHdBPi)>B8x>>Ya)>z0_}H}5Nz0k$h zAN$1LTF(w0_jrun2*QWivg9SK(4KLYhG&3( zkk2fm9iVN@?7W~Q%~K@-|6a*=xQ+y_9$Dacp^1ZRBP5$#jsiB)5_l{z={QrWPxqXO zULW36L8Xk4QZS(INm@YX==W`toey0T$OVD_cZdvBSL0fH73Ve7&;4Fd82GYu46>R0 zvT_@KsyY^3KWB3V#EF3d7wn*^;gVJvLYZJ8xd_r>ldVJdVOIz2r+ma(D z9s7SM`^vDWzNlXnDS<%&=@6tPq;u#{5Qc6+L}2KSp^=jAL3)Oe?vhpkksca{7@DCa zh8p7Xf9|~>-jDBx{hYJ*IcrYsJ?ppDUXdzU6Z4CM0*GszB@!{Z2-k_2)ZQ_(?g#bR zjwmjb6|D;YTHyFy243oQ^;*SPpWHjSR4mMUSU}{Z%8_mf*>;!Vc_zYyKj0*JbW!vZ zt0rKs4H~*l?j5Ip8Q+TBbps+G=cR>@J>>Ug&0A3v);OvDc?^H!PF;g7DT7^8M`iWBzGkFBiBn+Uk%cihhv1=)fdB=8eIR zXZ-6;>E-8xhn+DVw0G}z3&uzG;txIW0n&deU|z&PX5kK;kqi#lgVu?ntN2hO3kTR+ zyKfWuOw2zPy6CpF`5=#g%k~UHtmGhl3q$;n;HVBqu4*f3GTskeysHm{ixl>Y+~&BG zx&;8wUe9hz#PmFnp9;ax0j<45np9i;)(GGVFRgPda{H_lYAz=)WLkScm#-D`e1kt2 zN1?I)=&*)EzE4a}w z_tLmB{=HmZa`W4{P7bOq*G*%%OJcfLr2f3pc<%hEV$vi1h*yc^+kM!@YG2!w8-711 zCKK$_^}G%+P}2WcGs=(je!ae5GqF_&Df)xrHR!;Dw1}1YytA-Z|MXos(BZAT)myeL zZvNBO12Q?e#0#v0J<`9QK-lk@z;KQ8bZx)bOW+l&%Q7>VD(#Q!cSA zAUs$!uML|iPF0%AuM|u&IAW-}d{VUfHJvh3Rl$b2=C`{Mf4l2D9f|XADe<4p^X933 zcu;;Nl2o5=_o%1~bNRy7dI!wI3AL21w~3B&YA?SBR0R3weG+QJHf(`9EdP99wruG!;9#7(z=Iosgmq_i zZU;r?o}>(?uu4|x5ijZgWJ&D_;4XwKZTIp5^a7VRA^(UFVoXvCRa!oLx)t{|aa~!M z_4l(k^0xgQ_t{Gue_0&@In<_9hKD9j=))fC!?slP`3k~V9|cE=e{X#g@=&n*ns@CD z6^AfYkpn`aSN?l1bBe!x2;eK^4)C8+_SyG);f}(gEf1LR-dhEgW5Wt4GuWWV)en)Y zo3=X`blZ74SHSgYVjp*uBf5AZ1w=+vPmSzrbW1Tp@_m6N?<9>nNI{rxeBk@6lTq4H zvJRdXjctitOFAFCW|{xCNK8jfxUA993g(}^q$gasIDjm`n;yR!&3icoapE}i(!l0! z_n3+t{&>>H+Q{CHqpmp&r4+;DHt@DRMZqa{qm8u`WQ05nh`vhv-Yf|qve|-a7dpyt zEmq>j>3ZZTIk=fGTSVY@uRk2Y1?JGJ(5ShEOV99^%Xya$t+FGU9ICYQ z!*?xMlHzgjqd5h8-Oe#O>F2RmuRR+M`K%z5Iat}#T#_-c^}Zk?f7&9=tjAw<4}zAbt;P?^U(^dSSFse8weV%z3r!+bD-6^;>$HXlDx zyj@{<;X#+>lygp|B_vIiwUAtDK9O5EQ~KSl$+!h7{wBU7hYq$%;aU2*7Qc2p5?b+t z6U3>!hLop=*S?7FZ&mfBg_-lc4ZX*mk})T^BvvTT@HN6#pSaBp@$(Sne|v1f>cbgd zC4r;85M*yAQis>tVC4L@wDe@!DwnQ~OrD8b<%t?{aXn)G)dnGkuf-XM_QmRrnY?>0 zULNl4x}-+yIAmg8AUA1#d&*3zRdW(K&?dH_asbkG{zS*Mp|XV${HvmN=~&EnUcwbD z2?9vX2zm49|F@oeKvb`(kGO2%8Sn-VJvTGdxirNy4+N(J(sXc{wGViw(nSf z3jg?^lJX|5)^ zm#5D{llk&s%5;T=i~N>8-Tb3I3y`79Q@;Sh`8&OeY+901cgE`{-vc1z*UaU z-9%0GB+mADOL7m>RW5hJBy;Q(Ju1F0$uPQC;o@Mfi8y!>wpKv<%;iXZtacxG7wWG?W4@6B^zu2*eMTpS zvbPSm4(5Bv@fDHW@e4kU*!at~XyyRA`^RStUafxP6Yp=B7rgiHQmMp@SaC9*fpyD0 z^S5?-Ccixv^>15pktab6Q-zV75$sm%@jJ4Ot!S$47aw}O`@?jxCfqwPcI-4NJj|lD zx{J+J9m8v%Z9g{M(%AI#(2*1N z5B&nJ51i?KbJIhuRt(%y1qe=P%o6dO!zNqIQFZZHN#Uhmi7n1(gzvEYq_U=CV5Fv1 z%|7LHioMklCi>d|a<@5NWBVqszr_=)gx@056cc_w2%E3+rOqbz$ropgFM-8tg(}4s zNr6ND_=AS~*p~?BWHH=S;he zY~FiDLqk$8i4#44o6ay%7nki*8aC~;&Nm;q9;o%yGuhYB{C06PFYkRmt7+lKsq27GEhY_kf zzUrn?i$~BMQ{m4`Y1_458l zyuNz|4vCp&mcJzSvi&IKvoqS;EXqD_a`W7FI?$(YYFKk%V<`5v#QJhA*BH(rRd|KH zc;!CZa_fWN>aLI@yO_y9t&+#|b`MgSJtzN^h!7){#>e_4h_F8X)UT*uh-30v(bm~B zm?Np(ek~0kC;$Yhj2g>YJlC)Rx-i?hO!6Gmd}u2d0dd%PSp!>i;V4e0{^?yy!pu#) zdmfWVsF}g5%sHwjC@u$gq?^XJ#xA%E8}SP(CFJ0-!Aqqh;tf3tZ6Dl21%?AYc~Xqt zmbe`0_lZvI)`2-o(mbeF=7cvtr!<_X;HiLz&Qw`xJjXNdA$L^9V% z1{Y*J+5C{zzbAQoyaCTsTym#%O<32@dNf>$WU2Zk$TmG=E25jt;;cTv=aal(>XX|k zleXgJG1`TaZ43Y^S-Ndh^EGM%OP+gu{9Qq|2gR^RqY_@ za-)jhT6QvH&X6?#rHWOnAaBU8&g({uucX|5t|Wq8-pcLbsKpSUup!T@SIs)i=qI=1 zy}QHw{rYFUy4~hC7H(`#KFtGfLPMX2bdDNk_;prERh)NYdSSBbbn3SykUCQb?J|~= zAdw3wk0|}Wigr);t%ZJkyU~AN)~j<8_t!NuPNp=~Y7X{1w%reAl-{Ez$oki}e|I^@ zzOl}u&bRP3iIY8WJqTi`QvOJG)v`)JW1e^Dd0a6BSp3kcxvu??mX+k^AgRQq8VWoNyQzGx3s=Q}C zc^8||i=B6|JJOAb-YU~=ZX`ilm9wS|Lbna7w!MWzw%P+7rK7I9c|)`55ba!&CRR*G z=_hkNzk{p%>dkiHSbqLCi;11V$@rV?8Cd#EUccw){PwqkSmKlE;(>0NYqITif21DW z;&W%l(f4-M`={u;j4FGAtOL_U7lfzX1$X?NomoCQPL%W|Gb&*q0I!>3@>KxW=F;e# z|7^iEz2^6d@VlL7m}H!&Wuf8A0uG-Jw+tcM%(e$TLu)Rsvn_3ZR@MCtqf~u(%B>05 zSC(nAouYxyD~a9ACNUU*dlvbh_jWQ%@Z`k5B>!65g^<^~qsHb^13%h?3`Z@t(_%R! z8zd?kBau{TRHNzGf5Q3|L>c~H zt|U9?t=a_-2*UsF+Y!e>R+z1qNi9dqm$XRz^V<>vDJsDUCqmNpJlPZDl(Gvej^`EL zI>^Pv*FCtHw(WPX(YEX3vaP# z^tQW1Y3rn58}6C#*iJ{2XW*~bOPgw-Z^fOn?M-3CR+;aK4xtCfU1W)xm=0F;^Hp_h z3eRFJ|MNMaVpcV^*7ar&SI^TTO)fN)$b0EBe-pdTlv-9;pJkP05o=%Bp5WQk)V3No z$QOIFFd!Rrf#*~1KI@LG%EkLkGUY|0Hnxn#mq#b_fEvepFKQn5{{n|E`7gZe1N3pT8;JdcV4fMbA6?ez8)H>yAFXtMJ^14t3eg_T6-8SVc>RYP6C5UjHnCP zH$(l7{VF`5=<<@~Wm%yar;q? zhgo{Nv$;Ti@cfDC$uITw%j3dzq4D3ZdIfX+FBA!lRu>i%lGr=Ojq1DSK5Y$gF=KtC zS^@p%1JAQosLHw#V5T?CwO!*0f8L{XGW9sLE5aD9DYjSvY3z=IEks@5#;tR^`2bKF z;>-Sx!Wuk=h5ywDA&cX$O)nQXmJW-Bd9n0h{iGQ5%CYAfnS69vD{C{SgW;2MsLIfm z4AIIbTQDePE1gz~b;`lyngH(iM6#QpF9SGPlu%OpDzBEHat$3RYk)II)gZ@|p+hFP ztU&-Y<@2?eUbx8(rT~Ns8jv;h5nqy2(Q`V=Q2A}n$}u@<1-acQ+$vvTd}&Gv73~k+ z6mO(+JgZp&=+E78KO#3-)60d&me1QO{x=H{2UYs{T7e1}!l4=vY{`W$>#auYmy*S- z-zhB>)%DF}_W`Dc@dV6`l^ZeM(;RV>-l6Ufo;Ah+mHfk?%P9%EsyxXNyFs@Ytdm$= z=4f(SL2&gp#mL6B8EspY+u)yhmS$7_bN&=2iXI%tX2NWbU_;RPPSX`AK`)H)GQathkAAU!OL;?ys0>v6tD z{1`(ZP=5E-yZ#+Z1=S9wbfyK=D+!ugv`MI(RS`6A|1P}|@e=ihuNZexnNLf__0jVQ zn>1C@Ef!HQiifJ3A3b^8%S3-V&)YkMNxLR;LaX{nzq2*Ie%m9Rkj~1+S8QLdX6&^+ zqrE?|#p$qJmPasVbYorRZ%<X2+>vEQg1ryp$mJ|XqxrBR%O`viu zqE94tX0*ToxN5@}pC?{Mx>Pzo3`{m#NEQrQbpDe^nER3*=I^Bdd0>svbp2qB2d>21 ziWd3s-sCrpTz7`}9`{pD6VfjI-SX$!@8nZsHFxWdgbxOyVWh3T93Ay&R=K{nh z?0^!zG>ormC@Ke^+4#Q(A+FO=Id{NN8cwL07 zy4jmMoz^rQbl91HrwW;`TOEpPHHYg>?`2xwKtl8vUEWFtj(~%t;Dxa;d0Bj!>5jj< ziz%z?(jz)K9b@JA2bIwXafo|-|0t18W5hbZ;A_7lyP~YO(>S-g?7S~wnJ;*vWcBZk zvyK2tM?RA%8=DpGc|&?Sx8?1QyI*z8&e`5Tu@N0e?)vAYH6r9#6XDE8a0t7UgA8&W zHEiN|t_u2A>{GpsjOT5J1g6c+<%e|`OYMFE!}hH8Xy6Qq-bPG#QAR%%vZx`C;*Vqy z2i0N-lJ(VuJjFc;v&UQq zrzyADcX2K;)*c%u8)UXtuS4Y^`H5q4;|liq#x|k){KySOSeGWB&h=V&{SZ{^m{r^t z@T`C>|2PPG9ZSm|_12PnU!kwqa`e2I?2pT*StS1LP~=`$cFGn1C)KFD0z{VHUc3fL zq0c#;!xPkUh<@9QLN@s{7WwV`Sv2z}+1}OIR)UYcHs4eInMq%f3aO2b?>tI3tx#%> zIN)Rc8ERD9W;TgHhANs5wRFRoaq7l3KP$G*Vz>#l%@ILMLBXe+Cp< zCkQiT#9}9McvH|7#GLZCP;c{EdkPvGmUrFZ!<4a);w7~5s)ap|CpH--dWIp%eATLM zSdA8(|N?|YSVftMkN0_)vTsFmu=qYibdE7&Y|Rm5`Fl}Nb7DxAsD#i zndbMLqAFbaRf`SL$tbP@trEZ%%{ z^0#{Se|vgjHfa7FZhq7%pb1`%7I~&kc|n z_zX;X-or-Hm8Vv;Od?&9<`K4=#{w+Uo(ONNFzCsH<%$N6>($TRm+xq-z{&L)u+Qv$ z_d(?2N%a z^Dr0b#hja{H{*pGSDpZV6ES3c3PYK~Gc?h{Un^&NF`lC&Y zE!dZ+u(Kr^N3vQ_avIzNfLRw;Z<+m(@gBYDVxn`of~X&+&V;)^xT(KhyFCaGnn!fx zA8kY)OqCQrzZ8tdWl+MBQG`1vwhD`CS>XFQSqN%vn!^Kq6pU3>2Ty28Ec7W~SuEGq zm?6x+iU&*}tmxA8+<`oIEVdAJw_nRmFpin;N^=d}__)`3Pl>#4N|i$lZuD-#Mbh`G zcS?3t>6OD`&_^1HMz3pm^ycquvEeP_4FB1E+3$Eu@|-L4u_w;2uvXWeGx zO~EG4h6XoEsKPUl!(Bx}q8+4kE$V>A;ciGoz!+<@~HEVmO&i8UoISbs=brxugqd%E8zcd zkkJ|uK*r*h%5oO9%@1x7J{=9ex;?mPd)2td9jUnFHDpisaq>BTxJMNq6{qbP=cBJ?L3tW>*dI<(|uL*u{&-_iHj6AFjpBVtJyl2P(Q)!Yh9Y5p)ef=9G|%XaN7 zJ1235tbo14&0K%79U;BUnuFd0j3EBR<0x^52oBj|id`Z|1;#GB!6Y9!yxQDlD|Lda zev|swGu4FJzUir1VY+rQQ2Tou8E1SM*+|WKaneooIw{@HDn7%5Q(#5DzrgW(N|bE8qLX(wfJyWAcox@KUl zrr(@TRTDyY_#~e4f#s|T{TzDzq@}iD`lJ7h^Ui8CzjYd{Ps+~CSqw{rRI^ig2Zx&_ zmjp##TiygjKx56QRG{-t!j2Cp@(}eL>=${K=#{{!ONq{j&rja!ved=O-Q&Vr`F_mD zR=E?2yho>sVLk8USMJLntiV|OYT;XKNJWy3BJB;L?mPCo?-pE!u$Kyx$~309oh;+^ zHIjuzBcQVGA|^U?m%IGXf@aY^KXI;_R;oAnu&zE^w=QGcIUDREgbZN6Wr(!tBBj2{ zWySF(RbM<*x;B&XKZ#fJj~l-=RX{nR4QVON&8P;R+u|_tSi(S}K8bzjCVdNx%!}8L zfM$H+;dpiPs6k~;OkAYmN$K_Eg>M#@VR)S?cixof$`1?&7e$AgU&`^DLfOLT9y^pZ zdY0VpD;#chF_Ipr|K3W zY;18$GEOHX9o?GZEM+0qXci@)US;su!h7(T@nk%-zP~0%y;; z4?P4uk$mU8x&ezn3eHINM~8BBvxv!yZ-ilS-qw>4lK{{U)Y+QL-%kJS6@jFJ$*M@L zw5Nm-_VIuCkAF$@&Z^59KUV_W$RBXOM9-mas8u5C#kfsH*q+m{J5Lu;0Nm+~gMUNxXYRZe0+Gut5S0wM(){IKfUT*9t2pVURdU zlTM~;9p#?K*^PybbRA+Mmy#~7#MoeobG-v%$1JKaBm6gwE|6baZez_oCNzk0{Arv( zpJ~R+p@65zzqqyeCkY2%wfz1e+_!^+Zr&oQfsXvlu!|os-gz7{d4I10-ubYhkiDO? z`zwDPqgsq`q8I7}$vhL_LhCKZxVcW8o!fHf4Jg+qGz(X>6Dq2`t0{r9QB3l5y23wu>8(yk>e&%Omty}%A2h>C{R>E(UGkAuGsW?=9}1(GI_(I||8 zO|Bc$`W>Ub_}ll?YGRmGtYkp;fJ5{W4L?1W2SH=8Z;vahtd=@^6cSqysk)qU4^xFg+Jf8UOHBth67j} z(@z`S{j!#%$0I|C_PLgT^~pWsLXRH;O|(WFz#yU1ui;CU=uN`TF+}|RE)X&nt%IW(ybI)QS~_vztBoMgUDhviTg zU8j3{B;Uc-8_vTQ8Uc!g^Y&5ZFX4mF7=kAD(lU3!u{FHRt})uKU_-G}Lim&`xYStK zJnur(BK&CPm#lyaHJNM;n_G^mA)5gZq_?KuKb^qU_BW_pU$3InAQaC&ceW{P=hc7Y z7pR>R)1+oU%YOv*Z-AP}m+pQ^ifNWVH#56d&YUy;0_Jj@V8oS?*|50W8u;7$Y4O)> z&*;B0ujGCeTd`}qUemmj0oMp3fmqJ6t1knuWH(5|W5)sF;La7WA)bPP5c2EzL+cP8D608O=971h4c*id6DM6-#eK!d~QfV9(G-M8MU zvj>4D_2&cG;kT@AAEuenHtx8*oyuA>j*kbCq*{KEt5^;{O99l?%lW20p1pd3l1uee zBW(5hBdQw{o&JCZ7FgvWZhXf>YiF9YW=%xBAaz<(XsAv_$VZM)G9vH+(VD(|w8$w? z_34H@^^xjaLBMA!s*{UeC0-hd!7d_1zDT{qzDnd$u9j?>pd*#v%zlrhbHO4~t5W1N z%u8XYJW;NMb`U_L48Q#Tdh6DFyAXE=rQ;7$xG}XKWA(&=FaRo@2myS5_X#n2dkb4X zOrkRgNG=&EAF7qh_btcqa}pRfipkkUgs99vK7FIu{Z+hU4BJfv`gPt~zrTdx5M-3X)0;swSL1lfC1!Wbca)!gzA4nxvKfjB4(DQ{ z?!JAYrfh*kxu!{hr+ue=n~rw$r~LJ5KKEA!b<9?X*j7n&R%y+1BPmsxn!JqW*Gvp& z&crw1XCZ!_$gQb7n^TBGzOIOl!>Eae4(Rp7FMZW|>h|*g_?o1rvL@BT&YSEhf^?*`yOwgsYmaDOSs>9PEU}Sc&a&)I=60^Bh?LcwW(jDs zI1_Oe6YUk{WmR3M`6o^?yqKK#T@5579KvqR0*!7eG@#1I%ZyhWc3tqgm`9!-t>3q% z0rS*Zx^dpH4dJgzRO*jNG>+HrJ$x9@baCDWtF=+^430EikS~XO9*2e7&r2ib9z2!o zjg58S($6Ph-jQ&(TUz10#LXb(f{n)4TAD=!fJ5O^Izr50nG6TK$@NMh9B|4QjPHgA#u!oSmK%|rJ(3@v!$v!NZS={k*SgaDcny75I zJGAUJ#k@s zZk(H}c0Qw1r-NGTix&>fpY0s%FqH?x`i%NFrpVt>y@w@Q{@y4L)_Sk;ECSxJRczj` z`)xpc^gA@Woin{@IftSa0)mK7I(uo=-(p*opPKXSJg;Q>yrBtrIE*8h`Yi(~Q@M;4UTm*z?Z0=zm$ESH#WUGq5 zO-y`~XHuXPT$R?(k11la=Qt5d->S!*rxG5C)eniUl-3aQ1bkr1wdAxN#2bW!yzjC$ z>H7Yd7p43J5TH&dd{GdUVI0%KR+{|qv{}H*U^KRhENVW!XGZxnAerssyv4_G#}g%N zh?|itJTKdtW>h19RJcoGYq4N;N>Jz8rjS9!++x4QIAu>h^}LZ42TJ<4kPvf%D)_`4 zb^?4gzM8q5q`n)hiU>QBb*a*1u}L8jy5v#mB*k$mfbBBdeUI$rp@>guOEBCO z?h^599GC8CutygJ5Xd|5kFRigI*oCN@t4XzZTLy8uy>wOFpc~BPgM`q+$y8H-V?yt z7LyA&=xC?SU_P`a<~Vc}(JNcKI?ZnaB#z<`MkT%EyMC=MT!y`o=eV7E2g&Rm34Wko zny+aC+=>Wg!k5N7wp$y8K@XMiDnC`PKkVMHnZcEW%sO|!30F=XYc|NxyH*&ytN+?; zZ7D->V{Ua7uk$D-zR=5{z~S%1&S@<*OPCm~+FOFO)T?E!ZzFYe-$;{irl37dIWE4y#*l!DjKoks%9>q?(YV8mrN`Z2Leb+Ce&z_Shpzm?+K>Voe#b;OGSFo7y zscj$yq+&+jP~Bj+J6-xk6lKPZGe?KW`*nd=55G83C!%lTFiJl|n-QyNEj-3&WlVyQ zdP6>J7bZ?LtYz_-c4CtlCZ;W$t<@gQ5G$vokbuPsj;JQ2si^O3xw1e!bDLMekG@3gD&r)5uiu*O9d z*M*1A&wG(C$Ce_X_9OeMF)cPW{%nMh*PrA~lGtzKW!E-1YQKaeO@QFEHo@$Q5*{mVVfdOTD-<- z4@@!^dgzYy_T`&&Zo+m#C5hTU+^$9FiEtc0k$Bv}K zo*%l$-Pfao#C#el*g|G#!HqyjTd$Ul>E|;?#lj5&-k=O@b&{wPM_#pnr;36tou8J1 zox_o4x*!H9p;!88babljzU z>o%LIK)Sf7(@-3d$2lgMHP$}tay%OC?k39?p<~so2;z&(`*=v^;%d{FpqdJFHt-nQHcyuuC=vX@;vX<}bx1&0JLOeA2U#fIq-TcKoZo?vi zim0#BB5vP5iJ*ft!oy`I|83gowhCKI2TB091H!shyTv%YJO_JxedH~R&wuzv9X;mR zZHn(rxK(>OXitxQR%mLdWsy4rMOS^aB9y;jd z_SquDY_{H?P`!*21Pu<{uo&^4XUbtcR)>=*4aiYuEHy?CFN;q`gX!zFU_=?FT_m$b^Fw=7s)rWhevW8Lh^ z*S<8QHzm{If_dC*wB;t{lm!O=_KJHtAMT3Q(zOmOYM+0YVjTB_>nRRhE5a&h2hk3& zxM2mXk%Gl1bRl6bb2wrZC#nB{Q>;$3!lXrbQc=v%uCs`Evdvo zUmEB*abQ!B1_ln%T?F@pYE>^r3u|o8Ha^><)=^{Vq}oKD*L*hKbp3%X);XZ0*=K4L znl8C3IuOJow-v-mE-e7)yLr?4OD*7R7drLL8pPO`Estb^oc!h6)WyLx35#)fNA8;C*ez0;L4Fr4cSm}1D~)fliK0)#NR6&tT+wK9Uk%u#xI|gOTBt8F4KVo~e#)D-DU^g<9wEP@O}Iw<1$=i0vZTS*m2%`)B;DFX!8b2nu zZU(bZI}RE_^u&UfXgpgGu=b3>=XI8sFw2o%72t!mNHh7}Zn|2oZp78qVR=Kj&>kF_ zS&c5W*1g9=nLF^AhviWwF6E5&on?NdP9)QcRbu+2O-p*fDZl$Ob~XMt9XttssWj>H z>#L*E-$ofO_K41p2l#Vzo;T*`g;HmKD zlw77A4qHuBaXbVl{yGdl>MIau@h9S|9gg+Atd5g(8eWzkGGk~Im;|UXdRq0Q$hqv6 zJaR&DQk@^?ZPf>nQu%H4Wm|~dBs>rm2=N2#=OWbOnsXGR*i3+-#$zsoT{+M2@3y1Q z)D(R{=;x7)Ia;z-u$-9sV)p|5Kd$%j8W~VUvZ@;6FPBC|C^f!=lL*~^i*pDF;bJdh z_~*SGmja5v;0O?^>ZcT%M_hDx&H+kmCjJ<#){SV^jWo{Lu%$_dkRwS4%&oxY?MHr! zTO1~{iqM~1wf%(*0cw9jY~=-y`4P_)3wssT8g?a|d^Hq~GJZa!!|$`ei-`|g4Sp2Z z4ma|FMbXAkkx&pt^vseC?mW>N^gyf{%MG2Go|OraMg9E^pCN9t`H^Bj0^1ngZE+tc z7YVZ`JbboPIzwEZ&SomK$KD4`!S)L6cNp~{Y{_=~{b$uK&*&g@fSP-xdZy<r{QeB_XJcv12T$s&`A- z-a@IVQ5eh8^t((X;k=ke|HK%JRu7xW^leI!B(L%N*Lq}Km8k2GW3u-83EBcvCUhQ_kDFB$+9R850&|f4mc)tP+?|>CeEy|a(<#tWwgKzAPr>R{ZcyiV#qCX=fvv&L zym0Y_w_kh}WZN;BL4*%BKE^$D+94+Z!4f%4&V0gdCE%5B@B(?@Io}Dd^b`UubT0R1 zWZ97VaX9T?6zfHZ0|nul*!l2kyG9VJr-9n^V=_Ix|;@H199ZEx( z>x5n++z%oovbYIy6Te9N_Za3&qLt7+V*$HhK-^QW=xD7ODO?`&Zb*o``iX(7X%d8D zNWaY`h@IjDrit19Q6B8hK-`c7ipXnpAnIS?EdNr%+Q`fO#bs)&1mbnRfMaO}Z!=-Q zKZt!D#}*^UdG=ES#fA=i>Zn8ZuFAF5jVkh}*54}&O1sCKdfz_<97?R`+R}?^q5~AW zlP?ae1kKVAfg~e>uXS;T7|2lBaV~qsZ2UQgzQUO&Bi5X|K3@Y0)8k76)}$JH${xWc zb+`{|_15X#k#kseWWsAe3CDk`hTUH)C8aG6WDB*QokFfRb%pmnaVnMOkjxb#%)z#z zC~97VG}ffshZdDX)n##oO8r!gick3@D+JhOI~7+9&KIwAzT$29{OjKN&b;2eb-9w5 zWw3SI|EEW*DiYP~{j{!Uj;K!QcGBBKAJjLIZ6zFV6m1k>;J) zvO-YSTnY3FuWo?=okGY%wZ%aOUfdWw`MMFgI#aDiCiH&f?`Rgp-U})poA%GpX&sm> z)E_1zEvS`N`wnk8$q6@{!LR8XfbIjPDVV6p(n3??#TVkAm`luAjdTpsxu>hBpdqC z0#@@D4I&}ok0K6$TmP)H%zodcy}2R>2~m`Ax|mrGLG2Ija+$M@Guj>oDl8EKn1qCb z*Lo$=tc~1GW4q^z_BUxI5j&h(_?xjWa*hkjdyI**HZiRih4q(_B`|{xQNG={~@{bvEY+u6!*e8ZLu1B4N*6kb{UxD3m92ulr}jsBCNWw1^35V~zBW)QZT${MN)xZTi8#-E}&YwyA5E{!O_7M>WbKJ7|rSuklt< z(I*|1>@rK;W~jg~Bs{7W+S3#{F+>75-TX5m#yVwHxP>epf$oTCek&Gh`Osxe;~vvV zX)H1j65k{CyYLMi@PpP8A%s-;^s~$pl51*k}5z_ll?u_DlQ;rF=t2 zbwie7dBZ@qV*8t=vbcSBZ9YXeHS)$;C5B9`0_Wz<=S4-=ju-+orUB>!(w37RD^7u0Lt4w$|^w zLR0bPAQBS!MnUM`1+u~t{P1k*ED40pXK2oot~p-tH_ROM3XzG*9gH{ed_3xjNurat zf-P;7G2!AO;uYE8cbvKn?H_*b)%F`&lcQp(qXD-p`8lsxH6Rsi2AHSjG}r>@CL?1` ziQh~U(wMidJgT#*W8;!Ce@Ip={Z|X!ehayYdZj%XKZww3>Ge*mpJb=KEsNLQ>!xkS z0*A&RNc~%6GEBWWp%_IYA-nkL%Muk?JT6s8Z1ddJY~I@$b6eT7UyMP6xP_GqLr%SmtgP3g(<)Lg;SD5xYk0g}gk3Ib zoK=Kczl%Sg(JLA&l5_F~f(sAF$O8oqtRX(oq~#x+&l@-N;^dI0=kTK?-&R>rI|tbA zz9eWxxdrCIbWjhuPGos7^-z8~a5he_-Xr$CUjU0`(_{i$M8@HnLa}+prBf0?#oRZ?eC6+jNPMKm3Wvt;$^@!^O zO|lrLJUe*C0MPwT{p0>V!kFuHJrwau7affQpDjj=nV|oEE;v-zK~v!?H0UwbbY~YI z`vQg4`wsAQ*5QUFzOrIZufiy_B+6zmi9MG7R z+>KLMYU*Fl#)LGFD?(>=c|v)+5N`VF`ar$^*V2ZxFlFVrJG%O$(&+!RPb=)t<58OF$dP$}%EA zrB2Ad$I^`s^Ghtz-xq0tHX85S6<)i zj5JrS3KrJrT*6#?PDW>NhikX;2Tdi<51MBF1lQakA- za0vySzvmmb|5MX-hqLv?{kDFn)~IUj(Ne35q7)G$ilVmKqeJZ2jSA6a)G8&SYKC9! zQ4!IY^`k~&q^;V-3X0fU!+ZNa@B2qip68sK=bn3?dvm^@@jYKP3K3eHjmJ`?GwSOl z`I@NRF7af(6V9HL&k2^^=k!cHH-%rl5*=l7RM8`fC~pDy%!1$Ugg4f>uM3F-MY ztv&U6HvQbUyRU#2{FS_Y8E`{nQ!n8R_>5RCqHL~3kXjHLd*(ipvS+DB&l{FhaV|cR z&QGm@4rCqN56mFBve?zA`iz-wp88lR?zc{Ga=fOnRP$CoG~x_OC>fY;!v?bW7T+9uht09+ zNB<=?oQuKUp!#xm?lR`xYN>F;JSL5e!Icx*E)2KYKE5es*yAAAq~)$YbST8@O=-)Rs!=QOpmKN_v#XE2OO17|Rn`GeYY>$d{Qsr@?RZly zRb4I`(($PY1dV!UR(l$45!Y}N0`m|p7hUdl5gIKQ3!gCtrhk-Lvkx+<8uJn_Wl+IA zduO(9EBzWf%e%r&9+G?gI)JlGjf0q#KfvI-%uOz4?UQ8Ec)BEV+0}FUE2!;kWInX# ztr+kJs?W5y$b57T^RoT<9zj<`@PKX0Zk7}oL6+ol7=YO{rw9Ms|3z2-U6DIpp5PHyznM^LV6?6}IXZ zji%4$R}8R@F|a{1;Q6c5G3Xr5SJ-g@)MlZ!TIHELrb*ZFYo!YL{Ta>A+uHUg6@|H^ zDrXo;Syq3z3uS{a5T+<8cg)j47Dx_-x(WZ75$+ zTal~()b)oqw9$#Xg#3u`>z>KC?-+NQ!adDQa0UVheTZ5t%UIIEaK=orgznIrMS(?@ ze`Gz_Hnz&SVg-_PSNl5)Cg6AzTs^ndDw?P!z$q}d+|(;&m*2Ag__$-=eJ-nVfHXc7 z2e0}brI2Xlq*K02oFH=^_XXifSr#%cb9s z9!{Y)Y?R0C$(wi-OYC^+)>1Y#PV@_q#oyguEL6sCZuB|q+vwR(VQFqX_da(9r-%PX zV5EVE6lu4c-Tg=1_?$p$@*1#qJJhf!RYPw02SJ$M5u5ElmD`*9)$tp2g><-}CW1FT z+)Y!+GcMXOm^{6Oz9&Ub)c&p_h0M|Z&HU&&w`u$wEn<0Q zf)3Vf5*&kT5ghUup4Q%t%PKBnZ7meT^DCVgym)2lkl!qWapX7ZVRJArxN(PE9?isV zac&y4YN${CQDBdrr>FNc7Ih0FzWz*&m(u;W@B0P_;3PcjQ)N`AU+B~uE>*_Nf?so+ zou@6c(3>$c18>>P&dY`g34S&=*9Ze%nm-o@y$a#4<>x2?`9hqyuJLt_`<^^>YY6xo zDORH145)$nd*+Sww=TY;Y8Luij6H~hw?U_%nL_U4QXe4Oitk+49X2jm+)Vx6Fm5_( zSvb^QumQ0fe7<_uXA+hZXNjcQhljL9N6Kzd$#Xg9tkinzyd9 zIHi$3MoRjXuF-;J>Eq(w;#{S`Zu}lp%cV&0Fi-9_0e8#Z|4!KMhO0Zrj?#bYWahSv zj!cGwo)xwvp@aPFXKXY-0HpipnV3mQ`az6eT=V1`XHJ@I-+;oHm&&OZ#`5@$wB*c> zo|D%;kVW|UCB1Nm3)X)gJzx)f9gwAKkF7AwNIdcFHeTln3%=O|)fE7Fy85OK;_a{3Gq~lqM=dAI zYF`9YdQ|s*xDXNSg`qI1v$`G?kr#q$@YnJOJ&zIs#+)YFxi+HQCP*t*`za~Y=EDu; zOq=3Mw}_X_4h+|3Xkq^e1d6(t1Yr87yBcW}!yM=q8S; zU~pvK_DUFptK|aXs?>6wm0)znjS$zu=Gz!%UW5yTL+MUuTO3tN*UT8VMV@z;-7aHx z|0F8^p)X*Pkr=_{i4%EV26d^-ROd#TqahwBDKb{;Y5ILK;6CeBU?K`$7W|NY0f!Fz zh4|l}T7OQZr=>>>kQulT?=W?}<$#odILSc!PLS%Ro?ANk z{YL-fF0Ah`5lIF$H1>X{Z5o%Uv)KbSQ=8#K#CJM*3pI>tE8*h-oy>cV;S&Vuzsj(OGGE%2% zL2h7@Y;|j=;|ei8C8jVsHx;s8L!OhpQu=xu$$dl+^$@Au1>jvo_88dVtBplWQ5pp|<~;0}#!l_;0H`l^zun64|NhD%EOWFvbdphN<(Ad9+}Isg!I5=(+~fxjcoth+3bBQNHQA1j#zpq zJs3>Z4HgbVfOn2|sur(p1v#fe_OUyNlA`mbBfqA&8#4b4)v@Pu@EzSe6td|&QiUMr zPaO`0xR1QTyL4-X=p^luF;2?>dJgLR{L{)7qq9d&1Z`Wi@o#OgP%;ZNUmka<$vVHH z>y2>LEAKTOk)3;4%rU0iIiD5UQj^NtW0%Jx=;G@MtaxsxnW_~}1&Lc|E2kkhbjBZu zi?8H-CBCpBpj>XzTur`==E+hLX|QV!13vV3%NQqS6!>{vjyDMB>m6>e^3*>s-Pjnm z?&(zugZ|PbAZ-A3&`M7B7rcySJ~yb@Fr=zkWbPODOS1uuR&OapNY&Fa(6rlC!D)Zy z`rp2Dz$Nbmc>JSkj_}M+Ok!MC;z=A}B#sE-VkHMdB?aG;8 z`D&DNQ!-T#jygic2f?-gSP7RV$qcyPXsI=s0$)ZDF#bkM-<}rHgyPUYxq2``V^~|b zLj`E{EGbrZG?L`AOWFhF)_sA3SJQ7ahCBcVMB4v58&N1^<|UnxFnpt>1yb+JLA`8_ zPu+SqzG>H^X^2g@_3c=E=Qw5|Xtg|I%Luy9zdC-wWVWy-wj}U*kQU#wi-3(Wa6nnT zJX2>=x{c?z&U=t~5GRnjhuS;uIVwR4v%vRY@A4<7Tj!PS1?0~g%#+pJ#i6ZMNblWJ zl&ilFzzHC4?oBKA|93p-l$P^&s>DeN5pG$SjBc8lu zgEd=#W%cS@r;Ht^)`2v7P_7X8#d7sk3HfD1&@$UdWwgf?>WGmyuAR}LAA>MT^Y*Y; z`{Y$bT_8v&Q@lMZFp|SaD7evZkX_pzHcKnj&RO?4@9oPpmZw<=OWM91%aEw6hPRvK zV0PVpp*Q=vG4T1bc$$6!?Z11ZF-Z4_G*@bk{<)CYj+r6_YF`A!SFk1P04sk6oxLht zBjo03zru6FVX@IUb(I@8#WOgo-c7qtJtQdT zsk{prd%`zHv+}*`=(~D}#Q~nIts)GP2~QHt$~eTzOBbt-XC9XX#n+p91v|=TtOfND zxv46d&F{w%_N|1FM)@8Duj2|-Q&fh9+XdO3#H!1-_zIGJdfAomxFrYXARYWy=sNsV$ zDYrh&3eRQjhUZ}tQ)44X4LV8G5o|`5)>(D|iJm4#%OU$wI8k}N0(HUP8CpFfu2TQl zDMoz!GO5K)@xWTXi<hl7TXT>A!Z{bsXgQ7my9fD0o8U97H%-L>-Nu=5oVM{)f)oBN<9%)iLD zmC(O6WEAl&!$s)$+l*s>eNdci7aCkSTy%<_2X{Up9l~)Kwb&-uX?n-Zq`u>VWB=h} z%Ri=b$J8t1;jFTY?u3DqZQe;aRSF;~XjxZXCpip+t;%j=-Lg|TcWa8jIGrQHs+^uo z9(5M(293qkD6LPLYRFGWkfhm<#anIO|JO$ELgs9C@G(ui_-NigeWqCrGtP(bfz&Gi zHV0(K@w+HLFWiJOkX@b#E%znKzt-f_RPuPz`fbGtcO1s> z38;m4Tr8$4@5we!c66jD?iNPKOkvT5aoI~iA1eR|hacC)I`6_&FqD;qrDrjn>P?*m ztVz2b`L+W5jWlf!KK{mXZU5VMY%aYyA(Ls;(4|!g-MN36J)N;o(ABD4RfYTo^l+=VWQK7nEZ25`7Z`8e?w_q#lOC;hCf4s=PEt;N?bAxwMBZPqTowiZxk={ zNX?n&mrMGVjcM9H;%70=A1w zEPTR)cr}7g;U8#>xOsAAkx8A^w#n}2JFp)H(^$F-bdQwiI3iygXt%rdxRqMdyQMZ2 z=9-f%oq>W;6MUPipfRA`=eu#wOvv1e%8%Q6s&>Du=83wrt5FVknTM7kR%iFG8U#@WAC>`F=9DBYz=Mdw~R|b`G*aQxfFQs4q)&7}&9Z{cU zf0}f3U-km7F;@tcdxa{uUb`*yk}71KAQJ&rwpvh`F}Ycu&Kwlu zr4xP9GI^SGLGGa6sAM~bwNay%whG-^m`rMES0t@8lDZ0Wu(WyPX#d@H_-;-SROa!# zIIh2>_cg3I8;FjYac*78w zdbPLlC0aQwvS8O5rA!d_J(6rc=q- z&5h{gFj#|8T{OVTQ^&fC_?af`&F8>Lx+Es&m^mypJV_XyQMM<@37Yjao_$wFd9l5R z(3I~qBl8cGMp3tQ?1BMmG90}`QoJXd1aG1!3b&}YY@afoIdkUp^!Zu0G!ru`ohvaM z=|(cq=coe89gG6Xyqes+%7h)$$N$lZeW-usvZEL!V%QWU^zTnIe|AN!Q!POe$-H{+*xAnTV2Bj`sr2jX3qmV~_pKGzCEg4?g2->#+(R@45>%n{ zYpldgyWaeU)Hc&K&*h@;ok~w)3>wqrhoBF?QqdNU&PQRLwiuhzOJn<}efWM~@;H-# zihn_$eF4Ul)!@{8q~DD9eCG7o_?GFn*NBU)3qc_El9Untk<@S zQRQpnwtU8LpS_Ec`2wUqz_W<(`AbMZ-|9w=@;$0$1!GO|19Wok8jLON5oZz} zDpe?9Nw{lO22=-@n-KKl)9i67$XF@8(jt_y(3O@k&>1JD;`Ms{(OiRK4TE4IGvC{5 zvQmYn?G|05x{rbv9QyQ^BHf3EpTy+muKvjt{x>Z5p;I@DV>I?-XH~jr^H&X?$Px0- zGA{oQm#)vMTvOS$no^{sgW;nV@B}#WZSGU$jHKvsGu3xDOs}5!43!M*D zTWL;?0#u vpll:inst1.inclk0 +reset => vga:inst.reset_pin +d_vsync <= vga:inst.d_vsync +d_set_column_counter <= vga:inst.d_set_column_counter +d_set_line_counter <= vga:inst.d_set_line_counter +d_set_hsync_counter <= vga:inst.d_set_hsync_counter +d_set_vsync_counter <= vga:inst.d_set_vsync_counter +d_r <= vga:inst.d_r +d_g <= vga:inst.d_g +d_b <= vga:inst.d_b +d_h_enable <= vga:inst.d_h_enable +d_v_enable <= vga:inst.d_v_enable +d_state_clk <= vga:inst.d_state_clk +r0_pin <= vga:inst.r0_pin +r1_pin <= vga:inst.r1_pin +r2_pin <= vga:inst.r2_pin +g0_pin <= vga:inst.g0_pin +g1_pin <= vga:inst.g1_pin +g2_pin <= vga:inst.g2_pin +b0_pin <= vga:inst.b0_pin +b1_pin <= vga:inst.b1_pin +hsync_pin <= vga:inst.hsync_pin +vsync_pin <= vga:inst.vsync_pin +d_column_counter[0] <= vga:inst.d_column_counter[0] +d_column_counter[1] <= vga:inst.d_column_counter[1] +d_column_counter[2] <= vga:inst.d_column_counter[2] +d_column_counter[3] <= vga:inst.d_column_counter[3] +d_column_counter[4] <= vga:inst.d_column_counter[4] +d_column_counter[5] <= vga:inst.d_column_counter[5] +d_column_counter[6] <= vga:inst.d_column_counter[6] +d_column_counter[7] <= vga:inst.d_column_counter[7] +d_column_counter[8] <= vga:inst.d_column_counter[8] +d_column_counter[9] <= vga:inst.d_column_counter[9] +d_hsync_counter[0] <= vga:inst.d_hsync_counter[0] +d_hsync_counter[1] <= vga:inst.d_hsync_counter[1] +d_hsync_counter[2] <= vga:inst.d_hsync_counter[2] +d_hsync_counter[3] <= vga:inst.d_hsync_counter[3] +d_hsync_counter[4] <= vga:inst.d_hsync_counter[4] +d_hsync_counter[5] <= vga:inst.d_hsync_counter[5] +d_hsync_counter[6] <= vga:inst.d_hsync_counter[6] +d_hsync_counter[7] <= vga:inst.d_hsync_counter[7] +d_hsync_counter[8] <= vga:inst.d_hsync_counter[8] +d_hsync_counter[9] <= vga:inst.d_hsync_counter[9] +d_hsync_state[6] <= vga:inst.d_hsync_state[6] +d_hsync_state[5] <= vga:inst.d_hsync_state[5] +d_hsync_state[4] <= vga:inst.d_hsync_state[4] +d_hsync_state[3] <= vga:inst.d_hsync_state[3] +d_hsync_state[2] <= vga:inst.d_hsync_state[2] +d_hsync_state[1] <= vga:inst.d_hsync_state[1] +d_hsync_state[0] <= vga:inst.d_hsync_state[0] +d_line_counter[0] <= vga:inst.d_line_counter[0] +d_line_counter[1] <= vga:inst.d_line_counter[1] +d_line_counter[2] <= vga:inst.d_line_counter[2] +d_line_counter[3] <= vga:inst.d_line_counter[3] +d_line_counter[4] <= vga:inst.d_line_counter[4] +d_line_counter[5] <= vga:inst.d_line_counter[5] +d_line_counter[6] <= vga:inst.d_line_counter[6] +d_line_counter[7] <= vga:inst.d_line_counter[7] +d_line_counter[8] <= vga:inst.d_line_counter[8] +d_vsync_counter[0] <= vga:inst.d_vsync_counter[0] +d_vsync_counter[1] <= vga:inst.d_vsync_counter[1] +d_vsync_counter[2] <= vga:inst.d_vsync_counter[2] +d_vsync_counter[3] <= vga:inst.d_vsync_counter[3] +d_vsync_counter[4] <= vga:inst.d_vsync_counter[4] +d_vsync_counter[5] <= vga:inst.d_vsync_counter[5] +d_vsync_counter[6] <= vga:inst.d_vsync_counter[6] +d_vsync_counter[7] <= vga:inst.d_vsync_counter[7] +d_vsync_counter[8] <= vga:inst.d_vsync_counter[8] +d_vsync_counter[9] <= vga:inst.d_vsync_counter[9] +d_vsync_state[6] <= vga:inst.d_vsync_state[6] +d_vsync_state[5] <= vga:inst.d_vsync_state[5] +d_vsync_state[4] <= vga:inst.d_vsync_state[4] +d_vsync_state[3] <= vga:inst.d_vsync_state[3] +d_vsync_state[2] <= vga:inst.d_vsync_state[2] +d_vsync_state[1] <= vga:inst.d_vsync_state[1] +d_vsync_state[0] <= vga:inst.d_vsync_state[0] +seven_seg_pin[0] <= vga:inst.seven_seg_pin[0] +seven_seg_pin[1] <= vga:inst.seven_seg_pin[1] +seven_seg_pin[2] <= vga:inst.seven_seg_pin[2] +seven_seg_pin[3] <= vga:inst.seven_seg_pin[3] +seven_seg_pin[4] <= vga:inst.seven_seg_pin[4] +seven_seg_pin[5] <= vga:inst.seven_seg_pin[5] +seven_seg_pin[6] <= vga:inst.seven_seg_pin[6] +seven_seg_pin[7] <= vga:inst.seven_seg_pin[7] +seven_seg_pin[8] <= vga:inst.seven_seg_pin[8] +seven_seg_pin[9] <= vga:inst.seven_seg_pin[9] +seven_seg_pin[10] <= vga:inst.seven_seg_pin[10] +seven_seg_pin[11] <= vga:inst.seven_seg_pin[11] +seven_seg_pin[12] <= vga:inst.seven_seg_pin[12] +seven_seg_pin[13] <= vga:inst.seven_seg_pin[13] + + +|vga_pll|vga:inst +clk_pin => clk_pin_in.PADIO +reset_pin => reset_pin_in.PADIO +r0_pin <= r0_pin_out.PADIO +r1_pin <= r1_pin_out.PADIO +r2_pin <= r2_pin_out.PADIO +g0_pin <= g0_pin_out.PADIO +g1_pin <= g1_pin_out.PADIO +g2_pin <= g2_pin_out.PADIO +b0_pin <= b0_pin_out.PADIO +b1_pin <= b1_pin_out.PADIO +hsync_pin <= hsync_pin_out.PADIO +vsync_pin <= vsync_pin_out.PADIO +seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO +seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO +seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO +seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO +seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO +seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO +seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO +seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO +seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO +seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO +seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO +seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO +seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO +seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO +d_hsync <= d_hsync_out.PADIO +d_vsync <= d_vsync_out.PADIO +d_column_counter[0] <= d_column_counter_out_0_.PADIO +d_column_counter[1] <= d_column_counter_out_1_.PADIO +d_column_counter[2] <= d_column_counter_out_2_.PADIO +d_column_counter[3] <= d_column_counter_out_3_.PADIO +d_column_counter[4] <= d_column_counter_out_4_.PADIO +d_column_counter[5] <= d_column_counter_out_5_.PADIO +d_column_counter[6] <= d_column_counter_out_6_.PADIO +d_column_counter[7] <= d_column_counter_out_7_.PADIO +d_column_counter[8] <= d_column_counter_out_8_.PADIO +d_column_counter[9] <= d_column_counter_out_9_.PADIO +d_line_counter[0] <= d_line_counter_out_0_.PADIO +d_line_counter[1] <= d_line_counter_out_1_.PADIO +d_line_counter[2] <= d_line_counter_out_2_.PADIO +d_line_counter[3] <= d_line_counter_out_3_.PADIO +d_line_counter[4] <= d_line_counter_out_4_.PADIO +d_line_counter[5] <= d_line_counter_out_5_.PADIO +d_line_counter[6] <= d_line_counter_out_6_.PADIO +d_line_counter[7] <= d_line_counter_out_7_.PADIO +d_line_counter[8] <= d_line_counter_out_8_.PADIO +d_set_column_counter <= d_set_column_counter_out.PADIO +d_set_line_counter <= d_set_line_counter_out.PADIO +d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO +d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO +d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO +d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO +d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO +d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO +d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO +d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO +d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO +d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO +d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO +d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO +d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO +d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO +d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO +d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO +d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO +d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO +d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO +d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO +d_set_hsync_counter <= d_set_hsync_counter_out.PADIO +d_set_vsync_counter <= d_set_vsync_counter_out.PADIO +d_h_enable <= d_h_enable_out.PADIO +d_v_enable <= d_v_enable_out.PADIO +d_r <= d_r_out.PADIO +d_g <= d_g_out.PADIO +d_b <= d_b_out.PADIO +d_hsync_state[6] <= d_hsync_state_out_6_.PADIO +d_hsync_state[5] <= d_hsync_state_out_5_.PADIO +d_hsync_state[4] <= d_hsync_state_out_4_.PADIO +d_hsync_state[3] <= d_hsync_state_out_3_.PADIO +d_hsync_state[2] <= d_hsync_state_out_2_.PADIO +d_hsync_state[1] <= d_hsync_state_out_1_.PADIO +d_hsync_state[0] <= d_hsync_state_out_0_.PADIO +d_vsync_state[6] <= d_vsync_state_out_6_.PADIO +d_vsync_state[5] <= d_vsync_state_out_5_.PADIO +d_vsync_state[4] <= d_vsync_state_out_4_.PADIO +d_vsync_state[3] <= d_vsync_state_out_3_.PADIO +d_vsync_state[2] <= d_vsync_state_out_2_.PADIO +d_vsync_state[1] <= d_vsync_state_out_1_.PADIO +d_vsync_state[0] <= d_vsync_state_out_0_.PADIO +d_state_clk <= d_state_clk_out.PADIO + + +|vga_pll|vga:inst|vga_driver:vga_driver_unit +line_counter_sig_0 <= line_counter_sig_0_.REGOUT +line_counter_sig_1 <= line_counter_sig_1_.REGOUT +line_counter_sig_2 <= line_counter_sig_2_.REGOUT +line_counter_sig_3 <= line_counter_sig_3_.REGOUT +line_counter_sig_4 <= line_counter_sig_4_.REGOUT +line_counter_sig_5 <= line_counter_sig_5_.REGOUT +line_counter_sig_6 <= line_counter_sig_6_.REGOUT +line_counter_sig_7 <= line_counter_sig_7_.REGOUT +line_counter_sig_8 <= line_counter_sig_8_.REGOUT +dly_counter_1 => vsync_state_6_.DATAC +dly_counter_1 => h_sync_Z.DATAC +dly_counter_1 => v_sync_Z.DATAC +dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_0 => vsync_state_6_.DATAB +dly_counter_0 => h_sync_Z.DATAB +dly_counter_0 => v_sync_Z.DATAB +dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB +vsync_state_2 <= vsync_state_2_.REGOUT +vsync_state_5 <= vsync_state_5_.REGOUT +vsync_state_3 <= vsync_state_3_.REGOUT +vsync_state_6 <= vsync_state_6_.REGOUT +vsync_state_4 <= vsync_state_4_.REGOUT +vsync_state_1 <= vsync_state_1_.REGOUT +vsync_state_0 <= vsync_state_0_.REGOUT +hsync_state_2 <= hsync_state_2_.REGOUT +hsync_state_4 <= hsync_state_4_.REGOUT +hsync_state_0 <= hsync_state_0_.REGOUT +hsync_state_5 <= hsync_state_5_.REGOUT +hsync_state_1 <= hsync_state_1_.REGOUT +hsync_state_3 <= hsync_state_3_.REGOUT +hsync_state_6 <= hsync_state_6_.REGOUT +column_counter_sig_0 <= column_counter_sig_0_.REGOUT +column_counter_sig_1 <= column_counter_sig_1_.REGOUT +column_counter_sig_2 <= column_counter_sig_2_.REGOUT +column_counter_sig_3 <= column_counter_sig_3_.REGOUT +column_counter_sig_4 <= column_counter_sig_4_.REGOUT +column_counter_sig_5 <= column_counter_sig_5_.REGOUT +column_counter_sig_6 <= column_counter_sig_6_.REGOUT +column_counter_sig_7 <= column_counter_sig_7_.REGOUT +column_counter_sig_8 <= column_counter_sig_8_.REGOUT +column_counter_sig_9 <= column_counter_sig_9_.REGOUT +vsync_counter_9 <= vsync_counter_9_.REGOUT +vsync_counter_8 <= vsync_counter_8_.REGOUT +vsync_counter_7 <= vsync_counter_7_.REGOUT +vsync_counter_6 <= vsync_counter_6_.REGOUT +vsync_counter_5 <= vsync_counter_5_.REGOUT +vsync_counter_4 <= vsync_counter_4_.REGOUT +vsync_counter_3 <= vsync_counter_3_.REGOUT +vsync_counter_2 <= vsync_counter_2_.REGOUT +vsync_counter_1 <= vsync_counter_1_.REGOUT +vsync_counter_0 <= vsync_counter_0_.REGOUT +hsync_counter_9 <= hsync_counter_9_.REGOUT +hsync_counter_8 <= hsync_counter_8_.REGOUT +hsync_counter_7 <= hsync_counter_7_.REGOUT +hsync_counter_6 <= hsync_counter_6_.REGOUT +hsync_counter_5 <= hsync_counter_5_.REGOUT +hsync_counter_4 <= hsync_counter_4_.REGOUT +hsync_counter_3 <= hsync_counter_3_.REGOUT +hsync_counter_2 <= hsync_counter_2_.REGOUT +hsync_counter_1 <= hsync_counter_1_.REGOUT +hsync_counter_0 <= hsync_counter_0_.REGOUT +d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT +un10_column_counter_siglt6_1 <= COLUMN_COUNT_next_un10_column_counter_siglt6_1.COMBOUT +un10_column_counter_siglt6_3 <= COLUMN_COUNT_next_un10_column_counter_siglt6_3.COMBOUT +v_sync <= v_sync_Z.REGOUT +h_sync <= h_sync_Z.REGOUT +h_enable_sig <= h_enable_sig_Z.REGOUT +v_enable_sig <= v_enable_sig_Z.REGOUT +reset_pin_c => vsync_state_6_.DATAA +reset_pin_c => h_sync_Z.DATAA +reset_pin_c => v_sync_Z.DATAA +reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA +un6_dly_counter_0_x <= vsync_state_6_.COMBOUT +d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT +clk_pin_c => hsync_counter_0_.CLK +clk_pin_c => hsync_counter_1_.CLK +clk_pin_c => hsync_counter_2_.CLK +clk_pin_c => hsync_counter_3_.CLK +clk_pin_c => hsync_counter_4_.CLK +clk_pin_c => hsync_counter_5_.CLK +clk_pin_c => hsync_counter_6_.CLK +clk_pin_c => hsync_counter_7_.CLK +clk_pin_c => hsync_counter_8_.CLK +clk_pin_c => hsync_counter_9_.CLK +clk_pin_c => vsync_counter_0_.CLK +clk_pin_c => vsync_counter_1_.CLK +clk_pin_c => vsync_counter_2_.CLK +clk_pin_c => vsync_counter_3_.CLK +clk_pin_c => vsync_counter_4_.CLK +clk_pin_c => vsync_counter_5_.CLK +clk_pin_c => vsync_counter_6_.CLK +clk_pin_c => vsync_counter_7_.CLK +clk_pin_c => vsync_counter_8_.CLK +clk_pin_c => vsync_counter_9_.CLK +clk_pin_c => column_counter_sig_9_.CLK +clk_pin_c => column_counter_sig_8_.CLK +clk_pin_c => column_counter_sig_7_.CLK +clk_pin_c => column_counter_sig_6_.CLK +clk_pin_c => column_counter_sig_5_.CLK +clk_pin_c => column_counter_sig_4_.CLK +clk_pin_c => column_counter_sig_3_.CLK +clk_pin_c => column_counter_sig_2_.CLK +clk_pin_c => column_counter_sig_1_.CLK +clk_pin_c => column_counter_sig_0_.CLK +clk_pin_c => hsync_state_6_.CLK +clk_pin_c => vsync_state_0_.CLK +clk_pin_c => vsync_state_1_.CLK +clk_pin_c => vsync_state_6_.CLK +clk_pin_c => line_counter_sig_8_.CLK +clk_pin_c => line_counter_sig_7_.CLK +clk_pin_c => line_counter_sig_6_.CLK +clk_pin_c => line_counter_sig_5_.CLK +clk_pin_c => line_counter_sig_4_.CLK +clk_pin_c => line_counter_sig_3_.CLK +clk_pin_c => line_counter_sig_2_.CLK +clk_pin_c => line_counter_sig_1_.CLK +clk_pin_c => line_counter_sig_0_.CLK +clk_pin_c => v_enable_sig_Z.CLK +clk_pin_c => h_enable_sig_Z.CLK +clk_pin_c => h_sync_Z.CLK +clk_pin_c => v_sync_Z.CLK +clk_pin_c => vsync_state_5_.CLK +clk_pin_c => vsync_state_4_.CLK +clk_pin_c => vsync_state_3_.CLK +clk_pin_c => vsync_state_2_.CLK +clk_pin_c => hsync_state_5_.CLK +clk_pin_c => hsync_state_4_.CLK +clk_pin_c => hsync_state_3_.CLK +clk_pin_c => hsync_state_2_.CLK +clk_pin_c => hsync_state_1_.CLK +clk_pin_c => hsync_state_0_.CLK + + +|vga_pll|vga:inst|vga_control:vga_control_unit +column_counter_sig_1 => g_next_i_o3_cZ.DATAB +column_counter_sig_7 => r_next_i_o7_cZ.DATAA +column_counter_sig_2 => b_next_i_o3_0_cZ.DATAC +column_counter_sig_2 => g_next_i_o3_cZ.DATAA +column_counter_sig_0 => b_next_i_a7_1_cZ.DATAC +column_counter_sig_4 => N_23_i_0_g0_a_cZ.DATAB +column_counter_sig_4 => b_next_i_o3_0_cZ.DATAB +column_counter_sig_3 => N_23_i_0_g0_a_cZ.DATAA +column_counter_sig_3 => b_next_i_o3_0_cZ.DATAA +column_counter_sig_5 => g_Z.DATAB +column_counter_sig_5 => N_4_i_0_g0_1_cZ.DATAA +column_counter_sig_5 => N_6_i_0_g0_0_cZ.DATAA +column_counter_sig_5 => b_next_i_a7_1_cZ.DATAA +column_counter_sig_5 => b_next_i_o3_0_cZ.DATAD +column_counter_sig_6 => b_Z.DATAA +column_counter_sig_6 => r_Z.DATAA +column_counter_sig_6 => g_Z.DATAA +column_counter_sig_6 => N_4_i_0_g0_1_cZ.DATAB +column_counter_sig_6 => N_6_i_0_g0_0_cZ.DATAB +column_counter_sig_6 => b_next_i_a7_1_cZ.DATAB +h_enable_sig => r_next_i_o7_cZ.DATAC +v_enable_sig => r_next_i_o7_cZ.DATAB +un10_column_counter_siglt6_1 => N_23_i_0_g0_a_cZ.DATAD +g <= g_Z.REGOUT +un10_column_counter_siglt6_3 => r_Z.DATAB +un10_column_counter_siglt6_3 => N_6_i_0_g0_0_cZ.DATAC +r <= r_Z.REGOUT +un6_dly_counter_0_x => b_Z.ACLR +un6_dly_counter_0_x => r_Z.ACLR +un6_dly_counter_0_x => g_Z.ACLR +clk_pin_c => b_Z.CLK +clk_pin_c => r_Z.CLK +clk_pin_c => g_Z.CLK +b <= b_Z.REGOUT + + +|vga_pll|vpll:inst1 +inclk0 => altpll:altpll_component.inclk[0] +c0 <= altpll:altpll_component.clk[0] + + +|vga_pll|vpll:inst1|altpll:altpll_component +inclk[0] => pll.CLK +inclk[1] => ~NO_FANOUT~ +fbin => ~NO_FANOUT~ +pllena => ~NO_FANOUT~ +clkswitch => ~NO_FANOUT~ +areset => ~NO_FANOUT~ +pfdena => ~NO_FANOUT~ +clkena[0] => ~NO_FANOUT~ +clkena[1] => pll.ENA1 +clkena[2] => pll.ENA2 +clkena[3] => pll.ENA3 +clkena[4] => pll.ENA4 +clkena[5] => pll.ENA5 +extclkena[0] => pll.EXTCLKENA +extclkena[1] => pll.EXTCLKENA1 +extclkena[2] => pll.EXTCLKENA2 +extclkena[3] => pll.EXTCLKENA3 +scanclk => ~NO_FANOUT~ +scanclkena => ~NO_FANOUT~ +scanaclr => ~NO_FANOUT~ +scanread => ~NO_FANOUT~ +scanwrite => ~NO_FANOUT~ +scandata => ~NO_FANOUT~ +phasecounterselect[0] => ~NO_FANOUT~ +phasecounterselect[1] => ~NO_FANOUT~ +phasecounterselect[2] => ~NO_FANOUT~ +phasecounterselect[3] => ~NO_FANOUT~ +phaseupdown => ~NO_FANOUT~ +phasestep => ~NO_FANOUT~ +configupdate => ~NO_FANOUT~ +fbmimicbidir <= +clk[0] <= clk[0]~0.DB_MAX_OUTPUT_PORT_TYPE +clk[1] <= +clk[2] <= +clk[3] <= +clk[4] <= +clk[5] <= +extclk[0] <= +extclk[1] <= +extclk[2] <= +extclk[3] <= +clkbad[0] <= +clkbad[1] <= +enable1 <= +enable0 <= +activeclock <= +clkloss <= +locked <= +scandataout <= +scandone <= +sclkout0 <= +sclkout1 <= sclkout1~0.DB_MAX_OUTPUT_PORT_TYPE +phasedone <= +vcooverrange <= +vcounderrange <= +fbout <= + + diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.hif b/bsp3/Designflow/ppr/download/db/vga_pll.hif new file mode 100644 index 0000000..5409a6a --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.hif @@ -0,0 +1,1669 @@ +Version 9.0 Build 132 02/25/2009 SJ Full Version +45 +3235 +OFF +OFF +OFF +ON +ON +OFF +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +synplcty.lmf +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +vga_pll +# storage +db|vga_pll.(0).cnf +db|vga_pll.(0).cnf +# case_insensitive +# source_file +..|..|src|vga_pll.bdf +d3e7ceaac9b26558f3ae0434c87e1 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga +# storage +db|vga_pll.(1).cnf +db|vga_pll.(1).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +75b23e99ee7fd7794044e77b9ba64bf9 +28 +# hierarchies { +vga:inst +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_driver +# storage +db|vga_pll.(2).cnf +db|vga_pll.(2).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +75b23e99ee7fd7794044e77b9ba64bf9 +28 +# hierarchies { +vga:inst|vga_driver:vga_driver_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_control +# storage +db|vga_pll.(3).cnf +db|vga_pll.(3).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +75b23e99ee7fd7794044e77b9ba64bf9 +28 +# hierarchies { +vga:inst|vga_control:vga_control_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vpll +# storage +db|vga_pll.(4).cnf +db|vga_pll.(4).cnf +# logic_option { +AUTO_RAM_RECOGNITION +ON +} +# case_insensitive +# source_file +..|..|src|vpll.vhd +ccc2bcb05887d5721243fd22481948be +5 +# internal_option { +HDL_INITIAL_FANOUT_LIMIT +OFF +AUTO_RESOURCE_SHARING +OFF +AUTO_RAM_RECOGNITION +ON +AUTO_ROM_RECOGNITION +ON +} +# hierarchies { +vpll:inst1 +} +# lmf +|opt|quartus|quartus|lmf|maxplus2.lmf +9a59d39b0706640b4b2718e8a1ff1f +# macro_sequence + +# end +# entity +altpll +# storage +db|vga_pll.(5).cnf +db|vga_pll.(5).cnf +# case_insensitive +# source_file +|opt|quartus|quartus|libraries|megafunctions|altpll.tdf +d980162588d7aa8b78874932c782e18 +7 +# user_parameter { +OPERATION_MODE +NORMAL +PARAMETER_UNKNOWN +USR +PLL_TYPE +AUTO +PARAMETER_UNKNOWN +USR +QUALIFY_CONF_DONE +OFF +PARAMETER_UNKNOWN +DEF +COMPENSATE_CLOCK +CLK0 +PARAMETER_UNKNOWN +USR +SCAN_CHAIN +LONG +PARAMETER_UNKNOWN +DEF +PRIMARY_CLOCK +INCLK0 +PARAMETER_UNKNOWN +DEF +INCLK0_INPUT_FREQUENCY +30003 +PARAMETER_SIGNED_DEC +USR +INCLK1_INPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +GATE_LOCK_SIGNAL +NO +PARAMETER_UNKNOWN +USR +GATE_LOCK_COUNTER +0 +PARAMETER_UNKNOWN +DEF +LOCK_HIGH +1 +PARAMETER_UNKNOWN +DEF +LOCK_LOW +1 +PARAMETER_UNKNOWN +DEF +VALID_LOCK_MULTIPLIER +1 +PARAMETER_SIGNED_DEC +USR +INVALID_LOCK_MULTIPLIER +5 +PARAMETER_SIGNED_DEC +USR +SWITCH_OVER_ON_LOSSCLK +OFF +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_ON_GATED_LOCK +OFF +PARAMETER_UNKNOWN +DEF +ENABLE_SWITCH_OVER_COUNTER +OFF +PARAMETER_UNKNOWN +DEF +SKIP_VCO +OFF +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_COUNTER +0 +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_TYPE +AUTO +PARAMETER_UNKNOWN +DEF +FEEDBACK_SOURCE +EXTCLK0 +PARAMETER_UNKNOWN +DEF +BANDWIDTH +0 +PARAMETER_UNKNOWN +DEF +BANDWIDTH_TYPE +AUTO +PARAMETER_UNKNOWN +USR +SPREAD_FREQUENCY +0 +PARAMETER_SIGNED_DEC +USR +DOWN_SPREAD +0 +PARAMETER_UNKNOWN +DEF +SELF_RESET_ON_GATED_LOSS_LOCK +OFF +PARAMETER_UNKNOWN +DEF +SELF_RESET_ON_LOSS_LOCK +OFF +PARAMETER_UNKNOWN +DEF +CLK9_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK8_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK7_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK6_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK5_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK4_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK3_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK2_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK1_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK0_MULTIPLY_BY +5435 +PARAMETER_SIGNED_DEC +USR +CLK9_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK8_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK7_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK6_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK5_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK4_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK3_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK2_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK1_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK0_DIVIDE_BY +6666 +PARAMETER_SIGNED_DEC +USR +CLK9_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK8_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK7_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK6_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK5_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK4_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK3_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK2_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +USR +CLK5_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK4_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK0_TIME_DELAY +0 +PARAMETER_UNKNOWN +USR +CLK9_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK8_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK7_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK6_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK5_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK4_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK3_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK2_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK1_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK0_DUTY_CYCLE +50 +PARAMETER_SIGNED_DEC +USR +CLK9_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK8_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK7_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK6_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK5_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK4_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK3_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK2_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK1_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK0_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK9_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK8_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK7_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK6_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK5_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK4_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK3_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK2_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK1_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK0_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +LOCK_WINDOW_UI + 0.05 +PARAMETER_UNKNOWN +DEF +LOCK_WINDOW_UI_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +VCO_RANGE_DETECTOR_LOW_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +VCO_RANGE_DETECTOR_HIGH_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +DPA_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +DPA_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +DPA_DIVIDER +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK2_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK1_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK3_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK2_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK1_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK3_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK2_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK2_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK1_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK0_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +VCO_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +VCO_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +SCLKOUT0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +SCLKOUT1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +VCO_MIN +0 +PARAMETER_UNKNOWN +DEF +VCO_MAX +0 +PARAMETER_UNKNOWN +DEF +VCO_CENTER +0 +PARAMETER_UNKNOWN +DEF +PFD_MIN +0 +PARAMETER_UNKNOWN +DEF +PFD_MAX +0 +PARAMETER_UNKNOWN +DEF +M_INITIAL +0 +PARAMETER_UNKNOWN +DEF +M +0 +PARAMETER_UNKNOWN +DEF +N +1 +PARAMETER_UNKNOWN +DEF +M2 +1 +PARAMETER_UNKNOWN +DEF +N2 +1 +PARAMETER_UNKNOWN +DEF +SS +1 +PARAMETER_UNKNOWN +DEF +C0_HIGH +0 +PARAMETER_UNKNOWN +DEF +C1_HIGH +0 +PARAMETER_UNKNOWN +DEF +C2_HIGH +0 +PARAMETER_UNKNOWN +DEF +C3_HIGH +0 +PARAMETER_UNKNOWN +DEF +C4_HIGH +0 +PARAMETER_UNKNOWN +DEF +C5_HIGH +0 +PARAMETER_UNKNOWN +DEF +C6_HIGH +0 +PARAMETER_UNKNOWN +DEF +C7_HIGH +0 +PARAMETER_UNKNOWN +DEF +C8_HIGH +0 +PARAMETER_UNKNOWN +DEF +C9_HIGH +0 +PARAMETER_UNKNOWN +DEF +C0_LOW +0 +PARAMETER_UNKNOWN +DEF +C1_LOW +0 +PARAMETER_UNKNOWN +DEF +C2_LOW +0 +PARAMETER_UNKNOWN +DEF +C3_LOW +0 +PARAMETER_UNKNOWN +DEF +C4_LOW +0 +PARAMETER_UNKNOWN +DEF +C5_LOW +0 +PARAMETER_UNKNOWN +DEF +C6_LOW +0 +PARAMETER_UNKNOWN +DEF +C7_LOW +0 +PARAMETER_UNKNOWN +DEF +C8_LOW +0 +PARAMETER_UNKNOWN +DEF +C9_LOW +0 +PARAMETER_UNKNOWN +DEF +C0_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C1_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C2_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C3_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C4_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C5_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C6_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C7_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C8_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C9_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C4_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C5_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C6_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C7_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C8_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C9_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C0_PH +0 +PARAMETER_UNKNOWN +DEF +C1_PH +0 +PARAMETER_UNKNOWN +DEF +C2_PH +0 +PARAMETER_UNKNOWN +DEF +C3_PH +0 +PARAMETER_UNKNOWN +DEF +C4_PH +0 +PARAMETER_UNKNOWN +DEF +C5_PH +0 +PARAMETER_UNKNOWN +DEF +C6_PH +0 +PARAMETER_UNKNOWN +DEF +C7_PH +0 +PARAMETER_UNKNOWN +DEF +C8_PH +0 +PARAMETER_UNKNOWN +DEF +C9_PH +0 +PARAMETER_UNKNOWN +DEF +L0_HIGH +1 +PARAMETER_UNKNOWN +DEF +L1_HIGH +1 +PARAMETER_UNKNOWN +DEF +G0_HIGH +1 +PARAMETER_UNKNOWN +DEF +G1_HIGH +1 +PARAMETER_UNKNOWN +DEF +G2_HIGH +1 +PARAMETER_UNKNOWN +DEF +G3_HIGH +1 +PARAMETER_UNKNOWN +DEF +E0_HIGH +1 +PARAMETER_UNKNOWN +DEF +E1_HIGH +1 +PARAMETER_UNKNOWN +DEF +E2_HIGH +1 +PARAMETER_UNKNOWN +DEF +E3_HIGH +1 +PARAMETER_UNKNOWN +DEF +L0_LOW +1 +PARAMETER_UNKNOWN +DEF +L1_LOW +1 +PARAMETER_UNKNOWN +DEF +G0_LOW +1 +PARAMETER_UNKNOWN +DEF +G1_LOW +1 +PARAMETER_UNKNOWN +DEF +G2_LOW +1 +PARAMETER_UNKNOWN +DEF +G3_LOW +1 +PARAMETER_UNKNOWN +DEF +E0_LOW +1 +PARAMETER_UNKNOWN +DEF +E1_LOW +1 +PARAMETER_UNKNOWN +DEF +E2_LOW +1 +PARAMETER_UNKNOWN +DEF +E3_LOW +1 +PARAMETER_UNKNOWN +DEF +L0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +L1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G2_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G3_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E2_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E3_INITIAL +1 +PARAMETER_UNKNOWN +DEF +L0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +L1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +L0_PH +0 +PARAMETER_UNKNOWN +DEF +L1_PH +0 +PARAMETER_UNKNOWN +DEF +G0_PH +0 +PARAMETER_UNKNOWN +DEF +G1_PH +0 +PARAMETER_UNKNOWN +DEF +G2_PH +0 +PARAMETER_UNKNOWN +DEF +G3_PH +0 +PARAMETER_UNKNOWN +DEF +E0_PH +0 +PARAMETER_UNKNOWN +DEF +E1_PH +0 +PARAMETER_UNKNOWN +DEF +E2_PH +0 +PARAMETER_UNKNOWN +DEF +E3_PH +0 +PARAMETER_UNKNOWN +DEF +M_PH +0 +PARAMETER_UNKNOWN +DEF +C1_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C2_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C3_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C4_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C5_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C6_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C7_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C8_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C9_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +CLK0_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK1_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK2_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK3_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK4_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK5_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK6_COUNTER +E0 +PARAMETER_UNKNOWN +DEF +CLK7_COUNTER +E1 +PARAMETER_UNKNOWN +DEF +CLK8_COUNTER +E2 +PARAMETER_UNKNOWN +DEF +CLK9_COUNTER +E3 +PARAMETER_UNKNOWN +DEF +L0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +L1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +M_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +N_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_COUNTER +E3 +PARAMETER_UNKNOWN +DEF +EXTCLK2_COUNTER +E2 +PARAMETER_UNKNOWN +DEF +EXTCLK1_COUNTER +E1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_COUNTER +E0 +PARAMETER_UNKNOWN +DEF +ENABLE0_COUNTER +L0 +PARAMETER_UNKNOWN +DEF +ENABLE1_COUNTER +L0 +PARAMETER_UNKNOWN +DEF +CHARGE_PUMP_CURRENT +2 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_R + 1.000000 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_C +5 +PARAMETER_UNKNOWN +DEF +CHARGE_PUMP_CURRENT_BITS +9999 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_R_BITS +9999 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_C_BITS +9999 +PARAMETER_UNKNOWN +DEF +VCO_POST_SCALE +0 +PARAMETER_UNKNOWN +DEF +CLK2_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +CLK1_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +CLK0_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +INTENDED_DEVICE_FAMILY +Stratix +PARAMETER_UNKNOWN +USR +PORT_CLKENA0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA4 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA5 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKBAD0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKBAD1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK4 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK5 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK6 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK7 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK8 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK9 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_SCANDATA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANDATAOUT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANDONE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCLKOUT1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCLKOUT0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ACTIVECLOCK +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKLOSS +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_INCLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_INCLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_FBIN +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PLLENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKSWITCH +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ARESET +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PFDENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANCLK +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANACLR +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANREAD +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANWRITE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ENABLE0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ENABLE1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_LOCKED +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CONFIGUPDATE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_FBOUT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASEDONE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASESTEP +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASEUPDOWN +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANCLKENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASECOUNTERSELECT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_VCOOVERRANGE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_VCOUNDERRANGE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +M_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C0_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C1_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C2_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C3_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C4_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C5_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C6_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C7_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C8_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C9_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +CBXI_PARAMETER +NOTHING +PARAMETER_UNKNOWN +DEF +VCO_FREQUENCY_CONTROL +AUTO +PARAMETER_UNKNOWN +DEF +VCO_PHASE_SHIFT_STEP +0 +PARAMETER_UNKNOWN +DEF +WIDTH_CLOCK +6 +PARAMETER_UNKNOWN +DEF +WIDTH_PHASECOUNTERSELECT +4 +PARAMETER_UNKNOWN +DEF +USING_FBMIMICBIDIR_PORT +OFF +PARAMETER_UNKNOWN +DEF +DEVICE_FAMILY +Stratix +PARAMETER_UNKNOWN +USR +SCAN_CHAIN_MIF_FILE +UNUSED +PARAMETER_UNKNOWN +DEF +SIM_GATE_LOCK_DEVICE_BEHAVIOR +OFF +PARAMETER_UNKNOWN +DEF +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +inclk0 +-1 +3 +clk0 +-1 +3 +inclk1 +-1 +1 +extclkena3 +-1 +1 +extclkena2 +-1 +1 +extclkena1 +-1 +1 +extclkena0 +-1 +1 +clkena5 +-1 +1 +clkena4 +-1 +1 +clkena3 +-1 +1 +clkena2 +-1 +1 +clkena1 +-1 +1 +areset +-1 +1 +pllena +-1 +2 +clkena0 +-1 +2 +} +# include_file { +|opt|quartus|quartus|libraries|megafunctions|aglobal90.inc +99832fdf63412df51d7531202d74e75 +|opt|quartus|quartus|libraries|megafunctions|stratixii_pll.inc +6d1985e16ab5f59a1fd6b0ae20978a4e +|opt|quartus|quartus|libraries|megafunctions|cycloneii_pll.inc +39a0d9d1237d1db39c848c3f9faffc +|opt|quartus|quartus|libraries|megafunctions|stratix_pll.inc +5f8211898149ceae8264a0ea5036254f +} +# hierarchies { +vpll:inst1|altpll:altpll_component +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.lpc.html b/bsp3/Designflow/ppr/download/db/vga_pll.lpc.html new file mode 100644 index 0000000..ebfddf5 --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.lpc.html @@ -0,0 +1,82 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst11000100000000
inst|vga_control_unit14000300000000
inst|vga_driver_unit40006200000000
inst20008900000000
diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.lpc.rdb b/bsp3/Designflow/ppr/download/db/vga_pll.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..19666b57d15bc6661a15372823e8448d17ab8fa0 GIT binary patch literal 498 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u=UcxSS&_YnhuwqRG*gZ>fx^F9e*<9AuF*TLNs zXQQ7e{K{db$1FXaAGg;U-|pO&^gSV8+T(JiQRV%UQ?8Z9YD>+uTK;_UY2StMJ{z6>E_OYYt9dSSvS(g7eBT|A)g6~AX&zV}x&8XtH{Iusyh)xo`{tcw zo_#YyIj+3OHQWF1bl8z~*WP^n`LVa|n_F(Vbi%`?8O3q)&Be=#EpN>EANsCX`O5O+ zY1_-0&+Y?;)Bpb!j6zAt3`}YYVoZ+|#FzgNa95YVUJ))I9v{Ac!uk4tb5ht=COlx6 z%)=AtFiX0_(d+NrJu!2gP0p{&OJ+QMu3;6UB>SOB4m}1&7Ahw$pO;PBl-W~hA>1q; ez{)Scy=XcYVK^Kc2Z}<~--jea?NKDUt^d9+VP3d|-CJ3f*t(TD!U1xi~XR@C!1_ezJ44 zVipnxFbe_%03reaK|u*-?KjMdpBx>T|Mx`hs)zSh4;}>Z|2sTrdGw!=Oz=OW*TaJh z{00t%)O^iE=Hj;pkrN}tun+<~-t`pb@UL{NRiVt`j~?+>Nkl9azgYfRSGW6_^)c*$ z#oG7JUzvXboR_)9-wbh1&%NZW(;^-m%|MJq+%1W_vH~_{2Ab-N`n@v6W4TU;0+8#` z{r&w?-kkS~&4o{EfiaSjgG$prN6e5eDd|w!8C6nb+V;wDGhFvbkI0#?xLkXH-f6Hh zpJ$*dl=exp-V~;^e%=|C?9@D>TEB>s&F!V;+mG^H)SXSF5_38|{^{kfd1o zaenO-X-ePt!Pmk<4O;(}>FAVob2WV3V0oIOvb0SVBq9}B6d>0wU zliS?F!obehGe~75z*VV;TRkmDj`1|tn_LIz_vY2p&^5T5!8lBbpMnI~<(AUX%X|A; zX*oZ>3M$5V-dfRwO;P9j^W{MAS18ki7n{^6f&%XHYKq!HW#OSF2xXxYF;R&>4_PR> zyV3d^Tx#a~UHn<0b#wYPYGxc<%Is3{mIdOs)r-xO=YLIph%oWpV4w??{A z*W)8XksVQ?QTUb}gZ%dv5f7g?-#Zn=DLJtCWeIy$;Wpma{YYBhVWDZdIV$vsVA$fP z<-Z52!vD2;W3f(#`c(xCr=?-IceNa1C-mpP;;{gv!F z{~+4r9^CV)?_WsnUH$jP{)<;*Lti(bwcw^*SpU7^+4hOPXaKji3)c?ljq;vYOjqy5 z4Oaqre)OGKBh2t^f!KpG&Fsfz);gK_)Hu!^E-w$JOkP79BiPS}b;hvk2xuR$n_VuIB zcs&!ZR0Y)J&wHQWZe?9Y$X%!@boWV*5RtvZWW;O`6)f)3Mg^b3!|6%J%f5V|W1Gu- z!^%1_{+WFp{ejTaNsRP_>eHp%d7S}q5Z*g|MQpfAVF;e<_uCDop{_UNx~A&fc||s- z@h4wuKB^qs*)u=1Jcw+bRQXCqnlxt_3avX#_O|SDpK9^c!NR}$^)q$nh@^I!!)L5G zA=wfOArmcLJ(uy~0MRelD#B_6;tgH3>Y1f&!yg2)veLgTaZ(@A9OX{?#GHLcv0D;>dkjdTv{*5fl<;LGyUK!KneA#m4 zcXii58gro}sIA_gKx4f==cGBD{P}32|GV6QfZyjY0Vno1UO@{-xb0aHf}U{|xeK(I zCy6Y!Jv;mKrP|6NnMLWx*FnfAX(#qg$H}ihMGx&d(*d8Szi&A8pIXafJ$Xg<@Oyfm zP{*;uRE;=J3i907NzhEN^5+v=lJ^RKf17?fyO9#Q6BtU8%a@s<$~^n?O$3V?prpQQ zpq&a@rhBiue6kzrN(ICrwzx27IF;q|$!Ak9$o$i%u$EP;nbuU&3Qe6HNZT`|^h;Hv zD?WBjusVJE-mZ@3Zc?ahb;u*)d1HF#YvRjPK-nf(h_`qBMKX#WI#Ui!&F9*=ekZsq zbeq#}Cs|kOx-~B;_oEDaIJDnI=!seg zXtv_UuEmv-ay25Nv(58E1e+>s@L3*dt2}*ZZg7}^eo1|MF*?xdIR5hcI!czjGdovD z{&(z9$nUqel|>ODL3^~ETs$riWWYO(69-E>o-TOo71fA5SXlr3I=Vm(52TW27Li??C#-P?SPESAx% zw+!G1O1cz9ssdlWE2zq&hrcMiRIm$^VU@4=n{6;{9TxjmNq*NOLNF?MM(EPm4WG8i zqkHX-pk357O51TCtz3b4N>n!%m3Pi&@sc%tsxI`^LE6e@V6pxTUuFq!eI}XwLEU2R ztg*Z}e^Urp-h*shLB4!8lFXTS;?ls-?QR0napWOj(So2S@3k2q(@rs!uL#pivl4*PK7_(19E4sKFA+ce2Oa)G?Avy|y z+9rzKC8-g3Pigo>NKax8&O<-4u^u%RXc3dqxx9>*uK^bfd8sJ`E~$D(_5|b6X`SS= zuzoD>VPSRTtC*l=MLS{5*m~8wM(09{IXTkBX;#x7G7I~qK8{p_05NnBZMPP_rOHsF! zMcX%0DSFwe!s@o_XNETSP02WI2-)W6F2(mxX~?Us;b=Cb-$k@`QjnWlb}N%rzMl5q z!iM;p%>IsgM$cDR-CuF5TgONi_W4U0%SJGcs^!bl;s<%nLjPIF;+`LfBx* zgCoh>M;|Bzx9j57sSsIWlb!e>f;1Gd$vW2xg?>4ocsfR~6{}{FSg)K42bY$xKcTR^ z7$N&&t9P=dg+*q#r#s8Af{IvRUjG%&yX+BJ&y-8TA>21OXYdVrNcLJ@&Ag&pnV4n# z#);H*-a4=0l+~*pI!?;0MPQR$ z`yH5l)8{?%U1#+{Usbe=qK}CDGPehvGaim zv*ck##iQ)_LyMuBo3`>_I~SQdUnVbSuQL||&%4+y*<94bee7fZjYeu8M%ncx+utv} zv1AGxsWs~BqxvYD$A8FIe( z7N~8!`bt)O^&NHoGvX%~8yZ5(=Jan8VH*XuX6T%MBkoI2wivlMN|E zWm4k@Y=rhRcdm*{?Wce@A`_jJ=Rzl=;%wC$C8T4)S^pZ=li~L<2&=#hviK!ZSjfF_ z^YfmNKUu-SC-e=o0>6w;8*Dl17%Wm5yr0J@=9sG>`DoQ7Wm&98E$kESVzTyjY=tFe zo!|}8N^eSq+3PJF_L8PBnFQIs{NO-!sal#?v*T3O&T7w(!ZYeW*uF4dCviQO{dzY? z(vSO6;-^6UYZ!_D+dWQlPcLs8JcC$L_qw>3=y|nAD}Fud4<%$|Wxb0><8NP!{df)g z9iR7pwj5gy_7!;_FvyotQP~XPM`Cd-s{9P%#$w*G{!^4(O88e=CEh@IAd~kgD|_Jb znt3^}|41lG7fhKzO2N4=OaE;Duv0);{P=U&<4YtD{j*!>nkNPR35qmORL3AJri0(N z=iwr~Y;WjXgiwJ-a8e)B2B)f@sU?w2@Z@>Ss+egQ_Lyj|Ru;BrVcE}N4jjI{G9Z^> zz@&O{)3JLf^XouLtB5RK{APsA-svb%og82QY}q_Q5Y|(-0f)`lhckeCz2?FEo$L|h z_`ysvDzajnA>pFEfhupf>e1R(HwS`4e;f_YqQwDs~e%6O+ zLsrM{R93GqmXRdnm<%Q_UI~#~Rw)HqGU%%=MH6<%JrxxtSthG{98$ z1;<2eU%G_ zzdRVHysMk^v@?Y{R&F4RFHbK?_c$s%ops3jE97!U`_8&bJ1c{+aJaOXoloPrsz6Z| zpZT$$i}hY%5~pgvv7c@d|H!|PfJ-MQ><};@9Vcv3v-k;3Tfpa3V9Cp{j(b&H9 zksHGQARY^DyaEhE?M=Ri+DRl&tilqMzP_Mx^}xZ$3C0##`U4IA6Y`G~G?ptqZr@d2 z)fi0u4d%I5uD1R(7js3Fn1|QD)0}_oAYiwbl!>u zr%Jp2WCJLRKOVR*)BOmctJ$;N>gunFQ(L)GR`NZn+e{gB&xnK$VtmgG=4Fo`*?4#)BR|eSn65dv~Nt&|sp$<}#w!V|>=PWpV zq3^cwe@o5Z%KWJADshE!r}F4(jLmoYCRK2f59eogDsg<-@ZCt%SN`oAD#IQA;CV4y z8t9C5O)(nd!G2y#bKe+xGjx=}Uj*f1j~5H?6--olu7l0IZJ4>S$X`f$*&L@}gl|1A z1pBIH^hqo@QYkj^TO)TngMFcS*(qRjy&qJca(PbO^M>usqM$7 zG&8P7K^X~x)dl?|whFQ!H6j^%_EpTfdsup>P_|D~HZ6sXXQr8^?ZnHyqmC5-jo*~= zs@Gr32>hh_DG@!=jL4k-F4s9h2f+YvZ$ zDVFHX?l&Kw^7`Kl20ND;eGZgZBGw_u_`yi7dpTtjV|J{o>{YG=Bf@mfpP_ zEMzD*WW*Q8&9ZOV@R7@^Enl@9nQ{5ETi+Yp3ssNpyPg%2IF)~<#lD)UbX$;0Oje(+ z^=l9iASV#O`IqVPu%s1e@FFe(NSQ6{Q2h0PD`P24ugR8&DWK}dCn<^XG=(bySF^(E z3AoGR0h_93HoWwyE>qHXRFOwJKUUvK^RRsq?$M>1cd)c|HD461nhjH}&+q(aOF-mE z-^ggUlO#|x^M{$E*5v9_pJex&OP?mjf;3Xgg4upqz^P7xQ(r_-lRh9lzt=J!6oh5i z$IzE5HAvsOzia8kSroGO(p!6+P^`&Z`#DJlZA0(2Rn6j~o+(NYD2mc?~f;-B$kbqu4s~>A)f<7Ni+$ zXtjDobyS0c`h$8m5Ank}a$JiV>@a3tTBB(Q4+08eT~V!hH0t)U2$6Bpk&Gs_ZxFTY zs@H9aSNy8Trbk7!V5PUEpCQLM&PH)iG2TJF-&AQ2uprT!t$D8*59=cR=E}?&&1I$& zcdh8fwNGbU(cQ!_1NZfBj!p+=b2wHXOj$PNouJN=V1~-b|ttLn@b%|=~ zfeod93w%XLBW1FsVk*=L6D^wi-FcBbs3kG+@CJ#FU7SgpTv9A8Bwm8cfSE`K9?+__k7%L5n23#s{5}cD@lJw}yw=;KD)cwq| zkLkiD#Tst)M33vMx-w!qnQm5&j(IQ*m$z-`RudXOf<75g-u0P~=S&%%D)5e2K461jCD@}E6rSow-g60Dr{NuKEKT)k~{46 z(+`h<8u1>%xu3YTrIC#_0~b5`(CE@T}1lZo**GlQ`v!2m5Q7>dE z+ADg}v~S30PiARNtEFY&FzawIfvHz1zP+)fqW4n);1)mC=@=$iky%z2nLG=G)@j7A zZ;=lmfwhY+bT_dl=3AEgjr&+~x5+0b#c`0|@yWD^i_spK)w}#&--V>JJ{;mK+F(rD zB4{j;Cm?{?8F^uDlek6F+mGnV$=oA zzwr|?j5RL~fv`g{l-5avnqZwt#1{1L{JT7uXo=c|>86UFH!)6gRBq3<183ixZTm{( z!p`PjM50qBhi1;~LnWJ==OUK7jOnEZVuV=0P& zy0%3{sYyn43}f1!z?rhCiF5Nb^6yX@e}Gfw%v4)VsS7-AAAtfaCVSsbtRhgtx7IIg z?T)Xy)dHybI51^f=;e2qKf&4?!n2X^%LcogyM;#jR;LKPeuoc`OBR51L*n+Q;lCI3n{yb1Qx*PTnOhiP^a!XvPUzD1~EFl_PdI}#YtkE;kL z*m6DPai3%)Q*j}t7*b``1BXjuW}H@|QBCcJ1lQH5xnA?Ic4R8L6mf0X`UR8;`J+9k z>UVb=cUBz=I+ELr2%wY1=-RI$N@3Dfl*bTn&H#w*X?^8}ODYb=i+_DD?=vXVu5vEt zKHW15?&~hveN1EPECQb}&}y)hLtSoGKzq^vyqrGZ68+X5Q{T9Oh{eGiv<<}*kI_3$ zA(;+2BpzEYqg^y>7sWE5yBxTMcx_5M0mB@PB$`I^J{I;2lSh4LeB4#quGTF^f52J% zH3GFq?#&0y%2z{2pAOTTNIIdHkqPR~`!d)&Luo;0GmXEl<{J@JSrt3tt&>{_fjoq~ zEBaAE{V3dYP_b(oiK19@T#kLtZLGNG&P-*5XjeeuK<>D=5FPJsP8-sO>JUgF1d&C1ekUc6s6NcI5H7g- zjN`o`v}Lo847q#W%0v;cl#Hw2$7K0q?Z@@m49PEa>mOug;bhu+^u4mQ zJ1q?7$mNAIW?QCx%^l`h1Z}+Ql_s1?@2I^ek%~k8yG2M{wd#ou@Q9yJAZLXMomCZH zS@R-5WIN&Tm0^rxq-{ogpLjw2e4EpyDcu#Xi_%rHZ*6yVtrFeIQhvLQo*~9!QSHXz ztYYfg@hqYay_JgFE}dTt1(BT(CA3FC)9V*blTXG#efJIlrN&wtXG7`jrj8AxmbZvk zkkZd%anh$30+%e|38%^4_>I_mSpQ+q?nSv^kF`mf>o7$qN=eGJPh^n309fnafxwMD z3&O!%QbpO~C!b#=d#9q8`HV(yrk~RXWI3$5Fs(&>Ah_PRV($*m(?LT8;@kBkF{AdY zE-q^;&6LMi(`p#!!A->bC{S6eUHp{S*x4g4fyZc92K!2-)Ub9s0CI8QYPB-T4d0w>tok z)o?^>!I$>gu=cSKP^(p$BWT+!XXS3So`SR78Pg|Hx~7;!{ma2%y&U8!2jJ8xsdbL)3*48uT^w$}TYr(@*|{HdPsqEV8vMk2i( zT%oy5T-RgVy;}HuE}EHm^@aqtp~{8bv+%-mkB5=8PraRq{Rn9sT&t?xGS_6mdjw)L znCk=LaBE{$m|K&Jo#6Z6La#3y^z=>Wm-{}zu>m7)lvF^@RrE0ggfv4K7M)R}97oDV zO>fvOpIlQ$LH+H!Oh=PBg+H}*n=Wh;5gYCx=ufJCX5X(+1ia%i8Ur zFK&Q$cWg_U&Lyx>9p4J#QzJLIJLv^vIG>gpW4hp-?+2e~_tR^I4ei(+M*gQq3^bRn zm^oc!;rGi5q?NVtLR+*d0y^Pq_6=H+9q_sVO6QgOVsRV4UXzH}qyuWu&`N(~ck;_O z{Dxk63nkD2El|vi;%*>mt_F+Eto|7iKK8|{hkP@c2Yg?V1pPtff=$VfVrW}eV<2C^ zE+w7jaNL9-M1fAr=fiI`*$C^)zct2!nuf-=IeV?7zE=HmTw|>=oS-sy|J;KvxN)Gb zX=MA{mE%^mls6AZZKYP^v_kW?{kj-!Jbw|L0PPk&ImOU=&-I<1@}hWbn0z#2TG%*T zoVWz2#>|)~okwU}&2lRu><}$f8Ofwq!(>hv@@f);>cl%^A5-HT>h#|HO7$N2tbK2C z3X~_a(nW8exlNJL2ejuQ3?IL;k_s?A^^9#vv)?pl+PTddf*)qI^Pn)Q4RnEWTs%EY z{xQ#W=z~rC^@f@u^`8E@>kka-d0p1PJgs+|muK`I_r1gBjzi?Mqeav0Qm^iBFQvd- zAN?Yj2esP{32k4dTi;h(JEo>n5%Qjcv+Z@;3Yi z9Zn@4tcd=bgB%>Qe`b1Y?Qr5I_ss$?9g_xxZ3M77yJRPiyxO*5GhPg#f4K^y4$?OT(ML^5q4U`dRV#OerKM^aQt| z(qW(Gl%i(%U?S|?{C=2m}4SM%)n+*HMh2mQ`yN^hy>c+h{A;t0 zxZ#+}Jfx~xwJ(9^`?vP!H;io^VjYsGk8rIN8H-aL<71R+*W3F}U92+WlHioC7CGh= zU676o(b^W%nxj6$GQl*Tw&US`PgoDy8F}*=r94vJU}DX%Cpg>26JTdr=6i6%ZIh)# zh1QsxO~hjYrPAR>Gp7Gj=wLr zCh6AgsSn}rF(#%P<&He%BOosC{Ca2q$17kSP{-!#v%i`N&`+l^)#ayM&ivS-B8(L# z>vzdogKZgsa-&>y+j?UCZSFxqO2_xitAEvS#SP!MPip2<8~3e6hfNiA*P6v>4K20u zB3yBewF(65o{=2Vl}pST-s#*f-9Dc?J1Qnb?_*$VMjYvsJB40lE~uA{+%C7WI+KNS z*jz$xv|Iet#W4;CRp0$R(!dS`wpT-1w;?+-pnRhp0d%W6ax4x~J((5<3AL(RnPQLL zX}Gm5);iUn^#yE5Zt?Fe=^%g7ntY5NN$8{7ToaJ`t6mX=jJ1z`5wu+Kn$aiLuKiXa zzwQ33u|T3Y>V?pS5Pg+DKk6W3a#ic{%WVLES_AxWoVnH*9Xi&!cwp%{6X*F} zy>;`9{96b&*dMWIk2%TAyJT>mxRDy8R2Q5uF<|L)S6i~k>T&Xg`%KetTSEJ;v}U7o zD>iD^;o2NMjqLoP!9a{^INGM~y5OEmfL;$7$)5F-Cr=Dv#t4(Ugm;1{{t;!No<`C| zWgb+>w|uYhHI4vvSAwX^SM7B}lxNAeQdbx9-=Pwxxxc5v1rlejy$GDWw>SeTT^Ov7 zwo+Os18Q92+jpUF#AlG|CK1%&0-k?3C`*JhI1Uho?9Zx|{4($~H0Zw;;bh34iI^A+pNjKiTI zd8eCwC3unTt#NcvRj2f&@LS<=n`r-e493Rn;ll0mN*zBXTIbu7gM%0um)pZAj;yd> zch=yI)ZsYi?!=faq7{5e#9~hR(6?P{Un+^&?DItZ{zanG6q62_WSM~K$9V< z(7N$0u>GlTKg+VlO9z%|&5x$){i?y%_Kko9@1HSsMeEABa}Li2XvrP++W4K6%HRgZ zmKQ>=?1d&Y=LT-}!oMH3!1@x0h#$A>EZ9HWQxlZ}IHjFd>=X9%eq$`m|Lv)pr9rB| ztSzDtR460xo4e)JDQ(Q%dxlrzpQQ@pytS&;xI$Q2&!{c-?CLSzDjeF;RBG6jxt4@m z`V(I*QaP=u%Q*R-m|K6yXDLa?$(^}Vrp&(x_1~mE2xFJ~AVK7L^e*+a`3D2h5-oGO z*LKQ!MckP=<2JanKp9i~>z0LEx+CS;zppWG$xe&b{Mo^#<;0JW(kzexS%0PejOrLM z0^|?A&05(73YW|~GN8BU(V_tWLOtQ1_f{Yt4q9}ly6CqJMRFNO7b}%_I@33p=_wOecJ^fA!dJb*5sPn^q4XpnP#4fc$S20X&64+0Ngq0 z=L6Tg;=)HZ0At}p=)H$X<{9+7>fa-Jd{|H#$DiIKkh0x=Y~nqzw?$F3R06dNR^sf;2jE0AVA zo0eUP=WwTBK@7^Cc0(|`Q1ztY**Du62K^+zBM(}wG7)sXiwn7tAFm)J_4%HU3$JTp zik|K$_kUJN;zT=}pWIq-Uz?Qcti+A=TkB10;!1W-EF*KFh!FMq^PizNTqi!Q*Y zc#v|>{Tb3Gq}6AUT>v<#a)Ov?_)rTgzk9oRstawBx1CWwV|4g@<5k757|BqJ6u&me zFmzzaUq7F*n^@~4r$OzOorBYT$2|2WRy8K_;KMX zwT2!LZp>A1Jzp_=8`v_(BF$q+M0|ejpAxe}!dBS2NoBEWtu`<~6Aj~7%g;~DJ;YOCAaCIz#6 zT)$<-)W_><8L!PcpxPRS@!T#t*GgeSz7_cesLbLuw^z7T9SQss~~4m_xch(z1*-h6)CU&M{=ohnt9lCSmq2T=Y0@o{x0$6dm@lqW3qg?y2#(6;WV3N0U-LPeqb!PmF$=0og7)ah^S;+Nh zb5w0IA1hMr#M`efBWo~e0QBgM<(GvU(Hr@?VWv0RBJVem044^HOuX?L$JKf%{cOs{ z@3$#6i)r=G-y$3H>PywFP7m@NxB_f*+b0!m-Q&Y&$uHcy98<4shtSQAsU+RjqxmB^T9qbs!^zzHUTw)6 zt)8=wer1Jd`m0+hyO>&8yFl$A={_AMR-W16#j>PTS^j{fjf&6DRhaS*`=zj_niB71 z!~p;);L676IZ1IZX(pcTi+Roc5Pw*2;(Gt835jx56eo%Yz&{ej=E7*hBXotSE&qA? zG0F;X$G9|!IzE5XS5^rwHh+pmIa`YInO`IwFm z8`A2$_nLOx$0cL|m=`bxTxmxt#X8I->-``!{aS~$9lPhhOvcKlXDbygK*IuP0Nmc) z|0RBR=k+ko%JRV@4d$n~7Piy{UbZA-pS_q5_o>M#X%KsKtM1tw?Htds*xvd-4Eg-X zpP5LD)Bkhk3}4PU{L<;S_Ja(h|V7vTU$a z8q*etF@N%e&n)iC!|n|%ix{mw(g3)ZCP?h=lS-C4vV%W}r}}|p2zAl$mfVaSqKqTExjCD%+Id^p^op@+FonlaJMQA@lscxOe$tl6v7 zgxlkLBOq9q+C4%_l<*iywJF~q0e&wtS|%gI9MtmU_H7WXpJ2`P6n2EdeOi%7@XDJ~ z>5C)b@reY&r8bzN1ctrqNvZvcw?kCA0#g%?KvmCP;9H;O-FI-QAs_!I#C|-5nNP^zy#{|J8r% z)~$PY>Zx<)sWa2(obKuFneIh{fq|()hK2d@ez3jknx>9U7IwB|e9Ww5VlEceCS+_J z>}0I$EbLq??5wPOWNNZxk}lTPWdGZ6z5wq1Dj1j!ss9R?Af*2#J>>qEbb~$h{`A98 zqUmj+{$l``nk?eO2NRnH?oVVsL+oO;LpwGSj+=QW((o{QOcSHzjXc z;Q?>pW!a$`tVE9B0!?XYYp(UTZ}psS8yDkQ7vrOy4PF-0+*^vC9IoTgEDp2r%rpVl z7wY$4v$3acp7LUSbxWg#+XMchH)f$MPZ)M~pbeUJ!D6-_s>y)h#7pc@PcfZvDO3xNm8;&0+A#`o4-WI z*T{2Xk}!lCtbEyH&7)0n0;r6~S7&~e;ER0vddCz?IYZ&_(krYg6Hc$az!3RlEM<{H zDL3+6j?yIu@6W#Mv>_#(=^RX}6X8fWETPyTvw|_oHtU-7m1t9r zr0V9e=9;@Q6poog>x4#~^O4`>d~EG%?#;`VRw+ksOVJr;XBlTN7FIyTg#*38zw$Q< z=Q*nYcR^{iFfXmBPivnsQf6d0R`{?@v$e{&pPVtRjOxv)fANEh&nyLIy&G(kQZ7zN zVh_ytxmbeT$QZ`OEXW_JsO3s_*(RWmnZ=L4xF2W=Q5vulhVD+I&pLdsU%3vfnlgt% z1%!(&*YBZxg(NxAnHT3HtALpkQofqWiDrdtw7tJ;QrsIFvMDcd2Y-Y6q~7uI1c!3$r@OR-bQ0&hM3# zgt+XJ`UCsH&t+SAwVKm3YE8j&+W4~X8KYx5yy-Jtk+Co zl-mm6iW+j9WRW^9K41D1AlBs@+a&Ok=E4Iw4$Hvil;rL{Bzc~8Da{(OhZ020M z>hbV)3HpIatfdG#foU&S2xnTt*?smJHI)jqoIm220!PXDbG)+mxiry#&q$ju#%w-WM4{a2pVMbT{}8E1 zB-*zhbZcpRQ%RJNZ=$ORm--wvHo<)PWxj)Bb6zXA!l_68DJwbemHqGZ?jJi5M}8lo zu#%I~^X5{uHJgpSP5X3niRD_mxW1r&PVuNZ{5@OA?jWn=(6PqyQvKP2=_jW}1q-)xme;6<% zx^Sch;0wg{5T%OHoxe6j^}yO{;b8&twH~mJOMWt5i-D^aQ>)Q+{FzMSAK;_l*-Zg& z5B=QWS1g6RzE&mDnY>jg`ua4-obgTJOttSX7%vXH7}L+uoR^1HV>b{kviVZnk9U z@!r5Mn%)C(~dof)#<7?u}-va1q>P5m;N5Si&!S3Fb_AEGqEK4Ciy?cG(5duG70j##hr*GIGsh z1v;B#j$qc5e*L`BVPUj#R3|hfahFN5Qea7@x~w$-7tc5%*p`|kn(}-!zUQTHA-wim zNaH5MC*>^JS!dnb$)T%0^!H1&1M`HjKD6pBHPt+7XzHZEVV0l#a#%V%L_ufcG%u1Q z$tPXttp0XE-r_>OZf4(%(7UugXoW0a=!`TXrwvhbW1YDcc zj>7;}mGzNi5)#4-V$wqRRQnB|d@o;+^LYad9xV!5)R$i_O&an+ARMKzFCu&b-#1pt z^N%VSzdKJwh<(ScqWym;VIiR(;jOIgM>eG`tnCdfW+p#^Wx&T{?HCST1V7A8tTUfJ zd1!m`C8{I}%Bw94vU9!)p!oPenfW#B#nec$K>vU$+5ENvB;#tFd6F$ZyUmjmOK{cc zNj~?$EY@1=fHuQ^_OI5fj+YCn42h$*W!VG|eQnpVEAxHmwInW7Qm_`d(O;fkp6M#D zYBJV2QB_VX?1L_T+=~2Utyj8PTOkfr|Cr}f*!WYzN^YSyIXl^u!Ban?2*t+WyJo1k zmAQ;HKyt{oCH(Ci!uBz|0}8YLlEA@TF5S=d@Z53D6WuJ7g}m`*IodBm6{bI9 ztKg0slccU(JVr-`z6R}l#G8Y4?1_hYbQWRnM(>?k(BfALJ={_Pm3|5*VJirr#i$=g z;X{wDR<$v(C{;Jm)iUUX*ORPjlU0l-SU3GPWS~`McwBvT=o12aUtvh5p@meYxXC={ zECaMKu|MH$yys5#`ZYsEAgm1?KfIZz@j;51fD_PT;;W zm}hL$&rWdFG{YPm%L_1%VN9e6VZn!8*=>M&hOAn!KfhI@Bpxfe?6JtYl>%(Kmo zwFPZ<{B>ZGxQ=xyRKP_o^Dbup>6T=~O9Sh)BF;^xv4n94{(>7GdBfsosU|RTM^K(m zV7Y~KyDD?j&?&*COdvqpAY2y>lb?DftmiFRkUyk|d|Ub_3bed0&7q3TR@s@&$Q$&KUdz097#6*a0D_?tIFyB$_t7&!H`u%*U32Iij1v0k4fLE8rHrF{e*Az z!b)zOL`rQ^dC?a{fRchtDyya4v9OCRI}|hhcZ#A9f8X>YRDeeuwDA{T>~(w$9pY*m z65H*>5%ipuLB4yj`i0!}K$D!49qr>)VoUK7KB3`N;cs~?UYgmRDTeGuUb$!K_N$Dc z1lY4IejERbUPF=ak|4S!sg`rPox(vIWrzg0D8D4HSVSW7Y*S);7~G5V$9 z{WUCYM~<;I(aJ!FOKYic?@)e!rV_li)5r7_P-?jXq14@^a|+bXIiz6x9_Z=uaVqwY zV>`S})ukdPIU=R8w<;p#wvG^ z)I`|u2NM+OK}g{j>HdZE zAgk_Iz(^jWelF>UPdH|SY1K}Fo!k}a4D5X?bmhNlc+_iKkThx=kaAEK@NtdZioi>X zk$)NxaPTQWx7qa|UP8M#hu`%QgG=5xX~Pf8d@TXqo~t^t8+fsk3%T(-->jf%0_eAqF&N85V}C5q&tGGT5-mB`7!9}MS$mW=++s* z*){ktGIXF&#low~|Dbb;vgpbMd;5f;pGQ3ms7&5fC+4LV{P2s#kTAOJbYTIfrgQ&}i%zO!ggNX%LaV zsM!9OD&(srB({Rc2E~?|aGWdZNuM?PWt*6oHg zr~8yk@I2GOjm_&iOi7Z|tVFChfNlbxaw6)uMLs#C&~|_=Rv(kgcPe5WQmI$bEnMoF zNut>SjC5b7!%#If7|`ISx}jeh&QDsND$=Q$Io#z7?#%Yn=NneU@y7x7bC`^p&CsWf zM=?yaWf;u-B`@W9(OzWP);rte7wV83G_~%uow_85k(X{lNhqDCD9{?QpN~$QnyS!c zF0kyd6S609WSB$>G!zoT&MBV#K7!ccwVlz93XXTsP(^^f#pGJ1oG;bRM@{0M_e4#c z`m7}+%F%D2K_eyWj-m%74kF3tC^En{lXB?9P#i~4AsQgT?*t?is1^^9C^?ztD&QFT zBw1MkJ)@BQlO!QirE4XLE!J}HQ^6lwafx3|Bx%FyR+Zy{Y0QsPvFX+|JUJ(aO&X}eytPGa$K}6V`tvOgj&r; z+UngQ{LbYBj5+@W@#C?pJf1eM=t8E8d^0T7_%@WKD-A0~;XhY-S|pyU6dY-mQ6)bE z{_E^P-%e6yO8SJ$Ax@A&NQh`#1Y~iRMqFId?)&eiU%U9Yi0l=&pu@R{VKox+fnfbN zD)IFMHkNe{!|-J6vXo`ZPTW#ciI7CAr2YtY5_m|Z7eh3;G3un}w>r@nnER!{tvao- zt@FPbw%AS^9gPubJgm3HIs>B_sh;^IqlD7_M*CTXB5c>m@ys~DBWZ({ z!N{}yH7bMHgI6NSGSrgIqN)-835XZjz_EsF=k~w&7u8_sZisE2+%&N*vy5y1U&=Mn zgLvnmtarlWow#`?U=QOny(m-f`ERA|JBc;VP}b?c3vYn5NSM_RZuqlG3)`sBqQ_D)3nFf7WTOYbpw@d} zZwJfJB{3O?D1`mNFC=H*kNp_OhV&!s58tY|$>=bZoFvf(!*vrK*0z-zb^k)Kd{~V_ z8agn8oxF?NbhWGa07=&S=yIX``@i|3pWB2K?Ar*|vq+JWE@fVI%v?9lMQ{dP;4{(d ze_2h^C^%TJ30ggZMn-()Kw3X(OM|i&5Va}E!L4wuOxn-`E1j$M;;|!At^%0&n49iC zw_fINXpI6`NM*5bb*4L3Hdu|GyZ(`Z2^*8pqAYe%S}wR>QkR~Rds>yeE-v|VcvPdh zv~^*Tm=VQ)O!Ujl&1lP(Bx9lu5)Zg{enm?~qq&^`L-xKHIEE%lfQVIa$X!Krf>y6g zFdRb@-U)?wg7uxK`wtP%yIBO^%Q2xnu&VMC+i56RW0V+Qlg-uootXL$5&BM$zY~A{ zV}Y54Ie5U5y(A_w@FzLo7#Sb2@=j=L`r%Od)BCixL_(NcQPRy)8h5&+%UK~V_Pl~G z*t@C3nNiGE>8et}4$F$2W&Lp8bfi^EwfT=HUXkgc-8~*S*#Rxr8vp)qIGIhHyx;Cz zT6zIXB7nnVn*An205u*>?9vGC*gn_{A{qw6+I_sLg=J81SsE2Pmj`lb>H%#oUlFPN zh?ESpB1Nh8p4Icj_8R6P90N)zcVh{M0=k7;?6F=UI8mMyxMrCHe8CGTHR1kW4N&7Z zc4L%KIgTHywYodbLp&mSaV&AeRVYuwn7z!oE{`_;29xgC{YEyjoSLN{&zW;6E>2Fk zUhO5;Y^vwa@Nghk5I~r}K|a_xo15^kcKJ9&+fv?05M+GbGQT-pK6aC?InUQ}=~|(A zlu{{JA!(?+r@+IZnsiY$rSUDZAnQ=6IP3}DD(%}jOSx$TB`Mp;W2RcMG-RpnN8GOX z&z^6?C7l5h@i7hvk(4yVjD>ywaN@7kFT=DFcSyuGW(yUD~roeX1f0i6M~xBAemb7{Tt@&rxctsK5pJS_RS;wLt~F!m3tJLKYIY;;!CAav|8%@O~cT40by|YZ!HHpv1$K&nqf4`U9{_fmM*1L0;@6N@% zJJ&Aw?%aV9yIQi1XhKee5+gYM%k<;_t0!radbQPMG6erLYPBOJ1*USo`1gzJP|%8# z9R-NM`pO^LNOwtOYrsBf549ioajpx&7hcJb1}!DrIN%9~=dpTC5Bzj5k8S0t z1Two0hv`gosO`j~(?}*xo_`JwHxCs^`Iv)GKpXW)e)1eEj2pC&JDGQ-XXI1ZQFS2} zAve0cgsSxBq>Gi}um71s-C1WiGJ;tRs=QsFf{U$Q(8_o)Gly$%49V}{a{LkA+UFvW z!Vf3WsN?snBlMWmJrfFa=`&1pgAYJHOEL0Y6ZN^ueodD!kYHMKckH4S&sCWA>%^2gb!~PPUFoD^z-B-gf@!TlY z3fq+jJgjkl?DR!X#r%kuxYF9-VY{M)lwNX#CAx6Qxrf<25u?UHy5v+cDUkOh!p-X3 zKEH;MzKHsV%BJ0jEy>qLl1iQFbFgDCY)!myh6ei%pe~@w5BT=vAW+P!a zg0>)mWuR&XClaIs3~P)7jYT@A!VQXXPMk%jMx(Zqv`6a8*m|p~>@=UqwLt+jnRI8- zam}~@h_-auYXet57+ySK>ok6?=K}EnO_h)|DxnO75G=2zKY3h-`#TxIi$s*#TeV54 zr9Ftl_{$pA`lrg9w@dNqiJ10wH~wfoL6ZjkXVRnw>Alr2<8`XV$e98~qcj@hx=Ggr z_T`16EC05#B$Fd?%h(qx*m3>Tn$NB`6Kw>P=I*dH^h&^gCH7`ZGut)Bvo|k4#Fx2| z?f>bPTR9)FTZ(+j+RXf@2z7gOwJ-nn$-ev_UCY4&y_r84%E{}+A791`GfrwM1cW`H z?V~?}WnZs7PxFH!c)^&7X9QljM}GJE1k}F(0UQcR18T=h>)}^j9s3&tUIa zY>(*UIC9~f=MTx3qDC83UPm_^G{h1?i8qAEmV%;DnayWiuorG7{jknw#ifD`xRTSB zh90`7GokWPO^yAkO(L467l8eF?5#em;!<9Ps%!b(runUXJ=*({yk!Q^P zi*>JiDr#%vgSKVNS$v>6@+a{GP?2mF2?YF26@RKh4xfjnJUrRBmR|Zdw7YiLf8WS9q8iqjTp(>hunwZuArnZHmJ#e*l zD!Vo9-9kxe7HgtV+W|Xb5!cmvmWFbHGi~l`l4UE4^^EO8r3H6zy$zPw)r?574cc}& zR>k%J{rL>{%d43}md+UL*D$R6y0$o<$TF3Nl!7wcP1!lR#ny?LSBMZVwluNEp^)wB zP=w)Xpqglo*cLmCaA?$1{&cAgeI-9L>rU4t*G2Tv{icyN#&V-p4Vp;W5U<0A;u(d8 z*nBV9rjO%-VmnevgmRtys?zsH#VGPPgL<6l)`>{_R-~bCs>Mfe^@?QrvBKDnSm_uk z$g2n8s*Y%i66saw((dol5FHoqW)=7T7W`g>?d(TGs0nnaH2vu-#{H&xqK->Y#JQ5Y z%9Ic5*ZWPcwtgXA7O)-#k|vZSQ;yjG+p;}cw8(hE_5RqzYMgkFAZ1oYq+H5lSV+hCkJzW8|hqx;yuy&hSrWTB1OYUmm|QS8sOj7B~+@1LAYFPlsp4vD*oStvXJl zf1lg>jGSSRfL>TVUz9WRp~uvtCo}jojWf+8wR2W|*Mg!-0re${-({44eKrspk0|~q zyKcAzo8jx&cIh{U*8EVn<-gd7jaI2?ed9eY1eI4Kavs|e;(Xwrx&!yey8bX?4b5@>cn?XuHhm}KI5xw4Y zFp~V*v~1D0a>%j8|4+ebU;o?1Lao_4se#=F+6A+X?^u(imc&zlkyTC9xM#ly=2t;H zOG?q%ZUqT`(m+P~-eANZGhf_%Eo6{ww;=UJR zBd!}*hTuA*E-R9U8cHIhqVn0@DUS~D;oewLDZ zl?KTfgf@X#V6r%c0V8JJdbL+`Qwod1fl(95hS$igiw)F_FuTa8RJBe3<-IQ+!NZi#TT?o+7IM*I6 zo_}UppzfgOK65e<)^%N0*x7!o7wuh4?_dkc10zY!#_n~G*J|p;9dUT(#No8o4MNWB z6CXq^_U)rP3WSsl==ZF-TSDQB@7T%@p)0T3ANt2FLK7|aqy&G7v+Dw~lQ4{YmI5h> zj6cwvOC|!tAjF`G*f&(Zp@9h_VdJnP2?yx+%X`@K9MHkH9Fg%Hq($UZso0CqXVG1x zZ*LrszSxbZXX)K;v2h2HwFOn2Bc)g~-9Yx8sEM<5LYqxVUsz3Em@WVblsK17D(+Vy zcWiz??k|JZ`$6G^W_yyKLX|U(63ImhPk9iJ9}UMb88}NKdQeItWNyZx!3vct-Q5&; znw=NS({2p0#&B;ar*-#-sGVRo>LE~QozimCB6HY%d+bHjnf~N%Ya8*?e(PWK$8My~ z9}1^YXX@4qLwmT!Z!p$Olh<6ka}S ziQz7IDD|!a=r6k{_V^jT!$u~|Qx~c=hU1207Yx(^{gvu)LaGaoGnRTi67rMw4HnuE zw-NfxeOVm?B@*u+e)eP}yFy9dfS>Rl-f@D;qZz?7q_1cvdqvN|iyU};=O3b9*r6FT z1$TV)<$c{CJ&|6z$L(nV$GvvQCc05{r>FjI+VrSU*sLS!I2-C&8fS1)2imYy`hk3>KQr50*tqOu_*c zrjd4*@l2VmsGf0H?sdw(31MW+*xR^gwYaeOpZ42b!y6h}!v}O$`!^YFjaa(zsZKNi zsR|r39fiK{aC(Q?JM`Y6^bT>fh~?a_3LM{uZ*5)z2od1D8oOfnTCJxXT;w) zg_ul_eY=#L+NRCUCWcZzbpqNXzHH2DaTK+FB&gSDk+uDn>ERmF=Dw@qbD|*|UYFa& zAXSTpymyZ$gPCMio`gEKO)U)Grd9-RryGmTW(eV^8)8a)iodq6zYO;u;mfa`NM^A#tfbQ_wDc9JUC49_cha~RPA42a#?vZY$K$=@qZuHQ zHwx%yQlt7$a8fSR9)ki6?aIzvIvG!H`KjxQMN7>Bhv&-l9lzdT_73xR(D|B3a?=oO z)w3Oup2ZPu!EQCmqnTGGA~{zd!Dd-`h#aJoO#UtY?`hARpvZ!Go;1>BE_>HcHJeA` zlwQ-_p(DrL%%PMP*Q9036RI?ae+}3=)PJ1NehLW&M`5a1s;U^c#3uyDYcN+;q58Yb zB3hi3R-w#jVj(&$Q?E^7>_(%GHPw6Um(TDAoB|2a#1EWA9k@@U0W;m1U&( z2+4l3l)1EN&M!?JmaF9_f6(~b%3TT@*=Jb3d)v8_+NaKvI$FvUxB^JUvrV+1 zM+s3b=Fg5+W{Wp7`1>#8xiaN57Ttfcxm`iBDYv`^)JeCkLz(K$Aa|2^@N(~d4v86u zX_AmAvz0@$>hUpZ`bb;g>G5wwK+SK*tc7XS9p|*hN*dbd_N+eDwr&ANllH#ksI{L? zIxX!JBh7yUHG4Hf-K!XAx!VFfyyKdXNW_2;`kVX)oEEak>5?QDp-;YjgAE8?4LJjq zH>_b!bxAveA6`CMNgZo9o5u~u?{O*rONcY=@Qh1CMa&p%t~}LA{seP{{zDL(7%8kh z-}j!uJ%$uw2-x-Z0r|oNyrH(-1ZK>c{Fcr{j5QObB!%XZ_UiGGF!lMhR;}%7v7c)| z2)pBvI%QvvwR$tMr!KXZreK|ac_afG-Ic&Qt=BKH@uZHhWVZL+OEtTJJ|L8J58=-r z;3xS_y}tMb!XE0*uPsfh%(YcIxqC{V#so|BloWuNpM|*OT?&e zo_s)PFh7y2_-$icc=tOtpyaOb+vlq5J%S%YD)px{=j!vz+ikAE(aGoKzfXvuNFRxk zKQdkzHn+AHH8gZuIbcVh6f>AWV`8`Sd?l3rvx0jb9B;et`?-G&o~UMw$xf#(-&;d( zE~5GT4(EjSiDR-OdTx4o2kEWo+uXfo{$p2!`UVi=(O>q9d+oP5->Q!<$`Xg&8bLmI z<`eo4u7F((z;2EY$k+$8<0lyE(2{St1kT=L@GQsq-BPoyth3r_ZJOfpRd7q|rI56q zI5r}Ln-sTH+D}be0?vudKFnU)6Zd6mX3d9wKPa2Kf@NP*cZeiuq0X$xCNmeYXLFY-@YV9@`w-hJtH`R2AW;~2o>oeo@oIHZd5C_?-3Jus z*Sg}E2ppdB0m=A%5B-@L;9}G?AAzb@byM8z=}5Jy1~d3328|CW{v5mzc!G~(cPYMp z7}_z6_IDc1y@3>h1K7>-Ykj%}Jj+&Jiwl4Li0dlYRINL^Zp;r%1|SkidArPkQVY|d zL;hDRy#Nd=$E;Cbc9?6rAA&!xEC9P4KA?l=*bJC0?}zi|k1k)nG|9J*cI>rjro9>d_Yw`AlH}J3n+ODlzih}+y^Gi)A@CvX7|1EtB6EPo&LbE ztp3~Y0Lg4lF47orh})h`8ZrHo1{JNHwK@Iy!!u-h%aCf~mVpluU6`%8wEgT<)!XGD z{#sto)V%<>L|r3+3r+e1*LzgcSy58eKH`JJk4RL~oPJCnI=3T|H*^|WKL)eey_3F< zQ!8X}^|>a#O60p%4bDqidE z_eVHsIjuP`b7oLeGmE92o_15dcb)Nm2acs+^-Iel&J*_L$+Ij;L=vZV##jYG(;vp83>M?nm5edasRvAaA|)aurpZjz$hy>?{w7M5k(gY0r+FNv^2)@NS};sn@56>*~kc3Dd(4Lfvhl604w zZN_sMbQpOxx_X&c?BjF{(TpGs)jp(nQSyP^!y;!0cF)mwBO_|TdlFrooBlU&y~D=# zC&f_(J4LW3Zq$7RRyLJZ-o6$)`&SAX?r#DdDE`M&0~PEYf)g43kpjtC!Fm-8%N`!~ z7%mdUvgkFvgB6(!y8H!%Veb#K{gIJNg|Qpl*>A{7XIMpNn5!Gc#fRRV7{ce+8jllb zI&iq@(dDyo{R{m=i9M~_T*--!F1g|6;} zK98fu8U9^Cg{6`09sFk!K-wu--Bp7X<^>iRYz{y@Jvb*|mq`hiEU#`5A5 zV-0PkZhdXRUqw*Kn=meI&d&+>!D->LOKFkSon$+Wb_^+KMHyVsJh%GF&dH&+LX~%( z&!2^4+y@&z?&g8Hq9IR)?IFxn+pPm^!F9k?#V%!ce8Nkt+H1r!Y79Egohn$Mse^RxH)7s!LG)x3@Bp~ur*9-H>S zhc+fX8^PvobA>8JDgkLRR|2ZjM#e%EtQoyBcEo{E;0qlx=pT!-eC#H4$hP24Ex;;KXjMvRGWe# z-QKUx!)5ytbg^06Q(;Php8d%+L2FpThpNB_WYu}wF6;aRD=`EWm!9w7{# zbqcfOZ$#q3^v=w@kLS7olPuEubu@QAGTRdULoN1G4Tverwj|3ogJHk1h@0125UC^W z^VXCjBbjYY)~1%@XR=J+@xx_acDG_d=2U2zXsNqsDO9xdm`q&(y`1}_25yiCKsnpT z{R8^5>uT|HDDG7$t|-HUrL38N;h!xAnIcnzyCMC1gmmsDyE7spiqiwcP&W*k!H-Wa z8wo~1FN42P(D6v_u5+JYHt~J52o1?B8@ktOaihPS+b*s|x~1dRp`o*aTuOcc0lP=* z9(UK@?(V;3Z%GKwM7ky8I{4u_ZurfLmu8TLDMgi$<) z2rg9YO0#T34f|CLzhk45BmITkoFB*|@2)M@bKXd3tuWSjOg_3jDTWSKR& zXw7lws0Ke_&!3QWASm9Bg?Pl8czhM;==i(69jDMIZpBiqE8M068GR1N6Ogoidl?`sPZfw#p&>lg0#m=prATuyBQDBTeq_=JU9Z^0?veL- z0Jfff6j^CTc1YA7&dgO zoYg8uv%_e@LijIj15r`FW34QOz4Mf6^yI1v=tZRr&@8g)zL;*6Zb@)_d|W|@Gg?t* zqdlpvwW7VDzc!g#DYhOt!Sf7$;Lb42GTdh2m0%#w%G8OdP0QqTXsZMFZ6=+P5DQiG z9k{IDzHbUPJsQ z&aZfxvIiL&{TpVJXkkabT!+t`stnxA18om%toz7yE^rJlb|V19%qW^8yFik((hTm< z-BT=!1(nzY{?^JZv}uiawd5R>V&R2md_0lQUKqhv04%pYw#)DL7X(H z17igz@YQ3onV@kY;7&I1H-fn!wwR`3it&YN;BS2M6#PaxQ8izZj|06_iw}Kmt0_l6 zPfzV8BXZYFCV7FcDWW?z``%xcuP(<}zHD5g)C!>JVw=0-b9OxrlCp&?I}!|#_;NPM z{~M>a*1~W6Il2CG(hzmI{mZh)nJSQ@LF`&fu*QLm2JbWAg>#Xou$H7aGG zS@965RwD_N$N!U6wOD$9f|#YHCheOb>Wg~#7JOzBi0bqWkojX04d!KNG5fuFA)b{i zqH-K|=sg)&Kf@ZlXLLvCMbmUL2JQ@L5taLJsQ(83%Ois*lEt+dY+dbZE4P5pek;x{Kka9KXWy}3?R z(vMCk{G?!t7wN#)(bQM3z*pzVC6*Gr8*ve10Ey+KyR}*7WoTzy5|*7#yidHSudw;e z3OVA-VX9BA=z;FTZ|fnvn-^n#Us}TUJ!jfGJb)D8&4K@&ko5%Hp;vk6O&<#Xg2bVt z!hM5i0a~`rG_gAb zq9cnx(5qF~M8G*XAr^dxow$++iK1mu1p_7B>r`Jc!E%WZToqd_Fi-?aB@1<;ow+Oe zj508q=;Af@VZR@4;oknJUkmOzyaC(m_97F0V@E{od zJl=EQaM6PLs6xAGY_{42qohGD2%_NB$!I@<8>%-t8KT2 z$zPxo985a!jD*h^UBRtTw^ z5l4{ z6F9+j`-1xFzd3SJyQn~w{Umr8n}gKl?)_aO-lgjMUQSSe``Wt*>zyFFH8U_)*#n04 zzVYogR1cLxhVtw~#j_8DUHKnaU^WCH?Q^QJ4mX*7lFM6sP5S#*FFLC1?Lx00q(*z5cl!7R&gJt%N+a5hH(M)msJ4V z3MmnP7$51%6LG3j&s%Mv67j*0_8xy7gjXq|=cG;F*`5p7H)GV-&zFGn&F(MHXuJ@23Z#{pCu}TYljC-IN3#3^siSdoDh_HJ}PFs%)q3dPF!O4*_D>RuAd| z7EHx4V=~BjkBfi}+~Wqq#QINUpPJ?>L#=*S!!NPu`#NPCe<6=_9M^OGx$`{c zo;^fxs%S49e^&Ho)y#{ulpV%p?uG#OVzjJ{&6=@6H+U=?wN;ZhHec}{>T@hvK z%*Q*L$PFz)sbi%ks^vJpkdI`?_VGvmT@DCm=v4gVup%a0W#?5TAk^1d=HxVT!eQZN zqr)K${08U+u<|#W+BY`3o0t>flzJNy5bBz#m7-QJ`gbh-pwG1adb!J@mooDglHE8x zTK+}BGN_e6hD$!8tx{5v`&T^yKDwwSh5NUxhj0quHLp0m`R<8_`Qm;Yfeq!9QjuYa zm?4u%?6g6f*m?GTo*j>WMQLQuoNBm4@ z{!dl4E^<;%_l9qLS56M~2w5Q0fyMo4RO*s9D$CkRAXoKvoLoSL>~r6NEu z2t;rq>#b*9KMkj$+0?vFU0QuzUujeO>9Nvg5!Q;(CaIi)Vq}>*BbOg2P1RqorDRao zhAcJn?P6($_&;l{dFtZ@jEfLy5>jWjA{(`->8DYu#1rJ`m)9tYodUv8m1%l1b!yE) zN`~M%O-6wvI-P{}$!R=qu|D=*%P!|!c9YFy8?SZIM@c-g*LsNW5Xzjnsn{kmo2^OJ zz|&@_G`YTE$B7*l)>M@aj&KY>C1)}z>YTa-$nVpb%@&D~K2YG!Q2V?@FyBu~$$j3-N6PEO9MsuSYM>Y9oRJ4>Qn_)mNN z(wlR~+HV6C*HTC=iI&OZZl4=bt&t!+t&tn~#Z7Z>$`20*~# z;^M;ULVIqP9a9b_EzznY?SAX8oMt{o1MId>HEKmpNwfHL0_OuCrD&5 zt_X`vj$hTJu$y0BUzfXHyN*MOiwFHf2A9*9AKRMXo0@JFxW;Z3yaW4*O%{13weD8Pu5ZT;&(G7gRoACyks-~ZKd)A{?ySwP!k`m%o$ z3Kx77iVIiMToZiMA-*uTilQ?u+V_WfbYG50DYLwck7};i<|tMfmR6SO=^2*C85mlD z3Qfqzo)t)*gykob$&XEm3E^P;kvd2odG{j%JlB{4N+1`q#}mOkH|PC)C9(FT)|!B} z-rDNotRAULa#`2g_UlhC+_{qM7=k&jdrdS>wAtBtF4WmCH}9uBb3C^TRW^nz?Z0gR z*rcW3;f93Tech~|Q+u;gcR$%vaci&Ey|G{DOYNjQ1dVr!#rdZGrg~BA|7zsHJW4x_ zQ{12JD;RDR$5|XiV*5rM_sVHF`(#tj1VK~FfBW*-DoucOl(cyOr}P#nJb9AM$Wo`U zU{>&S3`IEIq1H`lOme)@N#4-sw~$Kya@6Z;(Qb3Y*lv9$e=LN`MRiuYWngpJw6>qp zk9dB>y||pZ$Ds96&0p{Q_fp3}#;fQ?_N1y2XmhvdW!ZOO>zuLCuV#3J2kvb*8W_ls z2WTC4GkUs8b|x+JVO^feE%RwKM|9=Q|0f82Z{rg=w&Vkq4iG?o)|haI`*C-9K%>;K zMo+0Rh*33cc+PNT)u>?QxCuHIGLheqB3+X>bf=-)UFBD8~V3WwUkodq|ADbnOCj~=y`knTG8L%AMsXlgjw z0v`4W*5!9@=e{wroGU_&Yb#_I5gRb!&E&`})n@S;91^xb=aFA6gNMyC{`}EAn#G|u zjy9m4Y$n!3*PgHrTzdI+Fv?B3xRUVnk1JuN)A(S~TgV_2!D&Ql1n$23-lS2CetHlw zvAZ;JMWsuI4p*h?I~~v;QjrLG6Ne_rLYruTm5IPmh-T5VMp!n+TCp_Nh|!p#r~8)hblF)aiiHkZ#(&h1_TU zA}=;@jTTr>#V1hJ2LWuS4%SolNl;z?14)u~C)#_zEnDJ7QR0GO@{6|pRS zcY|(+E}fkS^i0Qpvwt{M9I2ifZvJ|ar2+C zi&jG*T1k!!;J2zoU=V~S36e(Zt3$^k2?ZoV5NLq~i3@qpwncw7T9{rdR7u33{6+d= z&=*;J0Jr|LpXwmmSKN0;A5?a>k&++;iEnCjJFan&q^jTty1nf&*}wREdWnu$;1>El z$wWIf@GY&c99@n-q$LS*MC&U>mlFa>NrYe~0%{Ud@}E_TIxzQQX!kT|H*yoNJf{cH z7)+l%oUfez`+i5ir5yukCB_?!lxUsDS_t1WXTQ{X96S%FrMR+vAf zmEyeHUTO4w4nEZe1EPKT{xZ+j`B9@%|L+k{h4UlYIGJH{QdJ&OGsC9-mH$($zQ{rQJ;YzQYrOSIqOsN4Xznc|53N z0pVZdxMkwi8FLJnSdMEZJ}7BoceHHQ#d(7%#IESxHn4oNV@K`SUF1!F$j6Z{okeZj zU3{I}kT8hwDZr9=jwa zG&gn0S2VbO4Arr#acl!$%orTN`?`a86B94|UOY0s9=l^8GZp3QGAE+2tL>dF_0#Vh zr}X>S(1y*!cuQmB2;Gg8dwd#ZTh-%z!@XnUrL~*Z^$Le49_Kltj4^Y3^Ssea#EU!E z`)?mHo|F@GBBE;+ktj>z&Uj9rZa>)kKiza&yDF{Mrf0U&ZT=DMZA^_LfsbA+Ek=V2 ze_Z3sroU3U&Hr~s*9Ow#+D5OmUK`$~e^=3Mc1{(2SG8-V)H_vvo+>>yzuCr-jXqWO z2tCo&DsA4FTK(yHV0Y7R^Rwu$Jvf(Y{;~C=px$!|0VHx4c%DeA13-G;jK@_Zo<>oV3gb0 zeo6W^-A69oWbI7ap001QI%k92Z1;<+eL0WE^z?X`DSnyk_;bxKvz<3tpUo6GSM1J| zHiX&9qqctR50guecbA-NJh40KHjOju@-orHT;{2VGS$b^v^H^7rLQIqvdQM`o$UjU8{d(;@ zKcRCk3%~o&g`el9+AHX3J8g6xbHtgv-d-EtMi+Y0^;Sw3`ggotHajOvN6U%~3MRI4 zvU(s|#1^Go&+h97F>mah8eg_~NzjjHgNmY6VpQ?kvABNx^VD1;Vn|;9)SCv|Tno+vt_*uM}Tty_Mo^_Dq#OZThFy&V4Ez+Z>t6*mjN6O6|9` z%SN~9e`=23`%?3&h{GpRbm2GtI&)Y*+Wc>$+xlgy=#|!6Dc)x1Oc~e0{+~wYF!;{; zw$0mdcb*S8X=P7bcFxXfkKF>36Ad*HZAN=?j&Msc z_m1W0ykilPM`9FM+8nZD9))zSE%!kbL>yh*k*QeT$vOEbK``VqMeYO633(jkKFH&M z9?0V$_dyf}mCuRhxr{ccPGMnZ)nkr@qzSvkf~l=^YPH#2HDfrFbO^^vk$;$y2+0ex z&mohHkUS`6^T5!8ViZAt?-Syyl9Dl*d@ejDHz_a6GMDtMTw<83e6y_bc649Xxubfd z{B+n_iH#pdU;a|*2Pr$1Io>)fHq62IVR0XLD)sK}y7pDt`**Z|D($@opR9cjzOl=k zdJn^Xi2nZr{zL_PXDm-y{tC;H3NJ)oDCYLKp81OY-+*?sQdV{SCB`2HzeUH`Dg1-6 zS6D8-id%@sVF6D>a}Ft&ZS?oWa(J9@8}U1uEuhCFMm9?^M`T%P@KOg)_-4I zek{%z<7(W<@)I%to;b%Z&PVYj?R=gghi}+Ldd&Wm^_X<&Pf0&5mdAJXiU{LE{7q-- ztZ3u6m*YOKNUqX)a(ud95IY6w7k=ka?)!38j^ElPo^d#x8^3ouo%(a#)7yVL;$ME3 zlJc&&tR#N<)|5+^?YkP>^sm5g4In{Mck-n%8;nu?c z2LJ&7|9Am>m0L&@Q5eUMWu;kZYL_jmH7{+ZS&$E6RI{^ZcL#PZ%$yO0U@Ces^`Q$v z8u?HXy+kijJ#`}x3X32pA_#(d=>k3U*lS4?LJ&!G`Tl3-?5rXUoH^q8&HsGgcmChm z#9~IzS{e;B@|kp?>B6wBz!8CAc|x;v9vG%m$UPl>UCYV{n#L=7*3c|J@^TjOSv&BA z8)P)0H4JxNTP>+&5qp7V#d~?%6@jIhJfJP1|F`>dd85=%Mm(lk8qlA`ryf5zwOm5w z^3B)+npZ?<)+kWK6bfTWwwYDYzZC|0V}yCO?^0^IZ92BaEkS=3g0!9K-}mgtP^AoH zH~8qOp;-nJeuhn64GwQ#B$rP|P&Lg8%y7@3n)sF>zNBW}Y?H{Y2&|+TFcfV^*1MPM z6E#P#Ba=`B^E(VSLZ(z&iTdaZ%|oOn zY5;kAU&rNDP-V^X&DaYHN<|yPHQvv`aw!Vu-~m@hGs4hd2+hs;(Dj}TroJVCuy*-o z3>Co9#1**m{B97gqJ(%_DO$C!ks%!;YjAhbu{*or*Z5eWrONK{(7tq<u0L5?6Uc7nO)(qyh=q>dt$p}SG zmhGCexqRou#0qwe9EwvYX2#7lcW0A(&L_ue*f>#8gsGr7&vk?{l=VHVsFtM#tV*iq z4aZL$=u60z8?>^X24r;8nMZ2J-pPuxkfi{XAK^67|MC44Tf%TX?x&&Z(3{T`$k()y zAgB2*KREg6%wj1qL>LT&?Q*W|J8^p9X*f>zlX})f$POB+6zJ5sWa4F)sAJXCPw=Ah z;M^-q=%d|BDMrRl&`|AMv_N>V9Bv#rn__kZs5TJ>-Mk_2IDKkhi%b9tN(C33ym4Zv zO%?-yQsI_wmZ-F_>ybk7+7_;17)Rt zUW+<@=4xBl)-WorRr? zg`Jg^k5pNPRMN%Tn)Lq!jZfg9Z^6LuNd5PK0loX*b6NU-Pay26H$n!LMBU42Tzn}h zX=S9uCL z!rE#6z5hTGv5Ru`+J0W#UT9VPv)S`}^&G!>->tBuMRei5oxe~)wJ-o6AAA+f4Q;?C^dQt|USnw+GMtNVy0u^8QG<6|7y zrF^)e7_os#&Kw&%aJLhe)G-i6V!BslCcsa~S)Q{=%eP$3g#!w3$V zQH{0z(Q2QWyVFJp(}|~p)<(d6pc6oQMRt1GD1%>JH)_eE#5g;Bh8|V*hZixuv>3*I z!gkF}br=@i3;8bK)@qC>gUhpPb!x8K>sOuirv}rZX-(NF<2)H0OiLn_8lcRH&=tZ+ z1m?md&oTZ3K)a_^NtVrS_Qxne9aas1sqa)t`kO%ZVziPol3IdBWI~e8JMHYns?uN~ z+gShVwOOsT^UN~ahH*8X+?qLgkhRMUzxLYfxZ1+T((wb7{53sC)h=pgLK6IA@h3wC zX8rPTNl;n+CB9)vS*z$93;m512xToBKw0c>EaY?}#_u)$YNv=q3q#GrvV5(&dlw{n z>i1b}t>u^Au0Y+rI!ove#H*%JanOC%VGs3pe)Z+e=nqXmA7gAszUiJo2$Uf-|3lMV zwOU0@Z-6N&iAOkGb9A%Mr^C;@f`PmiYTPn@@h)k6S#7Os~Cl=iX@D$W{-^XtRIm zzNZ<_5;1?-PD-@@V@~j5tdXf>zdhWc2^VYAD@dNFj;Kb1plfd4-ZCkw!*tRWAxND& zYvF}b-wqK);y+q3y>`0v-1N$tQXlY^Dd{@g8c;pR?o+M zBH+VaHazC(oRnr}6NSCU?*^i!#!vtU9a48|_h8K@eLP@roXGyP_I_ZT!&m3gKwC^t zft4=rmjdRV4vcpdI>(*W%8g=%x67@C2O+N`df(wkS4O{mQa_IuZZUco!6to<&Y5S-AE5A-}1 zCrumVSCyl@olmV^7qRc|y$^UMx*j6m?>AiR6$hr!M~1N5(397kR|v-58)vh|9(hx4 zahBZYDhRV(k4^?*^e1}0w<*=)QMR?&nGB3^#P>*UNb+1%LR;F|q%U0Qk*m&>F3MZ0 zzv9|E6$}bM235=wx&_|aK!!fvaIAqeTcrdbjVoo2IbdQLfvqm*I$u`;+;J^u+UW{` zIfXKkC<5Ozzc7YvIz4Px_ZUwZnF9hOQht`!*1%Eas;DLFie-9Gl>$K7rI;I9PWNtzlIPF)}5ZBY*c>O2TKy$vX zbS+%4!U(M1qsLF4uE#LUR!bLHJ54n3GH!hf(t2UWrhEl5FcA8}hT)_H$-&pICq6!l~d%Mv8S>IH-Q_dB;?K;G5fXm zU{zumD~_HAV`i9=G~=^V*h=oJM{OrqjWHD8-w2({rOeO#xPEh`EN6SrDywv!-FKD0 z=f<7|Vdl+DpO1B5)N1N|;-G>$3-{lk7){kqjnL96jEe*_%*up~SV8&PJ4iiN=V)G- za?``8c>6I7>&$M1895CQrgThhw;Kw`MYfX{;BtIhgvf ztuEM2u4l{TCp#h&`AAF0P7fqbQqHql`!sJBQfsG&SdvEh z+>L5zvoF>x!FpZ9sy6N1<&kJ5uGH!rLLvOciUY7j zD-t!Q)9b#BU~IEbcd{E=^*e3&eXHJJ(QI8>$@N=#X}I}KRNwt0OVY3 zD#HExx2nh^gs7o|#>7IIkd6#gC;wsM`aMkQ{ZUhQtD&Mmnrc;)wTxo04wBlCw;g2Q zI8UZ(TQza?z*kEacft#&m;1IuHKTe?OV<=R>A$HD;|5Dl?*#M$!RMQ4>IcTrMAOE>QtsK9acP?>MUmJ z$z{d3H4Gy%a}h(ZB@83NbCF9)rfJ80r^D6K5Th6Yni1hY&V2*L$zzKtr~PnSa}m
Q{A;wKNvjM9JZl(cpnXuME`D^GN*>k(a^9;B@ww;PeHNtYCtPHOF<} zd2-}{Q-h9RR?FVDt&1$<@a?WQ*kM3p}e zv#}%@7bh4m=sJAK$%x4aPe4Z%5}0jyXi8PT?1_)jzQ3pQ1YDju#lZV-K?D>BxIfhUu?1VhkWk=qwWd$nDwh z)ta;2sV0&UQ_DNYm(^D)`QEV9n}pk#w{+<2bF8vaP;X^n<;}bK1IZ9oSI&9%`Gm@< z9;zzcMI`0VD@l#4P?8@NO4gl2$+e761(fwI(%rv$T^|)w6DTXXJLrk?cF=7~z6=D@ z9srHdN{xlGCUbUx3GTA(j=Zj0XDx_pPQ2`8v}g9<#A}55hsIH*rh)i)#1X7sCs_{} zL_>E%O=-~^-s*&)QwI|&q@|rfna|Y&@kx{>u@nr_gTw%}ggBv?Qpy|;LN6b~yFFD1 zJV>*KSLzsv4?*VK#UnE?X2Rqt%B)w>L(YkfXnF+miUfO}oF z>$W z=_d05_~u=zwc=eV!A|j*pxBZJYp>ZQbW)NZG2-&Jck+jhloYR%!;=r#c2OMqq@{Z9 zUfoFCh7q)$StT*o1@$}xN*wyD9}^~A#*lwtkH@QiSuy&cnjl2VYxubV(v2)yL#Jl; zxzNSHPcDcvzw#qy@yJhXYL(DAdbxk+#j2^jd=E23+;HE`t#AYYWle!KW-O^I-O30< z&l}2ffe1^F=qJnr(mlIUj2cqhQ7M>->TpzYUI7h(6eekhLrte@eFln13Ao>i%#pTl zLMi?E45i?2C0_BPZ5IsRr9_>iYtq=eEZFe*%Z6N6_|P6{XpRjs0Eq6SB(HLPag90> zkO{+m1X+3Ug^Mdy_C=$oV-=%9&|Q2BtKHX{CJl#ku8jw#z)DFzgFZ=zfK=h6Ulb0` z>Vj;)eN_8CaMtKk37_+|_TlGbtjR-k*6_xiz-al^BISFB15$f9p*sMXw00&R$EUCq zj|Yh9!YZNI*_pzm) z$rj&!iQ-khX^SyKy6~Q9>B>!Xl;u(gq|dOL=#^FzIlMu4a`|0sJ|^6dF1*+hT$SG_yEzeu9R z$C9(ud4(kpBd;OQ{B#jWG_2V~kFTg2Z>v22-a_>rJo0&Hct}+u<#Hrne&z74ShQR{ zX9z&zFgp5N(=$z6)*F-EXtA_8-4uCo2QMLT>K5*|XHoK3;5-T*7o2Vs89%5oFHjmF zu28;?l?d#_2tC5h*kL*iC#OfFoA*e?VGk1fqgz|lBXM#MwD_w8`8>~VSAzfYk2{T^ zIpuZ4b(lV*i~Qx>Iimht7SLmWP2T>lOd+apwxvjOW$Cx%mV!{)tJwAg^Qv>YJ;|#E zAXS@)Vm2w9dQ8S_9s7|k&HO_`aLKJ8FaFeY*B{vt2WOJ|W(ybpN|r2vonYN%5%MG|=C8%}JD!X-7p<+Vk>POkA?x47QWhw4@i1{wTg!l}i0`<#+OG_#&!& z?l+ZNue^Q=>%c~x_3*@DP8|5E5pVlmX}N7V`O_%X(oFjc8^hz6yeWE(z3!t(IS*iD za0^RS6cx>%BFMi?`=OtikZGGtmb5b%QYZOuiRx4$4l?h&_-?C&Pw_?&hO|zOag`NOfW-D)qbla6Hqv`}}v4WY5PE#^G6{LKS_N=dxbqy7O0(-ySUn(7|;xauY zTd>-3jq8~0P{a*Ij;pnA$b1L=Y(?|l#np3p{DFn!`0-b3*NMMx41s?FE?MhOj!G-x#3*6(vo+gmV3%R*b5l z-qF{O;u9|W@R4!()saVNM(YKficcV~iXdkCN95=4#BPupZNfcg-Ezlf zz5T?4ndf;`X1yDgq!CBc37#K(S630u-V{krLkquZZfhRqAy#pE)LIZo_M2?KYU;$H@g7Pt(qQ%deXD(VK@rhzF1*I{$lWK zFUV-qcOyud;XEqtuvb4>*Sf?1ymKJPQ+q5zLaY^>7j`6))SvGi4lf^DVEx5ByoRj= z@!{jUn(!Vol?5SD?r7yidDul5qw73eG}6|dtZts>$-{u0_o$?(q)6|g9W0!4rh+AL z*}XS|cPO|L%)&rNeCP~9g1rt~GHgv8)kiJ^7$LDOLC6t6epSw&>Hlst3G|M?7^aAD zH17Lt0J#&DogxC`E zqS*@UJMZl?@?x_Pgm>7u>1=d1{3`k?8q~lpslP!=S4#EWrSo};Y#PHhJ1n&0I*b-O z9|2=4(p*dJ_j?b7NsAo_bf42ev8owC_}yu8L>3Pi@)nbdu{0}TfQ1L=&7M7O{-EUZVhv{ zSLWt@<~cu^!k8mJdBAFu0N|sM^lQ1Uomp; z{2plfnTQ>Zig?dQ_LUA=Fds3hfM3@x^cFnjbi+D{otci zBJ$1W;+9*Vj-S8{95ax2px>>hEznnst2GoVFZ^!ZY89-f&(&Iij=7HaZe71=OO+(B z!d8ooLJsJL4PM_5xlJzi1i>42mn>9o_XPn$)okiRT%-#degd<#cm}D6kU;M{ACG@` zKWf~8g%Niu-Q>5PH^5uogy3~uW#Ga`l6Cb?-c=oCpc@Lwx;zX^NM%@0ssr1<%bYWR z+z%qPHtNPel8>0{9Xqg=H){N5EKWTwNtdifuhI9ahs*h+p4~yW%&;IdTOf@pDhLGi zK~*@3g$Z0=EtV3wfvtz-_O*o9XR0jB~;LL@z%3iDtMiAQ)nF(w65CY zX_yMuW7^CFg}A*IZwZOW0ewj~bbT%UWlKClMPQsQbofnpZDTjwHn(ktVAb0q2&PJ7?Gb@^4W zPwP+MD>ij22nTF?5`uf1-w&HErTZ_8N4G`GK|K{bjsZSD47rt6?Rk_+1vfLpx}CA9 zPNwT+p&k0y!Sm$XFDHkuMR`MK7KAltTzT#IsjYbKzMpmO9tPHKH{^BzYM@Ih_?%%= zXcQIX0);ta-CEP_^eiP<*H=RY^%-`r&}?QNgxnTDU8n<E zReM_WQg0J0C^nxzY_2V#fjCfGJ@_-Mv58m16DXjwQc#$5vkeujP~EdqfS;8mO@dO9#HY)9~^((m?LXG=ZNx;#FRDh%%n zMVe8kXNTV{U+3em=MT6nHk(PL&_PTL3wnq}FM9|jT0g@F%655%`rYRH;m*`F))2%j zF%Z15(*16+{cw{OH`j`wozSSaTHa^_tGjm}?biUca<+KOn^_JBkqWh`W!rp?X}hI& zHhz`=DZTKtZqps=M$-9s2Au`TtXnQFBjvjM11E+*0!iYOlC(Pbn)mu#Q#wD`E!4h5 z8+tjQFV}K8J0e!#Q^&o?qBn z7|aoLiToaz4jZ-@&1tq8v9nr6*kInB(XR$=QHsc4SmB?*X5 z$kJ>MP#J<-xP(X-&?^4^zZyfSbUsJGW_Kw zbkkVPita*BvI$Nmg>0;YobP>UIelrL8+lJ{YP18L)ER@tYh0~N5914%Yc#HEEO?eyxI-vgf@sECRq(w-i2+-O3ig<(oPP=qI%YK#Fwnf~ zg(AEhQ40#b#ioq9atfFDV`tLJTLXx(>(0_ms!V%O&mEp3b5+iM6T9Je|>o;eOo0|oO2|S% z6)*Ytmmw^Nj8F)3Jw{5@glZlBF?9_S?vlw^nCz%&0sT{jwM_nQj_q0@=2ge+=+M7-fv<1;<%GY+a?1V=P>7>mGa|-?p4RJ zFMe|}kP|E0CgEuu>YsoXMsrL@HNW*+F1;~FUWBmkOFWjfNT zD1hT;YtT_ffDh79Y}#vXM02$H{bt<2$EJDrVZO4)S~SP9cF_M49*=SpG5EvLPVPOmc0!M;;i%=bT-NY zbvp2wanthwsNxjoC*OGhd+8G>Wy?NehQ+=6YUBZG<}vl450C@er>H*6HEyTxH>DA) zF@+yaD2rs@$Le1c{*G4_4YCs!sXexbtQPER9fg#mw#4&e*&P?K-!0De1+J{5l$y*c=92L3qefXqG8~nN{Pmg z&EWn@7eguyULk87pLaMn$mzj&23xpXhK5!eS-b58RI=twndyQ;QyW^2m))ieX41-a zu=d%dt5!WKNHlbRsb;Xt4D@A(aYfAM3L8gc8db@4gMRFP-T5m)Xif*sRO^Sb8QXmx|B4g}QD_N*6+R86 zF{9nW61=K3SnBS&#t5UjI$+Xhwm>iP<}p#-(fu zTTtUI8|OJU0>%jZ?RSM_4|u1p=_pWFA!$WtfYH~yHb$J0EzPkw*PRQK9G;I-jkq-3 z5QYu?c6#l$au$SX(VGyd@2&cb(kWusB!>hgKTZsRdB zHM1qA#iRgX?>_1Dp(u^m&aP%Y2Px4hw!UO&x_Is%uLqqR!h*|anmI#HiU*Tc z(5U}~X(?tcyVnOG-S?{`9Y>|%;G_UGv#R5HaI0aVL0WKt{aH@a_qeGF

kI!_B` zER){tv(J@Zo<5jy7nqchf7ugLlT&U4E zHEDdJFwZKC*hV`kpeYM_KiQ8JU~WqhKea2zQvZ{!USUuR`X)}fiYSCAxX~sgNIjT- z%NEY=(`OHAB@rS`QD#&DeiV-pTe!y(4l?apR`*%b{^`vHmx66je#Wlt_vt`$lvA3# zj!&^)D{b!5gzkP%$%q(lLPQ@v*dFPclqJslI=I3L&`)fZ~0T`aI{k@@=!Os6z zhuX@VeJw&zc0B`sGepyNB4H9hyO3u_3|LKs7gqPS9tQg&Y`ax(D(534TXKij!*pm0y=#=jL4&Z0)Y z#P3aY(#vc|1gX@ALNhjtFDp~jWF5ZWPY6=!^GKZ2T^7nr_r0r^GT(Bw4qEj#z$MEY;A`PBAaf&FR6;zun0R5W zj!XR7SN%7eV0GEtyJx+VMPNCidVP*Tjm%ZUupu8+7kEhb+O^zW37J+EZu@M{N)`j-G zS3y(>G{|FWz(AHmXoiY=AiPGKE5Ao6C~1F3T>5ETB{HM`Wa!mT8-rHRe^Y=9Bf8P< z{h1FNfpE#9$q6HA>PoLaILqIPcM*F0NPE32mX=8l4b~Q~2ldP>e^<&bDtVqeN>yeI z6sVS0?Mt;$zfiJMw9&rpAB&A*Tusu~@#eRPU8CnXl{~Xb91?DTdhxHXOB7wU_%BqvfABuXZgGz7SJ|OQS`*90=vdki z%dVTqUMQ0D!McC#Y$-_h&`clT9b_9xWGa*xPLscBn99Ch8%FzqYwJkhMLFQVbk~FC zYZm7-=-X0r!Jv*(dNgN?qxP}d{?R(<^HbWldQ>VMtql_nzY(Ocmuk+T1fE|6bwjpG zC)Bc9jy{p-b>foptIq*{UbxPe9U~@9I7r8l1`CS66b&?NP@G0F&!9Rge@k`hxnr@TWJ?wjJ#Gba z{18U^naa*uJw?7bF60ztG`~8+RW5UF;;XzOVym(lnij&;Z4?l18Sh;^uCpRwo3_E%LMwzNBVFpjGi@;jV;yW>9mMvv0qR7Fvqn7ebq zMBRqTk(f((qH@A^wt6P>*OiliU_DvRqhR$TuOt5)V<>ve@TVc7-6XOEfrCP>egKCh zC>akmeYd2>dbv64iimyorY)X{-AjT_LK0qI`+KZh%pkzkfRxr<$4SMfLf%0lAfoVaKoPZ&uu425} z<|4_e!38KVQgClVt9_vH3tFAD6%j>zKO8L~kj@|e_2A(^V>9iH<)@8W=D(F^sui5p zUt~XJS7R_HuEaZp50|W$6;+x>D(85riU{MiRATrnWpN87J;ov+>6XhCHTiWDoW{)QI5WLE#{-xL!}cakUHWbd$)>3HlFP#4&y8b9N0qDpY^YT@8}E9nGp}Fb}Yh=SJPjI7r_FWmj{>uio28 zwq_k#Lv(fa-LY=O3HvBQB=z8URPks-?uzQ6Z z%swFN8P^ndDd#57QD9DR1xIsU=rA-936}rs;vmVID4u}lXb3+DLCs$-wN%?iHo>Oh!?r4{t` z3q47{5DH0t{mU5=#@FsEW=*rX%7 z-oD31&#J-B>aYNhMjr^qKDT~dQq)Vdz7`6eT@leg=(iW=e0y$UXy0m&kEN6)&GfYAth_zIfQ>rr@~VS8bQd%-|y!V3-Z{# zL6s2;7V<#BuTqEwZ*ATv zY5sdv5IqZI^(KfQ2+W#$)9cm)?8P-NX2u|we*gb%tp|n+I5hq}uLUNEO2Sc}Q1zBldRl9`au& zPTe+mfS-Kc`fg*l>q_L!Pxt)jSsni=&Iu*{(l@U;}2CvWj||3&L1a{3GT{#|<( z!UVCGMw02h!V>%hRv&FNnb9^MWxKjnxpDc%CZ3pjufE4<;DPLa7DI=@*?$DI6Nui3 zdr$PN=Qkfb)ger@y|wF}i7)|&l~r1Mkz!x0m6hac9!Bc z#jyOVoTuqqk31fL1c3_5KyJ`;JmLf7*%3XfI=R5Nz(O24TCbWxI&%n$w)=;l3sB4o z6dwCC;QVRYQJNx1oa`P9K3c*n)}WKC_u64|gRZ15j3^U4QO)S^@pQb}?UMWE52A41ZG+HNhjBhLN>D)6_!_TNC7uOQk(GiYb2@`CamRr@E6x-1&-q@dRpPnn zbhd9Z=G1(4456xhZQ-yWXebhoo!e>!Cg;#AaRCm|F*jYD3@v`SpWy2E>>pru(&&I4 z=P8%L2hg zc>dmcza~#p`$hDz9n{nLKyqh;pkqzARyHkHx}XL;k0?v)XW!_X=${fh=ADs?ah244 zD(706w*PglZ$Mxf1MKa`xH=8U9txb7w;ikXr6Ue&NOP?S&Gp&sP|feCg$cghzH$PF}1Kf5Q9zVvs*VeJ7(ENsJSUu~rX; zQkYm?{Y3#SfB29D?s0A+o9IMZqqB+#so0|ZZ(Lw)g}H_s ze;!HKu-aHj?l>{F(wQ64CiBiN%3gWf6k1z1ropoh|E^k! z73c_;v5s6FR-|n~{!xkFeJccEZ;H?}l-X_G+nNp?^7-R6+60Dpm`G-OnKoro*^*EK zvIE8)g_50^6LYa6a^-V!+v`&;_1^;;wuK@}XXFwuT@onPN4l?;c&D%Wq4}v47wwY$ zLki-U^$K3CTv9+b9Kh|vI7S8Cd~j}!5MgEx?{AICdGC*e4k*Z)vE0QN0CONOzxGOV z0AVIT8Ps;I41$E-q7KfA-(rMeVyF$6 zajVN#>Lm%8F6^D#w-2WS>d_L5EV4TxhkIPx7Lz?wxLAM`tlh)5O$&W{@s7dTz-9IA z`o#8znx$j%D5{U^S6vtj#xz^z?2iCXqnrGS36$bMjtDEa*e$MZrd#c#~?^?IJ$mp<_Wj<^GC#~VPsY+y@hE&~=|gK2Q8YV#k9 zeavyKutQKNg>br9y0g?bZ8t352QOUjo2toN{xZeUYx`@8zA51keEfujy7;^R7p-jG z!Ja9uh};RBT9;72+%*4{W~g9mSI-nABG(Do(HVx9U-&=RD(Fntr;kyf8=N}nnljiV zY-^Qt+7J>Ve3o=@renPwa~iE}i}xkrBH@}j3_P41x}Sn7n2HwDD9kp+9{Oy@cFR@O zuIgamDg4!`vrJQ=z$GFVuQ>Dcv2BS%^3tnOfys@-(%AVm=*p{8LNw7b(*3p9=kUsd zs9PuSj=Q)b6=^ELn7qBZO8&0irrGWT%Tt6@svA)E`y)dP2i z5SnPxJh&~kuoy6f9Z~xV76h`CB?`msqhd_(>3N5^kY$hE&ht8*^fXH???kiD?SmxbkORmYkXhe%Hn~|zLLyJu%ywH7T*KJ2`&jkro`&r*vq8$LUgCFE+2h?99uKnl2sZxGq1}kH$t^DaL|=i;x=dz}wJXdr4?I zP%JT-`-*qqq0&EJrcM!a$Bek#eBrV7_Y_#G6wUj4#oh#RqNhUy0Ac6&e_lx(0k@z@HlzwgsXaD^!kWt)s7ou+4^Eq z0Cg4A9*1j(+O3Ysd6GZ!61>YAivi~S`O3#m-??b!NY(aGKIBWea(?pQTfBi9C(9gK|UT^m)q12_2X{D0XY^*L6l#5G+M{ z=6C&1(91b@U6NO@_BfgM_@=pfSESA%!$h@W=OO$e&6tjj=WP@KLZ_@y`AUac`0LSR zNob-XmUfNO6%}BK9s!LP3(5%IWf8#gq!ZiZfHN$%!WI@m-QK$^+wH80BJRTaTJABE z$F5<(%##xfD?7f{Ev#9nmh&llo`alGlY)b|kO!ZSDW6exaSO4- zi#b8;JZ!S{YmXEUA3x?mYX2?&Z%e^)=u}cB^!{Q4tPUbBQ(?b0(l(F5@#xP@#0CiA zc;BTYpcA6(#Cj#>O5?m-bHKQfDHifJe>{8AmW~nq66-~n`JoBHk7MJ*{!D1KW#)F+ z2?~q5zhiIRpB_??gB@yg8hYKFOxBs&%$@lZsPe921S~xjhd^;{+-71X1VHan{kVPE zHX^i$^0N*=4A1_=n zJ2fYY;rzfR9PyY&wV`W|dz9wBDx!#G&z_Dh7x?84vfV{|k;Giz9yZ;1xf!c%Ii8vG zAE~Tmt!2hXoNwXvq8=W3ld&V^jObq2M)Hhfs>Wlxl_Mf>)8p>7#=gYcb$-P@Z^~w8 zltQB2y_@TA!;V{7-z_yD(EypJvNiCK(*DY5)Yn~@pg}7I8c==zUqHpnk1kj_Nr&SN z)I0jZ`^5}FqIfZR=axPA+tbXk_ZB6J9t=Uir zjs2$jg8&is*L0g5Fd)g5A)}LjfR4a2&laj^59hQ?B>9(VH8N;q`WGXgO+3QB_XL5l z#RUO!Xl6>GY4`s}hKPU-f9CJ8)>bR+E_Qk%+sij_I7B;;T;aTE+cj;OUv-#mX?fPm z!0Wb+k3t^AFH20x5Po5idgSS>hP=#hM=RbZek8+O03ALwM$Zc)_J(_Yp4i;xzxH<0 z$suk-(^)l{!qyYvhb1I3t%`5;sr_-WVE>C<`FE~)*VHGU>}rFty7$Z9w3ij*`)f!d z?3mM5+lgCW2%85yZ=k;V@qfXm1)e=6V1$vdjYPv2k#K4HT!k?6b=DplfHysU-;hTI zR6i!~Tj1F1z{c2CE!J4YLlu;26~uF;DEHjaa5k?t#UUau_q3t0KMun%)Q+*qgrO3}~TKJA9&zT?R7NP7v%uPH&FONmeQi4bL3=c@G-l#fPFR^XI^i>pprFx~KcP)v zZ78Fqx(DyJ(9#NzFdQV8f^hAR*9nK<4u*?T7K#@BMHAc46PLx`mYyG9eqV&q_Wd`; z#A9#X0UnLyn%_76*62Xd(FwRdVYECzCLVRHWBjbE( zf^&WCcq7O_AroK$FxY$4zrJdb|6$TMIA&@3k3c*_^hAtJ)P~?_3BHg_9S!vKh&7eF z;|ICe-1-_xd~}N^Vua_^xIPTxUnv)8lR4D*pMPF(pEC(h4K-yq32$yU4FSl$B43vy zi+pheQtLm)hvZ^KPZQd}65M{<^hUhyCVaF!DR<7Bns1s`%R$k;k)2($=k$nad~p|X z4(3WDbnxkQi(i@s$|2Nb2#?$JC`Cv@57Tt)#Lr&{!7n6^hDP#2+Z;aioX7DDXF9+5 zNLo9b&Lm^sv*hzVa8|nN`jP^60}j~kcO2B0>AvjTm0od2>(dxAjA1+nEOb=}OmE|^ z25?>)U!70~=JNEIZ?-gJZ8A%EwMgexd5cUKK$NSHOA3}23gg={&KolRk_I2zP{W^sM`Xwh}{^+m$ zFcAAXrpCrjmj@=Yua0sXqLq}VW;s)Qhju(Sh9xQ>_aJQIQ`Lm;WH(&J#fAG4b~0x< zM=P%BME^Wt?BG;OoGWYne^Q|L4|qNY+LZ+{M3J0&=uYOO!=Np_#e4DO zI~dh~YrMPBlp#3CR*jVQmXrXoB?8~{pg?w&4X;dEICQ_0;QcEM7C>C!uiS^&YU6L% z40|2|(etCVm%pt)&i!wytG^pSH})EUJ5Vciujqlp@eSDd(y`GRXq;}d9$Xue zJLS~dbV$9q<%Nu1>++O*Kfx$CSt0O#ul2UGaga5Q?3w5{WHB%95; z1YEq$ljbYOds!QfM$Zw~HLTb4BX3?D$+t;i!Af?gA`9G{(No}1{A(3IwgV?Xh<(fHc2DKPV;>my% znHa?f)xRS1qtl@$UxDvt8*}K_{S>-lOQT=+Wvs=XU|IK;V%Uy(WHlKmzZbjP8sI%c{^c)=xJa57Cl6P38AKw(fo4VPDv~2QBkd^1$zC4_VUaYi#H!$8`q%4*iCs(R0MH z{|B&3Hs71~5?_I|w>s?LHyvqds}N~8O3{eY7$QaV=r7>mTUfWT-Os>z&NT4A`{aD~ z%$L@1lrj^gF+_@R#Ia^e_yy}**4_ARovV=c28+)i&)0C2q7kJrM1YHgCX-f#BaS(1 zgNGpt53$dHw5Kg;MqA`=rY(&grO;$>DZ?6LSfr10>r1OMM;{4JOOGA|7t<_yM2>z9 z4sB`Ufg|}oojTh(>e0IR*`ApsN1NhjOB)Xyg<|VC9#M~8;?SdiBHflY9ykg~k1oJ? zwA?WseHi1BEv?}wL7*Gs(Nd*HkHS|o{WIFS4e_(3jR%fGv2{0rgNe|Utt@)xj`l~|t(G*|PM-`ln;!+q(fQ!u z2COsKzBj>jhD8{wwjZbK3~R%7=)P8G-@6C8G7DUMX%xB=kREADxQ*5dgrl{<5#Pgp zr-dW>46f&4rLYZ0DH>54L&S$mk@Y(25yzvI4vzTE0$W<%w$yAqlFg3R4@i&B!kF_K z%k>B9Q7?WNXovabQdnPqsWV5R*gCC8Cs=qOM;GE}OB)Xyg``JshrL^FnFEodA3(m9^a$HB@GxfUddds%+UCive`k3A_jrX+u0&AT5nBFpNC7%C2_;Guh56?GYEl1ZQ zx2%(T^sn`Xr!#FUv}I$oRhD6#X}qVKTU#3Ie9j>s(|qMF_LQ6NIp60z<#H2I}P{_7h9d=f$yeprQL$D!%UCoS#QXtT^?I79{4LO z!~Pzu>!h2$wp=Ezg_!-!H{SZv?(^UhdHSHQPG8!=m}|?n>o|2~Ip*4WUv8Q9El)dr z=kxU!U%6RhAiM8;bxJ#2$i9gr!ievLef}=Mi;1iOPBq^3mjA~}dif6lq>mUdks0!2 zVltjn?dj{EK4bT({ku)?W2OHe00960cmWb(V*mnfAQlH=XBVeggJs6RlhTQJ?@-!vv&Z=6ZO#1~~>fdql!? zM9$S#Fk}X+)F9vSQz9_bz*=^hjx;Nt`KrPG2HVfhv5S+1A_uo zAtxULgBa9Am|J~;c7q-Iv(Pk`kKr{?S_G;bM1i8v+1)YT-^t6>Ib>bZXH!t9A@4O9UNI*{oImGJ0$I(P487H~M}02N4~D1x~a8m>P=D{gUu zl@n&F8U0wa#Ro&Hl&+2aW3=$X^ST!6tm;vaI38br;Iyqa~+YxfoGZG59TH2TpGO;id zGBPtTvoSC;GIA0sOA(5>+Sm~O4eB03g02DsD@^(81m+C&ud`mpzs?@ur(G~U6yl!b zF9gQU`a|pD$Q=7vE>qwiNv(_PjJ^aFW3zny6({y%f^ty;R9J)Qg+#5FlnBtt+Lb0; z(Xr`Ei=S-aT=pSa5ja0->EL9CvJrxY38oSF8g0H+1^}EKR<>-OFD~O$tS!2{19Bg# zD<3>hPLI!})97{E!`|>`j$G(-H4k(3b$zjP{XzmO-x4$qU~b*{pVsnwIwYZTLf4$F z!xoXwpS>=TiMPj9C`$aFq2I~+w(_RR=jM5Q68wY^v?2%ZnyO>&R6pv*5L$6MAl&vH ztG%7{a(Y-A@9kVe?o@}0^*iq+1G#zkl0yuu@s`9m{o2M*jHrgEq1^E21>^bn^2&hM zVG6+}Fxi?j`~*^%YL70pQyJ6|h`t7hr8~#@*2pHW%`5`@ou7MTA>(CfKK#ZW0Sg?* z7AQ{x|G0wv-QENq=0hgIcX;L5i+O)glx2d9j>tE;+wJ4ux3Y6A9P+}4L^%g@JwtDK zWTWF(G!LK3w+z#2T8M%k%%BfPp3O;pAN`JxLsjU9T@SV-m~`O%sK z8r~nMV3S@-ej!gENe)_T^5@Lh$1EHqpT{He%bZktr5@Kg*0I{icHRx_x1nRL>isqZ&9*AtS(E=)2o= zzn-MNr}}SEoNlQH`b%6>_Ac+;1BrURaQSrIU#R(OW7_&(0$cj|8wrM|)_9@X67L3W z8g;jSJ}Bn&yuOrh*WEy8O>i|%AL&!w?w)O#HuSo!VLq+)EJ9Wz>9p~EZ)%|f-g)kw zMz$_CFW7uvX=pNK({8@EI$L-WIQdYG)W}zx7#5ePA`fue_l;FEtfZLb+yVtIt&u4g!2fsZR&l}$xF)Zk2wDOw-tiLTn zRDaTf`(tp()A%{6?|H4xrM`;*lrGb2tZ(yhdtC2(jV$}bC)PokP<_9?YS{7w?$hyV zZTo-5QuPHhCc%qWR==wOl&)ZO~-+de3`;Y_h|LM0(=FoQA;-$Uymvs?Ji@@Sf z^?y?g4t@)iIkS6f)0PO>Hb<3E1=e4Jy>Ia>67YYN+XYoxZ$o=Hakx%w2WqKYCQ_7UvH1J7$4aX?d zAHw!Ad*b{Q9@1cpcX zKu1~BvyB(p_w&2_(t=Zf^N&#~^I+<)Mu>H)nhQkJUB;3U`K%+D3y3*o41^?=0bKGK zFwlu*+u1eWLUr)&M~PFu4m8F%_{}w`yZ&@~mspZOU{|=ha)umteDK+!Nn^fICL9a( zZ}KZ)fGhTI?4B6ox`c&a+G8|V#d__S`}XKanb&Q$c3RMw>GB;HF=bPAEp^K6s?14! z3V5BCleXoxx3~xJyFUvugB&iZ_b2GI^)WlHdTN<_C0}?e-g&*Y>fm$AI@6lguX(wj zZUUY>xGVA(uJP{;uPwbTG_+*#cMyz)(y63FnSN^bT07RB^RaqvHrEh{BVKhrL8|6& zyeqJUT6HrxX!&68A#_l~KvT$N6$&e!*N>+PQsnNk5XfuW^rjNxSX-n*E8jrBY zC0@jf?%J9ts?5Ag>Y_ocOKS^XC1I^47eHSAL`__DnK3hhV)*=dHOJn=el@?p~|! zS7`D|l^Fk+qrpgXf9?CdwMVC(6>GR?2c`5jvs&GhWtDIn?!+;#Og*k!aQ*X5j;-5W z>XoU@Zq0V1sO1cgwifr8W(=hg2+fgu@m7gpH)G3HTf`TwJAuA)%iwGG$dp=pW=vZ` zTdDZ8zB;K<*n~?v)4Zhm^4%j2|AF%PNWbn${jVIKy;{_oXwPbhq1McTP!<;RS>S4_ z?U`lO>{C=-zyL$^p3eIZt=qP0{~BK-x2O?Y9bttsYdd$-?5tk7ZDot9r5l>5>?sms(@waSU-A=saB6;BiT z;c{otaO;E}H`E<;H6a~cKS_G`3^Q8a*m;M3v72e%*Ta4gAA7WWKo3&)#d=Ps8935^ zvN;}~s?Qs(piYILWG;G{k-m%>PbL@JO^^aflH(7Yqy$>+2 z5zkg!0ABhBa1Y7aVjaBEdmRW5XJSCf<~(USFSM4NO}TE z-Sul>G$C6#Iz5}>wmJ7uu8Tc=XWtpyqQ%M|Fpu=fb=p>@V74qTM59*3T@Sd2?dp8& z)PJY+jk~3|w_JB{c+B5jkMYfU!<;`k?#<-nO-wy4xixLA@~t)bp^T@2vM|YYv8Txq zw?~3eb5k-+?tjb;xz^S5H8oD7bu`T?Z5~Pl-kPcHnYwclSO-R3^JrR=8H`I;&Cgm_ zG#Oo8)zuuV(vstms|x*YRBve`l7^n0zxO zy_j^!spQM@6wSIA?+t2h;A^>OPqjF+UHxE-?%Vz2Wnkjv&K;wd9#NV2VafNios#Xa zYg$m;V)qb4weQ*L)?&+lIk$~z_O*B|xPw}QsODc@v{?J!asS_{(&h*zbWyvM3pe~7 zIM3)h_^mhnJ=shMr{zTi*yZQvT;477L0tO)L1Z@q1fvwL>c={FuPeZco8T{f3I zf0LCAH-}%xZD7=xA+P$`db-2*bflzhXwf$!mh*PM>rm}=DP3bX+)P0)z36+IFJCkl z_lIDY5E#xK*}z`@f0vT**T`|?|n@F-hoVuYUy{f&q{ zekO>pUubC0&z$1*^Z)o6q$FFkg_sc~ISOwS-X#4jjg>GZ+7wLNDi78QuBoU6?kQUD)o`0;m0@hUDZT|q#6-lo zPXiN0v?qs&%^!&rcWVM;=VVn6fdwiwuG!QJ8pEE;b(sT!l@TqCQfKNIXzazNf`;5$ zAf*VT(EL#fK?*Kx5BKf_eFGB8zzcuSxOp0OXU`84l_s}!8xFwfBfo?}|EM2Euc?2L z);-6sm0^lj_SA}%NtQCXx=sU~_BJ0!&ls<8mhOmqqUO1beEvB~NuV)RpJX;#i7L`Y z3C*(k{?ZECrVDUCGPpi=F$ryPRUos^3OBw=!M)E zlhEhg)H=`s7B(y030t-$En{~1hfYW}_37;x6>JC3@A~7+Do9GMt9N9bLYK~Z=%WG} zlR^D#YOq$u6jg?&ioOMxz5i>u2&PM+Cn3j$s z2IuYt?pqn)+S0;T*UcqxsjbqR*)B5HV%8@^mzK6f#aR|ujqk@jyXDx*0f-HNG+zr{4A zc5qFpBJ7h(NdMUo+S8hAWt*$eXnQUelDuxr!s(4ofU3J$LM+rNE*k6+772azhK91U zK}9^^tt=AmUK);kA&o+`nW7S=?iMb>{TY3?iZ&bYsJyX@N;Fsj=x}1nF&VN! zftBX`v&u9swV*hEai=PY_5Q$VBDkoNAi`= zAnoqsnEucWf(-UFhmk4ImO|>4k1>2FHwnXybi9#oU9|ko$uUTF=3d%=v-Z&QO#-9- zqnLYu+11XmzM;dJbXY(ld=$&M2NPr4!=2KQq8?%Jk@F*17ty^c9_sLsm$6uA z+Z&9OeGOP>D6Nv+;B{~~`l9PvVeLniVQ3lsk+aJlKFwKY#U@P~mhbuob@ty-Y!%rWa zJ~2j&eN&!9?7%@KuTbI+r4&4IupVZi5<@XrGyc9;#%(b=S0XZ~fR4^ssSIQxI9rI( zCpZh()`p{%+{K=Klk zX1A#{TbvA7s##+4;!C8Kpu1kPr0bKZP1xyXSI~fO4b(bk(92ae3k&NjV)cN=zq$JI{3`e zr#MeI?bzCT{D4E7s-F=Vq}Eg<-Ll^mE>sEssogib*};0JgDLp$tRKDHP^V;P>8SIaUuN8u~6~SfSAi zgrZ7xUXu9W?@>>XQUX$rxN>)quA7mU7iE@r#DrLR{rcXEX?ZgjTAdedZ2CK3DMr2F zC`P&ADMp_`(t!XfBZA6EpfVDL=GN!FaRG-Oz!5`7m}rXt=F(C#`=WZ#gTPf|Xg3sW zhVmZU7*L~y7kq_Mn6lBqLjaW~XJpx>B~MMcyrj&vWIhw^d{53Dij9ZutNP^!oLsF! zK|8q$&RZ$>Z3Cyo{hv#7x_FD{?2T`CK@pEwGv=@^Hnt%GIa}mZM(3+(_%_cd<Ii$PkW?6+R4)tm(=bFNo7Z&oAe)zb_~5tA8w1DuhubtL z5d^yIKI*SlrTPw-<7pd%*MshLouBZfAL+OgQXFrw{f0oFVlbdjF-4GMT$>RqLdWbl zS1bCkvq_~gY%Vm?Z%)hX8l$uPGQo&vsQB~?&Kmsj8bX2&tm#$_U--=g{E?^dOp$K> z#QTVuTSyl6X=1KSZPA4TrSJRHJg1j!%f+$hBD=lziWU!Gp(1!g4gR=XzLfW!YW{If zIznXjryV_gKGS}(;j;mvG-dEcfR2XF#!ptO^`ZJT?yxEd-xk{{8#-w;dO90U-)10k zR$_zMVc%oP-5TsBWisN@i~(toogH1?!4EMOi4Xg8H0BaiUG|hAyuQclV{Ive$~4k6 zRSAqW4Ru54RDp;b9X}J73pn2H9I+W|^$;Ps>1gd{#YP4f?nD>4^AqqaTz#FB=Ae5* zDoxG5?x#T<1v*?xc$;#DtcImp3}g&dZ%Q366Vv!&$2J*kV^#!jV`75tZcCPMVFE!%kt|>po!ST3_whw=V6w=OQiyiY!#Kg)FU}q3yaPm!4EqY>QLDnC_ zC5LB0VXh8ah5(2bHH zdBVNV!95F?GtT!uE|eINGpns1h?nS_T2{!3{(?qkjJc1y2*BgX!he`TJ3MeWG5<|(8P3M zfu-XGj-yT%2K9K2HM!JKg2j6ZGcKI0^qPnWCgYBDjynu*&LMpUtG&(!>$c9{8&}_+ zR_cmiE(QV>C8O_~>WU=S!4P5V+#;pw#Jo*XQ=OrLSw3VcJ%_@CsbV{+z|n@r&dPzi z$zjWAuE@eLS9KW)K{#`|2YmfZ>5Tm~Om&}GBO{2)xlM`LImPtjJ~dq3zEH~TMSvr_ zK#I#H9+v74@NrtmO=%;lq;J{z$kq+z$?F!6wgOX#v9kdO-(zQ;_5!tzuwztHge&pWty$wh9|3FJTuvHrceZ_G>EcDVf z4l>cO5dZ3;X4~apF}{}<8S%Dmlo|0hb`dB)<;Q5dRc!hB$yK&BNf$t)agUP}^f8q2vp6;MrRq964q$PIXJ%~46&AS|gi3i4{} zG881TsU;*mHD0hUxVa`DcmTEvyPuaP$#8z}3l{dyU$=rAmq@UqCc>w;>(P7=;~B^& z{ZKlzG!}pY%mP=wur~c3zBCz7@!G^}FmPh_y%GFxWqwZ!n{lTA{#L=j$4n$;#OHuK znQNb*#5_2XjHK?Pw=+#Tq<0oGW$)%LtW<3aWf1D=G>;(F`T*>zLtT76 z#dW7e=W8{{OrF`kM*#yc0lWqwr8AJs=#GLkt$Z1+9SJ7d1CZo0r<%onxL8fmvF0Gi z?XN(pkBKFsX*>VGVgEXsk6?y9Ky7C5{84)<99}yx9RB1N3U>1)3U=zRaCm8bkD4J^yBGi0$zSg<3tJkI`8ec_lC!7b^ zx2}ViY%cT_&@ai=pZbyFFG|=0E9+dxwY8Crhi+&KZDAi~ms#GAaB=^|weg!qI8_uAt>d;HCB(#~#o9nPK{y2|*<{>~3?&q+TV4xeoQn5)tC0!ginDZG z1>-Uq(jM-fXv%Ok!W6stiE3tf zP$?_Y$KrE5U;<>?Elt5+{r%gEM>354+dTD6GX837#Yh-Cc-z;; z5YEb1aI*NEY{boG!ssv@(lVqz7)(mut9=|umB?t1d72O_T|cxfstIRU7M5o9cs6~? zB|k9aLl?QMp_W3-K4lGwKEkyQ?lhp#X7UABy3%++$Y%|^F5bott4?7vQft3JIw6Gg z%zmJ?jq)S}1@4O1Y(S{qj>V{;6SK;&K&L(yNwR%2Jmj~d63FhFA0BdzqKp71Ii!U` zFeZBP8=0RgRzreaBvylx;A*i}fnIYsjI{qW9O=rrFg(P>x*G*Z|0}4NiBn~2ts8I85x%?hXYr;0zno7&ByNqJ6BqL@{iOh5J z>maP}t=9MHEnj4aH2I2~U>FrwmEvZ4<1E@Z2#zAj_Px(rBN+(iamqnHtOhL?-!wk= zf<`md7v#2Qo-Wuiwx1^00c(~fcq6f&noVfEl&|}n3$rn*YUk4#ak+2rj$|bvg~kT` ziw&~{=86vJ&@02N!86K}__@js(+?55G0FxKbTD|sqRlnAAAwP8f#Y|EUlnDy2OSu# z;W6Zy&3}CatcBz;K%Nn2(SZ)>G>}mg8B|Vz3uk>ucY$sRF%N-mDDY2#gGtdZUwe<; zw#~r=J4Vbx1v{YkLqJV13jwtZa{}gUN%0zZG;a23Dy*BVsMkj0RQl>euT8i%hT(7k zN`}eR8Hamm)W5P<5=DS4iNR0Uk3oQIe_OWP7Q?!v^;I(A3M+e2+M~O6rC#JM=K+2K zqaj!hL&0B|PgNi$31g>66Qh4S2(owJ&21fz(rj*9s*wphpfzQtp+i(&Q8? zp+d#SZ{QG9pIzfNiUEt_Ni+c-g#vH`w}>9Q}t+pSgSR zrT1M>GNc9%%$@wMOq0I${0@A~(nlMery~X>B@VFKLQyYz@^-#&J+0gNC69D3v^||# z%#^d&+$pfWrH+rcgqThJdvlw`egYJwfj3SA3(_Hr8uzoDhWqp7r8&R4fg)CoiHIKP0wGGZI+F>rP^+Ul(~tV`Sw>DKE~PTNXYCs`-ZLK# zJYhYox+?O@=K}$S1w9o>{YZv*!s#!Kb&aa(!}Vb;?W>!=5WhZ+G_~9lwh;K(_0R;4aylOCK^$M3KXxf%BBgW}%wbdzSdAz2 z1tA>ELgeFfJy|X^>3Vv&j3f&&EIL9srAw`djxgKjxGCpqXg%H%eBgnChbWo?#Pjx^ zEH0O(O@LOs~~CQlv|&4ZjbiToZ975pAj z-z*Lzrf>@Zk%AyHi2JX9ZS8!KBsi}`QG0`x@Y;nw*wAnqd|s*?=~T$9%@_3S)=;nX z>&#CiPtCEa)JQY{z)Hj09E60~6w<&~nGAY17w`6qe+Qt^YF1OzYv4&d&^58v+ZfHh z4ONZBOv|DJL|-2vtn_wUk3%`)KZl@`GM>qiQ?#c>Iq|LQUmwscPJPl@OvF~&)`WyaR@;v`=adWa~AfNGM1 zg`=t12VpcrH0n|^BFI3zG=Qoinn85np)G&mC6gRzmPtC9ss$%t)1qJ-dt(mn_@CS86&GEfUzns2%gB=8&l%7T&XIm zh+q|JrJyWHE+Z=`YHKx?sdT2dTxiJ4Rt`gBRMA^I*(Mo3`Q&wHd(G$NPTZptIS8c( zzEskC;Ay!+3=!&J$xG$Mc%Nu#IGO-3tPr$Lw!byZAD0?=4FWMmUp)+B?-VBTc8O zoto>8eUi9`UtvxVfI8VwBBTAXub1(L7uK;l(89T|r>T%h@}bQg!)0EjsdZNMYD$37 z7df-?Lq1CaQn^6}^07e#bX+E6S4~FON_Jc(^=NR%ej=1%qLV{9zfjtrWv_<=|RPA>20XK9V^QJS)`)RKxuYDt>tw75cFXi+F5TaGG@ zDPb7mB`SVW3i?Wpjz6KyV@8ysaXL6x;HMT)M`~owAnwI|#_%mN=~PMF4j-vhwU|lj z?nyj5Mu;etiBXiNN@`Csv>lhKA37~y&7tsvONtzp&i-m{6rJ`}Gb7JDr^uj6I6^b* zxqZ^6mT;!miBPrg$2W2by7UzQ{H9i$g=0}7eC8Ly`oMFr$ygEPO8We!_+vxMib4zA za>EwDFA~Z;95peq$?wgIEb(|h#%L>VZ9cF`frk6G)Q`8^U@}|zKL-7HA+t6YXFeF+ z_5<7LTMjf^Rdh7Ys9T;x(7v{i?M(suIM+HKXm-%&)%*=7g(wxr87gr-vO|5r)EW`L z49>4RHl^mqQB?2Yk$D$f#uHV6vYjxG!|w=6 zwe0I%u##DGMCR%V%r<=8{CBZF1cjg%4_vs01Srb7^&5L4LwmNcC-R%l}wz9Ga*yS^JB)8K%GlLGwb_rRf1oK_hg<}mATWu zz7~|qrG8m~K-Px2j@)H%tYzLN5|#`J;9h}g}khovWBq>z)*pA-bvq;si zg>;>PmaP2Ep(ng{Kq}hehg3AJb;+19x|^PkXBBY0Umco!ip{nDG=D*L zqP^pD3E!!->h*SF?Rr>Hp%4M$SiPE;&!!8vJjqPfV*AT*U7aZ!ou7b=0ma6CSg~^G z4__Ub)Fu_F*4&EU7pbDMqFd2&7kg2eQkNMg7M5fL?Q$?nEa>l4_2m_ZYX+9eqRzCB z^%_#GdXA3_(V|h(c`sH9I-^kig@cnJC+KPZyWWkhw``%1gDF1aL|W@Qe?X2-@hE9~ z1A7qoyjJu#So8-Rx&wioK;D0Yt$#p`zrdA0;L6Uvd~_Q3`6n;1q%4T-$4P@YBtfm8 zneE@2iBX2Q)~m7Pi_%*I8z;RqJmGPdYxwD=R_VCpcB(O4Ki6xvk0_RsUDV0LGz_Y? z#5}#KUz*7mU6KgzQ7}mg7N9FCeyX@CaAHx*zWe;m$9DYcgXok~VI8qKXRkv7O`FL8 zWjxT9({Fu5Q;yZ;S3Ny8FYGUC%p3w?Cs9lUlC~;ou|$Z+RnddtB%N7NVJZ5PM8y(; zZnxRTIx=;a*~`T7c9USLc%ZI}U-l@vF6;as7quQr9gU-|-;TrE7ae{b1QCl?@3Vrivd)%7xVOW5iCKlZq$6=)rZd zkc#kts5)+>aTTsGb`{Fh2pC?u5E@yb9;(=qa4o)q_d51lTJlwbA~lpb+7&P+DTuu< z#2S*m7}m!KaF0LG(OA>kba2s2(cE**m`dkyYWnlP^y-c_pVB{XV$23C`{wk|>R5J! zPSv3`Fqmg+9@5)Z>F8`8>S<`NT)%WnN8QM=CqHhHjfv4}PM|Y*jdDGpLQwhn7ojG?>rc4<`PVo3DYkdY}@W?oNO2xX3H1QM~79#3i~RuC;{L=v%FHyz&1-U?az z9R4Vgw(!l-a*)0NP*HLd&K>20GmB$y9c-s0r&8`d)9gS|??BP)gi-GxsSFTQh4ZVR z_@@u9GV0m-BpakxfzKhvaQ3KuDGNIi~)PnZUL=TZ`1-N`(r7C!1t zQr2I+(v_m=!^~eZZ!SmtEa~oy=8ze=47JAI^hkoYe^CwPAgTST$MkdU=4}Oe_)8tt z^Rh<-uWjc*F1wzQJT60BSxnmU+|bSagqp&HP%oWWM}3jCEWzZ-5S@X4Dejb}m=61b zviffhvdIHhGTSPAYICVo2OaTM50x*LyFISOvL_@d&1>}i4{7C2PdAbA3pPi`(JMa| zup3GMEN0T`<`bzkQ|WY*EcdLHRNGvs46hqTPi4=hDbiQDx2y&iCy$nV#1h^>%wE`rGdTaFHsPdZbFd+=&vO zE~JUkJGmoNF7e4gh7^!N9b~XJwNJUTL+}I|mvSqqz2jJI1xrPTh2m%ypl*tXNO>@z zQ*Xbjlm|bkfDGy&gZ&?aD#)PGXcq-&S$(h;J`a8_#U1w;n(e4Nai3~^?wq9&x{FYF zMV-f1jJ(ak5Imm_SK=X)x>8{l#Zq(Ci>LxGH|v8T#F|WtIK!RgQ-n>m{AJ)Vq05VP z*le5~trZyDu)IM{QDA2*(04RqN<2pW%@)otfuN8!sfR0DxhDR}^#JesSf;E&yH8$C zFxwZ}aDuKA@<;yzH+WO;`_T8TdMxTXVPc=~hpm;okd znNS3Ri>YkwXT-Q~Ux~$IWhRO_W>N-+hU20q;z;o`1OdCH?0kGIV&4|GnFC)5yFb=b zN}N)W&8km)$>U>Y=X7#9l^`y%(7C4P5S(TU=2`t>(i0Z57A}?*kTTp3!7S%PghHYr z(Ngb5ItYc|;&dg%ehC&!M|mJ*yF{b4aQ+lRSA+VUt%74+YgtQ-1itl`WzEZxmpH91 zk^(h96SlUXB#P`UW8>0OB>1(te8QsNm5U+Mz9;=>>#A8?YO2-eYWdkRRKlx zcVd`uxgXJ$Eft-94#^;&C6^KI1fP@YM4a>NlT8neES0&5D+|q-%B86uZ9FVkWSk>i z4YmyDFwlxvGUSqPg_%>BO}2c)K4qL+>7QfEz~F8BVwNeA#fWePDMNF`C3677VTeOr z$y|+L4w$STW4_i@6JY1HRg-}d0Wf|+1%7wY(CKs0K-I*olB%e4mk?DhC2OGN*TuPk z+jJ>1(*$2>mocss+_xg$46tNVuk3G3v>Eu*b}i=d>y<(#f7?>YW_s0hD^`R5=$%s8 zp9~~wrcSfQJX*8J*1Kj5sT6_#j~xAfAcUqGSCgEnT``q58Yc`;`5Io4bw9}~m$P3` zHb%3F3yCCqeUr=;J3dcp_tXkVpy*YR*r=E+`30X(yNuh}+TGk#*Il_u)ptIwn4Od0 znA-a*o2oULC8VZLlV0&#gHRw)KC%HqC!#^8lF0njjb?BqG`azv{6MC+B%8_weaa~U zHX9ukbqdyjY|5!3G`p_jP=%(n!?j&iESX)V#cdsoUu+s15H zajCI(5>mimq^o)@#7Q@yei`~nw+z{$?zUMKW;<%t!CIA+{(M3E;Oth?qsOB#PkCaX zqkVS_RdS`lVN_beEO~i;;{=Na0x_ndi}-cC6;W$Pud*u1HO&O)mn6bE2ll9 zTyd%3BjlCJ^sF*kKB?Md#MsV}+F+}IW_#u5Ppm2~h53-(y-adwXc)~rLlF>K&HWL0 zP1&FKcEcyR7cuUlP__jEk=_nB>{8bfk_SSrYq;V|^RfF*q)8;l@xqk}uL+(Zf$AQa zLpSF^;+aNmm>hy*P&s8nPE(Z=gT@m#z>;ZkDYEl=^*)LV`{8uVt{FZtJQxmRd{`VN zAlke!pS%vcTnrNu*|izT5YM~TE7r{l?v*tQgBOv1v?r?F5Dyxwksej~uurZB%YH#I z=go_jb+o6}^XF(TW7pi`x!n+~8x1$oem3QqaL-@)cGf@>MX+8W{i-QpN$35?XDX(B zOWz*w8&ci8uj^VC1AqHdKe!pl0)?H-IJn3dN-y*FKuD)JdYah1Hze6F$Va)666D?g zFXTaVAieDQ_Fsr`l)yhBe1qv_U0?r3a25Uu;Q$4Cb^99u=>G$fhZe)c;4Lj+W5eL3 z#me4Hv28XXEJ(7S66iH?5CKY?R3-BT?PJ8!rI6A!7eR9B;$yx8`hGtwH6wvCp&=i6 z6hyeWt0AacX;s@PbET@Jj@AUpHPbv8wbYIGz4L(i$8?aZC5?VK^L);mM7B<+)yByA z0MEp~7#t>~Pcg@xN(yn0JXdC}_)?iH44VBkEb;(}zgrCsK)F!>Wx6CE|HgA?GCeGD ze?ofka%^IbTIs{SWINxD#&@fKC;5L58YmF+&3_M+t`2+5lt-}{?MZ1l)oFqNoZzV@ zW;4hwK4FRbR3-M`I{yEwDHUTpZq2JeG`X`q6+jCS73YnhCDo1KHuXdpx{3i`sX(8P z48|v9;C4vLNQS>q9l??>xND@h51Ggr7X7&7^2PSAxfcM(QNiW6U#9rq0mzR56<`HA z#rFs4wylPi1q3?Nn)O1s`MFb9C;n;r6RIr+5JIQ~3fh{&eU?xPZ+F8fL2@ov_IDHDM3en|}TmrXO|1b0iimEN(pXD7pr{+i0bc z=ZIP}`8Aa$ixKgWJeuZFEBZi^!w8QHhh>UkQ4FhWq3w&U%>Lc>BKAMBt!D zt_#UfK@rpLi;)ee=Ll8PUtyNa@W$h1vBG>l52g6ffx3*#g!sj2Xo2KzZ!mvgcgBi} zj*{F^m(D0;aIO;|e%$|qd0!KO_&j9}^jWDDqlnZh8lniOLhBGQOEdnvyh#vNG6H{d&{m}GwlK2wi?{~b6c${Vm4_L0ti{)0s4 zHz)%~sre^yUND@@CU7ZX+5aSe{2`+?4LF-AHaNs z^m^(UuKd(|gf;`E($y|f)i195t##&3SMBYCf}>{>lfy-Qyf^5P9j95${ew8i@yJMw z$KS9V$*IhtO>eeJyy~LV(VXnNRXQtm=aLDbR68*gIDuj!V}OM6J^-2OH+6BTJ^+bA zgt~M+Hqbn&(@1_}|9Wt*>3sh>0j^k5;PefJ_}x9#-BFP|sAwiL zzG&JR4;*a;J#G-DLv*vN(U@GnqDe@^hsed{+{}mfhxR+H$6M@Y%VZE;($p>}^^Dio zx^k@NN%Z>TPD%8Hjiq|aYu4pVL@BoGmws=-gnJ-BHWZ?KA=w(Rj`zEB6T-w5mRvc^ zUzbDU`la{TFq>dh9IK4U#P_cU9;@@eo}Sf+zn|q@`9mq8$^&DAg z-pVPt0q40AY9ThmgQ6zJ>-fwn?QXk7x#`8VPGKGB^&ZBhk6HJIrAKyk`DS4mZ^MzhtFzvsyD#PebKH)yDY}zOKF0=Q(e2O7R4rLs$A-oZrPrton@I=J2X?3ipvCAN2H7CPcx*X{OQ^; z$WfWxL80qOBL;A^5e2AK7Gq*t+62ov_gk&4{XN>-be`NH%2-3l^*gFv9qa*YiR7TR zqYgH97C0wLOzYrDNtzbYw~jQC-~mI`m89yS^!5U3lL>HXZ08V@=r(4jAs$X9V=~U} zp>K7dRq_yzCZ{n{L9qOtDM!&~boggMc%?q{dkYOz%R+@PI&f_1?)dOR>#k%aHrTw_ zW@oX85wSXr?&eQ4%yTqmr2>%|OsGO;(E^{$Xo)ykVv+Tona%Kg(9LLjttPUM(wL3o zmCR^iuvt zqRBK8G76g=KXBf%n8OyQW0Me>3_+_H4522n>_Wq&J6@`$*L?&qH4xcN!YhqMlMxvY zp~kZq!$o8`hWwal{S7;@9EhGlWu;v?WrHTc#3Cj%5{**+J(`TvdTKJeXbc`}6spbgdj0WT}2>0z+( zL7|(8O7pt@ZX5LL1d0xBr{0V?HOHNCZyRYZA9lXwOkH+Q;lh4GoA)GrrE^{Iaddp` zTV1>sBaGRiNTx*)LU`7OsB1nQhq&Qx9WR?9EH^e%$H!?U;*@%i~A34wjBVm2d!r zDkb6frLcg2QKj-W1n6{TxKGiFArPT#1p$t51p!ywpz~>bKqc1{qu~7SHq=mSOB|k+ z0-Y-xP|S8v%q>upERncfPfehD!@TQW<-Pj^n-6ZwKv*d7$(3NbGii_^i>Cl zgr_lOST25XREe<8Z0*>KYd4}DAMA6PZG!u&h!)P!#*At$v%($+{Q%{ z)d0DjB_}vl+?2gT*g7X0g}SlV6rv26iVV)n71Bc^Tu6Fa@%TE(pV<^+BN;Vr5;~Sj znpAEx$`_)7z z#;&&|4!&4$e8Q_T-V1?&G7YRb_UQD-?7s$<^mM=om2Lm|jR1}(@RW+6RNGUHEuHt7 z-`|2>i=dE8kyKE0w&LDq*iUvZsPIw_kzZe7Ra09~ej?|5)6`sBhd@k6nmdHA{~9_w zZUQB`UePguq=;&MbisD(jxZ?11*k^$@9)uTzeVRVZzn7)9WR#F7udQi0~?JqocHyG z_aBOVEPVZ7UGjwW#=$Li0AJVNN6;RvYO+(A(>G`sM`(SrYzQB8#{@5R$Mh2Cww)c# zRr=(P8`>oUx}xgK?h9zOIOF-dh+T#bq0-{Ba5%SmPqMR=CczurleoF%?^=3zgB}T4 zyUk;_P}?QkQjPv*W9oyj4|A4;!t`YX4Nd5bu$|8Qnkd?&j;+jGd25(AAv~CSE)Kv# zi31XL__cyQCM+;aro0wY7j!H?@IlN7qxgzA!vk?eQ!20D8^w|xE0@@?kHKoM1V@Du zw^{#-s&5Rh-22)dv{TzQr?zd|wr$())SBA1-Ba6~+B|hn+qb{}`{}uIt(A4>BrD0z z$b(?%zWvoP1w(w4?z6`+w;4x|2hQI48p^>5pW&Zur&4n@?2{dL8`gQIS84YT4(E;5jGOMbhsT5P0RjB8F1%IjG zXJTL8GXE^~IUnVOHWJV$=#=Ai_2`0w z%(Wp{cJ39^5A5)g^QCt8P1*lheZ4@g(QoI|!RgZEIInC45d6jw_M{vSTH$Vjl&iUZ zBk&jH6=BrzgnID86fhS9p>UgR#=YQ0d77D7HaxHlgJM%(Jh_jW@POn|r*lm9rI;7U zqw}8Cv_O=WNo&fI(Tz`WM$v6OQ)}nYfoaJZIKn14)XB>E=Z?wCV|f z77w*Tw0j6%o@n zm6S)HC+P%5n7WSP`r?eARmMF_aW8b-N2+dI*Ubx@(u|>^JF;I2VS~xvc%o}4?q$bq zvKbW!n{)oAxB_bBvVWr#TUpvYrz|;v<`WwPlv-vSD^5Z*PhKm_#K*Nq8bL>YunnWS zd4qqcHze&NH|?WpR3J_Dr8Z@W1wv1TQB+rV?3b!mlu2yOD@iA|*G5%7_y_np@&1)H z#$Fph*J|90_1Dlux5?7WbaMrnIZ2-|qk9^g=lqt*U<<6a(SCDFXthzESR?3+-bQ2i zbw+&DN6KohNRAG71s=}i-0C5fL}HxHhj6nd>p5m8S}-SUH)IALR@L)v9|Jq> z$jWE5Nm;WZQ@RJbvZ%}|45lCIsX?45t+yL2NlCg3XWgeD}CZe@hSg;Ck~G(Sesx&{RhopD9Lt9C5o@r+LKo9R`|{DKGm3V~#GeSblU^ohKEa z196-N08lQT^H7{8{V)&Q@?T?*R3pB!F|SrUAU5|A9x70WY#vLmFuiw?$-dQC&m5qW z(PHPkh1C;O2U|rhkknb8%9elP9^h5)bIKWK3lzWtMTGwx4$UX1V=Gp=V=p zW}~!hjO1Z0mRg6z{^}#M=R3cB$4U@xjU`ykWf&n>gAqYtRc}}rI{jaMokHo~j~*s< zxR7|fL--#KvGtNIfWcqyKi=D-zx9gvx2Z*%nKl}BzaV5gRNKUEVO+7j;j+oBH?RPA z)>u0%o9Ty+MpI|Y1MqaRbcv?%5ws5y|6A8q1XsyfC6zu0dcZ*z4(!nSC;us=nXce8 zB^U&!sqnV=@TkALL+n`B+P)#lyAoy5_SalL`7>Z)U+eN0)uYEjy(W=^|F_;x3bI)1 zh%#P$wsCnbVN-6pq%xa$ap>Yl4^qVf)Fu4*KXlCil1QnNh$z*I+kcDS%erD8J-$V_ zIemU$%zb9zMAMHySzYiqyI`Ya@$AJlW7yK@Upx|deGaeW2JdSzHMJXm4mV2wbvJZ{ z>1|1sqo1nTim-ptHl;m%We${@oF`_M4HGrb!YWTFy(Q)>tm?a1e+Ip7ih z3)39?dL%viGpB(W1h;}2Ln7bz&;9Iq2kaZ$AA*nv4ac$`=RbYD^DpXUp$kkJ;Ge9o zIK?+AUilu~BR{Vc=(sN1Y}x;mjzf$KD_3)$vdFYxZ%dkwOP>nNxcx^@Q~P-;-TU3n z@kEfMW=FvPhVw~*2-|*7MWWb232jKZvU0^O)(;JN2!#?8e?i`(d(Ys$5|P)QZ5LJO zW$yPlxlSn90@-XFbgdNxwf!tScePGEXlPveuz`Jml8#`mJ$aolt5)5dKMH~mE#~80 zo-~hf;shWt%c~Mmtv{?h%67UYo)fbiKdhxB4H4>dKR@BhAw>B`{Yi~3xSXHBrYG96 zTa8Dc+PHm$&HuZfeV2H@Fe#<|hcI$lH7o@W$u0^_&|YvJzYC6_k5UIVY9nBMxC_X(BzLbk(d=G{~_ z4S8egHHF_Yx*~~KW-EMlcm4d{-dF88$AW-eo;)vYDvv(nt#O?zs8L~>v>-S z&JwAusTl{-Jk{G-?0J20`HLPOQc#VC2POZXDfC)5K9LQGjojLaS93Jv#$!`>gtsO2 zt9EBdxno>v(xkk<_z~BnActYl(AkRIZ}lt!NNq2aASZacq~Y5#KT2_8_5MCaVAyy)A-xsEeCR&#SHwr9(S@|^$rYnj-_%VhCG z-qt05g-hn$Mb&HJXxq7zrMmH91-7615WMPxixF`7jhaWTM#InOS;IqSCJwsvE#B?J zpU2l<@S#G^SCOQ3^tl?Ducd}n=F~Ra&*ZJ)EdMQkCqg7!I8(q8;;~`nUi4E2mb$t;B_LPXZqRz|xF%w&z^D0n@(1HvlV6rL z;T}b`uh!dvY{y-~?;-iCr~~F@c$HzmnW*GU&-Bmsht~95Hl9|25Ww#(KKJ2qG;Dvr z4UDbZmnTzT10IK7vG2P0)OSPDNT zJHzg^_qLMW1;^u`u-s<^MKN7IJo_~~#FIaJu&$l=k}=SeO>(|&(a;fY55>oq0RBC^wj1s@gdN9cgM!#|qRtP`AI`jnAC!Suq?2Es#UX*k zU`N;kK3svG9EN6>CZQm)4ad-qdakT2mg3ipR)=v0RBPQ-#vZsx)WaH zpa)&go?&+to^D#DzFC-)dymKU2s*N;7vPle8)dK z*B8WK%jF|W9sA@Re(-Qi_s%Zi*WW?#w(Ow@csUo~OGvGSu^)UI6lx6hJP6KKNUidwgTfR zCZR6B@VMipb6949 z6#N@uXutgh!}Er7SKX(m;WK4c?3*(5IdI@3U;y63kl=4!nup=6bJ76Wene?D5-`e(>||C`ib_0M;z-8_`p;1_3kLQpX3 zhdzyG^|8Om{Gj=|!}G^aoUj1`p+NbPUWi}wAGpQ7s)V2k)VM5uF8bk&dmlc;k8jYQ zU#De=`avIfb502w47{OGc-{dY$dlUjM}h=s0LhR(_=QaSb~jE*(ZWO;8omcHCcnQ; zY=E-D1Va5*kz@raFDyNCFS>Yw`esTT?u$8u(LEnODJi+@&?k5OnhL6WBAH@%Har`E zaXvP!-9El;$n zfb#@I4XY2vd(5MPC2(vS^h+A(S%&eZ2&MHfjBM-5}_#d~yv=DrRk@=F-F zU4q5I>G0DS`plDU`G^ewNlRY8{oH*={h*QRli#nEm{C{!rCG_iUKS-^u(GUtsMKUlKNN@^-^+3+s0LmB#Ugc{0cJDX^jf-mpU%Kh1pcD`uMB zB>;sM;;pPUfs<$$1Aqvv@5r|iE*Y1qwvh}*1WPfb zNTv}Ou`K70#1g_l3FRaKQf<^hXMy?IDqMTvw*_OStdQPBOX}}?()z6;O-|S%8)i%6 zs$1eVr`&0XV$yOu>;H90UeVQTR}`!0*7g3jJQKQ|l22|cTqjmBor+~;gC52v2{n4} z_{$Q09)xG!CGk>%XP#Y#Ywq(UI$y%`CAg5)y)S2ERT-fi)&A&8KKv+{_Yl)7BInRP9EMxE^T&k}mxoC57%>SfL^q;z9 zxVU!6bU22MIeCptOgN4JzGSe!@ooWRoS#Y9TS%e92H6*&cQ-x&0!02I}R0GxB}zSsxa ze{nsByIMx)9%JhPMw?5@)mY69X^r*2N-ruVWd9B2_GjdCzX(Wy z_#*(LmO7D1pv=dwOP`N_n?8@=I&CKYY2q00b_m>;eOZ)v`XgHo&7};#UKgwqx)>bl zG`V(lw?0t5WXk=k5_E>M6ZaHOL_M=!PMbEU<1&V~Dj@viAgBfFNEykIE`qr*ti(7H zPXz1B7~&X!Inbs6YnaUt#;~M9Bt=fY))aGNt0nf#MoZ$8m5S6OI{~>&B;Snh zz97#JhF@Q>`wQAFwf%v!d~rZEs=^Az^cEu;DNu~4xBPNbh3@2#K0CYHw9~!a^ zt8mR%=>S;rQ~)gc-vBHGS^(BH9Uvn!ki$J-d87D6kWG*h(C+4VsMFczSfjhiu|j8; zZH>V`-5iZ`ygnT3e7QG*)%kbq_BHJ*l(1N2u`lvX%p1(00sVWrljye*N~C~#3owI| zh;OiINCB2w#NQTL4DS9~C1fgR+!2Yb=?}Ltxy49Mr!lVA!CTeFyY;8#lVIA8LW)uR z!66Qs4+}GBA|k@HrGym2i3Tg=RX%&clDVU9P_8#pnB2_9+zjQ}7{)^i7F!jipQISX z9ExXbxiOBx0%00|A6lh5C@}`Kovt$4aktO`U1_=lWS}%os5N#T~%S5pl1d37eYusWzhUZ+kzp6En0q*Ic(zH z_NU6pHFU)$#hrW>g0UFqrt~Z2G4l>D5ZymG(Ih?piqxxLD~HZ_hZbn~?MAZ--BW;9sCb}K zohH#0TRwlIqQxzNU6HM5krMToL+5E7^&U9wj705{GS$Ko%~yG-A4T2jaFV)4(pfDkmR`)eWFwBdG%;H=3slX zRVYCT`(&$X0dT72|LgE(9b4!9>{ludLEMyXk6k`qOy9=Rv86U~GLnQQ^^ax2!T=s) z;hu6x=34cuEkRIEzLd|6+o#63a$4{wd33y}6hs>uWT;_|i*- zGdH9VM%nTW`;oQF(ls*~A`w3h@f_Q=kaDc>z2FCK%FD=Hk1(~d&$3+9Jnk{ptQgKU zO2&TCDCfwVh#oiHU94lG{SK*c@j4#(Wo zc8zAnGm(AUlJ%l!(rSTtCmp?>58w13^AOqc5x|~a!;l^`ByUh9%x!UTvX;iQB<5D2AZapFfi! zG9*_NkuU#~qWVC5K7l}1csntyE1B?~-#Aq?3Jwh`qgb}wvI~i(s z$(Ey?Nv9whqJIH%7%+0=t61~ODOX6f@;wI&XDnGA_fuVr2xl77o!4AqB z1ZPp-x9IW&g!e+0gLtSQWHHx&-PKS%A4G6341yj~x1h&DN)o{Fh?m%rml+@qKMyzK zG9#iTk$@-=fE8%m$SiIyUQ$9{691^sdzB^h`9YB+w8GEM&*W=j@)!aCG(&*4_mSbC zG0DZo#Ln($RAp{w<7PyvHSrNTyGq0U6}9^1UzKzgudeuBo1)@hfc%=Q%5+yQRl%s{Ukf?csze6>N`aYRCd8YLW&7P&`^#CPvtg>3KOGM9ok@V?}KpRo2)yRr&q1 z3QHYj-q<&F+5PXN3f=j>l#*mtqa;v4aPTP1ya2Hy`|R_4^7e4-o5=EgWnLg4u1w(v z2ZSDFV1V@k6i*bPPpvZ^QBv?NE;APs_tC-7>n-Mh0WvcmGq=?H%7MuYXy{;7XJ!fo z$o?1;FEeX4Enmz1iZnmBupDeGBwR}f-%y2$==Ctez0|NAyg?2CU#FXr2&9GmQVB6P4Xw7A`53Qp z^glm12lAJn9;O#e7vR`nhUMLWnWT(cm;4@c8USu6VM-aY$fK0qp9h#WEOj z;K~Jk>WHxRsN;tBeEG7Q2r&4i3*|kGa4&K}n|b$757z`3V>00caFh5zkBC1M6%_(0`l#kOLkx#^RpN&kG@R1rRx#45wn+FW1P?9^$D?nPGh z;j0VZ>0y>Ht)1@b;3kHVM$kH&;V#(KlIb+8iBvl(Er1RgG-CYn2t3Ep-YT~66%!F! zIn`NN6YWym?eZeR3{8ncgO)rQsDMp9c4WT!V&;N#v39ky@ykV5JeE4U3uv9gs?2sK zQC@=^D&J3Ta&dI}Q=S`g6bsst9C{)}+Q|~}As|A2^iapXJ0ElT_-smgDkUP25cXq- zpL34kCxW!GZ@HaEIDS@s9VYpRL9EmEw|9ZPXXgyjO#RqkIS95K4WCc&j}UHB<--kE zkZ}W%yyG~cS0CmEg=S|@!`EaazO^o6s}hUWd{UQcGePj|MrcTs(_8ZZ*w9f}nv zM?j!_x$BiDR-rbQCzmPm(c1m099Fcu_H)H~SaW0$1QRy$x7+-AY1J192+H_*Gt$h9 zfOnHT^ti<{a^xX1JvV0k;U>t-y?o^do|a@Y^1^xvVOGtaX~QPXAK zlGu0iEMWS4lBD-cK?bwp!BL5)bI;u?X)un1LYonY?!k!c)I)egxa49Nu}6&gOg{Cj zl{7BSqZFE-eAIoLlzp2bm_75dWJn8~Ms=%(RnFxz%$!Dl^~0Z!jU~Z)G$XP{lryG~ zAPR+3+DVOa5z|RTn7w$^^Ys+=Fn;#RA5i}iPK4~_R7cY9_A*5dq}RCZ>ZXotBa76K zB<|shY-5eoAQP!@=15y?kc$wR@c$}4zWaq2!TZ93tnL64jF9T&JG1w zdNwvUlOqq;!0VHXTTepRq|#@SMcL7OLKf6O+G&a<8O1_^dNGl{bOLH}ExJLET)=5b zBM>_<6MW!inL9; z8f0SK`>1(g})w`Ed8!W#uWw#2qRkdPP`Z`Ql^JgAs=#v zk^f7ijDSKVC~XEM+cnVPlz4OzvTe;0LugSBu|W=Ai|S? z_=o69ZYp>_0fJ(|Qv&&RmUPcha%eC)LYx#SUWT|vmKZ-pL?=yzhbz)U2KiP)h;-Fg zM`>(7If8-|NnXbMK=SJ$@pYA{BR#B;=Y%6drtAu!e~x~?-W5AnqYfMJ(6zhX#dw16 zNq=OTryVc`8Y{EMOg-Kc_z5Cx)kg4*#%ljl@LyGy7kqvGp=;pjd==LWto&VieZtvN zU$YMaZgHmS-FW-CI-bY9R~|dCvv~L<3MJkv*6IpHrxNHFFcVUUiMuBFe@MX_+SzRJ z^KR;sLpsi3nd8Iq$zr;Ef(FaXMamjO_HZ_j66uIRAv<$_eXb zhWWT+-!-t9aKN<(68`n^GV_X&>o;bpK9ay@5=*GU$j$f)*?~yMh-%3p-9l{aY;`m?JL>9j3omW7R-~}+9xO+z!TR~$j2k^vpYNZC<}^~( z7RIN){(|HWn&)rO?)AfGUb*sGH(FEW552j ziaAT~Ca`_mc(a#c*R@Br@|J?jYOc98~g(t94zc3Jl^Wg3ct(0S%J^~{=sfxh$+eg@zV!5|FqtL?=$}hu(%l+ zxt8$=GBVS7UJ#w8R_VU#*YJH;mt4*;b*iqYA~UnjwH|axBMuep3xR`tR6%-X8Az`@;iIzdLkAnluCgUn*J|^{bu3{iR8?VzK zX?bo}xioz=b{75=H2&!)QHbg06wGl)B}NPSsV4NgPOM47(fq*j0C7&^PmF+lh_K1$ zf3s^>oY=)b;NQu%x2=(cq`{JddTpU&kTzi=6*Zmnz z=gXZt)!K2|aZj(LRvGa7;73+Z@Pcl_k-yzWOAiar@wlfqgGFnW`7eL<)~FeI#b2QL zic6UQXF4=8fp1i9xcs6(0jJMJDsk(KYOS7Gt zx_aA{8y!PYla)W7i$=%y3jxqQUWmcHtC^QNykU6^&Ad2tIhe{`SA z{9;b@q;J{qo@?E3^R}-_R`KYwSZUg@&3Fp4SNEXMINul{i>kczc0|T6Lh`Sw+*4+U zKu4fK*KDD?s^-4(koeF8si=#d!_r3V==f-!^-Z@-2a4WWe>E=50o~6X4PT$bVv3bI z$FYQxr!4Jnj7UDFU zAVR$l+$N1KP+AnYuVgjL9`gT$x`iX?ZaZF2<9+IGJ0ZYbPTl%l3*Is(V}dS5*$>rm zo+dUNlr!WvOqW(VJ14#E8y8O( z(!7z|fqFq6XZPj2#~a*+!edmgVDq-WrM1mFwcWGzKghLi+rlza68C}39j^5&nFNx7 zUn%O3ZfSHjTXVWy#*VHpKZf_g7lr%HBN|kTfXC2>4qc&3)xgS1t#03*R6mom8C{Jn zEzVvq@ux++*?1Z_IC$7K4c~W<4h_xDwz`gnMO|I}uI8+X8X9|nd+G?QDqlYx^0eZX z2Dgs^wWs@$J8OEHT3R!z0wRLHve7?VB6`HwW@v0^EAQFVr>khI2AmiMT@2Y{N3gm> z8#cy=Q;JP1H6Tvv5?`^yNPeS*0X641vI(U9tG)+qM8VLts!h|nr=_Z6TnP{v)Dfmo zJ{-No9)^;ws3?9n-K{=sET7Oz)o2ISth8U8uW4Ol!5$*ptU;>*&vv7J@0LMqoec9zUAEUI;fMCRa?QON$Bx8k@U5b$D7g&&O7rowM5wzf zvux?gVal^!JkKyTZX^MsFfVMCXOI~0AXlw?9_C7?L1yAvz{JbSxgE>nPt59QKT{6$ z>^+Yj3_Xv1fL^V z{-aHH7q`dFNMAk~%Q!8}y}LLbJl!#FL{TPb{PVjSKXz@%h330Lkx?hg0w8Y29bgX7 zbM&$Cx+m>mZSkiTdGk>`U|!c7gcUdZW>Uw^?&#uWg8bvw4sL`ExsVx9dJHgIV{ss1 zRrcQ>n!{mb(qI?x3d_!J&j2srgy?FcQcoZnQ|M8q%c1^iV!tPa%`Yb3d$vvDRs(8@I@Yrla1eG;9PE2)%azO97c3( zm&vir%gB{10dc8F`hEE;`X@;?JZtP@b1@1>;?R__-&m-LHk@E^kpis8{=U<0X!1Hb zg(7|gvjfEzYheOiRJImyJ%g8phr_wI+-OnHc+AJ-40KVKv_yb2gWw(BTs`n`ceAmx z!KW+C$jh3@W)p<*SxO0?kR(;4VLINm)B7#wX3sHZ#)`qAqWaH3HSnh@c$m-9$+*Z)nUqOXiM$Mq2YVd#dF#O{L zvm6@1^_Ze$q~pJAvgac%0D{`k7kA2&`p}c=(39HGmorX2d6LuQ!sN9+qMnaGI1$+G zRzDUeRZ;$i)ntBSbzK50tFI&%n9^naZgo=urI4&H8-U#{^sQPin*-Lfar%Oz*~6G( z#G;_M1YU-X?4&-&4Z`e zEI}GVrz*&$4?ryek^P@B@nvlOXUu#VxYb|A!k3{VOB{P^)spTA9AcTm?gUUU_ze=v z$zOkL{}cLov{xhX6c+iyIcm6j^32j~D}GYo(#LFBv6F+B!576i1X?`-P0~!E+oMzW zaoG!eSF;Jho;}CsWHXZ2TfL=D1q9Gfi3A0I+MMbBeR&|)P^6_AHFp;iuW%W?JBGBxRhkC=)Oh|CyGR;2%;xBCS3KAw+*XFa_AWH-HV7$zk$9I< zFiG<^k$H{roPsPA6d=kOzHk#mM7mM*cM-RrzcBj6P-+;LYEwjr7QSdfmOsT%f-=Os zJ0H7a{~j{y*N(dj5o1GE9QlJ*k_CDU?}4r1XQpELc6e5~K4Rtmm^+t7(& z%RD*wlr9%C#*%EQ)fmJ(4;$JaeuWV%YaBMSOP=|>%iS=E?a41X!Cy^+Kb3f9fVA_KinuMG*5*dj&oyJ~7SPJLW%BcT*Ie2*V zZ!wYps+5gHGct*hg{2PCjKG%)MdqG|MHDtFZzwVsaVJK-dp`vR}x? zgkWxKw@>GM@n2Kv+jedSx3K$EwsSAv&eOw+zW@6Jr|;`|V`)^1MlbP>$OR^U-OsHg zcQi747VtoyTSGy@okk7B(G@OqushnMuSJ%oUyBko5l7XX(7|MHUuR?f!CC0aJi>|C z7p~c4>vdGzTyYNJHx1Ni8zN{LB4``NYZ%6x)l-bS%#Rvk#AW6G0ywR@Qu3w=U6{dp zQ-(*uP6G#|vE^1^Z1yePjRvi?Zo;0L`q})<2}v3CZiH<-RAD3;HrEWa$6~+FB(Q7y z#lzj{DIc&akr*jD)l|x&W~vG$oR6V|s%0S_;0}uzmP$Z6`IWWJK>euq;}Ng({`N3Y z970H;#Q1$Dg9zCO+CKY$TY9`&Q~MdnLBn*W{5r!TvJ~ zqLug_o{9p4sX>LifC+l1AWxr09fY4%=!#NaM%rV&UOm2owggW?9e3@6(dfSu7`zbi zJMnz|xgcKwD#o*qK_zcQyHv$Sq9}%`qQ9o>*F~8L?0A1&IXQv)A2(aDdzq2SfG_Dif7XE!X>N?$YyQkZ`zUaGkHo|s9m(DmM!lMw)Hl(Ze zodP`>!jlk>k?73e4ro1~38a8-q@UbmTfNOu{F z*XHecn(mw?^72dug5bfn(@1svYNAQGL8~MuOjW9Lx=LyN!6e1$4_|HC=LkWz z%kbeGiT5`9ebL=(<&ihpo7~+dZiz_&wRXBsqs|prBP=hu3fGfN#!=Y#_+<^7V#yxTiZLYTmT3%)m*QEZO}J zb3V7E{l@_9vgX@;iJW&L4w=`~W*FVv^aVOjM?#2MRJGMF;_WldEfewqTRd&9ian8D z&Qx+)tR=ynAlw0m=#=$=zI5v5_&`Qg>doPFYP1_8nG|SG#u6#;?u4l7!i_^&Sdm48 zF3R4H>;$nj(!O-DHL5QKRm)hS_`@c~@(&`;yn;0v4n$GVRpkU!H7lB@{g;Ph#*)3x zClBkN#?(D4t)+XJfv72Nhlm5^yR^49!}4*L~dlUk4BF|UAK)8;_S+Dx(H?f@oH|q_tMGPcF5A|IvjMRq_i7V z6?w_gQqD_KHFTw=wEJ&T&#^+I7rRijp?j%{*%8^%x0=b^prs{~P5=(LS|Ko!SPbJ0 zIw{QkUk8QgwzT;Z8fom~awH1Dcu!DqTXy~KBy@pOrD=R3z`jiE=qDZL#OyySA0~wT zVA=#(QbU;G#DS%*@)ce)i(g#HfrCpI5v{FuU)}^*@s}b~BF&~H2q$L0NI*2wET*w0 zkZBW4590DMnS21SSk}l>!+l92FeW$|^@MXV%;E-3gg=Zc&M6#3CE~E?)@Rb`GiPws zhu$#934{D59p>CdnEswlI?^794r1M+?TR~Q%elUT`QcU>hs8g_3_8Hx+P(mg1j9i4 zb%e}5O{FOy3uSH}>6f{d5s>iAI78;ycv$WdE*7nIAkBWrp@b1TMW52_G}{7tP*Jqf1v#e(l^d{^G!Sof8Qga@bEt=| z4k1gatxhatfb>}2%I8rk)o^!ZG<}N2pTli zo&>`_)7}k+aTLeLaQc9d?icf~3)wn99=I~ChL8yn4h180fnkNTahhCc_GwBK3kl{k}D=&m)_}kCkunl-7}zsqZM`D;7~A>WS%7-%&sg>;*Ni;Q$9V?NoqC*!1uUICT`tMe1N1-V4%)0iS1{y&~)U&<9&6s`^=1*BFsDstFEs| zcUy>j=c$w*)S20-)YyI5GsC}KPalLD9UCTJa9C6$sz*nZddn0U=w?)HrH!a5&rr4= ze+?pL>8a64B@Au=H*g_3N4vzHpQlzC-8?e`HIg1IVkFm&9+z)hGo6pk-vbJ{%$6^< z7ViwRBIh>?jvZOH>1s9FO|uYVtHMWo|u$tF_u%-nNZj>>W)lgMB>9C zrxRXTSYgjdMXwCZGh2U6?&UY&%~!iWMUgd$MOo7tZ^4N%Uurjd9(S#e%KEo0!ji1x zc1Bo`yuf;!J6trKZWpnLx}9GB_4Lwj*bbK`=cELKDZ)ZN}t>RZPid^BXZOGqg+Bxi^S8NBQa?#i4Pa-BXZ8dnv`!6kfhoP&tC z2HB+q!aSQxXa#R!S*9_U(2~B0OvHp*H>fYxo6!#Z6aHNp&mCX)c{hR+z^oG|cIV&` zEX|#7^pi>siTav;_34&qqd2rs{97?}#O-ZxD5GeokOnQCYywp~1^r-Lh$J`5rkKTW zJZhN>R@vc@KV?Vak5LksUf^eH+I8tA@~zQ*R@ve|Pf96vR??uwyB%KxnkGk zDp@zO%j4r>Vy57lxqc1`4>lUdP8)=bGSdcHa|nI7&)939;O60*P-(~SIBuZtEtcI% zoQJUQ_cAgm6P&SR<;WS=Y+0~`LxhEM$BxK2m#}j2FjKH~dMrF=T?@Mo|C51Ak?K2X znWicD#mWL+%JiHks}G$bKm>CVzq9`9{d^i(-b#_4JbvmqFDIvZW^x)fCMK43oh0_v zz}Lf~MzV8%7XO;4ilO}>0}F{!J4-EldZx}M>Duz+^kcsdc~(?tIg(&idT z>pTWCF>&$RYR_WFE)EVhZkb4}bIvMuiiL2;!MTiY1GCco7eK{DEsL+sbt18lxte4u zu-b!qgZNbf>DbKKri^bT7Y7d;zpC|E?AJzYVt)UtK=+owM3GSKXvR;ZUiw&jV`rDt zu0)F55s$ceGZ1D5P9*|-94p{gcvfBIw1%%s8|$oPEG%q3-JmlP-HeHxHhcyFpU#wD z6YnZ6&M9nMi<#JwSY~y9`{T)^K&3K)1>1<$U_t$8CY8|)!XQD;wMxCY!GuC1>#SW1 z#JORxRm*$H)yLH{hSH_2)IF8YADr{<$!v-S8H zV>fLnzv7CkTY+t(;|rwdtBE1iGJ%M@|D39a+iTa@cAkwdttG?v?%cV0z4-|GFE$%z zRR7UEFUhfeD6Pz+C5WfL9xaDZ??|u}hA9WnY!M}0mdRaI@pHyD;ZhJVldw!RP z*aH-w20Jdk6gpB)=HvJ2K`4VIZ%JE;UkPUTWseZJ68x0BO_2H~>`Cya6=lQ6^E2VN zaAM_lmdDny2`TsPNY*kog~+t=sw`{(!HdCu#c=RB9!^PJatUgvoqpf#BB>k#d# zL%{1=^UBNZslew(Nk4qFh(EL6v-fn$4M;RT@O66H0&0=5a`0C7B#4Xmj`{jVw=H7= z&M7TX=it{Ddzj;c&_QZ+X*G5&KeDbeu!B~PKe_%A_@n6%y<9%>ARVtsHx?3P0+m7B zWKo1M8G4<(+r|QQg!qOauRUBdkQb2qSrj2oC#cgy+96f#5kMpcNCL5-a;$=QWfyX< zkf05iF2s)qMhFRB0KKD}>Aj)|Me?>5-GmHvChL=-Ze;g%d||s@Jk61OfK0kURt9oC zMNK4FjquK4|(4X5n@Hi zu|xoZ&p@ao3oREZO^3-xDw1~xCPXG^&|TZpwdg0}m7U4906{U32q2ZW6M_8qKnDQ- z639%5B_+o?N`|Pi1SL&0dh-#cqKYSoi0sw(4ec6MdU9CaYI005pFy%NQ8S9 zG!0KX?IbZ4Y1fue zXI0fJEqL#antX>p0<|A~-|zftca{+1V=5+0n4Y0=@1>?1C?M2h$@}ipQPz!qmq6)@ z+(r9~gF)KVWMpWn6XPxIT@mS)mjZ9`#pIGf&iZA1^W=q{pK4u81`3%QJh{8i`OOwO zE&VRZT%P=JyaNgr|18T|x$Bqu!o8M5g7Ss>cJ$LUELgwE^nEN~QTY=|HzfSzgh*}E zD$(widfvA+`To~e@EtxXIgyPLw=BaMnpXG$7*po76@NqGMi+9hKBtp|46DE?acXyq zliS*1Da#|_pRrMbZ*_;wP}>o9;&w~*V42tkGi;F0>~Hy*T-0Z5k+j4#wXW_=rzg}*qO>NpX&Qerr^B$?+T67ZfKTWgLKu5zMrPB&hr0~^!Md#A`ou{n5 z=CsIxE~$hg_jf%XVG3z$t7$TL0WEf1v3D!T%s>}Dr=?noSV!2HTtZNH^Fy=Yy6C^2 z8%mm6ES0oxv$d*33ixhDP&b{4hj4_xAI=_9i%Y!)$z-WWpO-EWd$t=i%muy0{K7u~ z)oGI&ZYkl?1Re|2`LJxfE7L<~p=Ic^mYGr8@=k^}DcdA7J$K56Ez!u`oQuJ45SQH> zh+~XW4c^kY<07P@gOcJUqiiVBk!&~odYG`#-0kGqHgnBV+fQ!>9i*Uy8+PzQYNYSW zlu|dAZMNa2ON&STt#W>Ipz@bH0WNJ$l4Yay10&T5-A*HIqxIRkfVu^!_=4uIMo$Cuq#*xXSKP z(d*0M$~5M6a7784EP?J20T0d$aceJN{fd-Wuf~STWy84eDm< z6hJfC3N;o9mD#H<`Npp|yV5C$)Ve|j&pYqh@11{?aQ)%%%}FCJ6NT}&orqp$RQ5J5 z1SRjfrPDZju-ne?MuZl{z6MA+4D$N5==LGcRJFTZnWfY{O*@#_^lnSio3+<{k3jS zUPS$EGh*fQJRt7M`wsuE4^Ja)8Lsjz3Z&2ZTc>E4Si&Dut$i+Jn%^!=`<*t)-^y5} zNTo>QcYE{4&9~f7j{H0VtZ=b+HNGl8dKuXGv}K{pZKS1nsMO**1QO^lWrnlR6M(#dxi0$Uh=4n{q09vO(4xjQh>8zN#<-7?vVlBzSK2 zs5tZ$G%PJR(iH={!}P%5i*;ibWCt-%D)n`zlN-2?h9qUGdi7Kc9%p?1Byx7zv2}ie zhV6G;;i#<8oTg#W+h!sBGLLpWHV%Zp#)1I2w(^r%$4u|ns2DX}Y`*t}AV>Lp$8bW9 zPdFNs46MN74$k61(R13Ov@W*zsG*M_q^I0$K#1$UCR2BaP#9Ekr__x1P@MXQmeFcK z{Did}*pB`VjxU-#g{J+Qhi#~^94w-i@Gn+mMyw*fujE@8s_@HTtMzW(6w@~dCDOB) zN~x`{r(Ye{l;ZTf_DZLJ>@T-4gvg?raY;LP-FX3G-zL?jubf0SoK@^7@1znEDGHsT zsuWMXu;0m!^qvOT4SRja5bRal73*}~v1Qx2^WKBwXHjtWD>RWeM!j=X5uMrwQIy=Bs=i%P|jFsDoyeFccm9b8Y8{s6eLnn zE-ZO5MC8eORl*H#c<0e8bIs;TIC-0}0oZ{d*lbBaSFfeP}Qt2$$+)uPc$ zu|?ZS{}S)}vp69g9Zf=AD+3=*3?y&7uxtfHH&AaB#(uAYg+$*QAdGL+nhPsd3K#*O z{PC<(ie}_q$;6doFe zk=Fp14kL<*kBN^N&sJh{7*cP2!FB+tubFJESi!HL?c4sPB2%&Na;(M+iRr_S*V*5w zT~sUP#6Y4Qz~RXc<{ab>lw65OhID$c9s)5 zW4w=XtD-8X_2UR4ws&Xui%mD7QVO!XX6T2R4e6K&Em0044AIyjq;yV^!>ehBX#5P% za;LeIBSAC3k}jgHO`$Q6WnRg4*6_s!C@X5Op%+=*xn1^H9*vSw0_KM14L`=?Go$Iq zODh1YJRoXc4}xY;0>+YF{>SU!NPG}Ea#u7`MRl|7|MUJ83Vx+QPI}q5y{r45+`qit zyrh91e9{zJgA9O6@Y-z}TX$D3yPp)zi{!oMWtckeZc7QeT7eT)m0FGy?C>kLele%x z+DtJzFZ%H|S!+(5}&OIa&+dO3Ewu76Zj#1r}h+%V&)*>5?!n3#Cet)wsOMwiU zD&Q-}()$~5Eu3&9%CjWi>S6X)*&Jpfj&>zApKF4(2Bhy7xSeBh=l>)5_a$)lD2y+i zq?$V?O}F|2g}IfVLxgP=XHlq!%_!H!%i^D12H%c_hySBhZqHYEl8=6L3X|_;mf$SS z^Va$<#~l>sT7q)UP3Q){Mpn(J>HX;h&bB!54PfN@*9M;a#S}!k&x)|8%}CdIgkxfy zD{drFpyw>0^>uGU@~9-o5MHx5Kk5+Iz=u3i9pn;~b8nt=xy-D3?N~3(Kkv`S`P*yM zsubkMA93fMl~Znf;JaLj3ra-hoZvOyAftcKF_|LA9c=iRdrM~@mRGxN^m+-ti^ocQ zcr1`AJ~I2y9?^cXU27rj=VG7*7{eB16FbBocn(OL)<-n(Xyy}z&2xfPxF^fvsRDa^ z2)2PY^`?zc8$S87Yk9w1QK$Id(wGs&-bmRr_RN?T9RFsd%cGOPXhy`%-^4vmn;^nD zrsO8v=QJuuyNk;whQyL6xg<5E&6sj;9`C(3vU+W-9v@)}Zr&m&KMc^R?%MP}GLcN( zX=%uz^fR_u)E0ac64w@piU5amvCJ3Vf^F|zSTt%bsW^>yzq1BGF9`raCNMXX8_VlVqhcA2W{FDa&!mjjY1O>0N8W;&wO0Jv zL?dCx53SL~pZlweYqt0sI=wsNiX)qwn{f-gE&@7=_Zu76lV)|(_aMe4v=mT0S&)M9k31BTf<)VgbI$CkK7c|-C}<0v97uB97{_@O(E_BLeqMBja< zQ0?tSV7JtdQJd{wwOR9vT6VCrOtzPfmrl!Xi6F&keQe;8_uEl#!9Z#r^#th#g<5K6 zWSG1%_Ps4YfBHO!dq=Dl7Z>r9mYjwb(GKHcCuvN08<<-%w-tO^8NI#2iUU4;V?vW> zen$Ri1;T4m9UGVc_g%=h_2ce*3_Mr=1w5qa_8}<2Fv4>^dZ=~BOTb! zIREvSSyyUMCuI3P<|_qWjXx?G{zPWuH#hdM;Hy<+FkJu?5)u*!A_0hOTWfj8gI(#_ zWu|?@;Mb+5l@+Epx}U0#Og2-dje{v2eR%`>%8IVJCxhRDhl`4C*CqLt9!Pk3b5DYd zh4gTlN1gAOzN)DCi_0%?g1pdmrPGHmgleNm2uchWPZ$@qBpmigt^1P~=9By7VL`WS z#=@R2!FFKx2Dk3ECRW4iY?*UUbE4^mT=j0ludpd~Y2>T3PX;4D@}~W+o_1cf+NpNk zFrQ{#nLntXuDd+U%G&HbQD)Y=$Li+P!zuj>q99@37|3-*g>Ho=v=ldtnX(( zlabnlhvr@D9=qWRofIL|csHQ0l@l@LbwVP@Wl<`#b$sHlTe93EH&s(D_D4orE5hp( z!~$5&(&3Mj5{4V^9StZ)bZuRK z@|4xbMP@K#)Z)Ii;+}n}-y{zdADCBDz}}7QF-oE~B^;MMp{!y4h~wTl?`>7y8h*89 z2~_w}+jo#={{9!?q{69ejiEQ+71VKw{k3y?8+oJGr3zL&#uF8q-CJ%j1ZG57m)`op=ReryC2ta#*L98E@J6!A|_r>xxyyX#+ z;r}I_LbJkG`xMK?>fLF^rkAIXDz`;Uz&%rL#PFkH%>RJEMk>qg9fW^~C&QlQyNb&`ngQI*|g0U|@~= zm*15-C)gJ_=wsJ+v32&!OLz<)&WF@KiK>a&&+h(hxEuc^|Mgs?x8|Bc^#fQn@Vf8^ z)8v!)#Z$@&%A}LR@t{DEu)Tf$U&ESNfQz0p_P%PB0e2t&um}kdBRzb$-$zVO4k+_Q zcIExosARiqf70q~L!0Nn-rLI61YIOtA<(Z%4Zpth*_kx)`@YlRG`QBsgfpRyRa)}K z_RlndPP=b zSeIa}J>5pdEm5D2+i+L#b9GBXh{Fwrxy z(laqIa1tm>5s10k*bw{&5cvcgc-EIM-O2wrd~t^O=g}kmKaL<5JrF+RlAdJmd8T8Q zpqv;9?oh#d-+mI*h-Idz8^*IV!NPnNC9p8xSrrS;!*=maVj1r@#lUgo44Mb~%4JWP z@87$_XZH>A1v|fP<_vQFT*WXBmIRm4NcYaGt*xC^weQ)iS)G&E?8?0IU%KyAedM!0 zgioW>?R-Fe^)@J5=|g|2)RH_91V*#0=N5B|I&#dX25o2kLo;%~ou z+$j(lNGq3<`tyYN85QFHB}cKW!t?jnj}WY8l&EuSZS36y-KjwivnmqqYd((5@RH!Oc>Lq~^p}i#ymvNC0k#_09diOuNILT`1$yA$ zP0YXaB`}h}TKIiomJ#g}|D%W&X6SEnh4sok7hbi$&?Y;x!3S3m?v^Y42kXs0jD&uq zZUa5vFI=~CD-3okjWD11Ixpej@U5Z>Td4zQCC8t1*n#n#_oe><0XMI-UVaXL`g5C{ zQsyo#QJANU9JS8$!$qi%RV`1sQ<&?Wp{D9gvvLG5JYUav>AI?}oz={?3di@o>Q5T= zJ93~~UnFkU=z3$j-K#q3_IlvsJxtDgJ%$^3Sh$UA$NR0+DO`$UUDzt0&H7J-zQn!+ zw!5Qa!Q+*`y0GVxp&zD8d(L(b*792E#lCtJ{ZPC0;1mb1%82$`^V6Ikh`zNkRc`SI&R(lmmbwWwTsz>vT6Fyn|5z1k5OD6zY9Jf zaG&hg49qzIZ3Ykc)94zIlU&C}Z_8`>*BX!DaF0YsS6l^W z?OXjA_@C&1DhBkgU+f?(RzS42w_$p>rxD%5^2}}J-sG(Vm%rA4X~8t3S|G zW?u!)LH{ZWOArif10bBPs@I)XowU7=OMe-(>+sEXJV9KAcYUKv`&6?(@A_{i{pZ>L zp{jbbV49GNrtyoXDRmR~`{-@f zbHz{pQtZOD|0Ef(0r6G#tQH}&fRFf`?If(pztFGf!`k+L68MjR($*o|K18Z$4Xguk z?E=d-t|^#9$HOiPlNWg}MZL~stNnW~2KJjap}2F?@ct8lyI^2J4$e?8+dB_oi?4Rh|~ zXF1rbmq)7@A}qBHxyP>SD+Nt^gXk2qst=5e%VXAveH$O?^Pyzs2P?Gst$wcl+9>cU zem+rM4O%zgpbPJ;7CP6*jhl`ies}t2pT(Q3mIUuHSTNsZwm!c~_o;F<{-XNXcz>r| zx6$ddG}G%lJGs+N`1VY=4lpmBuJq^Lv{$;6r`n>gYdv>ew^{M}bb4&_ul3dK`y+NH z?($al?(w$P=k?@vZ~iR2S|191zf&!+VyEqEohfg-{R9hhqA22R_fhZ|-pbc}DXnndZi2KZ@KNpVPXUe?gRWe!)oe@#lr0T&rRFrmxA-y3$IH3( znU^U5rbLIBTDRUKb~;@z=~TP2`{|2n|5eU};y&lwN0S2g*f%HNM>v8;JWA_m9F#48 z8Zx_=teN3&d!#5DGaNeVHyejvakE*E$uZ>Tl%G0Nq{7`t_Zr^2tJTN&)75=wwZJ&J zb}2B9uhrG!rc8VA^svu8xUGp&PF`S zv1(uD%?Gu+;7^$&wgGZSz=bP#wYl*0TmieL=4U)@ersgAZZ-e43qWw0>fyRjn|Als zXY^PKZ;0y<%Kj{wDeb+WdCSPIL^^#xYZ`NB_NP6!HVc2F+J08$TDQ$p(`Wv(cKRg! zQZoJLkaxdhZRQwvt;xdBTmSf8pRz65%^PFF9s6oMMlT7+hi=KX%sp;%u{YvrWmCEK z*L^E(+}gflua{?^*6+=+$Mc@$kF#-P4}XkS9-{BPfH#z%4yVg8c~jG;X`4jE>|t{q$*!2( z%iE!!-%xSc^|j(T>8twEyFI$zv2@-PSMzIZzuZ`!ruqD~`sl{M?H8!)bLm4=k3PI#bIxN&OIw48wY{=;i|WIR)qRp1?w2i_ z-_3QIA=v)yov*{o_)PBQJ@8ofdCU0GV3~_m%e`qUu{FNhZ&GJvz#04g$BdTe+UE#0 z{J+pFKKfh5*7=%0XJ3PD1DEk_teY=||NT%j>ttnguX16}pPliyaL+#_hR_|2{+LH} z;_b_|GnafGW}j~OiWz~Qwj-!%OS0*4t!m&EjOOxqsts z)irvm#IkE{`&?gJdCFbJ*Yf|%<>%o;xG(MpUKZE!_3sqvG`s}*pWQ#E-?-x1iyxBj z`_>0k5lSytQr*jLy0kr4YYv6Z!`AlSW|ow;sz~z~xv!%grv5CshkYz^i>Hv@()TP! zZ|z#VhM4b!`&)cB@S1%y`no%8R_4`ptiFr`)iVRqjySdJOS7?j|J_1g|Ch!YUG0{o zxXZ=23rG~Z3h#0FNTa%$`l$0N^ywN`^cDJQTUz^HL2vQhQDsA@NEio{n7I1!&W@IP)p}5&=ZY0EzW#>Hp`c3BiC^btS|v}^Fwj|9 zjrsL8lrYfPnLs54sAQ!B6<<#ivAeVJwMKpRo6iH^GqZp)QO)J(k+TUn(nqCE&_tnL zpbP*70F-f{Oao;eG_jP_sOux3xSmicX8`;~*zFyAg)*O3@63+Q-ZMB!M=i&Qu1t*V zyIPEl!2`-=jEXhXszS`@yezplB5#s@md0whB25aqZM6qW1=n=c68AKX_jt%lUjtD^ zEVEX&Z{2WzrbNvv`S);R|Pw_1{h0_$z6TE)kB z&_Gx-4TX*PM9_fQUquwCi2YTBciqjiS52S#12uHVckWhA!LRFBFjZ@C+1ID4yxoMp zV#_@>8PL69FE#cN&hYV_wlv60*`-2!$kWhcq}kEw>*y8X?M2%c`bJ#3ke$r1NX?&X zL^>dmi&`!TyPrZoWxTkug~q|XfF=%TVaKDWtp}KddYz{J2Xgua??($W`E@=yE_>EzfnbV6R2(CXh& z%3xp6OB>Hb`B8H+ud1#gwge5*XFiy@Vk`?-S)$mM7^Sx%)2q_UXGer9pnG^os2=X) z%V!sa3x)LK=-BFetbCrJ7qsd2oD#8qQK4%R!NoiO@j!PB&rH!7LajLg$5sjG4~|M%tDZ?qjYFexzZWS@sfz|ptCHl-a7~CfN!&_O z*ZNeHYqSQOJ9vzwJzZY1VfD&%6n$S~B_CnLq`fSEQdKAN1pDL?l3vBs#NT3?QhV5@ zlt2ExVVAzPOe<>y-8Rd+NJ#36F(bPt8sP`swGtxXRuPd-;vA#La;$~Ku%JpO!` zi5pf*nnCrVM%as~m4bR${lEbxpG&BTn_7j`z#30^$cdX+ptkA3|I_G4CB255gvz%7 z)fmKp1_?*1bpff0src3D!wJA!xdGwyg%exMo5JsmDRhP7;{VjHi*#Doai$& zE@^CPbaeJE^wl*sA3aTKC0C(K)~Xt^?jzQw;$PJ2_)~7Xn}8ymcI#6@x&IQW3Aj(` zi$2CqXUer78u#cepxJ)`V0gHT=^dpJ48Iy-<{hmp0cE)C#r4+GPuC|?AN{{{d1mOn zT>E-n*=60vbNGr01uE+fSNw~<1K`(Qrg zjFE!K4%rJ_gzoHb=)GmG#nLJ~B@=jRv3Volp3`IIvB^cq^FTD%S=Vw(dok+ zPm;j;5>JjjcFuHLjNI|MnEC|!wT~aAXP-9{$Bd3JtC{tLyW!WCmrQ5$E3Ff{#?P;s zT_~U|!~_1iSP#!+CV6s==*zEnZ;>_4Z!T*}a3vd2tQTcG(bF<`d6`$S(>Qs#*Jhz* zI*Rkt!8+yfRodt6GmBgsR6oA1YGR($>NHn3eA&M_@`1NZ&rZjqHU-%4QBsFj`^=VP zrIdMSjzy|!l+Y)@>2>xcJO~J3wL#-tKH=_2g>#q0xxH~hMjCLJT7Qv5+?%Jo=Z%`@ zV{~`&S}eJBQ0ElyrEl*X=32OylmweGxeSGnvzuaK;bT{A_uzLH?>m+=1Os&fWB4&1 zs5}MVg@r^`p~M|VA$aa!J;F>OhG?>G{PVDk+hS~?L}XY26_ueCK!j02Iyarf0$%~~_;%;sX*@tVTzVp7#)-{KY9!*h?yx}d3H=O3866jt%a z92*9Y-f(CWbuz<(Rcmu4+V^?_gsNd*H~HkW+gWdQ^QIIuLT3=OU^Z6w5Iz_7jcHBe z8sCzNtRzCOj`z5$#?{Py=MVZXdw%m6l%c6Pg+ZMN{63s2$LM1~LET3WFEo1ps;Cm3 zmn1&?d(0E4lmL}euH1cuyVmdRH5v88VnQsuegl7oXm~T1+MSneYzDia$;Z55$j7*0 z$;aM+(gg?X!vp&Wz&--G<~H)cgn&bz^(p=OU?J`7@MB9&%;VAy9!2i*tapAqr>b7j zdt=Kb)TkJyP(kP*Xrjic2%xm!|Dfk2WQFA zEcIq+@R(#~u)e&zqej>I?CS~w*^#k89_4I93+h)_zbKFXU=9!1q8VR!V||DyI*yxM zavtgim)(tyuC8Nrw$zo@?{=}iIrN9$gbAVB>N{QiTvIlVHeMcC2j1R}&Q9@5)=%ct z-?;Qicz-Vm``>_jJq@Te$dB32#X}YmJtOpeUd)$xbLGe{q;TGF(4BI&)E|_O=hB>a z^C_oLV=V{M(q>1^!?@rmrbI1dSWuv&AgYL3SNH`gjLIFK<9{!49vvOH&V?P zdOY73R5v7BMwIvym-v5P3>-P}^{wmZ>FT*qOzUeq@MR$Kltf&Hrm&7j{28)`kcUVg z+?iz7A1#zen-pFfWlI&33dfP^XU2RT`5MXUH4{C|>LnjB9JqCF;F$mXkOmJ zve2)S3uS7{F6@W{Kc^Qty=>dA&peme^t&2cJk+X`p}J}?78Ubkp5Mz9j^*h|kwk;L zI@$vO>B?d25Y8Gxgcslk1AAxSWs3W3sheAzCa5Rgmw@r*Mv>k5fz$=_H)uy?r)5}O zj=tv4wIFurl0jA$jIsPI?TO=#<~i8;fR~4HOeLvnY$-$eJgzn;JJZ|6@p))#lIU}r zYDdw?{J|-@b`rOf7=K?KaMGGYG2IQ7K2^%ijZA&bo7;#Q?vP)&=zJJvZk&O z#$GL?0LEl)Un)bq=!?#5U6mTO!$Vz|K^d!l4%1Pjt)^iuj3%1-``3iImmkQ+MM=y> zZHf`D?RYs$szLZ*4i^!jMbhYzjRMYC755D6TuizM6bN-}nW)6NC+qrH=A)c&~zz;mSmzZKY1F?SMX> zsw+%c)E13{c&I8^nh>8eX<+(;Fd-zTp@0jMn?edeH(pTutu+0_x{*2dy z3gD=-Ej#magDc}EvoIoBTO~urKgQ)OdE^m6b4zC>WvpF~Gzs`z;|sjS_GtXT+S@e_ zntQY8^&hTT({P9r_Zb$2X)LtyRmT0I#%%l(I!$`T)61>lLx`m)ud54P@+h3|Kl1jz zV35yRF+d1X%fzq5P0MU1o_Hy0q9$J#Ciuq~XSrfy*e*(HwtkhAUaoNZE}{hwCYvU_ zpLjU?(}dGPmsb@&NMfQO|J2Dsrx6EhGFr^Dl;Y?>rqb6lm*Az3TZTxOcry}VO5#0n*Sqz-B zJP}^QjPXVfNjd}e;9IChmT1X=*hCu$CpU)}Xf7zX*hC79Rf#bRt=gDHYy5^qD~fgV zogRfbSTucgjxu~?qP9XtoT1MlR7rGbB+hq3S=0nctnp3oBPj%7O$&HvVNHdO0v^I2 z1z(9Ig~3b;!_EpC4fq$jKqj=MC;H}YAhB+blI}(^8}O%|a?4J}apVgpMtk_1M~`W& zuauRiKUNMX;ocVh_%JE;{)uv1{}BDjv(KvrV6=Mvb5$0lEJfqBphUTzZtTt_PrlUa z_8wa{E8Kv-JkUltEWIc$#fn<+P&?N!Xm~$7f8YL7%dL!Af-(d-@D4-Xf%qmjVwK+Tet5+#P>cBG{imj3nHQSBVCb*?Ji)YrJaFD zc&%E2*_VOYB-0N9=&e@_jVfYXD%i!f+&Ori1~7IQmATCcs$;4$YaS|( z#W6ND#j;}~+V`4&yAj&J&ravRXF_KMwF8N5h1DICTw8|{ z8xqL|R`MFd;3U{JgH*w4BXGMsg9*$8L+S4chB-V$gJ^t2gNZl^hNn@Ziw&&nLZb7A z>*W!XhISw?orRAD4IF%3TQ!j8&MM2(Xq~X;ms(*>iz&O+?#a8=psBmnacR3YtW<-m znb7T4&_mUoHzZYbJY$tG@{MN$N&l_6of9(`JX+h!fTk6nXvB*{jmyy}CYjs|ZDo{*YgL&`M-e0&#%! z>>*N8$nBpj1EGYzJWii|R_j1ESP0W&8I1>TW@sAxsLGT9op8}mDZPvJBundMUd9DG5b8N;o7$zb zP7w#`P?`UJ1#ahMvcF$KoE4BAu#u0VO~%{kNOG#0`5q7UT(rYml~@o{l(FS85R=Y; z_Hg@1Lx#KdO^KUtM(g5L32#9dn;rFKmW)7s6{Z-d*F4MuMppJExtgJh)iTO}Jy}t{ zW~fosBcRD~K?=dv$A>q!SU5|-D#a7n+fX?W&I-^m1)BbUo!lK#cDLy^P#{atFdh~U zYa8GHGZw}^rY;gnQYNTv5lorH&~G%{yLdnGqCF1Xw99wnbhkvy?~S=@8o7Z{KZ=Mg zRkb7{<~~yptP$Ql0Ebb9PICm1c!tsNecMfph9nDHj3&9QFxB%!$%Ihy4V%%9Zrsao zNXRD+vmw5EJz9g}8q8XQBCUE~II))9h|n(^r6Jx1m%^yPXGmpGD6v6pDBNj|i*G0{ zmKZG&E`bGNgu&v*7!FH?o0VtiK?!p!waB)`*CdD%~zj+oOxHwLeDD zV=TRjCcv5#GuhlGw9MW8UiV35(1flISakHCcj(1Hfjdm7ub(kFkqv-DZL9YVDF`h{$ex`A=C7Eo60%e z>$0%Cl~y|4gAhp^Mi{Lh9*JRpRleAWVOi1UkW9G6$X=HA=&fIE6#2|~hMh!f3Xwxo z@E7J&6^Kbfn;j^FISEJtbD6aPX?nHxWyryodTsJrrC_S+lf#mf`=G2exxh%MQ1S5_ zIziWG(|7W-B)SFm8kk!&!A%}RDPXT>y)Ga4Ro)}!{X}(-ME^g{|tuA-G?WAYdgOi=zYx3IlJfgs|e<%khxw@;!bZ1T=k{LmS9_aZ^q~41OvKcD^bhqxa&V z;@c!Fjr}TilSfxu+KlIC%WHYXS>eNN~F9K;#PsELzy$x;f@@hK-(8dlVY2uP}> z`SEXzSR~&V_m&`~C=!uK6o`o=^213j_&Fy}CJ$~aPU%d2z4Uw=GOWI-;yfo^KExC( z)wn+4Qfd&_P;#lT;s>>jBB(597}?jSilvTvQEAG3$i?)^`^}vtp)Hp6c4#dKA2#z+ z=LaH-SEYYXQ|AgSM6tD)3bE3tr8+T$3i2f*FCCFmo$gx?q{4aQ!-6GfgjQGmzKVP- zps=K;AgLcoA5SoY+uYEssy@;f-qyLcbpX%tI@;9H8OWBHa3qp995r64;;^Cj02uXk z;(X3H^&#OzJhKq^*7Mu~f_O0z>p>J>pFe&hVj`vV7{YE;5LAOBgbNpeVIlJEt&ubr zl4K)2LPnCA2pSbGg2JU809xYTbvI}j7w zU4m64{j5_HYwF&w**%m$sOzK}^c6NBs9nd@lrl>Ad%z7rR~rlWf6OS8Ug8o@VL~iL zBc`Y~g(N0}7Ste>8|X@x7l9xqA_{b)Tv*?G5H(J7e}4?&48GH8s8#v!&?A+l;a*Z{ zAn8{_O~v>%4TG>MqK=_47I|+YIT9WhpiZgLsHLh?&k=v6ZDnb)I#l>kqnU!8mqn!( z^?DDs*bQ)8g0m+eho+V=Sj&-7Qkcu7olmMX(WsC;2G{xpO{T6K92+?9q7o0euuEAk z8(vq2hf7GydIDMDc#@A$w<-OpfO8U`?5xl`Wo7kpn5zRfhnt8+GRnos(pVS*Hk2=% zcq)|;tS3_YgS0%8DxBocCA4bl_Ahn@=L!32f<|)P9V{dUHPaVcidDEk2+ylTT3uIH z8gGo=O2)iR5V-ciHo7+|8`b_-s6wXjtc**i5dAZMQqa`1W3S8xY;j*gIvUO5j!G2qSD5&82!iD@2 z0G$d|0G}FhQ*JqXp1C@8{0+}Qq0(hYOPRk-qVkii`uPti}6K_ z$7H4oZp`J@Y7*;7JgEiGy*7plkM1F0=m3u&NhvR$%bd^vuHF*~ftQ*}71Hc%raYhG~Ng+2n62J`WvN+Oi9vXWv}W@D*W3t4p;IIE%Px&WN_ zAGr`LH8HW61GhYf;3I9J%_CvkRM&Q2nfB$7M;lO9Dq$K{Gl;~E$n~nTMplTp1u%a7 z5h=qFp_c2hA+SgB?zbgbc6$#Zp-kpQ@zm-#N??UNF!kJR{;0_@2q4bE2^=O3d)LdSy@eGUaNtB zZ2#oqQl6MAS9=8H8gk%TAz(^Tg)BlsD>%<Z`4cYDj%!H8G(I@T+$|Wrvs3>GwTp0{RU2T%oh4Cj0 zJ-TPFZ9N+I&wRQSfLoL@MFsLVbR7D4rjkf#*GoNKYQf*EW(IF;3H~E7Q~kC@NBQN4 z)Nwz3tdjqcR-=mk$>MQhINc}563~*Y(^rU(5kr82+cuP$E%Vk9ZeabI=!qI--qrW>L`^<#cl44)O@}Y zsX%yBO7UqYp?TiTFP{w$ZmdqhOJm=hUVwZkZK3<)XtTi-iN;SrR-a^dFFa2ve9A{h zHlamDsxzbV?mkgiT4*m;c6}I?DRq-^ZedA^-zf*R!i@Tdva!74WZl40S=5>4rBOqw zUC;4_K3X(NI`7>|L1zqNuyA-P^c*$Kf8V>g{ed+Md^p8tf>3Ki=P!`GTRckI-oPFR zd|NO24`9(>Am9-Q?5^Ye7qI;=P~#uK)xW^iy(9VPH0~=fuP;eiUw2=o4B`+3wRSQ) zL+wO~qFvkDjCfLv_Mqq4&J7P4Z4A0Tn>no8PB?zFX)Vf*nw=7fXQXzI@R3fUsoP>d zzSZqa<%;c!hmFe{B?bvm7ZyS*?F%28Rdbw^e|=d?-G_+GJ`^z)opuV^B~o`9i%=xc zUw3+MPiV@vI2kq7W%5E9U7_RR4?BpY!xD4SOp7J~yK9M>jwA0Vj0#KEStc!#3iP=w zyf>DvJt^EJO>-TCR3^~xI(g?vqVBXP9|O8r`=^Vezb@SVy5RYzi=uX*3#GpyL?3=HS{!SBU!nFU(HH`wCyhgCgmIXIPS8tIkQu6L0kFx?D&} za7-a89 zSo&zlFnP9lz=^)xn#zuovtpv=sawiS7N=wT_rdwO9bMjOuD+%-3xS*du>*_xT5W+d z&G5wpra4-tG&VJQ8ha=DvRW%o-}U@ncM_cGr+Z{0qBNTGaFkx-w4M;b@U?w1QR~|P zCE#qhO=KY|<*Xu*n==DTDW(L2iC8~M4_}r(82~}A z+ZnRFQUT`v&>I=l2+EWLk44~|eCvy+Cu#YEske{FFQ3S-p2)A9=u<%Sy+87|FzRi% zCXe3X;obT%@&yvw_Z>`l$Qu-hZyvFZ^5OhK^&1cRV==0SOL8lx-$Z{q0VVO9s8w>P z`>Fv|_X@bE#|T{HO)oae?j}1$;?oYPx#arZ=l0i)!9E_4+?RkaGu{FL=DY+yo$-LK z5g>1TfUa9Xzw)ejpti9ewWh!M1a!oIlh>69I;JD(PeM=JS%s0jc?c!(_!L6o2_*b+ z278yHTCxipMKdkj!b(E8yCEw)&_NA6ro=m3Nl9?Hlage2At6ocLO~tZew*pY{8@!5 z%GTRAn7lU;sHF$M=0@yZOiQ~BgTmZ$pdG}vPuz{^8^1C8hBiVC?&HlzfW6mF%w~#@ zP2W%!llE%?AbnxEPx{n+i{!oLv6Z_D9jQstK4_ut=2CN7yvrsZno)=sWPu? zsK4^OkDT&6;H0y0wKzt6DS_=%TYRmVPsy_DlF7$EfvSwZ4pMU}wRSq4RyT)H zyOYbf)x{NHb9xrNI?rP)Uy_MbYy2|5ja%y9sjt?7a=l9Wk(A2LYgEoFH<88Hp4(07 z&+BRY;dK}G=5+_SKO4jDt0T9!(&hD3J}$W%_n!C+7ZvN9f_#ai*5CZ2^K$liJg)xC zuio4Fr{iwvVjf^^fym)mt9*%o~30#KStjf5{vCz7PU*d%gtNwI~s4H}MaZ zn_d#7{;njTK@n(B1{(ZGfd;iFHBEc#QEy!4O9{;n>vCUYDn>jkE0a(u4}4Ur-5!Pj~?4)_*;6n_uwRKSV0{5~=ik za}`S_@+}jMe`790k^iB@lOlr{rkbNpWc{Tw!{IBW*uANdH}M*|R6kP;4s2XU6$I#} zTXd45bi4yvm-ZpaA{#hKcB~FrVKq{_Z9n~;aEj@jdbsmAs#4!owy<8-smoRBO_J*O zW4;iE1C%rcH*Ya^?Y=Xm(8K(#MCm=v(2F_wrfgy#0Yc-+w7opbQJo5!fh_~Pgj3=w z?Qm}X$EcZY#v^onx3qNq_jdptwYLOtOCq5sB5u z+nHWUL?ZCm9qxtN?112CD@=!W>egAPcAcZQwo83kDc*9hHnhf!Vc1D5>$odB$8B)o z6{vV0GO+<86-^F2R?4K@s*k|_j+D59oS4d-&YJL&#g4)#0^PG5QiRyzPDwz#tJLE) zxRw-vtl$-tStf<`J*5E)9bK_1n<&{UQeP%I_^1$zmIQO#7plr)oW-BoC;jvS@9BU! z-LO=YeKx#;XpT%qxEo|aD*GC7Q05qF!VAE#pfHzg`RN(Qu&^48#hQV}ONwNcDU!ti zcMC2{qe8@vg(-6l!?N#f@78~luZxw z04+`Nz%9KG0Zxl5n{kxa56_&#%cGlQIhV9l#fJ4RM|+n90I|*|3X1GxP%CEwoJdFsV#9X@YBiWipm`xC)D@7SqJtLJ4Evjx4)X zDr$Vq1WPHFNiEqPT)LWb36~7@nAktKlQz-h(PG`Nm}Q!rD}`2wf&SO%T>q{BZHDQK z%tCq}+X9JpnxzaY*8f_g{}+e@nJRB5xe_N`#H2-| z;R;&KU=#h|yxC|otzxK?dL#N#NImHBovmsf-L>4#@!{EoPw(?PLS?bRM%Fd}MM{<7 zVR&NngseQjd6HQJjtE`RMf@(_iZFKyRbWG9W5_bW8^KQGc0D@4mD8R75xdfaj0a2gdy>_N_HDy%(W>v?r1sfCq)eNRP67#3$E-`KX|n^Zs4SI@(j~ z4LO?2*fqC!VLue(Uc-%KkX3m$!t)^C&brP-@k_sue)Y7lr1R0sM>58JbMJP5XJV~9 zPAeJ~BLY}Vx;pgP(hJY6+2lVUybC}`_{v|%3{NWp_P-#EdE{Hso)nhTJpTG3(VlB% z_CI+fSIqI+AX$w55B+Y;dxiOI&(EzgjC&V)InX1?PQLqgxN^jM1t6i4e7t+ly{Yu@ z#G}dkXLdk(+1n)+gZ%ts#z>7{OnP{b*d#UBWBLrTJ%A^f#XQ$vi3QMoK?~B*&~sur z_v=?~NY?+LQ!(D%bU>~*2qQUm?xUckbsRjPnvOtS{?kV$Fytkj2AUvuDKv$}T(2=q zT`o&4VW`Ge0mw+>XXWe~gmRtIu`%=+qrNd5eRkl{r1bL5xa9(s(mz>i{InVZE_da< zlpycX{ZQ7@-{8AV;OZuMYKT}3a*NNI=wvz4sIwX3+{t37-?d=OB-ssdxUiUI z>sQAx$W?_tVv_H!MH^={LhZ-f540!N4SVFe5CaN|828_aY(pHiNULW!pjOOqCX!?^ z!hMhdDL%A-`(kWHc-$DwV{~)k=!G)_Uw~vg+rCDs%>c(i7DMfUHDfOMW`yI3#Uw`` z1-4tI{}!hVP<&$1627J^zkpy-oFP?=>b8!760<2_U}FY1^NC9wD<2pRQi88 z{R?EI{_j2g1%3PrwD<2pR0e-JRsM^U#{crDqjl{D8JR#PZS3^O26f z8^AhM`|-pkF^WMe`9ouqQ)*d7@L80^1+mO7t!dQNrgV z>EWtQ>rAAB{K%EBRL4sZ5}vF`sva%HR5xc5k{+(#sBWz!+4di864f`9+d6z4J!`r; zd`i9jOl$u6RPyq%nmhI&6Q0p0U!r7p>bitY%ntD<6o`%Z3W z1bjzcGG^K9#^t{FsVTs@z$@B%mudfv>+PyU2~9kMkybnHR;ZV3Kb2=2IvKM~#F|;} zB%V1o8V55AhjTRtHw!!Kx|VjkMKq6zb5>WYr^_S8(f*mPRx!>4j=vGu#8842bvkh; zpyq^3=H_Qga|Hn0W zvlikw%5JDLwqiwo_m3;g32;k@*ybI$j&tR7Db$`izGb6#C9CT7wbPqO6SWZ+9X%n& z(R)>8eG(m2By!%sa_)d9?huaAk^-Jpc!m_<}iVd#>rz$aVw3=}a^A!(fGXQPlOP|b7=$$z3~x+=RL_vtk8L}tqtWfG+{y&QlNeBh%wqXpnN#DlF~uc*MhDjoM3ZVHWE8eK zf^t4En?o0;V-gdZ03cNi07!|<`;buSjyJ054d1F5n+WZuV3o$BNePVsNb$_ZFh4RJ zL+2;ke?w3H3PR1Gw9>Aewn33#WEK+|jYcg08BI!J4nRa=*x#vQFoseyDJ{2^H2c5$ zKb-7N_Coj*dp?&jwy(UfIhV1&FbwDE+w-|@$gVzJZHIdT?_k5WyoJ87CHw*?HW`~( z4hQ~20g?XIhHFBX&eD6mS9kq8ga`;%uE94hdkPc;ulO14uzy7Wf%22f{etergO^g993{l=szO@T@rn*ih58*KQ$Fy zOcInsHcE)|I$_34-047&yjct<@i-Dj;<+V+%6$gj@$!}kGUo-}@xn{o;Z*lc+T*eA zxMJ{7HQwyh24vw-nn2r+(+px)jo*Z^V{Unb!P@)Khp5lgt>&!amI~=0mkWV_^zwcC zCX*^OWh?MAS(CybnhJ%X8VW308xkyf8+bGh|E99!7zR}cm`P#KkzUvtgFlQk=O*c2 zz)jqFftS1q0a5}0DIEeSIWMJa4zelkoA1lU3dIO&_E9#$+E-HzVsDvv>|-)@-}ZdR zqm5xU=qZ{(`LY1&|Ni|u0~+*Fen3Eh07d*0R2!_>JGRspoGy=EIEwujwESpxoY6aD zj?~>4gt412Bg|a$b{)Ok9j4slw4-S+_^LAH0vqE>XDeUB;G@ebcPoO>xdI-2U3V^o z{HPeyS1LFNr5|Q+5DEcD%*Y9STkgQ1xcw0Zne75*w*H3iP*FT&S1 z)JhtA(w~G}piPaf9bh;N_=UG@xTY3ETCU1eCOL{Qe)$q%ksFl;bS{t)X*A~MtRx7SDCqGWQ94Mv}=SO)> zO@QJK@7q{p`zc~Lb_33=qD){iB(0N)HWelx@fGY|A>@h&rLxKH&#IWBrXvWVYD5xH+BHuskee>4AJ_R zV+P)8Smc+i%;oL$FBNpPVzQue^>oha*9M$NLa2A@53s8G%b@yBbmnRD^vj@7X4NGi z$5$^1tIT}<-kK(JxG#^$5}GF@F(VpbGZm|%Ov&3i`I~ScuCSAz_ly1g+bQCgvi5?- zV$C}u<&uKyXM(2>Ut}D>UGQPVuSqm&d;fLp>sj`cIC5t!s&r}K8xQL);TrRv>x7-k z1S^YdQdJ4{VNKJ-^cr)?MLMUlTwJOL?vTNjpzINQ^Mv9uOHFY)iQO0B5SoWqNYw>@ zb2ByXq1$=70Pmrw>qHY;ka#8??~=-zY9j+-K|);no4<@F>ku0Ms~L5%WQ2357gaIU zG~{_YnCnCbHALLSHkRJsbiV}-cf=t+adGERePQM=N#Hxt&~YU1J5S^bCH`HN>xA11 zb4~5MM_kU-??`I8R|Zhwxt#i9rF|&wI`P#Es4ht_IRnjs_QRf8k2Oi=_G*MJDQ0nv z>t8qm0&3%YVMYRfOnl>vJe?pvif73}I1tplp5xN&+UrcxpLtH2HSD2@30RkpKz8s@Sh243y z0yDz_#0C=rIoJ+y1OZW%T9LytG?kI}DtxDz*cX*Z>M^Im#m6((iSdi{mStwUdcb8p zz;`g#1Ahq3_ag{R<@o`>d7@^8g%NaGYamzEL4)-&rS)=JX(C35*N+%t7!_SnmUdaZ z?gXRzeWzytnabcgs9gk)U?XbZ_aUAsgVT~7dl%zEO=iZz?BSLFH^|cDZ%WQZ?b6$?3{{ioAmS!{gu<@p7J(qO-w8+|+eLDXr*0Ui1W;smriU<7rm&s$3{FXx$Hr)1LtEQo=^@27(KL^Zk8A>zs54PLt z$LEbTB!Gt~%K%x)?V|Js2?VIFBr%z%gpK_j!>JE;F(^dKj?)6=<7yZairo{HD zE!1C9+DGQG*9r^s8$J+ONPFXMbqri8Sbjm+@&I8qGso!VYOQdOkYIPhw;nOSR`m!| zlLo`C7sPD)D(jdx>UG>Br)4IK@wo{nsklFh*iKhL*U*N=AAn+&6L(!P43 zmm~&>*(FV0=E{iRmKKDRx;d_sVhtA2_oGGZ<5f8JyHum!-5{6JC$c&?tPAyFLKfU? zmPa&>1h?;7)t}tZ+-&yieiPRU`fq(DB@VNTE$HHMj4ASnnmj3y{aVq*rA}_QB8@;P zD!p8lI#G7BA^SCo_Ny+kgM-WE8;xB-2gf%t-ZsB4*yFFsm?6ylC(CoeCTASfEWX{# zCQNHO{qsj+&#$4S?4Ug@7H22mXOvl*&{h8_hOZ5Mj^1DWxPk?x4grZulAXK)R<1&o z+jKI#&}U7fSCiKPF?MRPZ~LYS7g50h!}kUS=4&$!jhSdzK^eOC)N zJ<#8*sRW_U>UNcUj;I3s^6zStU`tGDVLq+yIc0Xr?nQ1qV<6Y_b(~inS~iq&X$Ywh z<(n?^=b2V4-6?aZ8H>R9*Q}N}FW>1*aMpKcf+Tc$0zS8$F7rj$HV4b%Wp)Z_!b{XO zYA$d-Xvo4Tm6-U7^R7LI`i?b-JvXiUse^9|G?L|8VW5j;|KOwR{XTP^PU>RiHt(WpaMX;mF^!g;2=siqVKnN!Mq(t28i>^q#R%k}(!lEUJ zVI@tEk9M0N%lK~xD*wFVy+RZGcbLekJNim!i)-yV*;C`9;;QHDVpOx<|1hFhd+}bk zPI)!y8}d0Z$oh+P-b_3-?qOGaxBReQ`-n%g6l4pvy;xseaSwWo|Nnp5c+rbs2f6z4 z6ItwGp&snf=f3?$LjX^Yv-|MIR1e~vw!XZ(W^d1rMxXMlnDTP`(4k%4+axy3Z97rb z;EGWYO$Oj{?8DOiI;IiHdoJI8n)^nZNH{iVE44%)^!k&~$zw^+jIO2{ZS!A1IxXSl z;pje)J`LJUR-N=cQJWClGq1@S@C(PAP@!tk5tHkQn{tiSyMs31>yb{vC34wh^wjqwV#b|^3Q4v-oe!jP4`Njl-w|KLC^`NNn3Wt zex$7-F#7^dn%zwT(tTF#O#&7Xsz8846Sw6Z#}+SNpOqyKq>j(Sy@xE}wd;)?J2elu zm!lS+KKwjCeGW#I5hQ|#hsfZ0@1t-_x=Oz|FZ?_AF3r9B z&jVB)J_GfBzHsiJ^@s=XDCALdc@g}$s`N$P*-Yx~jAxoA-f%b5l7iIJ{OVHIfx3fq z7JW=|gxhWF;iS9`iX%8?yUz%WWVv{F_N{-2qj(1S?O6{66C=e0_v>2Twye`jiF5wT zj2ViZ2k~2eb1*T_U*t3lYDpX9-29q-dHI69k)lq?!D^(G2A6l*N+D9L(~3u!yl|t>H2a8 zu}Fte&=VifcV*y*$iXjCKb%Mnb5qx7IS9If&V!m<*G%Wm&ZMbplVf(}P6r=8rlHov zJ{?;y-4)`;^_OT`hF1=NE!r-v0&6#EykA$cg?@qE#CMvjBYj@AH=L4;v0q%=V7Jda zp2TrcF>{|<-*XrA z`a61n(6};~hKz+hZWO1>tfdIviJ!hPwe18#sr3myKZX&#Fhb7m{a?=5a93p0Y?pNn#LYJ<ERC3FF|hN7XpIHqNA*)6;*$VP>a8J}V}nO+U$^9g90qt8_o|J2eI&45u%?^Vdo1Eswbge5Dm(YFXYRH=$#tv^C-YRnq41l=W9R}0 zj24#&i*==^r*X2!0!sBb41X3axIZ`ZXmo0v6#AVGMp8eL)i}ZID-N{p$EpwSQj&J{ z6qjmXq#=ir_i6;_x;DOKRsvkPA~wzz9;>j!{m}k>JV(Baa)$U^wfBC9Hq;Y&{_3!QP;~!Raq`qMkNqO7eJk|(h8ro$x4L!b{3-rAz=PQD8~&-6qpvvs^8NnF z5oRFWIQa83xwi@&0n*Pey7~t{*pZ&}`))?0O~sw*LhyIC&%}Fg^q&Oe*n!FJ zZ)z|NCIV8=K!|$-x=#=qUGUd4A^7cGtdwVK2}5$6=JVALxv(u$U-*+3$T3AKhGJO4 zI>a48g@9)Gd-lyp^nTzyVB!Y*RWvL75!xfP)3!qCY8!QFHcvq`Z&u>RS=t>ZHQb5e zWqIA}`$YHzVZub$eVB6srnfxA6{+^f4c3_ze*PVFe^(AkJf`;-)Ryb3?CStfbT;*v z9ZVYo5K9m#x(s>3!=ccgu`4KiGlF`E;Oub{cY>I=*z{m{4jGN_3ijbEM(}9Q(-X(E z+T3-IyEoQTBsjR@h=pr;NhrSbjG=~@Gkdtgr8BU|6(pJM19xwp(NkjO_;t=X_V*4p z>wCc+4F0z0cpt|ptg(XBO%ro)zhbuHBQa70or~gZ&u@ZXsdFtD|H3c=5G02?eS>3m+SU0Ts zpCt0sKN5XkVY|vuJPj8*l2-kGoSaz6BIjFfJ_7|| zVX1u09tNt(opu8tHluR41rVE(28c}v5=@XFf&^0J%&yxV+RBtz;Vdr%ZEFe~;v?X$ zNSfYUVCi>ovZ@VN`3ycfp+^XkDW*l5MtOeRl56tz-7h4M^;-E8p3^lu9W^u8yJ8;D0csFKmc@o*3g7ohHtE zt{Xl>58-Hs8pW`S)(z+8YXWAKD(k3+<=VM^!!L#O0ngVN#DG+=R0Tijx5ZNEwufIa z>;XQot##c$*EP3(*jCzoM?cg2R_vhj3zvv>@^s@q=K$79dmMM8o1qY|el z01+mFPT z2w5;aoKR+v@OoE`Tp0o?WjgL@{0R7VaJTny`*P#^^6uW_?b}J6hjWr!Ppu|wHYSYg z8ebmoS*sMwy{4G5!i*%2Mjb{f&ZH3=-6zjVMedd#huSJO3AUSO>2I;X-dSsm zzP8blc;=ud_5Pp3AH?k!=g&sX<%s`FU4<^+dTq<`&gu~oQP8W&L}(Xd(a?( zIB-v%eHP-{@u(Cf%|Yj+-YQ@o2SDi)<9Mm5|81xKK0(x8Z& z8}evMDkBRmGHraPOz1^XDlDJjQ<=GvDiVaVAh$AXL~_Wn-8D1=qMltEir1P3>Tm-d z>az_i@ywPPfY^%EAcv;~xi~!#`-%aWkr}|{=D)aB^dih5Oa*LnbvV%JXmzO8UFTY2 zu+6r@Q(BDlqS}*vCf5ZFN`Dy{~){0!rqNC zLEHeM>EE#c5Q4*+-ILr~ry#Yaf(_pfRO!|Iwv4-^XwnNKz9TKu&)N@=g&uhf!+^{U?@drm4p4?cFfikaMr;3SZfO@TQG9p)8KYJ7n3WV5L! zI38Njl@~($iv|4s9Z_a3nDb|oVVjz2YoEqJOFrDa<4zD}>%FH%R#X#pOY}w|N$Zbi z2kpj#Fsx;#CZkuIf=P1dxZpARNSV|a7}ZWF2{ksdAX zYZk~Z+wpj#Yd1iE49M@VwPv&F_}Xb#y?Iehiz*m6Q~~pH1p(YTa`Od9 ztlz^$Cdi0jm{9|$2BW1x6h!^e1I%Q>Rh15Q+|KCP+Neq$5$*G>Z;7L&`h zM64SZC9|CW6f!xN?_kf@6x<+Pk~f$uO2K%>2+BqGsM#rWP8jf$S^yU8c^IcCy`xHh2=~!0;i0c<7?Gx*gfqZMV6U-amwVKivle!rpqg!{?RO#zF5Ig z&$u4BIazC|vXnjx{lF^K#rIs*Ae)nA4wxx-WUaUV*gW*mH0Ul~cdB!PfL|iR+8@!*JJr+aMpJ?-BI( z&jJ1e-4`Ia`|3Btg}`Gv4E&(_ctcS32kx5|yM+67C;UxVN!Yt%_09hLpz0?>@uU!b z4*tw*OeB;R^<{(i@WQ!82%Pzf{*6J+p=ykjNGR)!AneSSlQtjEJ0GtWc-_XIr(U0; zdh0hQBaoqbTh@rusZLx$0HmaZ#^)o*aJAvP$YT0hDkj#9mNoZa7k0n%Gt(MXEGE8^ zE52(8_6L*5iq0eLjR8;3&B(#YILFS(;Nf8AW)VcL`RvJ>!Xzk@Y$-^FIQiQvID-Cg z!B{LJaE~}2FGvCukq{1$T>3pWu73(;0NkXZMuuK zv90aypyu@Y^7)`tW9kQMzC*+M$gwxh`>ag4pt|@&kE-&=jm)v4>gWh1ZP}+P`F#m( z{#O&qD{HXALgaKYah|MbcYLTfGyDsRFacsu)>#I(fZzGE_jJNa1ZpXbq}d5ZoH>0f zXVvSt#fS!!a+&2`cfZUJ^L`rWbJ74w>L59}0fM^*)aeoqLti?}D68^fhn`al9c}iQ zHx0$T?`rezM9`{s=7bW;5jc+c!uCM}gL2b#2`}15o~6>4`4HYlr_ZX>?{%a!$={{| z(xi#DU!8w&A_ZT$*pi7kGUWm!Bu#p_4!)B(EWnY=u=NWnFcv)v{K1QF5 zxi<;WtDyoi!sTvbV?2WMPG^I&+FpmTF+)C=%!L>d#;?ynlwiiaJ*Zzc(YL{4D2fxT zV-wN2$)S81MBcx16V-1Q*!H=>N81fE1LbGUZIYpQE$U8m1X^I8J-~~R|=xn1c$+?`ZA)MvPgU`0=yh7 z+{}_q1Dr9RAGj8}JsF|zPi){@RMN?3J{BWy^YL2>qP~>yFB@$8Pjm5GilU!H@Gk>w z`*BeHOH*;hDc*GOFMPuGj8yO>bw=8vUC4$ zf;83J!N$ZAWbkRanCaNAO5SB&7b{oPmOTA;Jw;mHyop(>IT;S9z(YGDPhV?S9s$@5 zGQ7;@?o9%UCGB96;a%O8pFd{4CNek0BNdcxRtpn5pc1O|Vt^XDR}^qE3i0QE#UVEIF+6ms_MdWKJb^2AG3W>MULpuYA?*07P`D;HZA{AmHwy1i&8@}C9 zd_UCwneNBf>z(!O?&8OU8Np$ZR2vtd^n_Z#SG< zev6T(V&W}Hq(-q>_OUC{J5rNy?;IfL4hHM7CRE-g_YZgDDv4Fr7kWqk!n&1hb1`_Pf8S_m^f@MegHh* zh}HL_zcCTBh?r49w3`Rs16ufby_>DdIG6~l3KLe-8K2Kq`iv(t6ps|@(VtV}q(}}^ z;it;q-ZW%5JG3#wdx3oU^RSNxB}vRMq=E?*8@VgR|E- z=|M%Lz|W(~+o{4tK}Fh^D7O6?sKDI!<%xCgwBL-+C5`1_^NH+od@W6NwM~v`01Qv^ z%1<8vLI)_#glr4b+4gxf1B2k@AJ(ftcN6x5$OaO}zy* zaP@p!bwiYULBGd?2onq~J}p|}Oo$pb-86vp`gnhWzOjjilS5E3s?>?x#iLjIBwB5% zEuqXB%;EHzj9xbz&!n6(--Fc84dsSkdhm$?c}p@pn12)G?4B$+UxHQp|JTFe)yq@9p)5(6xhY^ijDA}#|IuasYdoTaw&>{d5POG^ z8m{*l^N30h29WI<@Q{W%j_ltYQ;Zn~{_**PYZr@0ScHFHw?eHe{=q8X82p#@R4+NS zlMB92LlFhK3*=+>vww_?J=waSUX_V$HN$a{cWse(B|=(Bg9iq~H_Qo8X$F?G8pcGj zLVxA=^Cz zDM{gKB85C*PSW&e!BUOyM@cezN$T|pp`FwjQ!SvFB#Co-$hKc)F|sxn4~lr*lwtQn zkdAhgP*)9t2?|FgbMc_%9$yOh7e$Ma4yZunm;L1k=DW@+{fjMmDm1Sz*mtatx1PeJ zSChcP(~+7(ODq^)dia+IXH!tn%pKVRg_2@(ii;x>z5CH5&zM$I#N_% z0coY5tl80>#R%O4V??MW1AJQ!k#~k(uDT-&yoWf>txRBHx_8RAyQUv7?JWl#Y+W{%k_hCkn(-A zm7w(mB#~b8R*K(S$ae3f!5sr6Rf;K&`>^;D7jiOUw+>$oL`W5Hs&a6h#Jp{%qX~#`q69bW{Z6snaR}nY_?RNxk%*~8*A*s% z=HtW2<~__&ZfePPccceN6T>AbkeA4jmne}r$&fiIkmF=X2y(>uLXn_d3A0phTgi5B zqz6&wusw{FN0XAmYh*}r6UFY4NDLd+O8dV#_IkC>uF4E4VbzRZ@W1zO_F>L-MK_AO zTl-p{rq-?Gehy5Z<$pvT2dyvv2zwpK^@N!N9-aIc&vJ6B$QmM-FNC zFY6Q^mUkx8@#jm}ujz0lGw31C*6IA?djmkc5)+cjYd+h)5RM}iJa_hgQ|INBZJ*p? z#2&{HR#@oee&QZ0ClF|^HJQL>98L6_k&kt&$mgB3uaL@KIo#_v&*%!9u>d?b$bGYk zG+FwB^Z<;6MXr!>zFREJIWY~#wm(;yaM+M+kx?OAfRY3Jg3=i)Vr ziEBupE}>S|S6gdaT?_%-5^F1s)hTTIXQ1QIfp6z=le=m=^Lwb0fZtm3w7hAd-VqCC zRafKdLl_lj9t;+JR_rBQnrF#wh{~Ei+E@5eG3T{~lO5fsWy;VI9G@{0~@g z7sh{m-oO&Y(~vh5pG6}J7kI)VpDt$*kFfI1*?#))A93bDodF;3)tM~HXDz%M!S`(9 zOkavzRvy*Mn*Ux@@pLq|^hfe%dNfcuMf<{Q=cr)|cSSihLILq|775fY91_5D#r(qF z5FX&+;ou$-@Rzri1f92MgueRv2D(HcC#m)&Pafd?vU>WzPj3@q^D;B@EaDSpWTx}I zC^=3p>n;Qj;m2~$c^qQt61JddY_XtB>_6Hgf9ZO0DS0-kgAF;dBq>tu)eMYaNL>ohi?tZO_Rk%OgQ}c&2 zOI5U`6e!t4_xxf=GsB-F>yJN8pqvhty(|COjV}3Bu%16wn;~tyX8vz+NwPepDTq|b zxGF1hFvMXkT3K_VM)QWJrH6;3rCH1T>bbVK8s8TZlTy`il-i;mNv@m&H?>19L6^i( zjdrlS$ihem{&aRVz!C&Qqx;l%Y*%0+%c6=NAQrnNTrEII$BEx&R#?8ZLzdduXVXxRCa1maEf0O@E7kAiH zob$`VtNEd#N`Dpez6}(j!x6h@rm#-e#nK7p&#zzq#fGbiLS?>dD=E(A8*TWt*mNc5 zF$Q~`MLPLMx@{1g@;iH^g|Crxbfc~OEFE!d>WbUgUb2aZA-q^@t~h8tSoWbZzG~8e zJYmu^sCK=<+P^=!j$(0hNPzTI)E!MZp=F*s-|!-x3@Sm)H^Vvsg18XI_ zG4$ZnXCdW2>`Mq&Tk$Y1>zrPnSs_%$9KVu)c-utI(HSC<)e*4A&!u9!;rZ0zGVU;b zqn9T}KX2ICt8=kQJYq}bFof{Z=`VXx_Lc3h z=Y!f8L-TxBNl+f{=A_A`u4b}Ak{jZ5$y-s-q^`=LfTJyIC5J}GN(=4!ai~aUH`1r`XjCafE7bF?Z~^MpY^T7eA3|RrW14RqU(6g zrlX5&osC~ZG$WP9W1j28Uw*1}(iQ*)Ag?xL z)xmB|qzbW!u94RpG=aR_E}yS%Q@za1?P8z25F_(42Di;5yQzD2?F+9Ka%ml}wsUD& zY)n7{Cj0QnfLTH8YUZwQvlUEvnbxvX%#znUUS8womhrSXsa&F{e<{5`&^wOwbj$i&h4asabshs z15+c7QHf7ah#$>6luBEi$FEum0jRCH!PC=T{%`H*>_oEoa~+foF6FMm%}sFw{$pfr zX1g~&=HF6WK~zdp6l?{p?8d)`A>NL*^RZh9v6_I=;ngFcspD@;TB{>QYZpa3x}L-S z+f}1f5}e!b?G_Hb7_&%t@Vx8=x5*X zIh6GW?2U{1?FDFY!tMaaaqL3Z&AWl)RVhy68$}2ILOOmyVbMvE%XE480z3>y+0|5q ze!E+F*jRW(-0SQ7*162p<7%nTEV?67=KSBc8BE<21WoIYcb46yrTQFrV}wgqepX&~ zmft9U{3m=Cr*ri6*1&nA>>)2^3zAyIWmA}){)ea8?e2W+gnkiD@o%sv#?lf8^%bp^ zHfJ09v1!hDcW%`~Zl4lEUuu;BdksOg`3!aU80tgLjM^-twC-CvEzZPqQr7}&1NM;v zJGky8b}mG{elM?%c;8aqGg)iyE)CM}M7{E})|d(sbA&v&ttcIIVK2V12FNl^J?#ahay$Yt(mb5?#6692~qX zg3PQNIhounkMw<>A9tcSU5lqJM784VonAj4adx|3Oo*_E$<59X zIHjKU6$t#~=ip^#XOV52JDp9Jmjj}F6Q^nBW%dEB61jQ1o|^!H#gdADwE=G>B8}6S z;sOO1>=X8A5JC_gPN4RCzGmvW!=4%Gk~FgueFgbFBOO z6Bl$y7bnmp@8M%l3pb-L5r8CAKtuZ*VmYwZ&?qw{3IX@fHZPs9tBKdi$huipfsdP& zQ<9D(DLRQi0OcOskSFCV_#9Q*)!K7Eb+oR!s-J}`i3yI|ZEdjbGGaDEjK@5V1iDra zFfK(y9ZIkV07%+m2NX==<>613Q-y*981v%(K|N2=lg>-p=5ev;KP%K)h>@xgiBCd! z`&}-dFOML%KC1GL25rC%3qt8iEDJf#3i%O?he26Y5}0@{eM@W#Sj8YskxW(3No`V^0RPo_j4|B z|HoDGhXll1(p^K+-9qwd|1@&rfg_!Vmoou@aPv^IF0?SBhWf{}I`bR5^FQ#?vI+{J z7+v=7mY3zwNVo zu^M%J5Z)FPD0uH?Dpx-yYdHHNEv1D8I#^k5p>q=wrf;I7StsXHVN&sFZQJ=+tgxX^ zyoaTvO4ua&Gyl~dFqx#qEyYB8kJuXT7r2s=61D=E_3lXUI&hJ1u2;juC6^_{_(nKL zZjlQU5)es1E%7Xj&-2N2=mnC+tb!lq+95(0p`MjLPK(0lK(Lv<+pCEikG2j?5yzgv zTXS`UrY$7hOgei~#w8b~bSG;=p{-+0#}xvaxER6{1?a>n`-sB2WM1(sRMb0E%Q#{! zEHSydp>HH>{(a)Lv%yw!4_4&AMx1e2(jIzdgAIyjH>2&rm_QpVsfhwo2i+ zD7y$y4v-b?^*F2@}Co|V6R6S1Zge>enaph|sGVSZR@mjwE+ikB1p;iv*t@z;Z@WUB*vcdXx(D6Z{| z(lFjUA8gso2X5zPw~x6cpVUO2G(-?pMG)0R5YnSNSJPYnsOVCRaEW^#)#0NTouHm~vr5O;}@$ z)5Tq6!@##3#$5}h8v$d~R)#^XsqT+9c7U=x0jy^gG8H=-pijmST;@Wlb;{(&%Eumg zz^ERL{t45MBD#>DwPq5EA`^45cXfLz!1e;5nVE&Q@N`Ph!Ib5~#6;cY^WgrM$(4*h z8F#g%l?m7`3|SC930Ws?ErWIgE5D@Mcxu_o#%~Mji=B;s(B{XvmkAg(rbQVmDQI}I z;KE!#CQM?X0>3#dOB~h`LqS3T497JwhW%}X!kSQ6IKcZ8W9p25ul|GkGErCm7^|)v zJD@cwFM zv?#9+Fj`WGpStQ;N~Wfw1k$#+3!hLXb%dlCzf(7VYK zWP;~3@d{{{6!*!sGs_9ybJ=@OT&KF$UY6vU)KB$iak8Inmp~qO z+_PkzX0oEi>vhcPF^SW;f>W;&iglxF;2$Cd4(5(A&7a&TRd>-`azS@<%N`Xk%5J%2 z1@@9sZAysI4@2vdYW|-)Eh0`L{#GRP;(?J%=KcMdz5Uhc7G#-^fh<<^hEuMIbyuL`vI0ouXytfs}XZv_&c+al}ie1Kw%7|w#VWbpVhM31@hTyO^ZPXhf zaw`&oGGqBc(Ui&v_ezxTi8>jJ^Na#8MQD5OcMLlo9AY@$yciy&@R^kI_jJ(C+QRCFJ&D;W)C1Isj6>f+9Z^4R7mjfq~l~3a=B5|Rn~k7K^tlQ zZQcv+#ox7Jv>P3H58-rfjJ`*x4O+<~FVs{3E+-WoCH-v1}jii_##| z{~+X=MlBL1D@Xe8{L*#D71aIFv+;x*f7mG&wpKjCiM?k7NMl^-84>A1dv3d9mpJ^) zkSXJBdv4zCi>lQfd_K)sNSC=WFy^XZIKavq}b5qw!@mMZB+ z%%D^<9-@vBIarhnE&zBjMqzLI?JKknav~s1_QGs3EpwVcWAIILj406e+`jCh(&SHZ z1JETt4QDE{21-z7*Lm!W@;b=?pV!;6hYJpfvX$b8f^0l02n}05Q1a-Un76tBzxB)4 zQrqgY&P*Ij-opeiZ5by=f96lO7koY#4#=WQFv*U`XK5<#npj9i7-4B1Rff~fNLns- z?3VUV!l!B4^o`2$vq#TYNY%OFvx|u22IEz=WVceCfYo$tN=xC%f!A2aCB-T4^yW)3 z{mV=zy?zL;1k=^%zUV3|zW;`IvsRSPz^ zi$1ZpsTXX_bN9C1FViQ6LeV)k%Pr>!o`m7jdCwg$EiXO0?L*Va@ML*+XTS#p>HqDE zkHAXPs0`MB9AP>=n2dXC=-5h_B=#&WR%N;-=7!@cL!A~Y&}IC0z?xE-Gw0ngF(P(? zqJ;0hXFp1oLiv}TMnY>I3ZW-U!a-WNg~Lri!%6}0I7=HgDu*;@g&Y;}UxI^#C|*w+ zH0N?|2EXV2a@CzPik#fOIRu$RY{dNI1bvj-AGGu&n7h>jq zk6xGF8}<&|-Sf8^)%D$r8})`4Edy-^L)A!_EvcIeUD0vxU~FDL4I?I~)RM4^7+hoB z4$UxlIj7fM-8T#ACfG=sBN&O|alDGV?4RAu3=fyzcgPd{TVCLNE@lSf-Kv+ZYg1~c zYBgwktwOx@LdJX|T>3Q2tGKgUL(tSvRz-}o#w(0#IFdA^VPSVuf(aEKf^+j`x#Y&m zkIY_#Y5{ko8M)y6lWefq-9oEj2_m|`Lzjzr(ujQ`Yt)GT)_2>+YC>9Wn^!YGrTzY{ zPrI!4fWV}fn{^9gvM-TnLStoPq(uixi^f$#C&^|%yb7}x)ImMLJD_xo8qaXK(fd~t zb2|8VbdvRTQ->cgQ_x|C=jr%@)0J{3Av|`oceu~RPGU=o5!YmT$<;Z7t-cX_n;4w> z2H_Ou5nMpgufiRSC88Qpz*@COp+)}vRa`G0Kq0bzNt6Kvln*wUi+v)tnN+2^!uI%A znFZC>qa^1arQUVE4ew>K9@#DQ8pdK`6A@zM9 zIb`d`kCyhS-!;G;`2knW@*a*_hKVdiQqkAOSbLqd_HFfT!z}nrqQl+8^r|NJ}(@MeG^`?AO!!N#^7Q#$wghp6`b3v;RN41oiDJ8&<--lcM zNAN_xps#G!vA#p7yRu3b7GLw+*ZH}16Z;wtLCuoY#f_FrArA-7Qnfnplr*N!vjVKs ziS1>zO01)yiZ|@C^I@cU?DqHV3Cy$SD@+pNBY7TDSn3Q5reH^XV0S;N#G7k@03XXQ z^19U~(+1F5i#H%<_SCvc?;?7Eo2ML}aJ}dSGdMzQynLjs&DH*NhjO zRkX){8u+@W8_h>Q~l((Oob zWJcYQ=711Y61@0G>;8q*dPc+mzW(pf-f}+c$y?f8_L!OUE$MNs{4qkos;c@)cFYG^ zW9%oB!Fg$M>LT4|>=b0uNP~=fPn`=x)=>d`{33_GgK5 zPWVqEs+2iUXwmH(zWr7B%i?+9+4eV6k!j*vm;G4?g-WUZA54b{w$%EE$=>?x$xze9 ztd}v<`G7V7VMy`Z^-BCZ^oDAUvoWh_8HsTi-!I_O5WX@vc2C^4pOJmab{MudbYIWd zwl=gcX3!DzCprWn`Ewe!H*R0g;Px8yr#J*7(mO1i9@%paoF2*Z5w>^qb{^o`A&}7M zx(Hmbe>)2LQxYN$<$Vnm308#S^rpa9zWko+>EbGqC-C0wNOK?H0jzGq_3c z?=tvD3I06>&k+3k48DorKVa~W5d3Zi|1iOS%HV?p{|SQ+5&XvtzJ}mGV(_&DzmLJ! z5quAWuP68q8GHl5?`80f1iy#DKSc1qG5GZae}=)^3H}!bUqdf z7ZUs^gD)cZ(+nOa_%9heP4J&Fct61(VDQ@rem{c`5d2pRzKY;`8GI$df6d_C1b>LZ zQw0AFgLe`9VFvFc_&x^jAovLeUqJA`Gk6=pPcnEb!CzqT`2;`4;MWm+lEFVf@Z${r zeuDp*!6O8Jios(9-_PJT6Z}yI{~*C1VQ@t7-!gcT;D2E7IKiJ}@C3ns&)^!t4>Gt; z@Fy7DAou|WUrzAH8T>|q|Bk_LBKTtrzJlN{GWa}#$*rxHEA`9zXPDp>JkP|>G?fbN z$ddwis!gu+0^pG;VUQ^&18nzN=)*;YR`67gRc$1I_>G-_)Nd^7Q@^oBN&Ut(pspIww>t(@jAlb+z-fa^u zB0Kt(V^HXIeW>NgKedVKI4HUfas=N`-D+URKHV4KehCTpJpJ0P&Kvh%NNeHaaes$| zz=VG5q3HGc;Jy(FW0%6QJ?p$whz9N-ZF#IW9_oO=j82>4bvqP^Nddoi%`e?TKNl$* zDN^Awmk_Yt@@|&$p?CCC=za0s*0+9mU$1&? zlyZIP*%u#^-c0?-l`i@TD33nA%b1KV`tjj9wle zIR}A@NYIwl&t+YarLKCTHyK?~n<@Y9b}`{g{dCJOC;33^Gd-O^>d>9y=V80gkoFKOF$w^7lxUgb>d-d$d=JU0W~X4gwm{lc~G zaX0Hjy8Fr?>8#iOSL9zd`?X$mwo&Mc>~o{k!A?%})JlW{(rS(2Mg3ur8h{H@0Qb)k19u$mc8;J(un>=3Va4v57KXZQ&;~o`6ZcuAu1HfTFG98 zxnnth{79Ftkv5dQe=M>IS6^(xH`%Ufp4)`K(eq7h=~^$7o=y3#{9W-~%XP&!$>U3( zCjNfq{1(T)jh>nI?V^6_D!-{-rhF6smuLTdrpvENJ3QT$FZG6xquaHkN&lvNQ@i-e zcP-Zy-z4Wo>DN;JhceGFTd1)gqA?i%^bN;MpU+;)j>Fk&&u45Ydrd^9L@+9(?6qeL z=;SjPZ8Lx3=yJNlbVQCN5}fD|fS?1|La>9NgMqdKIIVogWxldjc5RkGzamtO15&0w zB12?{D?$xHJ68obnT>7SQiQW7V4LqSydb6N{1A4TO&8v{j z*1)~gCu0;D;6w8Bu@P~tRHkSLkffKa%asL^;>pu;`%ookFK2qs8L59ouV8gn`FUrB zgEPOb!~9ymzg3z2@=^4wA*+jw_fT6t&e}oI`aDzQW;A{V?YT|wsD1JsbY5q%`aVbX z_bKmFFRh$7cS|M08GK(uzis$GO8A#B+>ccN5uqpp;xhr&qme&aS ze$TWApeR@8^EN}ij;G+ih~`nuKC~xp zt{kd7+E2Fdy22|vpNi%DbbQA8Cg}f)nEx{|jIS#pAASR8#aF?n>iJpDO?In4b2BgfC6jjH$I_IGgezKdy&!(8Ff31CzaDOy~WA|K9A^ zf==XGTHfX+orHX;tDuLVhtOYw-w1vn_<`UDR?iQ-T<<+iekJH5YilMwZPxtr3!c-s zAM*Vh-(R}=MKQmFIT`DJe19#TFSDj`;U93#Z9(&H6{R11>qY%8J99dGZwvUC!&}XN zeDi8G`3K&s+kXA%7vH7` zlP9O|GCc7UZHTs{Z@c8alY9q#Zu6-LV*qj%t8iCoQ&-R*j4&V(^K< zCkCGwd}8p4e4adv3m(P=a;zS$DsaO<#WdOr(6?P@3LP+ReT&mKgF)6#kc~93$@)*< z2D|=?U;3^>VBle>UVkOh*NW1cg}(b~U;tldrP2S2pMA&#D%gyqQbG8TND+?6hk0O2 z6Tp-r9MXL8p($iVIHdXbB~pZAn!jw|LtER(6ycQOuXeev@|)@v@<{{Kc0mt854`^d zdkFRr?16?f@{K_cK@ZX&^7}67AGW|ekRlv_CG%JN{%6qcyVgq&ru)DkkF>*}AE^h^ z^GncA&=2?9qz#!OoB$Q;C-`BhpU{tr?Ih?Y=!g3g(uPbCPJn`bxE@^fvtE8Ulq>Ca zvp(;H_6_aJ{gP=M5B^HpiRn3OWAvjlDrcU?cuXn60j(hD0j|;(`m41RfOTQP!{V?u}K2dl- z8T>}@8`Sf4}L@HA@~ichu}A)9)jPHdZ43B5l(<)e()Po55aFpJp{iY z^$`4q)B_!5if{rX^Ml`zdI)|)>LK_IsfUg3H%#(xLH9?BaG<)zeqgoRJ;)>N%H(f@ zeu92@e?-p|DZ(-BryIq7UT))Q=)a-=@_xF}`){!4jb+bk<8Qn7C0LtsX$aKD!BDQW z&(*$f4|)!I=Hr@aTyP!l*2b>7{T1zECzIw+OwYwH81|!ct*`069iQhGDZ&w4rM;Q> zoA%#(&LAf~4=+-LW6J+U13o@EFH(eKihtUGZ<6P$2K-kE=5&bgBjsP%pHCU%# zZ}>4yezJh1u8{xL8ob`}>g8|MF_38i54L@W_SsnNbHKCz zxY#ql4}12PH+q)uO3(bl{9keZ3G-(`KQ8ZI^zn!m8YXMie5@~frRV%n}l@9DRGI=yfCe6PRsZGXP`J?y!^kq@Z+`IbuMv$T!@ZDq4wnb33BF>mC2 z)7tEfTqnq$Cx2dDLtg&+{GgYh7gOv;u#I3F!8XjXO&hU)n8wVFl5eZ?jZz{0M6o#AVM z1AL4d-%0@+>0~sYFQaUlX$5IAIgt{oI`xpjRN6E8ABLN!`-)5N@}TMKhbx!iVyOx zFCxdVd6Y?~#-5y7CZP(2meXFP(5zJ;56CoQEo?noLVwm6=yeJU+|VaiP1p8ZhdY9P z6{5788SH!ca-^AA&-o$mT$(|D8L5#op5kQT-(Px zS}SEp$xPI%FN^@5+Qy0-l|ujXK(k1vdO# z2)n_{q13k)AgoiqV|yq~DFZiNJ&fX0q!3T?UMoX(tgOZT`NtpZiUZfdB-0-7SdLW9 zOW~ty^Q0kd5Fj)bJ6Mcbke^)e*1L<>NLIF4o}BtT0=YORM*lryvWVcrK+zW0bJY#GeuRy9%^X^ zxv1cphLlra=DBT5_MY$V2Fohto3YmTsJ302Z_r3^+M)N>IB@1^{h^JE5JhvWeYR7K&qA_?DAPARC#X5Qq?-P)8-sTV-mJ zccfjK%Oxi^u*Xy>0D3NZhEIB+w!HIe@_Pq6MoPo6ZbdZ^rf+uiq+-YEP>XA>28&GV z$e;VC8)bhX(*MH&;AVzF0~kGn-pcXJ>}K2^{;F?c8wh}ZC_g~K2gGc$aP{QfwetTa K$iDyp0RR6@g}ht< literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..48289e165fee5492a1bda145fd8900b9a3fd54ea GIT binary patch literal 21886 zcmdSAWmjBH(*}wK2@VMs+})kQJ-7vT3+^yzAh^4GaCdk2;I4zaOYk$?&%4gI^8*g7 zs_w4plCHjHHhcF56#@dH0ud6z0Q}1W?*A}#bh5CsCFWsdCKhqAur?uPVPhp`W@TdK zU}9xv<{?)3PAuVKZB6`t6DtK^z*Z0t1uFkF5G>IDYkk!I*8(9=y%93V#S`7+oRG_r zl1E8~?18_h)}0)sKO=@A?R*+FRJoVs5*1Z=SekVTWzGX`)#$;Wx1P6#50{=ClX8!F zfZ#&TeOl?l$~S3g;ltoUa(s1OU*B0;3IS@iV*#&bo z1OXD1%uEk)wG_BBw$MXNj#YX5zXC5& zK@Ph&`2%Bbm(U2Ee#ZxRg0QXMRVeJTC^mVETZhxZx@cs~GyV8W3-~!; zC~11?2%{RkS_4M2Sd!3BJK2GoiUdY;>QT{^+WbL%tu-Sc1imisOqel3magg88qkjx zeztwA?KuHg60?fhyax`|N#w-Q&QXblPI(b6Q+-3KrmFZ_Cv?P#Dggjzvyt=CUsL_( zmU6pT#5HEl-jN>ZdK`NtG@H(XqNdvrKto`@2l?W9dgowe)qfN*V}aC?U_J2Xby$FrUR zhAy7w=Dj9Z?53B&E$}2P*FGV%3D^WOXIDP=z2w>!y~iuN{lqR)_M6d7vvl{prb08l z$1|^oYPn(ex#dSbcDTBi*HnK^?Sb*=t~|5HZ$bW=Z&)V=Nt+4yz zu{YF}s{WQVJx=#4-DW>=Gc66Aij!-jRq!HewBfYN*TvAk=VPcT{+d2=yeWHjMkr<# z%dw%FZw;8oGrNhu02cd+zu3X7E0W{Jvf?Zq*kBeM1k61)D2 zVs|GmBK}LQGx{T!aG*gKB7f42PwP>m zc}Z-%uFo0I^4?;0ysiK;#uuu#7lM>{-8X^p5yoF!`zFaxq#*|u1^Bxx+7*no$U`$nmF{PaZ#?-8%!4%dvPJ_(t9dM0+lrOnBNb}e%q@C=x#FM=7>+Bn_&aCqeIL?#bAu9-XFi zbb`wT>R`+y7WP(YK}Pk9GO|DSM+!HBC9?j<#Z*KG);$CJG#jq7Ab4-mD0=mv)Fl7C zWyjkfN5EiFB)ZfDBb@0kqcANczdRi*e*3$bz^(|I$uyY&jJ0 zf!&x(QUTS0f})rm5sgqcT1t^JVN`vxVUpCtf~{?M2t_jL$Do}(S~EnHS;QhIzUWLW zTlF~+z`h2NJ{Qf&Cxq1P1BxWYip~)E7}kZvObaD4@kZ@&L69j%D?IH&=i}dI)Z7vx zU$T48xnN3(1yqH(#mLB?Ov@X&Bly3Rc61%Tn$@1!38C9ws+yObC=vrNq#^Z;|DBQp zv8jG9>MwR*X%GXG^C7B0L(UlOZsfn4aL6}Riz=1yU zOql3dfxXg@)&L*9#b#RbLWn9H^*zMUEtkl$>$ERhUw2Ba`Jf^lsPlZ&Uj>%=*aVTy2p(EmV&C4 z7H6y;4|?8bQijMfeubM98c+Th4?G>FIP9o_#_I(B#sDJKPY@)JBRYt$TIx7wFAYv#D z!FYxR@hkIl_n>XFJQUCuuA5>VYD>2S!rEH?l-zgn24abWt(>R`syc;dA)Ft=b>ii% zQ56D)X?&~j|^iUJ~B)lPX7ojy9>^r-i-442RJYr z=5pMBR>>a!IV}809`g~r(8|K#9MbU6)|m zrY(IFT!e!#&n=!r5l_5uK%h$2W#i&S<^;8FTF&9Pl@F*3O)2wn3U{FdQV1<^)a9wn zifD|(9MO%Sfh%^HUyp{xCf>?|+gq7RLXeErYeXsi9*bnSI3Al+7+9TRG4l{da+;>8 ztgi(ho=W|yz#5>-rr@FUF`|8C|1hH=$oMdmc_0^E;&3YP{_yX7(0H@6?ij|2!VqmS`eH_ksaa_e!3e}i}Es+Q4 zr|?I~Z9=CYiEz-!jLylM*>%EeZ&IP~{9AZx&FQv(jnzIqYGH6qiso3|hq8UI>Bx}Y zY`-=eb+9tEZ7uV|jw$$`!D-s#7{P}M)~WbI)wh=Qp<;RURqAk4;)nOQF}Hu)QQT_F z111M4q%5%|-?<39{jpjaDfv96tWn}^w;nz39%wYsMmgxlbP|tAcGnpd(cJ2N$vyjk zk-oRPKharIdH+GpmRUNc2dQ2)j*Z?gXr4^cc}Ry_Tt_*dC!w?q1d8DXS9%g zQ4zu4jqbW~8fRi5%i&we6{7O zkasY#Fa4QxZs*_cQcwI@A4skoqznvo1wn~p@`5frC`C-s>M742SB?$SE@ea8Zsmqm z%ZIz$Pf$tSIp5U|o>tUoXB%`Zvl%55SGs>!mfILvR6P>VTlh31r5}9#nf0`nIQ?wY zcTclPPMR{0*AL@-+5~BX{WU z3Ha%&U@9Al!Q^HvOO#n=Bi;=+D=!H8&ex%g$f}sDQcY&Dz&k_U-#LQyrpzsny3juz zq%gvc{(Uxh2IYIu<2sr74UaIuW_-0w)fQ|yoMLTSvLC52wUr?InYv2KV5)uG*j%xe zRG+x2Ji-u;FhxF}7Vz{Yc|K^!HX7M6LG1=zhr73s4epRN;O;eAr7NwiSL2$nd}CFX z;j~fgGMU4Wh*Me>vnmlD#cRmt7%47DV!++AUDlDXyC1^KQ(xFm=w{+FLU?g>QwB3S zQa}Ck!R&53^t&8UtQ+~Q#q;|(PkNc+Y?ibJ;SDklSAZF)iEbvnD!`VkY)zSSk+umc zO>@uo+eEn|*Q(i%7KYMPHCtmlv&1$cOE3$!Hk)7lZl&J${Z{1JL#ATgWM0{dOS55a zMeYYfgKNCn|A#2Ctw9jeZ2K_;I+A)!u_rOp#W?gtyM-bv$jrDXkf~l}xe7F&8lze`98X3igpDj19M&5qxYZ;8fA{>^cl{PHuVWh9-H2E z4yf-gC=JkDfZD#3d~6FjLUf4Hxe+$|mUJ!67AFb!e5xizVL+u4I8=!{AjfBt~Tn>{hM z3D+%_yRt{aimF1*HI|!^sic3qd2JCRd?!skK?8sB8_Re4C@t)N$LiF-`OovuK8I<5 zww4NQ&5CWDOM`tL+ImrVwH}MaKrW+Kb<{iwaK*cdPyZZ=PHa!|(qAEtMMrbTcaz>3 z+weQ-4>$M(@mRpHe-@!pQK^slV*?=F;@*1c&P)nlBnf~DB z0_>iIoFvB;#eM??ggHGC3So^`Woe=Ey#Qh4^PMicfyOpNsG`BU^-Rp>%8R^zW}B^P zA*Cd*br(tuWAzdBj?Vr9b3fl&iIQq`M?RsL58&oJew9V{h+BRB*>w+n8bqi!W5YxG3`Cbg=Xl1^OYoNRrhcX_QlQvVj5;5b)Ur?) zUlXcZO}utsirl~uw!C-!y6Rrf){c4KQ$)E7BxJn1Jz1@!6ODe@@)vP%eA3Kpd^%Io z{7#|040XD8pzr(@cu&|b^IV66&~lFm%zIWFSMt>7rlzO{=Rj+j3A(^@;^c7UNQ?Qr-+L+(ut<0^>Rs9pR%cJkb9pAnPy0}ScGpEC&7wH$xL7R8~-llDE zpLrKJw_3<`^(*!E0LwO3rWw^IhaqhhgLE!7l(Oxs@y6FDn!!3K%dD1tzaL`Z{D~+G zL(|?~SU%Ipy`A>wYufq+ukmc1v%bwW%_$4n^u7K2dA-*S6u=PCiatYJ+kAme=Cr)i z*R>xoR>dVf11?R(HfzpqUyBO|GnBg^Mx7JYZl1f_YdHknnrfzwIeR}vwIp+H{oTL| zS55<|(wNif8K{2ubQaZ4DC|Cxa+4~Md%jG-U@TQDQ)xnR3z^P}ZoZ**3#Q)eVy`1G z3SQl+kH^`QC78<6t2qfkYzh?Ti__w$?hTyfO1-_r`s`M9jO!#Xw8PkS5};D%@>jdb zqN9v7z!TC}mgAG!W(3g0A4*{JS{NP5(ZK3lwR{h{Vc}^ZX+a_kEow!k!Brh~v4PW$ zG5vl95r>hO`s(^`P54yKs%9fLT5ncek@LhXLq>-$+UKD~ZPtVi<0Fnmio~YtpGT*E z*R5811JlxP7HTJ4{@s-(Jb{LsS#pPG*DwBs-BRe`JYN9xv~2yFGHr7CO4 zeE8isSA^k2#);Y~bZW9O5- zSM%bQ>$~jZz+Mm6A|l+W=i2i&1&|J*sfGLQV8I(OTXW7*%f?F^uejMGsNTWC18sB% zxad)@xIyVo%E`7)ro1uEZ*-X4{yf9_NRd#N%jFcP$$U1Go28S+oF)+9O)MoW!zp4ockaI*lJMOfQ1jll@Bvey%g#3S1!wKltBwsg;Sf zvds0~42EVZ{?{^Wz7Fd{g3v#10vR$jw*fTgSgoqWu4`*3?Y2m5{u38!!F;3%ZT4Jy z63mngH%TBhy!j1ogXdgn+em4@*NxD z=16Z9CXwX0a<+wl81CvP7el7N8vJBk=5=;2k@;xYIs>M@-I zA)NEq)6d&6J{7S=@PGrBvnZjq370?D zZMhy}C$GROC|f@eZg|gO=k$`h;e^Z|*mJ)vft9_xKrOV6o#*Z7`ac+2Nl0uJaY4i{ zP+G<4-bHFnQC>kx0mRnYbaR;CTaz9N4Ih<~G{}QmmNTUv9{`80?-XeDXu2ick$~;n}iba`ndtix+ z760kXpthPo7HiL}_vqnrQ2I8jf@XL(USE1XN9i*t;cW7FM2&mU zv5*d>3q0i`2WiT#dYD0+K9kAs`xYbnVsTkg%17p9f`%=e&{*WnW#%74bvVEL#R16B zv$Dsg`kc*=PI2T1L@7ZP zrjeq2(WiYO#(X~IM?L@0AveDFkV3pIx^70&&Oi9!5- zjtR6K72R zxkY(-B>5<7a_j{!uLp!o{$@s$Nl_UInC)39Z458%B96meiX_c({c`kr6G;+dH!-TXmZ%+&ZR|=M z|M8v7y(_$IL6l5WDNIeB1TzoPda9!oW+xzD%*S7>TksvVP`;_~xZuDG9v|Czx~ zJ5y@%ddE@BQfUIb;|Al@jCAo%j6$XH!T}#l8q5&c!Hi2TRjh$BFH>WyRXJq|OjBSQ z22(efn!!{JreZMVfGMT+BHUV!!=mP&#CZCV8N!5fUDSlL%BWdus0!P3)7W3XXP@| zjwolqd8k{f{&yxgzlc)x2hPbgTN6?eN(D+13KL55w^_slZ^{mXDf5w8x=mp^Lu0C~ z(Uh#+;1qqACLHB@Ky_+Yr~%tLOm(X5HugHv)Z4)bs=N)X5ojaMJ};8eo|)KBMb_9( z^YE1OzU;{PmK7w$*0uutwbvNd!%nyEzf2O!>1v!9&OEcY zbVarix#HAa$~jp_OiRiZ1djN%fHY}akqL6HT(dajIqo4VThJZ5MT8N?KO7D@DP#Eb z7_(SwcmxZiVaypEEg30eY5|hSX;+LH9Eq`KyV1h=`WdtpO1y^pP>E?yhuHoRN)I}d z9PDW{FC8iUtIDH3nXi}z3wm`o!wgITm!$R&EG)Ng>n&(x)VaS6ksDK~q z7yO4K9RJ=eMG2(zLHO$zls!(FlH?aVi`0|b&RPe;mW^LlY#)1=iqP#0E~IeA$Zai> z#-#O1V-NC0Fb$kA!V?JkM1F~oKFIFX8zdly2d(~O78$vjol=$tg&v9Rn%9!JZ#k2o za9T+7Yei0_v$^o9GkRsh?mB#h)(xKuV_aDbr4C+L@die>k+>Kkh!QPraKQ@~@j+Xb z`@!FsA*EQ;SAHXAJOb|GpB~6ns{c7C8Nk!#h(li?uSm=KO=Aj<^IO)!X-yz$BrRHC3ufjV#+cvVF#)K&8nz*P%o! zM1qv}hp(!yDrMe^kSSsk1K`oV&*H`Cx?FDev#+7A)f*u9yP01+7MeAad>S8Oltqh6 zU2Qccov+l3yNH(bRd40r9V`ZU*sLf2F9z9o@s|e(78GY@nLk|EzUmy!_2>P7RE9Jn zG0^?NkPp=_(%o9FCG{yJ(AP!t9!rF4bmzP75L`A|%C7%NC&jR#L9HM~+YqZ}LZ3@< zoMv%7b_`wvS)d!1{puGGv=S61?G9~0{zd6ZI^;LLS>}4Ujj;4kTb9eL#UGh( zE~c!7%4HI^+e)%zOk#*tR%NDNNku#nUsdOMQU<5=faZ`*>V<5nSpgq>xoEXjc&!$$s*^ zWSgZVz41`r&~=|Rp%o==i6#`%RPq(+2eGnFv`W(I9F=gi#L z2hcw4(g0lzB||7|+ZS?;n{^RA#d0AL8Ku*W)ewh}&9o)fHZWbdnESU1zMnx}wF^U! zhHKg6@mA--|Czlc9%Rs)%;5Rek#VULVZtGVd8n>QlsNZ`yuDO(3eqps0On zf(K5@+jd;!YrqHgc&hPeU+_XQ{m_OI8qQwldHK47_Syd-Z!f@2w!4iHcO#nGIou!H zSPYjhSPg2UiK6ehNxaWfi3jAJ=lcLXa;c}u-flIDaFGhN5lz+iocaf(t0@oEC)@fI z)FZhbwcLe;e1W{@JT8xZX)9;j@&1FoohrHR?ybQ0q0j`_rN`brlz_Qm{Hc9YCg>p@ z>{^Tmq)WfmL590=@df!pcrO6E!YFLYf%iV$1o%sly?v6Fs@<0ZDc}M9#dU~}8^@60 z=r$nqbH*1zoxg!P2p5g^qgk5;mm5EPfNs$3L(Vkq`t*YB&(Ir~ZC^H`lZiG&Aup74 zKVJ-uqFyL>hm%BfReoFPyi=vYCf}QKHNx(D-gE4Iwrcl@s7Q=jwu%F-^C?5ev$UWP zv?V?=?FBqALfY};Zaj+jJ)?q0Lr@#jCctcc_V(mN%#Br;_VhRqfBR41Cb$Y+bX(r$ ztnJ}pL2fEMpbqhFPfgbLA@Hhxf=9yL&!{7pS>P4jIre&X_&(^zfxN}1FFOmt`$x9* z>d4w&#fZ0oIfigy4zAAkpoa)>ZMEcqf;3wl47hsZ+`Yb{Ip)>?SL+2=u*278--o{@ zz)1$YjaRVE9@NHjJV;lUy}b>b*8?JuNFm6r_9w83fvWuiiXG z1Js5&cxM~`fL`TzKm$4gdKdiExEpTR$QJ})B#3l-im|p6(c^7gfPEptv=5Q@g?pD6 zc9STYAO9M9*+>TiAjbD$*97?92@a8jxsg@Ourg6=99?1*t&N*4U1A@OB>!N#%{t`( z2m|V6(T=;*FK2XpG3_HU;3VK((Do_L*!PO_edq;aLW{lKj)|&$2m#0>7xYm02ehsz z53ISyRe)hs2zn3<4|;fU>|LeW>R`p)&<+oB%gMOxl&|%R~pjA zWLVHcfMai4mG6UK9O%__`jU~6s(ljUaw7}r!W+DSy^g(n3f<3$-OrQ9g#I0%$7ryy z(D9sV6TCYRTd&`^+MDYzVez5(jdyB{>l*L&0-7kdyfH32H<9fW>JPymtava6CV^jx z(n%av5m3|G*O4!x#QU^o{(^)dFS2~y{J(AJ(gBy@zmXi%x6n=_J93)$Co!QOP23z?A&A6LgutWU-ZNjHB(K(N zS=$VVT%4Gs%Bq<}F z?cJx3$`{bg&1fYR1?mW@JLEe#n6kF7C>XOw6J4`{y1ZF4iQ;Mlf%p-o{K)8xo0V9n z<(#>AQXrAAOXB0C7;D3XXl0K$8?re5yQR7Py>k&ev}~$6I`T@>b+LMHEs8p{SgmTQ z>)KwqKYll#V?LvC{MF{}PgX+=%~hoOO8k{Lab!GzLG1h+TtT;`@il7!BxMWCLK5(w zDTvLDn&cmQdyi<7dfBYOY> zUJ*gU$yn-bgMmR^%*m$_N8K5;LYx)>#1c2H7s&_l3pa@T3#^VHc4)o$@3? z4tu;yEjX)Uj1IY+_{$|0l_%Pa$cyImHIL*x$1KH(osrT<4bhYwhctD@NQ|ffZdxwT z^A}GJx-{qj=z>naM5uyqaY|2O&}p1G`RVvdmdus&fT&T#Dc=Wa2KD#uZ-F|Sa!Dtn z5?2y(ybGldzjco8z;zHBzN<575m2kwabi2N0zvQ=1Gn0kG%FRb85Z-Zt1?xZ`oy8D zupQ6Hv#=XI7Jo5nReF*vGM4OV0qoOatg67a`t>@3qxn@7&{bHD9n_kYR$)metKcF# z8??k&S=8z*eq~s^i#ciBSIU~7$%B=L);lpsjU)UmjN*(z$hS~H6n1{HM4$@#!&)v% zLVCz+U%!s%(#8%os0EmIF=ky*gPSZJ7FJxSWsGB&hKbg9ILlK>mmFj0HLVj3cu3Sn zw8{(cge6t9xR79Be<3&2I6RJE$YtUJ2jhA^W@+Z~dk=Zil77&ql?n8@WvXtRGW3n!n~ zjXaC@Oqho=UDvlocTFcC9jALBeuG2W1_$jMs|eKoFOh3UE0DlXNMn<3KjmlvfaH`e z`^TE6Y&M7&-p%~sJ(E0bF7<%^`kRe&$VaJ{&4f%%@J=MXWP4bKa3R$#YqlF^&F)=v zO<4EASV@U(l24=_`6}S`b_oYKjoBb3_hChWm7NF-8S1tSI*<%H>lCD?(2~k$OW;R` z+&nrTZ=PsgR8@J;9=m*lYnUBz@#Fe~%BunXD}-qqUY%pghbEeIqZJ8Q(HGHDogin! zs9wuZ{1Ei(PL2Efva6q0kyuni!#;@#ymO%Ym#YQSZYu&a*~Uj|!*vaP-BIl0N~W1i z8qmh~R^L0?)Bw4PSq2bMe}5i1#(A0ZQn)#x#9{?uIFX+QU#+FH%DrRbcHeKjixbNy)(=hBJo5T0;e?%YDZ?ChK%%PqWP# zs)DvUue2MPMWzBiqekf>L5u+s`hPx;9uovptolDLv~`GLEj?O*HPX_&!)KzxPjWof znE*XOx=?)fLKCij=0c~rZ}?EV)ZyQ@lm8yV@2!|iJ3r7<8?7(Jy3Ef@P8vkX<8{Ji z|8AG)_?b`t-BX?#OTsMKyg}ps8)|sdc%qoOCpGAaY*SDu@+uy87*hJ{IN3~r8$N|EWwr3ld@wrtsM&m{ z;XkT=;IexEprIFPtsSl>D)rFw23p$>9NH6D5!`9iZahhOuQ501)3_u`w-2rcy@=<=@_7Wo)5h){MN#bk#W0#>``BnqkQz)xHFsNosok0m0(9EiC%3BMQ z|D>O>FIG>V`I&F@#*1Jt#WF@X)Wk@##pm8NK}p?c!dO=Q#A$k>Wt+s{w=cii& zs&zR$BYqgTljpr_Vlta$Biynd!MSwwsT!VtPPSU3_;1R;J@K}A{WZ>KvdYY{JuC}o zf@nmP8<raDibI1`78y_{=8*Eiu5P z$I|pKu9EyyCYE&6eT1*d48W`IE0HIKUWr(;?n&F8&7W#d1JF!gXQC-(vQ=l0 zq`H3ka;Z`?WDe8C7j)IA9bqHmQ{_J#3Y6sI#`u7`WoD#*IhD;Y;d66l-bzzU_}(JI zMoCk>GE{u;GT1tFo}o%)hn{&r#ZQ!fvTLICe(#Pw%2%MJX}e|rscVDBS>;j*@@!&R+V{1CSr zb=HM(OuqYoYUvG)7;tMmvhJZQy<8y3ozS_tA&$4fw+MmHGsOhKO|#7Qym1SW!@W!h z1-^8YXmn#8k^}xm#K^#*V2gNU)UAG}-;MLbMj+vTTaGTob`(&pv86BB3t(J96t{XK zx|6l_)oEm)`pU@`rU$r$^7DJy4V=$>wfn}b0l0i|#vuo5aOm-Xdb~(JGq6Zl$N(+^ zSn0qCBv?c^6zSj|g;n34UO)d?!x+`2af51utsG~Zmv&?vdK_-%|C{&Nf*%Z2d%TiYiS|!Q{Q%`R94=?f?MTMELIJH z-aG*<^>M1%2bG21GbRVi5u!Tcq}J&xq(zHPFTxtn90ELH-D*H#D~!uj>b-svVTf{& zX$nYxPT&R_=voW`&%_Z146U?t^}etx6X_TU7*jB`kylK_n6I@i%Dex$i>lR_WXs7$ zsK|COPKWELh~pQPvG_4CgTuGVjg};f2d~oSlvI;_#X8O72~aX~3OGY+s(sjgqyo%u z{mZ#TDW~sK>iVk$y8B~5mwNu1I!-zwBfvVqELWSb_E|az_KTGW!p;lR32YOi5b4_~ zaXbhBktxWhIzlhjES^EqE33=?p4`l#vXf#f@2YTrk2N;$HKQke71Yf9rSOw)9WDpF zDo4codW5!T!{se#5RMb~vz1*b@Zh~D8tZfH7euqG>gO?&vS9trz~j`yz_kMIfRR7> zkae0M-@`QN>Tev~6u(@hv>G2@FeSc6ej|mJ20u;0N|vZq2)G`?jgMQ$E`nExi>pu= zk~29d8#<G#-vX7WkV^mfw}Bewso&uXMFJ&mp%|-kB79X0hm5V9)E&pV zt%Faf$JeY>uGZf`Kk*O>%Cq2O#4kTEO#P$U4S5IM(y&@UHWgG0zqq(q#QL4DZB`>J zBF5~Tu20#sG8s{2jD!ix`E(~s+szoz<3A^V#ts#lr!0MwZG_8GxB0`j0;7taaeO7p z{3*sq;q;N}?nS7M;&;nWR4LD-;+$4Xe@=D(Torq2C#X)kl5gh4?p3R^E7$9WFb3Q4`3jqB^hWBGt6 z)}PS|^CqFNHc|(QlKy5=28nL;{2db2H`0HEzkRtA`(wcMat>FB=-_;G*p`zCL!`qh zR+Agm`8)Vlhy9p3bfK7)NRhP}@6L<&qVVT~wF%=qS$JOBRV9!#`D%i}A~$G$kdnO&yZwSpqg5)Cc1QMGO}0 z$W4aoBZ}&WK7hui!2B>=1C9sxIB{w{qm_B+8D7eW!7!Klu>ANv#bbg3P`LMNN99SeVQBU@5@)ZDlW9*I3pasl=TAJV{;b;M(Xx`rW z>jDSvmtO6?Y;0Mpz{@qg)8*n*{>%=+<=I31v#Uc~FhW)j{0RXM<(K0T2TTCqHZo@V z$R*R3y4pRV$k;DouOLiMKySLDQLD{`x7ssO?ig$5d$<3=_UFlQpwFy7)y50X3Sa7v z|9AH4Mi;2(X7}?XS}Xni8wF}sks8o!@P>^Lu!#e5=hxfTU-q=#`|!KE@xJbVP(}*K z)_s)05Mj#FzukI#f}ez@1E}mgTA*h6qh|sAznfkli*=mcL=pl7F+n3P2c_>3kayee zL2#2+q=1oiU233I z+{pq$fZcy85Fy}~&WA)40Cd!s8b*7keODm^>A$ZH`5#=+0oa-*b@_pBE&tWe^KuQA zNN=v9z1A%mz_w_7ddf#zm_i@Pm%gOARyuw0`;% zyzJX8ciaDhF8Cj4d~}#@wpuzr*7A{|!+(;it;fF~vGfjmZeBm4>zwr5g#9Pk>bbcf z1H2PVnyJ5e!85#ny26#W2LH<^YSw1hWxpFm>4Pu3(CxN+0^H<#)Mfd74_9}8D)yug zYSud@Xc?L?v12I3n)zIpph4_BWl=>-~dkm@SJ#qPZNZjcQ|mvGVODA z_ozz>*!;2Vi9{*i4)jr&vH?U#T$XPlaFFx7S020-LRYrZY15-1 z`$GLv`S-n)rfkynQ1IVFh&qjRA5W3RkO60$QtgjfkH_AG$lW2K?WN4&)6Di)6Q;D| zE5XZGYQM1jLoxG^c~>+u8m~o-sA3$AdPacQ@KP`e~l4;3>{w`+cggk6vE;&Yb0o-nb7!+lx}Yt&U+5N*#e= z{A9>!Q!hP%nHQxET~^sr$I3TM=%9EZJ@QCMS(S!5oRSX+MB>^w@zvLPm$_0JUK<4k z%s=4@4Ij@Q+iCx3#O30!EgT^@rmg&9AtcrvK@|IitAHit$!BMjD51 z47529ybuVh1>*S>J?tO4Yorfe+&T)De4QNg-Sl;t#(4nTLPh~zqztx3`|2a!k&m0J zTcXI}4z>uC?Sxa?t3@t7rz*I;Q#A-NVCX=_De4+lN^(y7YASH*eoh$tOx$mcY{} z5y~o(xPbHAL@A8OKe`YeJHSm*nE_Tdm%PU{em{jIRh#j8e3LkBs=XnwG2|ssICoeC z0O$N3^$6x)f51%&iaE5~mET+rd+~`s+B#2lq78I#f6u#N-K?dy{iV=Z5#^-7MiEJ*xGEHZ1+1IQ;K}nWTVj){8{t;HkILQzS~3RwtADtxw@R zmvl$l=qv#B;gTFRGPJVjuUj$DE=%qvaWUM7A+)Frp#MDQ;$9S7B-6yJpK9?dYGv$# z;LMtHI9VN*h!IZ0=KdFc;~$$RFSx8{N!KmQ#U`=)x*lUXbN8P!Sk`=w)18>}7n=i3 zGA^A>;@teZ{|3YCk$xx_T=!k_Mk1DY3F4T-L;P$!3qkP0Q-MX?mzkK!+u zR`8`cf#0G2;nsIt`Uaqsa}5=5^1P_boTHsxn?T}Oc%e@2O!atWthRdNZu^9^o14xt zcn_bDW(nY~l&rQXDU5E-oMoch;H_J7yCXGs=}QVV)vCepW=Upyxx4UD+a{_=a^)~~ z4Muy1R**gM-?AmyBu~7(q42hzu?);oiSoJhKXWGZ6y)iHZ%ujsFj(n+smMD~dM78C z#(u*%9Ai5Ux$tZ)+vVN%s?&CMu8@?h66F{C^pwta@8Y!xH^kg{Qo9nH5&p$SGW+51 z(AvO@=g@AXIPamZimoN<{*aXKDMC`xPKTi|PoI8{HB6y*h6Ow8 zaw0!MJ>P~%N&MF>@@usCimCX_=quiOE1%mM%}#-7#Bv2$jqHEA0URt1i&PSRV~IFU z`+UxxB->cT7Y77SvrnMug-x{M8O*DC3s!wT7ryjGstrT}@(J%IAxSv@x6lZ069Qh| zX8#?WGds>Ih4%HlJU1apPv5s_To-37=Q(xo>(;YR{btco8_)zc&`)FF8WxF45}o8s z3Shd<$(>-fbEVHMdPDGfz`qXDu^u0)O-&ccROZ=gWpAx5fa&GP!v*{}cYbJ3Ne^ znr@hy&c=7r=b5ZI5E>Ga93mx}U1CqI%Dl;2ZWr)-ZUH^@Lrw*E2soJ5SM>+p*~Fs! zV-(9K^s09~`pIHqK(Ku8IsOBkSr0Cv?I~eMNDm;u_Dp4hF5%uSE@C`&`ieP}H*w+u z?}=>Wb_g<$*(~V#b$xhYif+b_@~ZneZ6leO4#6%s9uYPE7V)~(bj62dW5jvu-xwwDZ{5{1S&&yjNZ<|4`-gxfTLD!-%ZJZ$ z0sXc2dh92A(^aw7s}&X;QlaR;q#L=H#NhbB7S+71;}!&N6ukf} zT<~R(oc?4m!~7Y3uZLd?IN3J}ZymkqV}GxH(}RJM>=Lx(n?Mi@qD4$jtjn&{NX`Ff zar&}BPtNbv8s0MXAPPygq)@LVEF;cxiN4yv8tS>?T#Oe|NBP%T&=Ki06nz#Q{2N#g z__IfPMK6%odL{4;1_fyK<1OF^OwuLSoMX2l@55B0BqA+0c6PrEO(<_VG$H+&t(Imc z7~ezngKZrCdL|$I8FiU#`i9#T*mYaRQzh^B^;&;FpY8{B50EN+aYofLbPcBPkC1kw z(I@75Lg6250fE6_e7XANL27xdBx~As=H>EYBEh`T(j#x@8m8oIf>2`1-O@I)<~hp~ zgO1Zf)oDTf`BB(9e?8C!`)j!k=&7#f!6VeI$KSB`pOVL_Q_$$>Ps9B*zG8S6Dcre) zG<^~2=7QomM4~gmiS0oDcYX}qaNTg=kpZu1u#l0&MjfAfAHJJ;%2Ci*vP`pUwFMKc zgB1&sYgmK@1Ycn#?nDTMki8QN2L?ReL?y|dLv9qTEtg$Y1Xu^xkDAZa&?^&`HgTT*%C$Fn!*&t(#BbZrD;%x$+8>7Vj*CrMp+)Ln27)IKSD10FZN+TNotMTk&L)4u zRmI?C9Qciv-8_vY|77e?KMq(p9$eX13W0Y;1KdT4WHs>myZOFmj-l4Q=-b_#Vv1~ckSwfRMX?6uaY@m2ITcKq zS`%a)X^aS&K-N@00ay==`L`&mmq$+}r{W~^;5>^0p)HHCe`9Hm;9oh$B5)9PE;STPvj7olMk)7`b5V5}HRM zztI_#M^j2jS#n*t@Z1hPYI8!^T-w@bDd~-?X?Rpp%`BneGq2Y=9@LHp;mq70=qzB_ zbYZeHZNZ&Uw4!nVm8U;>^eNb2+oL!BZTR$m`s=?m6oVhu_+wYL@-w{EH)MK(1;H7^ zPl7F-I4^xe&*!EW2qnQ=+}C}S+jL57so03Gr2MkL3Mo*lSPtFO-A`*fOcCX2Z~eYB zrnmmHQ6d}E+x7e9eY#qgy`TV9^T#W4fLWoBhb7S8Ww0oNM1-kvUr5r@?F)_;)|XyH znrX?Hpk-to(A?F6?aNk%srGJ!BqhOR%PD*~cC`TxY?onc#LvUN0ClgH<)%dqD z=-`r;i^yv4+f0TO1GS^2Pt`u;I9@Lk1wnOdJ}vPPa&7}gj~)#hHYmj4Y+*_SrvaP%fAxm@&bX% z-Iw@nbe)bHDY%HtJ9AOEB*SlnvV~U*xXr~hq{$yMb>SVBWSM3}kLY`z54wXB?M=E+ z{*$1UaDV_#A4mb%I1_Sbb7o6O1y7E7pn~T#Lv$7gaNG10QA`5!*_W-0H%cMJa!LE4 zNy*2c5eoY4Fk)3;{t_QCnJ|gHN6(*jdG=GQ9l8}cq^xYJBCBlI&s()^1+jPlHyKRM z!;M!H$A1!k)#c2x4|LZ{*+2(FC8FCMX?PC##gvzBD*K7rOccB~8JnXDi?F)=GRB{y zwCcZ_O=%MWb&}~+=Y1hgb%&30gXbMxx&sAWx zVI?wA4~`7`_nWAkYxykdl7V@SR=9PDFc6e^9_h68RuQMj8H0Y^AS@gVq3(McpKsm8 zv7gm4hy2gNrNh2mfcTV{dYVNTSoJDReGgW}0{JOTM}!;o&(NqyBB_Kg`$?XwxP+ZO zac4#1o=p@je6p9E_2L^%UJ#d~v5G(wT;Gb%I@&dh=v{PsDbK~P6Ob1vlXQ1#h{%7x=zI21)Nk(hEaUV@cN0Q zO32_!S1yO>fs5lDna^lY@jU|gR?ZAlC*HBOTyd`?na;pE{n6ZYdsFCWaNwQwH^0}3 z8keI#HG-=$@?#r@K*SWRS=wZbK@85vPkxWy3u>zvs@K`Y+Rm0?0Cv9d86j9iZ;g&P z5ZTe`m6GeqXa1mky)j)fXmii}SG@Yd5@tkj5^#KS_p@cID1Efp0B!#<9Ahdzxb|7pzjRtYYkGO_)JCG2e!So^nk++ZD42I-YLo< zff@z@y1ziXV%B=mS!w1L6Az8}4KZBn)u?7;XHvjs37Au=58;XGz#kzMa~4F{ z?zMvU%|^N^f63GN2+)BN6JG2HFrf_;cOpxcN>hsLSpE|^%uacND1Hf6gurGK%NN@eEm<+103KDfPp5PEQ3cR1uk zUG^R#u5ym4!A(-5eD|t7gK|Kl4{(E=;wrDmI%&H9;px^OBY>@s2y;w{i)-rz30MBz z%mcym(IHhzuHdZ?QKCGuD=rBS{;9$X7z;%}pc=ujcmeqsqMh0q?HE&Iq+Ti1!?nNj z7i{>LK2yjZgKiW^hx55pr0dXw5pLUyJ;J(+AuA5#3ycAVZqSzE!}Y(P{VNcXx`|{< zhw^f48-lc1m9X$V!rS42-9IU~%}GN~*bsoNn4tt1I>#894Lmd!jSyv88O5|mPIH;j zb77l=g)&0}w-}8%Wy#O>a!T!Dd1wb0&2q(!>oc-Cx68cWzBrpMgoDElK?=}xuM`;35dN!w1C~ej`W&k8mL{zej101 zvQS4m^OmLP)X3ea+V^1Z;IJh;l~r~OH(t3fa3!{21v?_&(iLGCo!p9CPCX01k+UXq zwRKZ8_~TlJ4F2t6&+wf!>MeZCV3-+D6zzt?Epw?t9gXU93p#ks+pt`n3US=NfvQpa zkbE~Iw|p&aB~2{cf_Vz)c`iPN3<)*I)-E{5SH3+y{W<1v`mbK>3={PxlYug1pp<@o zv*P;d)AH1tc44{alT%CoauJ67*PWZigJuwWUr()n1(TG2(G%&E6(UHRIy(&5FLSh2(mVrw>ed2o`b;%vKFx3$J`Ekc z_4rwXHi~W@Sv?waJ}cJjhkyIAdG~J4Z_~^^cMJN+2|D++rQw>Cr4*lRpgvh75}9>i z3Mvoj7DXt1MryCrTa|szm%hVIqaSBv%3rUDyIoIwyOd%&6p5mN>w$kJaRlZ1sJKQXV zBxZh+_i%!`KWbywVoG>3Y}AnAe%P9P;A3-GdZ#Os;GeiqqQRQ2gd8MpV-No@3h?nm zl#9oJi(fgH^l3McGj2oR-PK)zk5}>unthSVtMLj~lZ^`(xBo5vzPEEyQ$0RgvLOr+ zaj~ev*uKtH%e^ZZ+R9y|#|MSvv%mEk!*zBIM?_b#3DBj~>n`WuG-G{5rzF9lu9JgD z0Qtm|VpJU?&uYjVVSo(~3R6#GxEwJUWfT1n+f2%^Mx3#qy+HB=&kC#Nao2oqbZWQV zcKf@PlFeHQY#%84QcOBdWA^`mbm60yAMArT?Gf2>Xj5!f-}1+F^}lr?1vE$=KR%e4 z`m%n{QoZm0A)@_N5_*02?+tkWpyC(VF%wVl`o5V@F*m91#!rMGo9R41D!ST!85dE8 z+O^&po_I-AI($X1UHo9V@#k4h=B;pz$}VEZ=Me`e=cG^uTKXS-G_`|sROiO;%iGzU zq+=?e^=aO;M9#XoMQY=IZB+YXpMH!^xSIP+f8UVSP+6b(Wz^}vs`?IDUO_?%ZFZBu zm!o@hx=xZZ7PfU<+B$=R7lixf#&^5k5AD6yCTUtc#Z1~%_CWZqcD%RStC2Zl{1NXi zF{feN`tI&fj(-vEe8|hX#b4aSQc%n6K-1Ok&%C#2wWq+b2H8KG5%#S20OXPZtiyHCX^VE5vkc zpWJbu-eS$a*8V<)2nk)_O}r&j;jKQ~8@Jtgbc^2TVN?z)Y8_!bIZ2aFwW```*jiVp zMQg+ESkaqh@_%ZyIsCOISj~Ob>LWLaENa!{-aFyJnc>e2?Z~PtC@{NsGjRtRe&<`% zpRX*O$GUlyG6yI9o0=jE464no=LzL`53ZPaLXd_gw!IPlA&8^y2BEL<{9V`HX0K`G z+nrE+;OKL;!BL0wi~X0ZfUEjllCu4p=O2H)uf;yi s5u-ukO7nEB?Gr~>rOyJBai64PrH>9Mr1NX)ZInvRjzlqJ{|@Yb0YXkzqyPW_ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb b/bsp3/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..5cfbeaa3877f298a67517edccd36ab4580f123a5 GIT binary patch literal 4620 zcmZ{oXE+;f)c@_BQX^tCLMe)b)@<#)YnNIH3LU(#cw{6ZC^GG7wGyzUKzVTm=zxeFyZGws)@4YUc$~go$3;zgHUM(MQ82k1!mr zDSMWf30wZD)MBn_$Hq0k@k`41BOZ@@1;UkahghCUd(`$0H%?=Hlv4U0y-#_-%cbP= zD_XO2h9#$q=8_2VJPi@veyPIiKmti$_BqC(KvZ6gg**+b{B} z+P4&f+D<_%h>e#AT*sGa*XifI)mygbbK|y4v5`+)i-vcq|6Z*{x!aMpn8e8Co%D^U zP3<|(7*AB6mXUD=Paf=XQETK)TyjZIzYR11u7)O_#&UTws9?3i!y`L|@!y*cJ05bO z*KP-uWkJWn*F2qrMSJpbR+&wml^O^8=!5hi5a%NWI{fF~xS7p>@y3VaG^@ma;H25< z4nw~i*Fq;KYObZ$-(={Y>}<^Ctl`FwVe9)n#-5@;52}-`Q)f4#&MZpcgl3B_+eL(a zgsm?F+;eefy-U&eaO3przI~R*K54K{t3f{jR)Jxkkrgdn|6Uf_u2$#g8v529zPOX} zwOzG+^hsT6Lj9+agtdzP&5kqOL(ZC)bnBz{LebavBSF`Y!LRlte?XY@tw=@jJHpA+$KDrB*hU42uSX%ifYdHrx zz84oUqrs!?nB-?w6RLpzO=uSOPiiy-lL!zO4&)bA_OQN=q(0A(y7-HoPok+2-#=~ zwc3zfpA~Ch_kn4q9&q8{lPLI(gUpnB!!zqNqv2^uKt{DINoI3$PeF-4By7kjwsV#TPHB3RfvoJY&^KhogCM6adwVZEya6hKWDY8bXa1ka|{+;8N``HUx z`-e7??`{=&IcJuIy}lfL$Hjh9ARm+F65kRSQuZ(AQApWV%rh3UNV>m@*U%!T5C-9L zOR#F{Xi_F%UcZr;(~aaj3p01Grj>frjbtVZgQBt@%tx+2cM+$p_mT!wc$mV!0nGhK z$EU&?q~KKmP}I@=61Gi$ae&w-!WfmAcv{2^y^;U95{;*VCiga_Aodax^_8nw@i>xs zBLo>?O(b?%hHEd>q^DQWCfQ#%UE%5pvt;nAkM7DT?NTzfZx^hcNSk!m-W2C+%wn`` z=z;~wsZ9Os8`z;KooA;v-c5=Tloj7sPhApa$-{Q-;lEh{(L21K|36Qy7^UUZXaSMZcKD@|U z+k43Or!;Pfo=X96{fiaZ=ut2zi!i2IIb6?JzVGIoijMM*Hd^pbxlyjsg0QJlf@y2b zz|di9)n@}i)-nUZJ7orU?+W~@n&$gm%L08;&jS7Z<%W%Uk_6!D3=I&CqX9nuO#|c~ zq(MC|q4~&PO7n5;MreLj(M9V%{Ot~mxo}y=9hhznr;b^oJi8p6&U=e_nhK!M($->IsViNf9m<0#K(-eF^1PB*n1$TbO;x)uw*O zxI(rfv(ky~K1uCyAmWbFI155fX`B^7s?>NF`D;NKv%}6C~`g7S*e9Pyu zwfM8o&hzoFt%g1eO*`;(Q8mmR)iF9~ynSpN_qeWEC?Tk>8J0j<=dS_W>06Z3uT2=Rirnw0tCmAvWTW-=z4kMN{kK66HIYBQu&1Udi5%||J6#w2LnPw$nI__WQE=t|sd z=eKm)T*=CFtJIN3K$?{(<$wYM8|M!(c3}k3<-|YC_GF!tG7k_)=#e3PN-HBdel7i`;L6v~vb8ks@s-Df(~& zLXdXR_NGePp+O8VH~f{8JOo8l2`5xEOg>ZDecM;ef-U4V$t_a^S`~Q1$?MVwEc@o}voNz@<9{hts;!I6N4(}){WK-ZQ3a%ZHVtVqa5u`;PYIBWD<#~5TEfW>bk8jU z$22Gxdz}(@E2jY+=uPD*S3F4(4tz&|_{EQM3k?y6Wl>S6owei>l#BX~B-$hJ z2>eFrW(VVGf3ld~81XkpH&B>iMlbH>5AiUzuNJJ`;p3tDfC`wHENkvR{I~6WxzRdH z0mRPCV02`L@J5Ne(c~AuzQh8iHQ$TV^#G>RnJ(Q`*T!qtVK{_@P=9r$1Ug1TE0N+V=MoP4DP}iD2vt$*_iNN{uw26Wf#u3ORfxr zX0-YSDPbYM&ATW*_q;$2;x+3JQ=LO4(7CHG`GNC&A5s@e<;`3_&mAol3DvVp2Ka0| z0Ib=#PWhRXj~bmB_2@v?YJMDK6_Vu*2vnJLs6n;yg9a%JBJE@X89$ozH~35ii<5t) zqp-6kfG!)uRbv$maia%!c+`x!z#qza2GlHFZDRKW@WyF_=a9a?!3@Dw^O1k=QgVs! zsyq&Ho}k}4Em+tR$f8VutHY>RvD{0%az#o~(L>VD46eRu zCT)@UzcGH0xI)PzbIthPCQr9!&>&CA>|x!Ru5e~~a;Nj-Bza4rc+P0b4!bnrM4HzrOgW~CmzAjE*C|pBS-hj< zVJs1+Y10u@zno>I@^HQHg?6M1`^34h99l>&cSdYzd;n_-BIoT>&j~}fl zE{7+4OKwXB#U((Om4(m#yNk?nl>+SDg*MKwt_d5ydf!gKib6QC`<`vT9)iO$m-iT& zm&hXi$$SC``*^;ZXKD7gKJ`HCp8dhjODEGch0L{DWgm;MuF27Qr5@7?c_0Ju?n4S2 zgYSJCOBW2aUNPi{@sQ(8Bj)+R)BQSJ#^+=n-4|>cw>nHENW_=NIH#pUZoFp1{k(6~q#n*0> z4B)=hGX0qDi~JE~A=@lUOU~+KIR8VK$SVDGE-GWjIz3=EDx=;y{gh1~TZLK^6d4(m z#0on_4%kRyD;*;T%x>Hb^wW2Lx&68WQl&7~Mu`4WRiF5hiG@Fkrz^Hp^81Xt3>_X}e=r{{%; z^rXVx$Z!({pkPD+fj6?bk#aS$v)|b?pTvYasMmi+x+kkwY)<*Y*5|_qOZBqlHn4g6 zRU1I!9aNikDf5a`@3iTar(US6=9Uv_=iWnM>aL|yfmd%WGaXZ^lo}~&g>Pnc7x99j zpJaSXQu+T(EZ1_V!bGd0Cz}8+gh{<~!_yF*`pr5C>^KBPUOD6b)(v29fob`p{=bQf zYS3~m!b-X1CFuO%XfD{;lBOG#?C~Skx1P6!^(9Tv=%Haf-sJH$K5ynMTvnJ%%CR=( zyU1kd%?{)G+Mk%w-hqhZH3UUz|C|+l0{81>m^gtveID9AkIXk%L!J;_=Og`Xdx>c4 zBSsP-D|8RwDdFMNng^s}%C?@(4jAq3rwtG`3JPM;pBM~vaY;C+05^q~g2-dUutQRF zV=!KUx?aala-lc-!sl%ypqTfL|NDIZHd%~+VY jfU{~C$nHKi^(sWMw{)W|@Yeu_CT)}0{{QW2uCMI^|H!>Orh$2cm5Tv_vw3IY#bT=rS{>JC` z-Th!vfs|(0#pk9-a=aE{q^venxq32WJ~b0U<#~ zenCD#5k5hFeh{PfYsOdJ&d!Yg6MVsrjn=}z*x>u`3!?<<|Gym{jG;e%nES!_8FWgu z_roOeh^KLJg_c^HFR>JD$esfLHvTRx(F6@rp{sEO@tldQIKtmKb8^1l%gK>ErlNdD zru33T(S}NgA5*Tof-jcc=6-3$bSk*$M^nMg;@7}tj)G0!g5u_)roEsgP(f%;!1|7~|vg|}twu;s^$`3vVK+g z_5_i{`I(*k^YCa^cG!VUTc1RC`5@)=Xnjfbcd0NxEyHO^LQB)QUr|?nS;uLqYThi} zN|i6BReD|@*h}5^ehtsoq1B;h`os?h}JjlN1Wj=Z${BAoxbaO(UCzAvhs38$Cl+*^0Cn7te>T>Yx%_2h&#}t z=?LUKaNe}E3vTurDVO%XE5j$XUZpY}qxYxjribxn1XSgv*L+0rgi!9pThC)WX60fp9 zEFoyF2Fn=CBDTuO(qwz}$3XJ5e7`sneYFcD1SNwvyb%mn#EFf;tSf?^m(8SHLO(F@ z^bbTxc6x{=_@X+(sN8*3%b77<{9|_k? zJ^fq%9aGB47m+8hi99cEEPV0YOd=ytVf-!b$K~|NETkGvh<32XZXeTY4^mx$dD|ID~S67`=do6gcVKrp^oj{b2b%2tfzPTBx)~v zCbIl!omC9qrgCH5o%t30*Lvcu>>2*T%(-FQ5<6_o4j<@E3j4GZ3+OeZ=~L~M?aI_m z?2Lu#mzk@y)++yGKn}Ej^&sqJc9AK^O>iiw>wJUpZ_R$l;!a&W7oI^}X zfoNH8;&Cy?vO~Frh$h@7ocl>>jK|cI(uIL($A^|!W$HUA$`T5bDS?`)&c@m|y_=78 zq$qxJ7>_%I)aI9L71ia{2`9M}zsN#2`rBjReL%Xpmhs#F8WvV%4%%+l%lWRX-z@`~ zcGu_Ql{`nDKB19Vts5>ent>W>Rm`o6JWU~1}uTmP=F#w*v){8fhi?_P_Ha!IU; zzB6~aAAPjVYyKs*os);6AX`Ls8+%8@sb!mog2GW`-gju>2E%a6kmLNl|EzF=*k;ux z?1(0yuxG!(3J}$`H$7>KdXcQQ^$Xx1m`^(A#p# z-Rp!wuz6)GWIJ#%Wf!tLI`_i8-3$1FTzY@!qMGRLK(~s>TjVI`jPLd|vam6o$uqjQ zdDGH0V&+Vs+~_3ahSKna&iBoqzfNYl#yEzaebv)JK{q^ajmb~Q!#i(MMGdz3_&!{n z6p1jbi=U?X3zQp7WfNCzesQMgYH=LKor^NN>#Lg%^3yY}-3Y_B5-E4gjo9bQlrEptdx@-+|zs%XJ}8`vO4tDF;_7s8|i`g zCNNZdPLaMvfzmullpc?deClCS>EmTHhO_h+&ewbTFl6ji=+d+v#Q!qKjGrOT)$j&t z#(#8?rhGmcTI0Z%M*MaZg>nT2Y4ITi`>#^@X2B?wSZLc=lz=oJWlkT?6>iE}Drxr-iOcw_2dV-+}P@ z=A=r zb1QIZnqV_xGWFa_2BPj1JopDvdUY%tFm@t$Zu~>0o@xlqMUBw!rD+VICdLB)F5#_{ zK}kGgAv5*5#?!$nd?M&E`brn|AYZQ|>#W)?wIMxHN>V1;I^H${%F_BvA3ekteMdLM zy#kzq<694wHYT1#OrGGrof+Sd^u#edQ9pH2r41VVu2EadcI~XO_FK+zaDNWc(}HG= zrc-TCTT5x=eUQ7;Slj!8)#8cC1=B`)Fty30tUGliK`9?9q`3|*b zOdp_p!-Jl%HO=Bp9*4X9NcxjV(c0Xq&1}c1H09}_>13^;=*{JPrQyJt?2@Z4;@a9x z+VV^!=VDnxOrV%fL2g=LF(E^0T7A(b^=5aQu895+nJ4v;sF&6D)ua$;|#)!LC! z?7QRo5Ursb*wv(0Tp=5z&^cCuqu`#KBFANKw92`&!4$wiWP3aQuB&=9#44>iB&siT zgD>2h4#$}&Yu1kP9$^qImfljxN%u;`I4lNB@DB!P0$KbmVMFh8(CYI> zkD`p!3!sj|Lwif7X{>3?Ss!`CtB&jco1CTcdf;v2m-);p+!kl`PUmm4XH}Ws2w5RN z)K}*ANin~aZ#zfpN~Uv;2;KMjBmzW=^TuiDWxHh4jRpFg-|*tCuK!>pAQpQ;%TcUo zud}eo_#(=_r%8Hg#E-E#nd35bbZ7L@nI8pW-&I|;I=;B#H2tr3niO(}`|l|GYyUaX zly`ATBt3=}{i#osE{j9QJfV2FGNzn}Hh;P|^apUfDwl9PdAD+xRqxU)98DgYftGy5Q{L?|MyaqsgBbJyS#(RYM z_LA+10Zl@@Tl4%bs-SqqHanM1C+eSxfs6Z%pUAwr+so%J&q+;+tONwue6D$Vc)($m z*hMQo0cO9}$2Ml$4~&WGJv}PO#jGm7bUwq>wnW<*pk>G@zwpq0kug3|?r zl^|U}Q|{IAZiEqv5PPHg+klch$->;xarLgds5@gk6dCX&z97+=RF=hOIeE~ zo&P3f_AI9D;Q1+$7=SQmoi8Kw=5bnIv{ zc+OpCHY}dIbgyn;)sqG{>$(pDEcS7@yX(P2T=93Pq1!`v{Oe5xv;2m4L9EHg?I)K>DKV6cqiO!)SSU@N(; ztO4*@s->RBrQn4N$Tp$1l*YyGxr>a8Ye^KU@nPJ(;uW9R)y=i@UB?E~pJ;7}Hc|cF z!zjb(H|gj%b!d+c^&ZA2ZMbXnYvEUYa5JH!bUkER3hf2tT{tRX)qR@zq5rXiX!cp& zUn-b}q=Ho1BkTGB+s0PnhG)b%MvT9vf1=^eYx0sv)aimi9s;;`Y$z>254xaBR8Pf) z_NyL^$Hs$SN5w1WuOCF>92*WEqB7-nYcBT7sBM05Rw&$9rTs$fL6Ep;<=p2%@RMW1 zT{-%t&s&jsneS)~IyA==9wLDkt%&~T^c#`+&4=i-3!_kf9+Yv>l&pHtDd-?N66P}M zI~&JY6HVGe-gCNfHS$&0u5Rw37P0E3)by(A%ee#&+a^s^hakyW6_!JQe9CL>O+|a(WIDuZQJVkxMRb@&WnPEd|t-@G@e@X z8LArfqd%ii?c`_=r_e#z;w-J ztlx=U7XW2gBxPL3XJ}_B3(lpe&0$K_I8>-P)f~T=m0MbSg@a^9 z-?)Vd6m{74cwa_OG7gVGX@N4lBy){9NZY3CFZOg|yC#&ypPQ&xso_8!$`lxQ12~Jl_-Xx{7x;OmIh1*xZTA&` zUO_hY3@@OlAjUa8Pr5S?7LJ!~HzxdyYQ@Kd0zX@GKmtXMSiIJ3IA@NMdBgE&yOl00hxE7+4iO}s#k7hB&v_9Vk$6sO z>H);DRk(F-y^MNBuT)o#*6T!@S4YDT zB+iTAAlRk!%L}g=>B9r)8UM6Che=L-m;2KYxBO!QOzk&9!JH8->Qvl-H}H)49bz4t z@Jx~z8u^cmc^(5`MoYDVJ`vJ#AS~sfd>T7x8n=?jn&6+E%t0nGZc>Vu1}0E48g4{1 z6z?dyzJ>EJxoyk>u*jk4C#~4P%8U5tRDp+!2gt%zx_)PptnONYcY_Zw!v%<%0!6kM zL=B)~1OVI4pCO<_olv9&;GC4%1jjgyT0bva%-|7=;^kr-ou{=4bV=9%N(aT$Kv3Ra zW*7392;l)1UH5ur#shPhW@ex7EmICKMB<@3C`A*h-MSbR3&kV;yk$as*#2ooMzt~` z7o%BO&=gK$Dakp`;||y)mWRf1mvAV~0-0}lcoBC*l$LZ%h&z%MHg^Ce+9?qzUh|uS zG$$%0>G3u6%WotrpGj8g8t~$2xe-s~p~X4M<%wM5RXFj-LshqCC?%2=v|08oxK)bk zL_*~WGZVryS6QQ%!eIj^o?n4;oazhFXjU}kH~uYM^_T6StL$4L{~BuUmNzkO!V*X@ zda1CK`>rX@D#ujd2NbEU*17pcDEZ}*tT@LUNBL=-9T8Ek$XSkrz9&_Stv;Tg7o{ms zCdLE&K>U;;r?OnYiLZDW<3^-7%gl?oMvIQhL%I0P(x|gLXY)FlZ`EQd_!K)F&t_kz z5upR1lZV58F>)gDkhDF{_LeEU9 zTMJAjkZ%FgYQ@>hGj9Rx9onq*7Bb#u%@hs+Hx&4)YeNO7VZ{6MYJT_~^L&D?gna^X zU&kC3lIX>^)qotvGDE&(bF5~zEGs;y3yYQ{WU$2{%S=5_mYEAtlE9Cv@ok2zu*dvH z;IeKcML#|BYwpg^ud8qmn8+Dc_a+dAB-RA(CwL!Kn@_rU-eVc~SvM;!M-16dA)N{Q zm>Ul067mm@oXs&@YXX|3Ng4E&!MN{v5;od@ROyTz|hpZlJ$hk*kEZy@1f5Xl3dB5;nWy}#Yf*m?Z%$T`>e zu3OPfZQx|oDB*Cg7!)}TZklVGqI5DCy0=&{J-?c;Q1>2WYAAZhX?onj_&!7zrrW63 zY36IW{CftdfcW(x^hkZkqvYdnC88snri?>htC369iP4WXTQnkuuS}Q_M->4l{SDv% z?Fc1t^B8^x?s*2Pm3H)!G?Rxm8ZhB&jF@lh#JA~9Kz%HIndDXMZa(5vFSq3mO*bk+ z&2`BzogAVDnBHG;(!6&0L$Fj}>(CR?I7N>Kn!fxqa!+jNAKV9vp3u8G z3s(d!J#jC=Omi^~6?!kx$5WChn$2+KY_MlUykSDf_0a!(aHXP_#PZ^FEa_2wSmbxt zOkCy|G!t#Ym@INR(YBqL6geG`E~UNlS_{3pP_^P%H?Ro6jGcVPXKd}se~xlDB(`JA zRtHxyQv}zjcBn@tXJc>y{tL6B(jr$8+OB7fdE`DS^)?ny$!<>_N~KS>a_GqHtfL0~ zUhU0+h?-JNGgpHW6~+FCgF*W@ybB#N%K#BT+{kn$-l4GvJndwuIQAIvKO{`1II)7` z2;?T%r4ro@8z}l3o6AV#>IB2JgF8$`8tlCRyqRWjT)~t?}X4cXY;9?L}>7M;eV9ub&J8whTmrM8(MyAK?gYwk841cEzcR_=@ zAi#JXcc-%>J~5dzM6IXit8$&%etAcMKq-&JpTb@5-kEG6g`p`N0OZgh7ULpk}p*62WJU z)mv_hu08HTJu+YHPn4gxpdFIaH((Fk*!N$souA?x z8M=-k++DrS#u!xYNE+s@z58N-B$X{qf-80PNO6D8ccwEvo8DVL?`UdRo8QDPSua$% zM}__a(x+PaIjBa(k6y|6e_Rgu3+>;`^yJX+zN@M7I0BrpwmGd_@bd>ht`mUWht*jB z`I~i|vA(U@qW3)Y6-@D)A}U1=^sE2E?W*u)0`OfU$=tj)o9uy)#^bx1;SH7dp6V|^ zTbe(!V&h=q1qA|Ggl4Zb4`1bG7gk--cn#>@4UnQTUf7r~3Ix`7)Bvk9OssmF^*t>n z;{Ry!ohp6Y`{UdE1~9{{k-k;1UbZ4jMf1b1%xIpb5qrpn-@~qGTZp7uJ8Vk+61=H3 zB$!7kHE$L}jil}>;536qn#O%q7?|lK5t8Aqpwjy&P;aG2By_HzUbXhRr$oXwyYj>) z&d__vBwInCIBJfqVwh}7RMPvJ1{Y4024eu|Nf-aHbH+Ya*_=Z;n6qFL0$6+zZ8!oq zb>||EbL;{rlRHDm(502!?)U5JA!ap71y*}*O%P2FI>v{?yeC_A98J;$_b(xOo zip==EE-7k-c9IIC^sgCk$LO8k~#;&W~6VV+N{QX)0g=rmD?FG~<))@3T(VZ^% znWZ}+WM#WVn}5$8QPNT_H*{?9(yG14j@lld-i6OuOn3CH&F&C{ta?g!)Uh6OcXW67 z@lV;k5mDXI-92jaL5-T)g*V<$|2;%A?LuD$39G|w{OEW6zBbqYb&jg{4bAz2y<i(s>W4DZ^+T&9P`Ys_Kx~!24Dpd6H*c~Buq|&AgPMEY(biEs~^G0>tLfyf}+H) zyN1$3ZSkTf#TpK@ngtqzwYi7!Fr3(LB9qEs^LrG&&kLOS49Pz&{$v^{s zq~#k-IfzjR^$?E63|g#@7%ccAKxCnH1a)Xc@B$6Mw}z=&0)si4L)_%n_UY6O=t(3m zva>F?+cY|Ls3q$6N&v82FhgFByJQs}{D3+L4^_47jtX+V7J#cQ<{z>C^bSoqu`4#X z(S0B?v0UF_m(&4Pi;yzfO==G|2!p226pE^hcJPHcSb3I|C`HpFo zP^4{C`hZmoG@#dqEE}06owu}*%>UUcDj`4?gV9T|heKZp_|T*3*V#G(pm=k6VA73Jx^mOu8PUvv#v|F|+|iTtp9hc&33 zwz(J~SE2wbQ>4305Z?O^1mvD(HpAflkAcBB2Cm^XBu-^t7YIasLSjDvjw93i~H2}ew@1!;?^KKsBDq%C>1$cw_&@T}A*8AQIgkZG)# zhu9a}=16hn0^jMd`ZcsR0ZX4qiE%F5%lNJOE714vFjaIKAUipQ2=0>|egU+U-&dk+ zw4>pM9oNZN^!DIoc93sBV5{?<7`Q;;P&``>PqcaW2@WN_e^`wYKxrcG8J;TK3|}8P znwy|Q8LZ2}Oeak$H&*mcgtSv0bMslQz`yZc!Up470tZij>-BfhdcatbDj}(sc!T-i ziGRPeqN31b=zs~%_KyLcb%kKaJQtNQ>ik0f=b_GUNbiQqU5jZ zH``z1A^8y`xoJ1;tKJKWYRQAm;q~3)gR*czgExzBAGPxy?Zv?KG&Ra~lIpZIsTw5* zX3SV7l^9g57gV0zWS(mE??NeOD;Limw0+zA9O5RHN3z7|Jz zc%1Urd0%^HV}s+3M#e5^yT@ADfDmWUH}e!YXxUyVqkg#UFq&v}`;ZhSS`7?t|4}Z9 z1#JDX;d7dTmS}sTU87SuStaF2`6$3Npn0Nd{sCSjRV68^Fx{TW2rvs8q&x z6B0*UNx=2*;h!0???^X&ZTL|JFwwqX5I(!$SB?&BA&ta5I;*}rs_=pe;9vv4p0$B5 z1FPuhvGb(2e!sh6QT*XJdY7!uvl+4eeS%(5Fr^bhNdwq@6i)>&t&{4Dp}@{1a*Ofu z^B5q-uuu}*Ws};)F^SGpe|68N4rppNF>^o+Gs8MW4UHY3cNg|1X z!_FXZr~?W3%NMtr=#3bZ1h5so@Cr8CTtXtmn`)r&U~aJF^twHR+bKNN)5|{hgoS7##sYc3c33A8!BKQcp>|x>hK-fq?3OPJ?X$wySSFcvvZue=UHYPp5%fim{6dkh#o@A7s88}Q)0U}#^W({bK1BiBmI-kw<@(7%bxSANLG$R4~NX1ziuU#lxduz()~{5hfV-i<=in{5#zo zs8N1tf)93SLbg}c;_l^#5B~B397~<25)3H<(}?G_?OJqYEXZ*6HM49VU zReDH>Jj;{$x~Vp2G?3h_hV1&ux`-`T;&w{T1F^DE#L&86Dz9 z{{NsJjCHbk%B}a8su5I%6v+WwvFD{M&fb8236I_u$N}%_H?}tlCloif`SftRZouz+ zPG1dm>XB5M@j|FDqGxn^H=Fr%f8XBPAI z(PKlRljh&p;6w8HZ>>2TzN=!%2Zi?PwFz(s^jbPw2BYA~!ADcH-GC!}z9V3zIqh|c zok$EkvjcFmKz2rNZn$)Fz;?2DVO17(=OKz0;gUET`qc{6q;*YLQuY86#3MT#7z@%h zgj5&Nb%n54frIKPg-)c6?zWVDv?QXAgm3A*HsvQBVrWuuQ_w%LxE-XP&ZuqLkA4)` zw~{ok*MP#SONrcNe0~Gn@76$t;HJ$y39v_5-T*L|1V~X@z817l{HIwE0odA$8y7d% z|8!34ls@Tt+M553wh?~8{#H0l^KX#C*tLX5x2PTUH7@-(PB2U_EHpMh8UDRy;xqiw zEs4g@?3I=axeEAHxVJN5VCtFo1PjppV6D|pY?ol%F7+L@<@y<#{&&yx%y?VBoLTp4 z&dj)*E((5H^J^JsgIy%f&oXBata@%xg-72pkHS3P$YTFp0InPvhq9)H{r(M`exZCLG46tC8>r;GAFUjSDott8`3+DbZ2- zT7tnw)KL`>L2xLKLt>N(1Gg1%qQTVz`}@TV4P-a?*jVpe4-o>dj^wf`t60jM+S*lN z$lvsz-A{pTHM0EDEh`6rE$Xb+43F-{oK~iP)&S!xb0@A-vWRnUR4z=myWFK6)>d}l zQ}gl6TVF}M3E@G0;@!*y!Ar|crdh#VxcOq>QW8YB<=ea?RP%wQ4zO}*&oelt4k$^t z0So04w|5=+PkwkhI~p+nXX!&{s0*>L1(v~4CCJGQXIy|A>tkV)!3LVYQVgo%+$+Up#o$~_dxKU(aI&P`Ur)p)YZKg`CRcoQUX*mAWPB)};w zQdE?VH9#K9>uJZzbg(v@TiN#_H|gLYx`S=eONllO{`DB2^~KhNnikBOx-CZ>82Thx z5TriU{9WHgIg7QyO#Fza`nx#?x-`P}i+<(fzw2KYp*(B1e24u*Hk9ySo84Wl7ETzP z(nIpo8cc;yw+DM~wfg^y@k9}Wof9q+>*S3jj!d1c9l_6?;B#Z?mf)`K>;+(BU!PsK zE#tvgWtu+Sl#`ImQ|7F;!t%kAzOH;2T_$dDJFQ9gbjI;LVCM5Yc_qc7tON$|fc8&v zOQ`VR--5O>Usx6GB!&x4alHh0z zu!omD^r1YAU*=2|UGnfE&6*$tA+a_tgQd>tKEW430b*`aK;Q!DA3J?U2tnST7fi$% z7q-Urj0?6Da@}r==@<8YnxJ1f0uKe`0RWXw`^Q*%oNqaJmg8?oc#ERP5?wdj4AIqE z@GR4YJ=9DUV-HQ&{q@(!$LTTUT?W7QvCaEx6_|)`r_-Bl(Tw3pEAv^`{PkxQU5~n( zG7U-rD_Nq?ux1teBW`5&+5N;`qJ^|)aQl7%#?<~--@dEJy}%w^I8IQF5jog4Iz`pR z=b0Aze6m>Ry4e%_71li9LxR8c{wb7BnqV)=rQ>e)8TeK&?e0gs)Q2l~d@u^>bVzuk zE&0phzdG2bhDN-JLQ3jw$Jn)UAm>LPGkmZ~N^AHzb#KY2TP-AUGqna>)APIca{k%b z>Mv#Eo{MUs4{`^bJ(v$C;yf7LAJYp-xLVcGz?TAnz10>w=DXJx^d8+u+|5JEv_9_6 z)oW7!5emGeqb+QYP5Pxm8eK1$KtnojV`Po7-(3++&3cmE{%Dab_gGKr7g$NwEUz|+ zK~uT*_o7LRKvHn8au&P)t9_YS1MUxZf4|od@Mjre&(p`0UN;fGec)Wz7|N3C=n?Ur zVlRjQlJ04hSGpRsH@2P9cPI(rc1G`IX*=KGvI^qnV6kf4#rRa zaiH}&vQHt#u$PkAdmnF(=!P(8lS_)@V4GHX?Z(8);Ybll|JY$Yb9A-8=mVL*x@qGcmxa^)S?ob5)1U-G zqW$C1#PuLZI%h)rWZgA}TwdwMBkm61un5+L;(FAG{kkj3nQu<=p&5Tj1RiaNbjvK$ zn;H1L_XQ7Ep-U>?foCIt87_|zj`JZwfz2E9!@z*`R<=~bIR7YYmJ_o5FQM2DU`{Z# zT+FjZ?SfG^+zJ_Bd;F7%MM{Hg1{LdUOVzxEIH%}yFw6|*73?BkH7*Ty){n6xbUNoa zS40v&5l3PJ=j;Z(fQ~PT6S2YAg*A?(4fdCv- zc80s%EKTO%Ia{7CRccKipEq_--*t!LVewq$t|t){B|0w%jv5M(y5F4zRAyn(TREx6 zoYgK{p5PI4`-=GafxC&T=7*J0%Aj9(@_A0MbEUav0oaw3&HXn|{}#NPkSrxQp}z&v z%Q^YS0id%YEpIIOqHMw4Wp5Uc?Y|MQs_bML#H==KLv*mC!a7O}$_2`?A@Y>^Qhsbk`_eKL+sQv8$EJ-6GpVKg8vKLVn z-w`-F+{X(W3GaG>g!AP}S2>W4z>uqZPcgyHiIkMHV-gkcE3(OlM=n^hLIN^IV(0?d z6?t$8Ni8c+$MAu2`2AhJD!Eu;%90vjz#fqAU;DflT^>kqTtG*6H`#yVx4zx~`A^0# zXeHdoquW1<%Z<2hJCKZ_OKR-Uz=_aw_x0#Esi;iCr4{FbffK?M3ogl=;YJ59WA{}z zr*-wOeCSEZlN#C`daQ;C23rKxU^GMUxT%Iwsa@T$y;s>jrD^ zs5W1cB_ScV#m8{W?uh3^KE8zRA1*wJ{`?_)`ZEZ=h*MPeQ3?@QbPVFk%Jy{lZjmqMTl85Ma#V zo!lvyLTVAJKsLPP!2x*tt4-iY&AL2+$bte1J>|oE1GF5Ibp}A zTkXeJR2&MznvXfRU;bdxLBNl8=3CPZJ67_rbr>VR>KLg1CVgLXD literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.sgdiff.hdb b/bsp3/Designflow/ppr/download/db/vga_pll.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ceac3fc516dd4ca1501b15ae262bbc7039815a3f GIT binary patch literal 39277 zcmce;Wpo_NvY=~87L&zfF|%4|F*7qWGc#Mv%*@QpwwNr*VrFJ$c_SqV8m` z_=b+UgR7!n*!D4YQa-z%1y3kQmcmJ&IllHy*Jx=XF{Py9~vt~(;rx;{b@QR~l z$UNfthsPxY(UznVd6Dl6)Q4~{Z|p?r%q-U{=UX6lBPy(AMaGFGHB>t`|E$9%_Tf{~ zuh#`Z$II>K>!Kp(eogqO;Db?0U007$O4vRP_Ub5ySBE6xeud~9#B;8~00M6>9$DQz z#5|}Gho%YRzm1Ptc+h%{C>f@j<^o@Gs zm5g7xqJ97`-Ox{|ZXpbKForrDelaEK^5%7R7NlCSUwcwjfWzq9n86eHn7YD(d0~Fr zI{okt?~nlm4qC-S?0|1EYoko zR`IU-vQBXwI!40c)=WCvcdx2^h`cLs2&T%ZNldB?opABl&h@-l%KR=Kt9h|$@-BZC z#^rjQdJjN&X*nzLX??Gnnd^CT6f21`-x*`wu)dG8>(m6Wro1;5j(11CbtG-PIS=ix z^w>PvK0SE%zI&5ChLFS{M{3)AU|(zI^(|O_*xa=^|GtO(@SuJbe6c_6oO6t&R@WUD z(L_V=!Jm1`nAGh?;f$#rsT6$4d=C=fU4OUEfNx&zo?3WsVm0&L9K8dn?y`2Tnxr;W z?Qd$XG69>&uA;42s&7)YteJ<@z&G>Gz4Oo71#5)x`>1#J%Sx0gwg;h{Lz4|IC2u87e3t(6pjF@+u#MKUCrE@>N z=#6Gs@OLKbP>T-@oWBD(;6pK&b?}&_KSx%sRI~Z+W437ZXPu@X3{g)OyuY;FSrvJWBJ}tXJDwPm__9}t}H)SJ?M0Mk?W;Q zOt@BUR&ZqL^UylCM1W3whKI^yL_AVdqKWpTrst^TVX?&&7(bDa1Y^)9v8?yVx z)M#e!YZMHVfs0>s8wYqD6d1iQ$;+Bx+c!-_4H?vxbVJL34?1Pyb$^l>e&3-&GJEIKCO%@x zr*CrSnsOq(ee7^SKmBv6EA~De6ecK6Kq=exe>JG6FBi=A9Ey+SGmoV&$K{aKB4Pow3Tizp7p239Ly;E~I zZ&HVYPg2im=j%Lm3!Uy=gDpNY(>J_?x0fl}9VSK7xt^?Zwz7M2w2KtgmAl`XRtp~Q z4tp)W)!y1YJtx~~AID28zjD?Pjr-84#s%v^wY!Y(s?2$syjPfLaz&BO z+U`Q;@t2>cn!&6&Yj0Nk*|^IbA5u`|aasBndZgf8+?ltSjmeSD4(^k*&tK@Yeql7z z^R5(r*ktX{x8HxA-vYeYx_(1%wz_ZT;Pvn+9Mvx|DtZ}}Igobm#b~(EexlFHczIqu zDt%Hfxk;qid0z0&i4olkR=g2;8~X*h^q906r*%@V@t{ztfj-e>)&_+b$Oxs{4zEg9 zX#yFwUUeXIVBThWHaYRUpz;*goY97sw}5eD)H1AlapEN9(Oz8caHOkg-Q(bKJSdWp zT?fZC`pT&4(uy!=>TC!VI-gzp-J1U)r7jh>TCgIk=J{?cWdni5sgwLg^`kufePV#x zciLsC?P+{Gr;;tJ!%6(yeyAszgxNgNdoK{f1GWAK#ug@+`n2#PT^~mB_29zD_2B7d z5XN)G^~n+Cb%Ur|nZ|l(RuWnieoK!w?`p<<>jPTWMAqn+tQI5Fw=uVH%MvxWai67* z4o0-{kKe9E1|9}m8a-Go)Y8v3wo-KH>&KR7DV#nojPH8YU-W&_s5w^yEo7}&b7r4k z9W`SZ)4z&X;aL@*+F1`ZuPtKTC)~_d;uTE;eDYLjnq9lCUu`|d=86qdn^yc2c^h3U z&x$pMtB!_TgL~xF&k>R!A6(0?x|l`{5Av;BoMYCT9c%ekYMOnfoT6vbeXUz#@x1w-nl-jj^io!IdeR(uE(gno1f}e zm(Lm!7h$ce-vzUB8-hT~)_LSN9Xe_PSJS5Ek9+zv^5@m(2wPf1tQ8y2iubdV(~hC0 zh&qWCUa?mL+ouT1s(Tb~n-z=}JS@qP-X`3;wx*JC8vv&z=dq*Z z)n7_{j~dG8Imdj+O3H;edY-MW*T!|np3|4Mx6d!~ed(N{R+$UF> zx%Q)NQoHL{La2i_vb1{6i<@O$0ys`|w_Nm6wM2+#Um|YlQL0z14gxP4AMyuI3)*k8 z3|rKATBu$nwu~!AJ2aoxaJY^=+>LXNx<0$Ul{y?w#F(1CSyn9DTIW=0^n~t7mK02y zWT{rA9Io*Ip3V>!o~BI`c<*onvf_FB65hK7&hdnKdz8;~nYag|%W;+Qxd3e&Har!_ zwl|hEpZ0&zJI;};nwh`lh2dJ8SaDZ*M}b>)^q(rTteV-rwKUb7aaZv+zE3>|So@#d zLe3zZAhkVL$GkVKJ(ZU09;oL0>dgP;dO|*!(dc{V2yBt7auVPA#|u}SKRt%j#eP(z z<6}8-Ry$T%(9HY^bhgqT4e+%+v!t0?Ubv5M?r!?a`8>XrW#gIP31SuRBD&ez&t{Po zp1ww_SQuzj>GJAhQzfHh6B*J-kV3zTh)4?YJ#2d~;{(p}-h=1>V>uGhI*>jdcxA8RW1+rh|w7%fJYg{x`_{nl- z!3I{9sc}uU8dFzQ!o4QZYw+|d>_*jI`}A1Hf?L?t9F0~>f$BQeUiX>W!8s|1q19a; z{pMTLn>-{n z*OAZtA4{YY{nx&DlBT2G3B$BqHFL3*`Q^*u1dc(v{7c;s^>6S-kl4crtg*Nj1fIG6 zr#~U;L$HVOl1HdSd12XpUb!o6ry}x1eu`LgTq~M;nxQU78V)?nNrl35BZ-URRKZ|o z<{Y|=VSUt7R*0I|fifjL1qy$u{C8@9@okMr|+ojH)w?)DarUDwvK|?Fv;zAt>S3ZSdpoqBvTcC)!16!bodGJj)3aQT+F5^22 zE4hHi_XdkO!<@){-`QxD0kOU^a5Xfa!O1j=f6hrAD~p2;R3=8j@G%PmGHg9rUG11jM_ zCF+k7+(J*!@_!;mqxp}>03~^DzjHO(K%eL~1%JUr&SRT;`Sca|#7+4V{3;A&mMJMhVO( zIw|A12p=jAmNnH4w9cR(4CybXPVErN0U)Ma?h$3t>dzuSv z{b*IYMAc@b81nfOwdu}CE{$JqV-XckoZzpzJKO8REZfIphD_Kf>J;VGkhK5Ls26Ghs#uj59J6T_o3@wY<~@=^8B@29ObMVyC@ zDOMJ5CI2Lx+GD~?rpy?mvr;S0VUbfa$qgRYgHOxDW`z9AIA347INu%F|33xJ*3ubl z%W3ot?X(iEIs1EAwM5Or@YHj&7|fecxwJ*sxVhS%!KlxZgu28jw7Nx6Vta@>WQ;g& zIjM7PGU^3--Pdab%!F-iZn6Q@@>En^Z$kwyL8OH33|~@Z2l6=E#3GVz`Q-RV5p{`e z9AnC`|7_TzuP)KZAV;&#=#VEQeR!0b&>0L7N^va<{c<6XM7W+uB+$t#9O&j64t2GT zinN_YN!0(MAQb9KI=7XCOZ0m}Xuz!|H2lg332ld)m}>AvL@Dwix)k#QUmmb7m~YS- z0|e;hTjpl@NC9*5a&!5lxpr!%>@^*2-K%FiXUgaThO zxv*oGER-~Tss;6Mr@t2RYTOn2Muwx2jX9AIEnkjM=WuKsjX0u3C)`XytY3B<&n9Ej^|fRgzU}9_P=!x zo`h@8;a%C1C&@exL%-xSgnrR|4gF%f6Z%EgNGS9n8JPNbVCpZ31-$lvX=lMa2`d*? zJ$dS^@>h8;o-$u!O`q(p$(V$0K45Qfcm!O;^CPVj1`x3@4sseYpsk|?5Ooqm2)nVt zgW4P+rWg5M*xhfg5}x#u z8POXlP;1p2r*3N}jty3hEL$9H+KtYer=s1rbMs9uNBFtaD!R33=-I)ON!?EG?v5YN zutsMJa8r>`AI|1e8yT_Q=p#B>XJZd&n&uBjjYZfJZ5Wn|vhJ8!+1~){bGTVN0G5Sc zFtTm=g;|hoa)hd^3$>H89II4epiAmlhjhC2l>o$@%Y82p^Hj_nY--~{+ignfkV>zq zqKu>xPxX;-Wwj#uIC!1*p14~+0c=h1Xy+FMyP`gsBf~WxgzrR)(MQ;P3W2Roqh6Cm zjj|Kj>DbQZT&v0xaT^#~OGa5o1BHd(P3!M_!N}Q6usAq4)mz-^Zf)9GLM?!*KLc!D&)_!h9x3D-l!n7#&Xp~s#oQkc*2K8{ zxU2$CF1(&-r?)iq=rC1eI%-&k{@M$g%*U4!H48qVE|M&wxv1zy@7C(7&R)N`2K?L6su5L*>tsoDEJI}yBIn z1}8@f3|@D+fXXybal)Ovf^^S7w6Y|#vL_}?$K&1dl}N_>WwFX`$=bZ79h9)!1r*%i zgk5i-tO12PBw;rTC~qMNl^4)k#ssY!bGIpLefgTXe{V_t^vreB5ZnL@8b7+m-b<-k z$@Z&EAw<>q#zhd8Bx7V%z9L&eIXgYmu3#Yz^Wad@9)O*Vok{%&0xwJZn}Drk?yE-| zr!ND$xZ|be5gmf1>xst4^3Q%RxWfi;j^<{8+zDG`dbcl-G5WV{llrG8dcVOT*KYz% zNzv)Ed3{A6dS9QXkI8y})z#b-W$IA~ZSAYF(pOf-u4MMqHcPmDbhmjtiypCh+|G4R zV2C67+)d$k`e%35DxWG|XE2lWmJYx5)$n$BJx$0QFgY0`a79ac$V}36l-HAwaoCP4 z?S%*5=}E#KzsX_u39XHABngWI5sEg`;NA>D2C%zK2e+}i$oseXF5GLsO?f_#f#nCI%jlzh zWtpLGjo2GIH+b6aP+JF$DS1uK8Xo=j49B~JMA-cqC=@`Ea%YO82o$+$o2TH(z$P8T zxUkT`xG*igstd<*V1yk`nFYNLYVz}P2Ps7N)9|2Trgtv5pmDQd3`-vscIIAXKdc#oWA399&augs^grI5g29I$2atf+=ckEk;_UG;7+ zTZ7x8N`zRNF~sw;v8K@3nHOLcg50F-H54YUvnBRsvwQnIyLk48+W3ZYN@Ai%^gP!0{i6J!BH`o0%58M!#i)Z?JBkAwN z|IMwit3FfUOfZ6ZTWUwehSb?AE~y)CNTc>LLaFyMLK4=}vN6rc+vkoZW5gU5cOMdiT+;!uxC4s zy>qc^zr!*LTQ}j6vVx1~TR&m_0JpV*u=|OFfUS!9H0P*XIrIg^^Yff$Ltsa2`WAVPS2U4#P#r9UOvbGlXdk-{`THvkg79-S*tv&i0Y zO;hIA`6@@+WqN*Sq^44uly8*NapKS&qWZelLefa94rv1WkEKrtX0}FR`e!c}U(q?2 z^Iv)poR+pgp4S@S6B~kq99+g97ikV4+au;Bl{`9H9H02_^0PTT7e+uKg|jcKrrn(q5qu!8Nn*)E9Q)KY~71l5Gc${C!22m>A z7^CVSJFq7cl#s$Bw58)i4Bgj(3WEZ|2%)vb;lm{Gd+QMU5{QE8W(Y8X>T+#)T!dkH zphV(=;70ku$9eUeKE*8{W15mKJ4 zp8HTL>kk>*4&;#47WkxTJgc2&V87#D@OTJ?_JE)xZQCvi2R>%FA`!l?8v{*ASV-48 zN$FP^X@D1UqTMbQ4YJ)X00)86J${7NbE)Pff;%6Dw9WrfLdjb~GAS1cccs^9*Cl^) zZ-|9w%nG*TCTth?6WSL;wzs-R#1%14=PeUBuN~b^fot0J$=wx3RZ3JFOb-^6lUo~E zl3H8v0Zmp_h0;+~*^O~kNsZ?Ef6fSs^Jakt*aUY~?iLpF!xgQfB+NI>goYp=oIui7 z;Q2+v%hrQr&#{(Xg`Mmp!+YHj{MNH@U-hd~Ai;qahmg{)Ndh6pGmwi1QQWaK{t>$H z%dhOAiBYc~SbfaCYhW>KJ2UAm`~9sPJ5<48UdcgtP%!W?VlEzBZyRZi*UDQnEhrTy4l>6Q_hnc8ndkjIk`m(UD27TgvAH|srgRjLP zEpce~0_8WCs@!D|P&5wNkbL`-rimxDsTI*g`v)Ysw5cYsIS0!Ddin$e`HfWowK1`H zcon-jJn%t$3Zend0F9~E`#Y_vP%tgOP_XlLD4gbFD4e8&5b(Q-NO5T13KmT~#JYfp zF{BNAR}`ZPF>2sy>CQ&@TSh^>OY@ZRH_HXa%%W;I)2;$IQ`}lOQ}0eV(+YX4iFu_T z%8CRgb3x?l&RgOt+HUd67}-YuquWrq4WYWp?q2P|wnUp0YkU^W`Q>@bU0q8O|!qGAt2#yN#OLH~EqIQ)`?OQ8K^np=jUt(9n^c?9 z03&XtTJgW*Aymmna=#dj9g@ZpC6#Grw!)1$Xs8sQqTR_-*0D~aK^^jRZddm0Qkq5! z{Isadp&lS?JdAdBiik7(GW}L_Q8mf9>+MO7l+#~h!C&Mrvz14t27gQ0a&3u7VZuH? zdY~sDSc8^jr5e(@SX0Iv+qKrM;W{yujkoR*{C!3sc7gN*zEqf`~O) zxhOR1CY>L=9>LY`D?o`(eP}TL7_;unhKmRdNd}GxO=3%aviqTe5ux}C4#0+P)I+~t zz$*r;F1B(dQjOvQ+)|AqrE*6wzM9Q|&?ghMF4hW{!XVG9U#U+ZzD}h-#NjswPk&4_ zF?u|F95MQ+XeSFzqU8J);Rplgw%}_fg#qDGx^2I}(`jjN5Xnt>DB@nevsY*iv?wq< zf+$Vmq|HAOD4qL59`9m8gNzVuK5^0XSG5w9#QkYGn_uz&-GUch$T zk9YQ>z%Zr_6jhtsE?yQGbiFZ=S1cgiv0H_4nGr+yQI|wc(5pd6lt9y+K3WQTmF>UX zVP<|MsnAKEO92vRbOpwk6h6s@>vLsn4YAmALuy9zx-F>@7AjS=U6Qpy7iwj9f}zV$ zeiuQ6H6dcOxJ_(Xq`ma2g=B5dC!_#hdx?j>cLIv{5;anX_8rCO>>7@DAiUu+SNjRe zWU9LU$#|;z`;ze#S9}{O`S3D}8AkU6_!&`!8-E9sg>J1|Jk>C?N((G+2HdhRYYOnd zkN}4+%NVo!xndy;?6W{@AKm6ymxJh`Xo^!{gU{|iT(GKwNIiOqbiiDU~rnX)C5sPn%u0BnF zitf9+K5z)?gus!3I|{rwC%#%f*Q@*A${c9!GibC{)w8Qcy?`}Vuh=xv`k&E zC6WpHZoT(?3}Hs+h(Af$DZf7pv-;iM({c5DgJC;FF5Z?$R~#;{E_1n*nR{woIVn{p z_6x1nnl9aa7X)T8TcnWC+^d6ei?jR@aU);=Lz5k+_YsJH8H|A$jhZZv#pEp`Fn=wC zn14KmSNGP|l-z&wUVc$Ty^STk3TG7o6YYnc-e%;;rdLcP+Vg0`PELpM5c-^CVQ%~# z6CU|H=9R@~QdDth_ojkoOqfiQgP6ZfI2<8qfPw? zD^QjS9iz_TlMiQYG!bB-QANA0{rr;$Wk=Dli0a_NB^PF>I|c$Qw6a$jP3XR#{fkml ziqdq-HY~}oBcwHr_1s+D=~h*BGg}*2G|#nFwM}l6Q6c;9gX{cyDzvXH7+nB|9IXj& z84i4LnLrNgFZ>tY)_k8|>WQ97b)>H&>k|JLiymaKL4-bBSnpG-z$CZ|I&pHz-dUtSnyT#`xDs1bsDx%k&mmy(mE*9_@*$QN6_SHw?`xuML%N1f8@Z~WQ%E5MbiE8*R3(Kz2;eZ*s9j+< z7bDKn0J^+*lyJniLm+97?H+!7^%{pd^i&+9HTZL+vbqnBgp1%Ne|CqFq^dUV7+!FV z#ki>}AK3Y2)mS%-rgCk!1fxmfAQ?3Q>{&_fC-L;nK?QEBx$^u{2o^z>(lQd1;^M-5 zRu*IFG8cNQ-?iD;vw>)JvwDmBt0coF-d)eE?)Y7tak_K^+h8?*C)1lZosCvVAcC!p z*{Ix@o+FL5yTfy}v$-szEp89SEk}R0x~#a!Ncxas*IeXQjR-m3S85s}4t84|0jkDeL6ztf`j{Rz=(W>?nO~?CNPDrjpe64Rm{;B%Z-mX=1qn zcQ$3n$q0@$QohlB+Sc1EUma^`D1OEHuX4h2Sd?q59+tbA5~T7#NzZ{yr42(W)k;A* z*9rxSN(bmHjIUcwh)O3J^=dnc2j!x{#H5d=D+C61?APKYCsBo~S&Y~FjE{X26~(nD z3$HG4%vXn>%-#(nrP&;)Boj?4qySWmRKg^~=OH9Rp$@FvDmfwwJCRI1QmrI{O=?M}a*ddk4WC9FIW>$_*HcZGf%;)u2_IaSrRFPpOPCr6G1#+o&Yp2tHf8qI`{%C<{+bTwtO% zR*EHtU~Y`A?9uFpT?D+`9*RA9O0^~vW&d{3?<}O%WZ^A(!-0&;hfE;0iik**QHN|R z{|#+k^OIbLF|G|hN;QikM1)SyMD1psUFWiRsaCoqB`7nPg`)amk6&79h?26c z3cI zgy~7lCV@#!N-pJaqbj5JJYSQ^P!v(6t(;UBn0KXky23>Kfljw_fEtyf*!8#$AC&{l zSC_yJ`EL^7_r8ak$JC=Z+Fb5nk2qysalBM&dVKiuY$D5C0a;}U1dG0~xW(wqp^mF( zsu_1)yp-FpoC0B?h@4+~!n{Lte3zWt@D~+ew#6)>a=rmmUHtALK*^*jBz<-lXcw$z zp+~$0QOfVr85OiN43-WpZbg@Tz%Q3DwW%bRYH_0XBm8)gtR|Qzx$n{~Z=+*tB)a0} zN`N%Yu0%R+{foQgtk_-cFfzzQ05_QxUW+}ZI!n3j-`S-OEe_kvFTpjh&2~-AU@|MgV zMcUqH8rU^;-uoJW0a>`N~mI}KcgI^bEvya9aEXCt%WJz-xpCm*@>&2_wmc; zAwn9fQ*#4s+p|iL4y7%%-**-l8N<0#DMyKTy?Ym2RzT8_V+9lfBewNVRHOUoi&r2a05USSYG@ z1N47y@Auw?kM&$}YiK=V3kGaUbnktkwW0e1;;a`86tUH^0l~Mkf56{B&|}8~2(B;S z{R6K40W1Fnp8f%?>~F{i$8aCGxcx??{V!ilYKKAyXzire`84C83~|p@B2DF_wD^}z zxGTAW;jI>O&`rG(a6*X#UD;vr9vc&EcS)5{U=gz8>6Dt@EJ-o(y}8f%<^%Zr`~GS;aI z&shi#%u@H2u82JqSVj97zYY}o6rxB9Vhy${?-l04IRerJq)z(uQjkTZ|h{bx+xE(a}$YbVldb&1l?3STzEqZ7|O~j2o za+>;-RuFk{b15MqnRxYvb_IrZ6@Ydnh;{`@wT-CKk6#|jJHCBIMW3?4lcSeX>-TBW zj?b^;8^I69NN(JBsGklqzNBm_NvfRMB`By{V@Rl5lE|oK^62Zv0cDpuIH+5=Y!tmO zHgbWk8@Xc30T@}N))6nhuy%+a*Pq??!9ck#KtQtZfNtIS{7ktBe%#=G*<3<^y42cH zUR_UJF*t_>*%v_Oawde%?uh*?t0VT^l%C}03`YFs6Rh~<=U|d@reRf;q+lkR`frGH z^Ra<}j%@JI2i3qLhPF>DM@ArGS`6xXpIT#(&Ib2VmLjdg*%q* zsnDf@uFfD1nUPyx6P#_Qcre>LRbK{@+SeM)%d@8+R-rr5i;3P=o&DLYItH>h^mQds zDT_0MlBec7?w%&p6ef9_=mpwKznh9<_MLaoYk6nj4`_(#GLFlrUo+zO9n<4kRS=Vy zNUgtB6I*kYM>k$=a7-89#YwDKr)<4MD6zk}3lCc~J2?+p9UVt5P0wL96jd;pNUEBS zC+}ldhTs9spj0b+RORF zaj)Vfhgx^-L(6^r<5H`5mxRe#X@J+S?q=SNjQI&Gg7X<>OohdL$mp)WVy`JSjLj};# z0yMn;G0Dang@w@Sb5r#pDrP+zComvA1?d1b64+nI+mS@(O|po-LyS z*weryn~S=8z9gF_@R8Z`ClRC76+S;DhP3m-TJX*Rw2W~rY^GvfKSX;c)gpAr5Z~80 zV$s2p8JxpW1JM{ftTY^PF2(?rA?dTj-$wwLMuZfkQ^+gQSYdtxS?aKEi~IiBxVo@7 zMMF_!Ea9Da*EVR6YQo*RbAlIct5p%^<u9m|+puAnKLy&8nusZRE?bi#FzWpw z?X39OF)TWCewVu}(AhX7eX17k+S-5JN5KwaTn|?3o65R1Z|N`WzMHG zXrZMgROJvZcTDL603f7CSTx2Oc|DL;=2OnRsys5xEaBbnGNtMl3*#L2DaDzg6ceud znUhXDgz6XH1R8T2rka zeS8ZTrY!C#r3CZe1#deW!s??=lzM8;Hh8SAbM`WNb9jqKh7M+ zs*k-5pQ^I$oH~JG)61;HY@F`P#WL=6uVpS>ER5I`oJRJWOiHj5WJaPBVaB}|7&g3E z>Sj_eBy9pOyLzPYaO8|(hGaR=Jd{mOBWyvRL$(!qMovD-yy=^!b+U4D++;my{gHWt z0SAFb&{3=#cyZr0a&ce$aJ!zeL{yg`RXQVWn(@=obgJ%UF%HWZ%<#N1wjw;ED#{gv zR7INtZgG+k@xR6%ubw=K9`$6&BwY_&ib@3_|2De>jz1Yl9>O^M7s1$cJD7EQ{DdE-oD26j^zx;?493cF-UrOnFNSUVLzYWrkKmfgrT;Svo% z7b(4PmIEISt-jouk6q!kkii1X+VX@e8j)e|Ivoi`KFh}SW?`y@@KrM|Z%SfnB|sU6 zYn5oUZ7{s%qfS6GxTW zgE3XzISiX&*Hn@o_lAk`b3VcxZS;Hg_|O>sHh&Uor00#bRGt#Jpl)YmBr897k#Y7< zi!W`V`JC|~O0ZX4)t|PDx1Tc6+aC0Y!#7MmSB|*{*b}k9kFtf9ctmICeVu7~Poxc`QIG8|8^W7^NlMY@sT=ia~R&j28j{z^Mo&@ddIS8$BV8UYH0{%qikCy~xHxgi?{kUe>UPbEKk?%$dhApYB57e@~H zIxwvH1efn5^B~t+pXCoO@dZ=77DOBXB6w7)D$Ft9e<3~eL_nm(_Af;9GxmQ#9w-O7 z&IZi?LRS3#6Vd|!A}xOh(tP_5$VZ`Br2CR4sDYv9@0t9%I&Nq-Lp&I4Kn?1wA&+b) zFl`D+?xrKMoxvFK@e5D6jg1qKK@|-6Dsui$si4p|0CiM8t_oOc^NCI)_?k@CDxxsW zms}_B{W?G8ye7nAouY{$psVgdY@KglztU1Fw~}Uxl?x%p6aK}_g}PIiDIFwz_ZUA2 zW2?B4o6d9}!PZH0*ATY&Yhti!QK=!oOjCp3rB0&Q4sss zfvWtI%{-B z4Mlmsu3^rn$j*YkM57B{d?XD~LNW~zMpd7uG8gm)0FJzGSWn^S22WopH1V1c{?>X9 zTdKIaLrrKgWT!6E>w`$1v#;AFju?bULVxo~CukT_3LI*WsEK#Tst;Zg_HEN+A|7q| z)16ba?nlR~L3KMg%9r>@zvWSEWEoDs>z>%kNN*7xp)QXa35GY+i(?i>BzeLk3vyez zkj`^a%mWO2;>a1Q{M?op2ASR_tfA|o(wZ0sgeS5{YWME07=~R;cIX*2%9o-`s4#MunKa$qw}&@YQ%mMHf1&NF z7;wq9BkfH6PPO-`W9|J`g+6bZ8EDBAZ9GaADcB9YBhih%<31gQ84^7hc@xoyG-#ew z%d*n?f7_0&W!60fr%P<`#P1 zgW|2MJ8HpJz29~-7^-(I0omkhq4tNdOx1X0^z;y(_Vh^QKmZvY2+a>dn&-=+lJ@*6rq?u&wC6qHB|{O zhi&oiWYQl}XSN?qtkWM-udX}|l24Ec@xMWHe;}WKpsW83q0s}O?0+CY#eejq{0Hj( z2eSXqP|_c0{U50AKSOhWp!a{EtN#q4F#sXFxxZ%zsQm9^LT`iIM7e%W@(z1w*haZV zSL$u_XzBDw!PnVIH(BuU#33o3u%5&z4LJ7pKm z^O!Z6j~FPgCQY$NGru97d5lBRVQM z=D42~77kkX=XOTIb>O9BlDTVJ8H$-6i#!mx!(8Y!9(-`VUlS~%iDop^Xr|i<@{%5; zb?-)_V6q9DH|d-rH2sRj&d3UIDCcBiHQW(c*69r8-BCtpSx#HX7^wB@owafAd$@jXbb!5-g1x~ZBo}#=W0gz?PsS4 zp?WGKPC7awoSpmFyy+$!#H)Uipd`-Ks@MS>re7P4h)mM15#TJGR?Otu0X26$kRNp0W#hQO3XPGi~K z=ZsC2&JdOM)fc6EyfMCP$caufb9N@;B1Z`tOzPpSm4-CiU)e%+|O#Ns7DJafw6fAm2G{ew!tYbz3=&HNHvH zw(3u9AFrNjz7($RmQ1R_04tl&e`0J8oSvl0Sg)Zi=~5{0Iii>O*~6!-^3T*%OMs4? zIWVd;OPbuIi=*7;IIKx5nW~hB(*|2c=iW?LsS8;BHNW;DmxCqSMJRt$rI4mt58oLb zUW6MCGwY|-q3@dYfLiS$AtFJj7|pg~8JvYzCNxA)8%?DqF&s~dW7;1H!=fI!VnK*Z z5~5<*9~s5+#3c1Ap6t`+Tz7efi+u!0^|yABdU)jlaoESGi60rgj84bmU zQ|mtOjxP!rm}uoOGtqT}GBxW7oAg&LErC*+42nUe0YK4G>jHPjzX^bveDy*zq4^m; zj@lH3Gn|*hu1PR3jtNc3B$5ltlpLFhB`WqKGN7h6l2k1!&Dq}4Bv!$M1{#Yg*)J1I zQ*1nvI?-fsKvi!Ly>eJeenr9Aq>C>+4S+0Q63GW{LPN;T6dmh_1*sc^C0R?vBy26o zsQ7>KPhq;BH0$}uSuI*ZE+Zx5ELtKfg@$we?D5vpXG4>ty~H(zySZpp*+pO66>=RV zK9ZkOg{a%@mG-^Ca6|CON%_F$*;V%%F%;63qwj^omI_r)?%?-r%cAnlz~4Vr@@Mvm zk*jBhVmY;i?(dC~F*J1aaU2of;z#vVKJXP$9G;;y_f{&N^QkE-xF<|o?g=lS0}n4# z4VIV0x9{`%R)&AnKP?w5Z{81g6J`dJsbX4?V2DxZu6*DqU$sn^=SVSt7$;gjgs`fb zzUuJUlqllzLzd}cY~na4cQ{WVFfl%Zh}-eAFoFsOyPURBY5TCAbDaM%(c7Hxb9uyV zJ<;(9K?!u-uqgL!8l3p$Y6ywju^=+n4RDX^G4}JMr`Y!rZjzr3c=4O!h~k%-72V?Y z*-ct4n4RTyHfyvy($T1lL2Sm!TT#l!ZhaYWwp@b2Y~2{W6$cBa6Q}5kgw-%gd48XC z@j*e!B=h!J3G_y3P#HwfU@+$cK?iApasiY&LD2H!Z+)NTcMc>ltBcGpjY1p-8gSz` zj}XK!y?{gN1rBKkIHYUfklHN9EA=xo9=gMqt#Tky7womX&J>yJ86 zG0B5iwd>QSFn&k=ygpD+n6N<>a(qAJ2vEgd0yQC+-r-3U(bDlX#0OUk zDX)1@poN506Y8w3&)>Ov*M=MGNq;{lg!=0rW~?1TDitGWG}rBTH@pdoB7Qx^=k{ap z&JEvu2DuGsR>$`h-6|CdIlM?It?vx@6mkeRetkShX7|G@Rm3!Q01&A|#!0a)vu5lQ z8vjkyx2B-Sk^+5N-8$$o<9+XXkA8-I1{{e+n@sx|^f{OpT+9cq;QYk!k;!GeXN9*` zr95WKx5?0H;tMCuYu=ceFj@67rPkMbiu&eg+(8C4{JvZz{Jsx8jERv_!*bsS{l&4u zn61fW0PqK*W^h>{ycT`4)4AcSfWTJAy$JS_#Jert5?`m}V8oN&Pxm*oyZco(ri(6J z`r#~AoW%}4-x#tW70h*d11wS|+642^|kwd5pZrYpyy2Fn0GLR2c;Z8gTeYLn$ zUjsnwkZ#;HMO6K_Fyy`BC?L|FFZ?j1@mr1fW+4;t5=`)ErTB0|| zRJa1LZ8ri;Cu$O73u}2=T~}IX>$Mn(RaG!a6e+|txQlqb$WT8h=|Dg#R-N1CjeS&T zN?+r*HGoSRGX5J+ao0+IBu}Z1dvkUwjb6-U&c5*$VxxHUV2(NmpAKm3FA&6cF*4K0 zpoKByz3lA@T6yJx&HQ?)O>h#<1t=`1qVjw`F0Y8DSN|!h$uLQv{mzH{ngI3j`y)u} z$CK!f?dS{~4237r0o=+nKuo}2KZpO$W>cKX^|lliZ$O!v;-qj8+j4}iBALi8dU@o! znAUM-A^=$=@nxWQ5!*fM;q@~j*_KA&Q>I&(cyolUE8pj!cfC;3$;I#U6D0qSEP8i* zqI_lG3qQvm$p)MBMaE8PhNWd1wVtx-q>*iAeluWtmCdm*gP7?~DY}n2h%t9%gI&a8 zqAT7sy6ZgvOa0)2tEJ^>W1SkjX#?QpJOg!uOAFwt~xFRyf^*JpZmnMMufhNdIH!FXrgiR1Np<@I_N zRVpTgCo_C;tkRBXGq-eJAkomt*zxsFAM&Mz&^Ce1jfa#=vY$;#yK)Gzkil>w+opy;mk&mw+lH z%92fUFtj^xS2_vhNN2Ipa)i}1S~eZ>^$LoiTv`h)hJt_-d)vh7Yx;sZSF0y+wSxSzfY7yCNQeSy${NR^h0B&W4i}}bkZEo~h=RT~D9LnZ3 ztc*R|4sltcY0tY69Zc*#ZcpY1-EApi+K_&x$VU*N^h(O^adJaU?aRzX2BgR2)jFFr z*jm&lIgF9{!!2o#Lb9r#*4gTs0NavmGuq_2yPDC@h+s1nI70TAOtn7aUdi3H|J_GF zRT|AU)LD*9JB;;TW7`qlH9U&dhjq+=2;+cSp}C-D5&nT0@SI#^29zc_#iMZt4`7N| z@An7ybYmYlDN;Du^FYldeS76Ke|P8n?S=lni*KOYFhUV>`2FOB?D0CnHde7w zh?x82_+-QY3IzQ!&@9Kvkd1sc74SFI*4*~I$EkJgxZY$w?N{dKbnTpCl^+?mbW5lb z^!eZQa|Gu{uT}Dd>HpeN>?LLuHv&&J(86tPNNJ7EK(f-TX9zA@WW1x+1TH+^C_cCP zxTxVDFQMcAMBuWujuDV()rMc^INiku>)UbM*+ zfjdZ-vc8`r5v8W#E2!47NSr`PpGi)y)i4sG4qI@`y}tjIbbajo)ky5qv6`iea;K`{ z^L`Ic!R|IvK+CAH$E{)`;^aNuFn~DTc5L=2{ylw~DR}!iRq<_IU$9B0H&3Rb(8DiB z2h0;CZs{abcEBdei*(a4cpq~g5oMzm`9)_82KzMpqdxIYFo=1P`(HK-1*+Tl^fiw@ zfHhw5YsgN;egNi|RTY124dGsW-sEW;(B@3);HeNKjbS%Fhg*NPM&suwKXr>g5Q1QR|W4`wtZ;FTg!2uT< z2sE0ag3TR1_A4cYV!>Vz&;x)M_&ROESpRQD$5YffVzeSYl53$=iN+mJ>#Dn&7vB$& z*Ge!LoznC6l+6oluKL+yV~p(>A>ADWE8)#7CKUD0*}dICBQKYex2XzaVK&Ls6% z);$XBVOzS0yiAWBF0^rHa%M0J&>RKt3@*HeZ31;gHeEz0!`4yb3iSk9J-D|Egi0Qg zTMU0c6xHC^3;Z8b%fLpEhrcM4s!;QJ;H$9D7HB&mbS19N=Vxa6*Uzl#%BwRFD1UTi zZ09Y-&+}`ME|kxt@W_CGlPtZ{6^jU#9KgLiZF~9J_ln75HQQ||@6}#09b;qaB~`>a zrt&Ab!dArW?)uriqn{Qi*NTKknL2;tXy&uvcZfvh{c6Na!Q%h4lnz6UrSTOfWVPJ_|jL zZnaKC`NVu^^9Kr@f_I4EQU8$v&4pf?aVfJ&=u1pHax?N6s5UR3Qpq%A7(Z+LQv6Ik zV%BKF9S6L9htC(*+w- z^gb(2MC|=0%ZO?|55iZME}ANdvTo0-#|iK}4d^axs`WJouA)~9EAxnWND@*RxO?Mw z0lREM0`xhTBJ0nebNBDiOZ5B?l5v~l`>k@I)sAJ>U#;I>k}m9*#Gk}_iKBQU5TZ&1 z2;q0OfodP?ZmG~78AO-Sha3phZxIpKk9I6WUGkvT%*xEwzF7=(jx@Psv+6TiD7yz(Y}qrO|QU=8K+!GC`gm zY5#tzLw={}Awt|!&*9G2&GVDlT_X{%;V-{+>B%pwz5GAHU&1t)zliN-U`F%z-3YgiqbmW)5bim`>Q0Y>P}@J=+55Xig1qTW48M2WO#e8k(etZ73#LbVcqRCh(%YwvBPx(!JnKH6+X{eVmNw}y%yH~dc#0PvhF->vhUSa5fYTb4GoJoQW)Luj@GRc7Dru2 zK5#4>*>66a8J`Gz8~K1)e+;oFxgr(#(CEjx#@}sC3~J6lX}Vz9`R?;US$I4|dF>7_ zu@kFdxDbcm_Oa%FRcePsmp`j>oWw`=~D_=2r`7MgLy*YlhNrF;gRV`|L&< z^YNA5X2F4+*mz;q**AD5ciZCE?Gr9N=XPIA;|%m0`qiJXowWc^`~WPby*}#N!wg2o z8T?%Y{3NM~zi<$rSOyC<>k8V@0{kQe{M{VX5r4lRN2|7-pbz32tnFRPRLRQ9Pc>sY zf}zaCsI%96^p>bMk5(KnrNjxN75diUwZoBCJ@+=@jhl_!PgKfz5!szYZ-}kYKHvHq z9(k7dPbfafTM*L8xK>-I2r zA@86f?)D@&)ghK(_Rrgv5oH@2z~sE1cCn*zAC7%||I&m%dN%{zZ`|t%bs+BDGbN7$ zpcCn(jUWkss(m$aL<|+;AQixbLmaF9>DZMI3|UN@_u(1Z^`3tE$$X*x66<`f4AZZy z21hGmN^N;V}A%=q$*};I@DYv0494FCx$Q^6J1tvPi5zzW-a zzwy8wW(C~`5j~n942*1?*Q2W8z73;<^a{-&z8YbLCp50Li4@igvz$NCod@DP>R~;y zvmp5>skWIB-Y7Kkh{`_#@t+UnWs`Dg^gpS?P@(!ozVE&VU5k`D0ecbe@>Te~jNtG8 z^@@#!%Hgyd}u^fD{N&b^?qCR6ql?*A@3qf4{Hi7yqt92n~qp zcfON8{>7V|Hv`*GBC(r~5GoSf|H+5uPZSowi}pc@@VW6Fv_SVZ1G$T9+r!xZDP4}l z3lzvL>Q9u>;{MKR(HIb59TTvCRz8a=P(%k8CPXoloSQC<4r<4W@4>PhC7<~qfi{O- z4(8iL)&9N2qY|EEDWL4Jos>}1l3q8Xl+d&zKE@l8qP@fY(%LwCI8jfvOmeL^@_Z~C z9e_y{-k^*snOACizdt&`aQdV@f93d#<2Iz_K=l5J2P?wscQ4M#+uePHJ8|gym-lYw z-n^na$kVGOtZ=q5xc7HDg8maHcZ%>v9Kzt=367=ahw%m)I7{GV>{++Zdzdv6b9%TJSb^45e zASlHSMR)T!fn_lPjJ#HcJL%JTa}K%=D7qGbJ2>7-y00%|PD#)KT|p>B>{$4JJAH;7 zSEgkyMI>oJ-4ziHYC*o|+K&F#KXMP4y!r7Wo*nrJ?;YOdP^EJ97c-$$BsW~RI1Xx= z<=9aLcYa_~696_y^h^=*Oy3jKQ*sti7Sgsfcm6)x@(RD0~Qh;oL za>0(3{C!psQw*Cl>JZtvxEm1xje+t_eu>usyen)+*W1W_g!0+?3+p4~Fp4kIyT2Ln zqkYPs>TT%g$Jccn2S=;XKXkEIiIS0NBcrFPlk!A z5b+~_#C+z;0nSyb$DC=G&3LhFZ)23@&)n{2WU37s_QJQgLIwH!vIS&XR{iVS{MDws|$z(?OA`I?7a zk?K3}^ein>ODV)^qguDU@->pl?---sYV1@mJNqtM{y!$B=9EgrM^7q61KOTvYYa~f zz0k_)_J(1pLLESfO0^MA%8`>n{eCPC-Olg*Sj^P@SX8hef&~^VkcAICM%|%YO=x8g zGh)$KmJlHyf1cM%usQS38O7u(mx(k_;-gW!#o*fAdxg)mlz3_r;yAgb4X!EU<{*=5 zmxN@?hFte!=Uw=CbD5KCmC|K-rdfX|&3Jk9O@qAnroDK*wEVLI7&R~tJnus%V7}t2 z{JSLk1UAO2xsO>3Ik3Hjn#M7SHw&Hprg+%`R6Bm%qYY z#{ijdB;j<3shbf7a8QkY@-yE({YLe{4j9cG=o2caQJfkT^HJ34;jAuQ;V?PQOx{Ud z=ma!MN^$}Qko%LOi)2KS$PJQQ?`cx0!N8@?Bs@(VkGvkoc`ol^5q z#|8HOR%^W7jo-1?_PSCaZYB!g|E_)~>$o@v*|b!mBHA+G%+z5kwjEx8blWy=%%>eD ziNE4jNq-qW0n^lYLm4Z8VGJ1l1w&6L!{RWS>oJ2`68%e_`^ZG>H$nglmdAmc;m|{`lo=gXLPsQ>cv2V?)hKB_xY(Lq}n76Q-*b zmp^^~r2|*(>^XzMvf40>BQ|v(Y2%Q0pAIbMU?~L4)*-Jt2ih^ptWPJW$S5`3A@2%v zZH_Gt&vbJP!SVV~oa@EjaJz@|zJ6z$bFIN9F93&=&+xOc0hpGBWuF80$135A!ZP_6 z^;)<;xKt3V*l}Nwh|mLf*&$dJ<)Mt%u@#6lkkwr2)rALXU#oDnhvV7Cp4p`cmPIcf z=9oe3ZcxR@czq|KF5(g@>$7OF+?3!7=sqhzlJRd#IU$h{SwnFTdM%{r%xuh%&cx%6 zHVV^OxXy#HXEWRo5Zf@ee=J)F34k#WcOz`m?HrefNMKlTBtEJP5PN?7XZ6XtUfzQK zaIi|gwb*jo>-{;Vb;c71!4}f8jQ{%<%g33To-^|99Oba@JW8?3F2!QAp2r=bY z<+xOgn<^SAXBnCsHYX#s|ShqgcZF#5NpY^@iv7W0)$Nk&gElnxDVNTse+u z@TAv=yqHe~`Fw3`7P%HoJevXLO{BX+lqx~pMT|3)?F3Ds@zYA!DNhksTw#5XCalo~ zoC23_tCD(><$HMIC4#O!mJ2`d#-~36ZObl6QAwivRENmtHk??+goR z(~Ll-acJ+dLG<5T zV((}$3&Y@@;>6Dw7~&K{V8aZg9aMlQLgPS>6r0Qd-=-l8w1cr~#b_M*Llzwc21gaA zOLvRq#2jrykT5vf)>!-TUz>XVWMqirF}TtKtWeDDrV3-*`BEBqBiN;mRfaDK$8qz- zGfxMW%w88P(!%o3E|qPE!=W=P97N)JDm>=9`tpqa_FQOqsz|R9HAV9m6rUIJbLMFQ z`VTMPL@n$02W#3DVUEPlbR8}VxN1cw*T{#70Rx!F|4UHQrI96q7=x5TNYxdkUfA{Aw*rz_axv99+3E+m4U`*-Gto%~z{3Se>J8;UJNl+}P z5tV=|l}jZOxzOz=&%=`qG@)IQQHgz#2!VMCM()WB{u5{HR5tfW=qMp(ytR9#b4wgI z+4!d-yY1#;x}u-y(BgA19l_k7N;qxH7yL)fE^GHJOxPsic(gNo_hQ;Hzl~T>eyFQcFJ2LW}!M$o+H*>8X z``yUbGi_}yEt&g~QT?6?h^a`*gU z`NV6{wPCh2V-}zw;GP~`Z^X;HBX{gtuvw+cTq>35VWTpAw@L|`K}*-k7V#NqK<76f zd98L9g7`@NV0Gqos#ggyeg!eUw?%&-^fpj9zl&HA!V)b=C%mY>@K}(0X*l@EMSzaa zXz??N_}F+ZMoxDUs1(QxkI_PvPd;TCo(nk-A{G)of012L!@bXBm~L zNsKIb(;>HWA^#wQ%iln>rplJ3`lP8jP?x33S7PX^`quc*+0-<6wr^k6dSw{17yd3l z5kGjSEi`QfJ(TCOwJi0`3;#CHj9)|%j@S727zhtSUplbjG;=H|DsS#|Y=0k=nIgC#RF%lf(KfiQS6N(G0WD8Wu=gEz zd^BpUAunkyFS7waLoH?DGhA$cAT9G3Ug|Gs^1dN6X>9DK0wAJVq^jg)UsK~# zo@yz-JoLjXH5OR;^PjS|SU@SpixESii2R8}4M-pV_L=iwXCaZLl+1{HH2JS*lh6Xq zQG9;J86P``KZfSvDw6$8650t0*jd=+JV0u*r-61y<8@8nc$ zZ^n9PdqX)>ZDrOlWM!GN`x0kuMcy!ERp|{WM)~%va83yZ*TEcAA56r}Y2H4CE6?4um2efSL1~hPD}@yv6=V z1(Hvw;A?XrIay_#YM%a&Jvwix%*;9Ug~T%SP?m{>1-ZPW@UB48A3O@`^|JUiaTq3L zjNG{sI9gwOBmdk7ljes74fxnZ&%Z7n6+Neln0ju6!a^u@m=vL1ax3q5|= zvZfOG8UDs8%Gx%t*l~OU%5em~zgogY=e>Oo(&Y)9^ z4!zE!b;a-%9^%X=cESgjOIcz{ahdIN-N&Uoe%Kl8%hEbwoGI1gIq6L*xv z{b`V&w>S@;z(862gB1CBfb$>$u771Z;a4h95cwHGv>qn~rtl*}{vnwsA#QWgH3yr{ z5V+U&$oBb$J5HCsMx)|ZgdxaQaX@EHHA4xP_k*9G(~~>P01l$6HV{iKMQTE!`a*Z&im5q%GyEvhAgJ&NU7hi;aN%szG)rF;oeD% z6;Z2q6y_?}ZuFos9I=eI>Hh$mzTA<30lIOIX5ce-zf8`Bz1U_eqVo>fV0W$)ymo)Y zpOeo(%oCft>EA!E6vTTNke@F(4}9T*(`Y-Sp1Z*v6S#5Mf2DhQ4y9!Yj8%BZ$taRt zJps+#G*AdN?T=)n-NDKz_Wu^iIHu}>c%!hU${$Nbl8kvsu%syMn`hQ8aKHYE=1l$MI^SIujt`Je)b3!OuT=3xFYdU zVkJU&LxDfJfZDV6h9xr&rJH1@88)rxPBy+5@$3Y>CR@LM$G8cR9qfb)dYjJWk8h6G z@eiP^IFWV@U0Xdodr_7hOh6C*IIh0UEBPs+%2#Hm04+HYW$~A`b?|{K$A@d)hP-n5*$Qy^e!Ek_sAH7X0e)&@i1TuW8R1>D$llKQ zjUve2@S*HrPL&ala+NZ`L@KO;je{**cS2Gv)P0P~GPz4*qrt`2K2Jgg0!>=K5| zK_gN?j8`Fcm0$`WW)3p7)%tOAl&IYJ;inMTt{T`L#R~kDtw3JtGHBc|pmVI2U*l)6E7n~w$O7Y^KdFfnPLA&3T1(#J z^YD)n!l-`F+gB08SstSyM*?V%;cSc1AQq`{>?B-a9)}i{_9><|cD5k5ypY&Rz3_bW z`=_J4_;y-&7X`AnCH`%$^{D4zVh`y1r2*8g-{Z*q>6--pZL;;K@-MPaK4fneQC9MN zTme3dyw-=R_gAQ?xkD;b zDbbs%b+-Y*)hmuG+}kS+$I8d&VfH5B7W&|LdJZH5^VWV)&8bY4lJQ`MvMf|B$SM6I ztCJP)$s(nrHe&b#jQg^nXnE`o!F3n9TjR+er6WU*4WDG}Lx;?l9G;^nKK+Z#NFHsQ zwzV|1nlkmx1>=T6cJMVhk_Cg@w1nd6g_rk5uU<*T{!kVi7}*R1uLYhhoX60S{X?OW#^4_Yh^FT!N(4sYg!xa0P!Z z=H^8^y86KtCY6C|a?e~OfDYHIlzT6P=tfU|qVbVCtCti|nh7Am6C=1lypKg(q3@j7 z0Uf*e|M0xLJ=%)yFk@~tYcK?0qAMZaRdexrZcd)t!c_K*8k92v!GwJ#R zlcoc62#J6iN?@YIq)m|~k%^Rm+=WUkhuW1I&|P<=n)iSO}!A84BeyzXDaZz}9^Bs;&Igr9<} zW>yDR4^|iTKPJuH&iX6dg2Be*0JbN7WN#+XdI~du>-%2+(a^&$T>Insjwtw{V*HqVPG!_*KP-u@w#G#Rf?tV@K@Cd#Ff>j9iUtxz`oSyHh-R zw3PbnimS;$RK35Qq9b&2DSmE?v^?wfqg9%bZo0i=stv>Xc4d>TFGKZeaVAd;`^f1^ z{nC$A^u?m5MCpFBsC=O7X!A6DU$aw%ZR0F@irtCbtfG}P4*%vSiiS(2nu4WY0^m&WJoopWFUNFe*muVoPUEPmIg$WdRq z{@1`N90Z=sN8;svh|vbDK}`22d!Lv9Q6Z}+c4mS?av$hsmbkkkL+ z!Wu+-gIUbk79p#RkpC~7aQZxkUxJMN<_t0DSz30v2iGeNe>Kh&9u5^2K6?`s*R|T! zAmim28Ep%C`dhoU>yR&v{UtOs9b`Z4cTtUJV!C?+Ui-iM=~$?U{yH<<)X|mDi~VJ@ z{;xrzY<_ONtU2KdtLF3CUhcnqOywNA1RSTr6%YB#0UiyXNcLA5s0>IqdgD`PTBq@^ zTJb2TguK7Ic{Uenlm+%|rGZv{=f&PN)|MLUdG_x%XX%g7ehz2a0(&7Wzd6GBv*8v1 zSwx1t>*x(OaNt-q=m$jgZ<=t>uShYJn;Tj3#^c%#5DM$>iR-KQC z8C{w`ta(80%@_;5W_2I|_9Zz%MhtUtIO9*c!7n; z?lO!0Dake4q`7^4s>i?tuu;3)-XA?$OLu2_g?H-ay^@k?NYDYEy%pk{Xo>&xyxqf> zC9U14=Sxe>I_pi*4!9xSzk;cg3H^&B=T$>S*D%SS2KyWLzb=G$P>Kl>ed_}*w_NR4 z4RholAHsVxJF{Oa5%f|#6+64@>uC+Px4Fzqt(Ug-&QcwFcen(#+uWOM$GdPg>brH0 zTDGsj z^%u$tkMr&>5N6J=inv0$NA3*mTAzv>TQ45Bb!aNC1Ltb3TQ}IRkaudYmFkyUflIMk zU5cw#+W_vn`q}~Bt)_a4tzxauJ;oh8og#)wy1Oy@8_O{f6UY!7&R1!`{#H{1tC8*w zbL-b^DxhdP_(%Zk3(;NTcA_TS*HEU#cwcpF8$Vj}!mVBL4S~z8_Wj-qrl;B2ZNjT3 zVk{AksJ@wapt}FiuFTG$m^HVzRe5&gAQQ+kST>{)!9-268i@tdhUruL%nDwwKIr=m>Z6_d-nc zA*qLLapGIvV~;ybq^IGeSDO+Q3`qU6#f`7lz@4^~+;{R9d~bk(b6{X@+te5CT{Hl{ z`g$S50{enBiWUiQmeY@L4Ado|Q^I)CwQ$n0Wj}u%hW)zCe7>7t_`#1#?cS7qc9%WT zx}bR)IJC^nNakbUYDq0kEeC;>*gmuVj3{44U~KvIvOvhkZLid-g582S zCwoWdpF@tGaMM`UZbgd93^?}}%%PvR``xnxbcf+_W1XdIjU{V}e7!k?&RA6h1HM~y z-L-@tjhp7ZyxNNJQ8aiCIVa1*q}{N$KC)=KZ%bLwInFhTw(9i*jWB#|SsQ{xT1omp zeuw=^xU2!1fhTfp$ywrrhjUT-G_7L}(M@)Oe?Rm)?Ni@qPuf?!`zNX>4M!gv8q2d< zP?@)SPJapg880qfyY(0c)~eQ(B_vFN$&Qtk-D%1aV*S+X4L{R;S&XQyJPMcuh1uqE z1>6P2UF~-PaIck^6qx(c%HT+bvUraK1!Z%w3_fKNp)9)l4^eBWGMa=0#i{toICp89 zQfWuA+C-)5tuJ7rcnbBcIRbF}Kc|nW&%cZ^!PjS*mnYC9=wKA-P_9ZEz%Beu{tH&c z>LqCL2Gez`m;+G9&&JK=gRq6aA@Z%ruZQ*)&%Vwi9Kia8eKnUzgqw@~Ymiqf41geN z?i5qq6~JMK-thJktLBa>msXTk_NN#M#a6d=#0&>GvrnNAZtuLy5-c zORf$0Uw7I~%>Io|?Moja?7(x!S(zb}l&=L|%@F6~5%BFTH(52X9SgJj-DzmcTB9Oc zzzPkWuMW;#POhG>66#BFhzMl!*#~2TDj87IlVyr@%%%sny0misZ97Fz*)ThmSN`d% zgn+F?KnjBj))ZhFFxCtTs)Tsggnp?+xL=2;$VBTBrg$9%jonZH=qg=7g$`7pKAUUE zrN83lUWt2xS0PJ(!Qg%Auiw(&ZkXDrxG8AY2;og3|NT)$_pdzhB)Q2;d(jZERi#b^ zm48$;gTTjw1n<5I;a>CG$v4CTKncCzVlDyLVMvUZjk}wDMku0#E~0|IV}!n=jlQFY z9?<4{Fi03zq8z7W1{nc*QFkDJ;K-DKF)ir2UGk_n2URHxrD`0X<3F?u2L4G*@z_nxY2}HB|zUXcMGZeLli?{ zv*4b1&@XMtH}&LkZDmYQi+}7!EoO-_6FD^?%zq`RA|-Na1S>56DNSHS`WH+uY5T%?zmy~H4e9R)eD83Fn;-2CV$Y!bL-JSY33 z3Pvq9iChtvm@l;fq_`~gTZ9CFNEQZa#tb6K+wM=pdyY5@{m=Ya1MQ zmQ&oAe+?xef2Bec>$7#42(cdXkjiHHf6I=$X=~^hskKc zyUR%+AwO*d%2*-n!EA`j2^rsgJzQ3+$z$#_ff5l(W-b-By!3XW=9h)U^^W8GSp|Rx zU&iIrXrCL8EJ~@brVHy9d~(RCa5kZW=Z%E10z*X)(eYK11uc@WTQ9C1J_lC#hBX$< ztu@y2-2&|Uxai{Y`_W!7a{JM=DXU2qfw&mrhu&1B zl)87^3d?H;$eDx+vEVbc$ts@_=Z@FJ(<7{6Zy`N8SR=ip53D-K1SMNb)hRwO&1sv{ z&cOxpVxANsP>V)MVM@$=nqTRLF!0shZYe_WqS1Sh(y6okAlTF1GdTU{?4HXT>!EEs zv5m8C(EBBZVT-{xrg=H-w{52v<68lJ&^5waAw-5W1|e}F?Ra1iFLmE==oi^9B71QY zN17R{@MIo@zAFqpb-u(x33;bItyBhMTU1k<3$D|r3uVgrd8elffIo;ArorZvI8sEI zhN&*jb0>N9TBh&OK+Fcf+aDA+`EAXVt~o5I+o1^PAmEItD5=qz4%%p|3^vs5uT*fA zv<0|o><1d>(3v<#Fi(Ly+ZqpAcbr9&v(M>xf9Elp?<_*6Lzs|Rn2=qVkZG7u)=(bp zf-FUZ0k1Y^to_PmlqjOK^jeq9M~k_- z^+fgc@gjeltDV3Za$!%Ac?Zc3Z!>yUpd?3sbX4ska-0?zxcqtJ%Fdbz}p~|kxQf`|MX_i}7=J~_&zW!ogptHJ(vZacH2)NjK5v@tr$+Dm{W|QfGuSIvk z-y~#6+7B|v>>yOz67j?bPN|yi|Gi{WKWn@3%yRm^iB7^ zfQPcX@>GUA!#|xJthbJeu@KXW7H9R5hwy$h6c>ab-6veKAYmaRTr-Q53kpSW#BGBFV?! z3HkDMx93@*$8!h#u8nD}N84aD4kzCZhe)pWMOC`M)RRcL80~AWHMOCDH_VOC3G@gK zDK3h0D8zM>x9RSTxd7O*Gs_dK^Y& z4H%jURP@oY`;fK+;L_$V_Xg9Q_VoP=dc|=zV8P9mJl5f2wuPOSK+Vao5@)G!h)2z5 z{ZCl0j~MiF=@7-iV-Kkc+p5!{mz3Slh^;!77Yo_^7m^MsCtR)s9TEafdUhvb7+G{J zm3Wa}RhI6_nQ*P{cBkdhnD0kAS)Aq?uzrXxa05(QHi(db%0*$AVT}qW96+VUpBOex z`h%ei`fvAQ^eyoY={#&`sv$Q;Z~t7x@XeFI0PxMrzy(#;aE26oJzJ@&n4OGxjiw!8 zB0@(UGtKX14REdlP5PXXjOeTF{Tk%h3XtJWUbHQYn#Q_lBu{+N1~p4zr8#2MVj>Vg z{@|X@mh>Vul_BG2ZK+VwgF~s>y!X?}abIka)e}I@r81Tw6Q{vWttOrt8?~rIg>ec< z{kh5^51WUk`*IyRJ0C|A%BO7TFEW5`pyZ|3z7{$;zCdlJHO);n0ou6jppt8F3xzNI z#i9Vmv}GceaTj^$exPo(=+B+UR@mhF13ou*Med|Aexl2t8-X1a;e&10IXaN;HX z_9bdez@4LiG{L-C zv2XaLW^t7-rWU}KhqjuUY3)~iRz{Y#=aGC1eRV0*R!!moRYLS(=Ql011%?VS0v@uf zPIg}eE$!qBVn-~UxM`YbT!V848T{>_vpg(smfS7vM9y_R8YLj@D?-|y%WyacL*#f( znvfK6Zx4PZYTE&$cu3XFm|!ew%XjXiP$n#C1ns+0!>5cwyb}c&6zwL8?d=Y{?I6e{ zFAW+k>MTBrAVzW9NnU_K5uYWa{O|8+Ndn=fqt`?nX4SHsIFRxfOo{ep!@&|9(}Y2D z!Emt^wfTR(k?~oyS&0C8tb|?l(XRlsA#g8j1Ckqf6M>~1Bh868$d-fJp(I1j{7Vm* zAm{pcJaFc5qye_U)_UsaA&SDm$R*J5fb1B%P>@8y|Na~sUtEDzQ&Vk^tTwTZBzA2IRXcZdq zT3{ZJ#9>YlxN-_N^$sFjf6834jAM7)7a%3BnLblCufZ8k7PXc0MP5{3LqQMjC$n5b z_5s?j)v3>)P#(s5IQJ&D7_S|Fgze;Z`|-K_i#u*)3t^l!)UV;$vRWH`s*$vhrBo%k zsfKnjxh0$vrMS?WNexwTwP{NtDf(h3A_t6O>GnW400cz$u!s8DRI5)nEQMxc_@pqq zoI%>i@CxQQ1O9I=rpk@QSrYH$5?tI8l?tETv31SYYa68S`jCP})l{{*kIM8$#dH`3 zLrfjDM-LRtS8fAdgxUEyIqmN{wkD^md%FWdkS^pu{E6Z@=yfVYO&Z~wEKSGbo;mrp z6J*IhAm$XRYKjFE1tjT92FlXi+;T3=#uvs|XiiUzxuFjmK8K9-=aZsuT_kV)<$x!$ z(pviDWkW--(-sND13azR*aFi0P4fK2s?tZ9$j)y^*jvWFK946!fY#}K%8ZlIH6#TD zJ{qNsg;vIGE)hLRCYgmrw+HlxJq+QCQif;A^6Xy>R13v>1A!68H$rVL4P*D_tSXVe zR!0;EOEuZ)7S-%z?HRa_zpaBlOu{A^xhOc~4bBO#CY4z{9P)ZDuDg_e`>n!K#O?NZ4Uv^;b6c$5RmJ!)s|+ESg;Oj@=6tRM_^$)&zV&qIq>v|u@@ zF_l#RT*OW;YA%xVu;q|U<*X-d{&_zTmA87Qj$bB=_wdF^g5Y82&$^UcUUsTQH;`W7 zhflYZYB6eGiHNtS4&&g5U17U~D zGoBB{@l-JR$V_(fzLmtb79(+XX3ze$m?h~y>d_cXy-R{<0Y-AddGtJb(gQS%R9;RY zSAA&$*+2fO8YlJfiLC+({Qc-eCaJ$Egk&~mzg0fQ7TLs~!f6Jevyn0DJv2h{oDa2q zdlR@Ea0E7Bs)`_6-n}}M$ym>(yIQH~J3V}3%@h$#S&#)(7*i3O!dv3wi`1sKPId;PZgKBGUy@k$y((BiNa-a8bwW?#s& z#lMgz(AxqpSKxXSkm6M}OZ<=1LZD{Z&b3sSpPikXXYn5r5-RdcJQsfmI{D0RsM=k) z=N+zI`$AWsPvS2-A^+E!W6UzCIB8v)F6hs=q|6tUvbA$JeAf%9Vm?7u!A)yUi!6?s zx!p{@hP9#W;T<4LB?FA5T)75>RI9rMI#+oi9dByir`?N7ITXT=?*VUV+$TnzvVs+o z-5G){e*-)`T7)?SI9S=am#q>w|Ajp47PfnI3k!0u5)x;hqFv?00xvRkI-58=9lGz9 zDgJ)?JiAH0*}X*1%lu|}4sCOfVsgE?o0vFfu-UWPafnAoieDzv>YTHQo8lzhadIse z-oUN$gc;Ge$0^`%2{=2Vkhav7&Vtmt(eUUwp^(hUo@yw3(f4(5v+}Cn&LhCH=SWETOpWxZSZ*7I@C;}P2b=fvXF3vs=e%M8*g6P~q;Z4Q?Nn6KRp zTuUDdXrAM(vM<^p|IWVXdr>JCTFt|=nT|(Jm_nK2I@Wb{Z88J4n8Kew*?myN$RDpL z^^ai|GB)%pH|niiRta5i-bTUkj2+kM&Z0gWVY&3=#g~20!!e?p=1Ko7`I|qIekd&S z4J+l<;U90RW5~?7%4Z|;yt$`uoPIRu^tNi;8{+oH$Y-;pwxoDE`d(`|*#&Ek)#zV* z?@yQU+n59Lp4yMb&DLWO&TjfrVdW)%w+i=02Nabr<`g>IO^5fcm5k+ zT1!Uh-MMx5c@+~4SZoGl)}$DmmFC(%lvU+3ktDEOjaI;FcO=@2BUV5QvZk(u)-stJ zZOh#T!cyPPJvbZqdp_(LSaoc=2=8IDlcKBt@N@5xOs`|mE(2#LQ5DvUdTv?K5!twP zzbKwy`B_o@Zhttpdv;5L({m?119e<-A$FvmEGz=-K`lq3Zb@HBSP5Z;aYPMT33*E1 zCP{l0_a;ec#oPdTeGif)0E_~OE+yxm z2g{*_dqw^y{t^N0^$?85TWJC$>o0AXXyaMgc^f zL}jv->*h+-e1c&L=!RQ2G^s+}0^NwCr!kbRX9kAlY&0~z-t<>Cnhx~!@j58;g^KrGWolfkyy; zP=Pyue?@_@year)1-=#V2NZZ7@UJOw8}P3y@GRipP~aKBcPnrU@NX*c4+H)!1)c`{ z+X{RW;NMr^Lx6uzfv*MpQ3bvZ@I4BAJ>cI};2QvcM1gMv{9y(D0l*(p;2#A1I|}?m zfd5&6F9ZBf3Ooq-a|*l-@Mjfx0PyD(cq`z?6!>Dmk1Fsbfd5f}>wxc7;A;SXOo68W z|DggO0Q?6Ed=T)TD)7~S|4e}=0spxIUj_I+1-=sSUnuY{z<;U06M+9pfp-EvsleY0 z_=^hsJ%FE7;0pjht-$QNk1Oy+fWM%?7Xp4lf!_}J9~5{9@TV1c6z~HI902}=0*?c} zUxBv+{eh=Wk zR^aag{BH{U-GJfNR?3ySW&Kl%;59zagwHg!8g}GK06x{mSGocC$P_b3m6HOtIW6?j zvO;ToD(9*;2q1oQC!pvzm-R)zxkf4a&391IZ!WKkese``^qWg}qTgI@9R22#WAvK~ z$@qSXGDQDep%-1}szv_(Dr&$d&ojWoNu*EUX_Rs^-Gu+s@><}}LPuT?8{8h$z5w$N z*l(FQJCp4LSzPvOCN7lB;`Rz>%c9?4GLcKX+XgNpJ9?F)U+A;?h?XP�IKkzv$Y_ z;e9{4Ro{+%Szo~WB_`hU^lH0V-n{=}S`8o1`#UBECiGg5h(22%-ZwIF?22$q&sknk zhz9Q;O?j*}9_zrsj7}Tzx*bGfBH;I~`K4R%a}n80K@=`?34pbhccYX~y|bTE?~8|T zJ$3|98b{};ZX~bq74WvI#%GSS7MG^yZo9c96`p9E&xMhVW&1au8=1QC6_QducRnee zA-?U*_RPvUnNJDC9ETtwbW-5^{FT;&PX8;NudA1BxjH@@HD9M6S>HykA4z->h#H8_ zg+p2Y(($*=*Xduk0$P`ETdodY$A7Kzb#l7OU#ohpmvUX{*%cq1-gNy)m+wj*$w$m? zrTm6l{`v8}-}vkFEZgff^rb2N=-N@{Kcm6tj9w8RIS0XuOw^Xt&tCl#QjYmC@y|ZG`qq?DJQt#()PV>Ls~$OU`zaYw3G1 z(yg{$lD5saQQ5U_!qxI{#t(AP5Y31U+E{Eb=&{S{L4na)~(Lg z3tgFgu9rHP$;qBt3wU5!sWH5)KlGEFi7e^J_$q%^R==`qNqKhd(5-#0HeFJ`{1~ua zu9fL*wXdxgovpTpbvGrdd961i`alBTmEv25}n9s5#M&kR+ z@+F_E$U5MGDd8_x69ghN%$NKTx0%70&+nux;-kaAk!1xWVj{KVb1A`q2c{(dL#gNd zB%i;E@cSoU>UndnzA`^Ar!JpkjvUR?_0{3)@+F^qxwiR|fA96u$=Pi_Y8KEam{`kh z`G7RQzet`>|LQ^djc>~JFP&eK`4_T6fvlD6Rh&DP^T&_ne2uiB^!;O*P1yQk9lp+X zb@SXh{Pmu%YfIaD>GZ72x8-k(Z(FV{zD^!j`qc4vE9bXZ_HFjeq;Hq?Q(O6U_0r|* z_`f>)?{hi7D(&!eE??@6A4fN9N1gt4`MP#-m2X?FExu09_0q4U{EwubVK$?&AHf*R ze|*C+)#uaK(&KRY+KVY$N?#L^DFKa&DShqv5<2+|hHd6g96gilFde|L1cDO|9`HJ# zEqFWdI_PUVpwlXLT>2}kW!HKMvR8zPd0e?_RlYsaaDcuVwF6}lJSvz+!U z@2`E&@;xgERp9Sxpa}0_y$;wPyd8KQcsrm5oZ3P5StixZ90IFVPF6cf71l%ow}rap zrdQRvxzeRVP(MFyPu)=wOi3@7e;9~*!x-aldokhqtu z$(032@ziO#eP|?SH)p)(45I&tK7yljlwWj?aCla)XJPePufL-*d*u_+uY{~NGTwu> z{G!qh5v|KJA~%DPP1u7N@2Fk!?RQ>hDfN8;^>-=nGp{V4ICpa`;F)~ig5M_m9|!&= z3hv2}p0rpI9h|3JGvQ~7^SQ8`Z3_RVk$1iVtGvDx;=cuY<1Pvx!1)Z;Ib{EF$)`Th z0Q_0)VYC^~p>L~Ur9S;~4D0gmmb*gNu)Idl_j<-2fTG+ipYPY?XYnHVPeOUYPG3BL1dl?6p_O=RKNy9p8s~tPl3YEtDfF5BtfMUXSpq&Zj~-KO3I8zKQz3 zBIN&E2=nVo%%|VrIpQ0^r^D;dgy$E+b0p_mGj!OCQSiyOXH-r$KhkHEe|boc{UPZ~ z<27T^+A*GW`IMj6{n_hbBiVt@-Z`eL{X+e{(XV-($hEX;o9lGq^QEr59=slWfAN09 z`vLCGZTw^Uo`JPE-Am>)-tT((aeV{0`@2jQ{!lwQ#=7 zn8t>`$JMt5=G|(Me)z4I=y%mwrQ`Ruh|f8^mHg*7uU3-3=e@G+*NcAnZ5q;-*`g_EK*LtEU4FKye3z?yZ4TV|BwIMdP_a9ljMx zbkJuO(r4nNY{$z+JMu0~uY;Z~#52eY)p}j)uXrVTCBiz=_q}>^(O>a~d>k@ zHx5*aM!Nxew(C@(ea5Y4ae8LZ&)V^_K?57C|MYCIYrpuV=PGyx4u&NRy_1n;fOaV`X%Jt*@u+)$5 zN9A_n_2c!U`V(kFrhq3xUO%cHZ1uBNe%O~Q?RKL+@A&rh?W_7F-8k<3m9!JxbJqIk zN1JM|InD7HDd2&vD(h9;zRSyJB7;cbZ>qgk!^itcsn1AlW_qsG*0){NYQDZ@{m8d( zT!O7ADV-|&7T{oLXGhW8uZZ=g@4p0B;1 z^nTL&NopY5FZ?`%94~N`Dd35a%=hz1QV;&QOzOekUr9Z9zajO2qf7x$gk-+=8&VJ6 zZ%93OzajPD{f5*7jxq&25t8}dZ%93OzajPD{f5+o_Zw0VILZ|8L`dd)zajPD{f5+o z_Zw0V>)mhY0x`uvWrQ6-hBkfA(Z@qrJe$@WRo+(nmW71F8i~YRX##7&a zeg9Sa>3Z+K-k#T&J+F?x&F@RFI^|*r%#4G+Txp*xec$f&?DedUYr1j4cD!31yUP13 z>|!TV#-He(i(k_0M`v4K-F-Vh&n;5GBe_a@)A85szxRShPJSL2u6ud}OD8a_Jv(aG}-4WF|p22;R8B9E@VIy zAJ_Jf<@fbWc~$bR_VrdqKUL+wlBo|ys#O1~qQ56Yzsr*TTPyHSW%yUggOMjC|JO3~ z7`ao|tN3NFe{+VuhSb6TM^FxNF#-i=aHieR_h$1pBaheo|H|uN2DdF}h@RRz+x~Z> z?au7XKp0`n$m~zX`|u@a&bkU(M=c zt>LHpT)sbYEZ;%L?d!Vz?sr_@S;zH#mt%ha>$tw}cg*ioj`_XQvHpI{F+bP#?AqVE z9*^9`dfBo6`*Fwou5rxo z5y$?t;aI-29rNpTKBme)Rn0F|(N|sf{LB5L*U#GO=cgU}<2xPq|Em7A(XOB8*uT4e z-f*q&4?4D&&p6hf>-vs5me2M5h3ooye&zn%>nE+BBaX+Ta~=DaD;&$``uWA%Q`(-q zKKff9!;Z(p2ORfz*ZyO{vH$S)-QV`@+h={X&y-{Taj|25A9w68Z*(l*m5%xO`M>i1 zqWXB^cG>q4(xmaKJI>-Ir_51gm9Q)6#K6`~-uLpCzzNgpvW$9hZ=X(96 zYx{G}?@`D74L+du$D3=l&tn|}+sb6UGSCaxF|X%*-P-K+Tqnw2B!5v|eO~eUe6JU; z7hUXzw+(L_-Zu2HP3y6L=*G^->?5oJI9)<6br!@0xx7u!UXUzq{u5CCASL z7dU=i?)Un-UJriKaeKIykI#E`{67vkO`i9P`dL5aU5>ig#wgqRfGqx79ruwe|5rOq z8^yXf(XNcI=bXeToTE5uwl`tkd%c7+_b7NCXZjlA5TE15x8tAmv{&NuI@ezi2Rp)u zcx?VYjep`JJUIA&0RR91|NnRae3eT`6;T++k3CF#*e&g0S(&L_?V&*~q$uakJ@?*$ zI}c{gh(<6KZOmHq*pyL=igwW^s#PxnA+ZPoC4?ZTO|@vzvTaBdf)q&)M86kh7V zhw`H!?+~AJqd@pk)(}R+iH_T=CACzsALzT4iVq5|FCxdVd6dnh$DW*BCZPoiokx3( zLUUG;JRsAIHL}fY3H@1Ppf@Tka6_M5HC@|t9qtJFRg5xjw!dfM`|)b&_+IepNzo3+ z)n~Zm_27w}3+3^71udstgIOL}6l3UE;&XcD?KX+*R$w*lfML<~Wd3@&Ih;Cq2a&`^ zupf?YB{NZ{zAyrKY9j|Q@AmAt zu}T_lR^|_oyV~0_oC4JoIb}^~0hU$C zH)AhJV2BHFIj6rax24e-Wup)_vaHh;^rK%BevH2x`LcqIQ1p$2>ArSc-=57CI);a9 z*d{6js!)oI+Zpc9Cih)!yqIDhNgfs(f71!xlAo!ol>)4d13vbzIu6b zmrmBxf*I5H{xPXK_m5Up#4KH_^$4Yj{*Uh`*&>E zU8s~MjuQp};kvx zG5N^Y8CtrXOA?3&%hAo}E^TG50#E~~J&Pu342)&Y4z$VuU_o2KfRhua47JH*0MJ&r z6WR$Y&Fqe{P#W99x14+e*}@EkK!oswIx2DAB2$yRBjeIsE<4f09#f?N=(*?_KIwtl z^6t;6Z|&?PDGkTE71cnPxz*m4jvc2%Ev|nxSY%qqem^+3UiKFv{XZN4-o!9y0HbHn pTX~+H+l;%ypLGqai2(SA@&gpSPs}BY*UsGAApdWI`~v_0|Np{H>PP?p literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci b/bsp3/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..57580edd23d8fa4e41cb2d210756c6de0288c088 GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=JJru1Y6CO3s=Y>yJs&sT_F bPw+3_A1vr*?XZZ4M=N1cV*Ggv7ASH34? literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci b/bsp3/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..711751054aa1f2ac2260bd6665e0034601d5eeba GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=IeP;xQ@lbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilJ$@#@ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.syn_hier_info b/bsp3/Designflow/ppr/download/db/vga_pll.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.tan.qmsg b/bsp3/Designflow/ppr/download/db/vga_pll.tan.qmsg new file mode 100644 index 0000000..b9665a2 --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.tan.qmsg @@ -0,0 +1,15 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 29 17:13:27 2009 " "Info: Processing started: Thu Oct 29 17:13:27 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Warning" "WTAN_USE_ENABLE_CLOCK_LATENCY_FOR_PLL" "" "Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" { } { } 0 0 "Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" 0 0 "" 0 -1} +{ "Info" "ITAN_SLACK_ANALYSIS" "" "Info: Found timing assignments -- calculating delays" { } { } 0 0 "Found timing assignments -- calculating delays" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_driver:vga_driver_unit\|column_counter_sig_9 register vga:inst\|vga_control:vga_control_unit\|b 29.381 ns " "Info: Slack time is 29.381 ns for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_driver:vga_driver_unit\|column_counter_sig_9\" and destination register \"vga:inst\|vga_control:vga_control_unit\|b\"" { { "Info" "ITDB_SIMPLE_FMAX_RESULT" "135.21 MHz 7.396 ns " "Info: Fmax is 135.21 MHz (period= 7.396 ns)" { } { } 0 0 "Fmax is %1!s! (period= %2!s!)" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "36.604 ns + Largest register register " "Info: + Largest register to register requirement is 36.604 ns" { { "Info" "ITDB_FULL_SETUP_REQUIREMENT" "36.777 ns + " "Info: + Setup relationship between source and destination is 36.777 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch 35.747 ns " "Info: + Latch edge is 35.747 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} } { } 0 0 "%2!c! Setup relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "0.013 ns + Largest " "Info: + Largest clock skew is 0.013 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.053 ns + Shortest register " "Info: + Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.053 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.493 ns) + CELL(0.560 ns) 2.053 ns vga:inst\|vga_control:vga_control_unit\|b 2 REG LC_X78_Y32_N4 3 " "Info: 2: + IC(1.493 ns) + CELL(0.560 ns) = 2.053 ns; Loc. = LC_X78_Y32_N4; Fanout = 3; REG Node = 'vga:inst\|vga_control:vga_control_unit\|b'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3185 11 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.28 % ) " "Info: Total cell delay = 0.560 ns ( 27.28 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.493 ns ( 72.72 % ) " "Info: Total interconnect delay = 1.493 ns ( 72.72 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|b {} } { 0.000ns 1.493ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.040 ns - Longest register " "Info: - Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.040 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.480 ns) + CELL(0.560 ns) 2.040 ns vga:inst\|vga_driver:vga_driver_unit\|column_counter_sig_9 2 REG LC_X78_Y33_N0 4 " "Info: 2: + IC(1.480 ns) + CELL(0.560 ns) = 2.040 ns; Loc. = LC_X78_Y33_N0; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|column_counter_sig_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 128 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.45 % ) " "Info: Total cell delay = 0.560 ns ( 27.45 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.480 ns ( 72.55 % ) " "Info: Total interconnect delay = 1.480 ns ( 72.55 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 {} } { 0.000ns 1.480ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|b {} } { 0.000ns 1.493ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 {} } { 0.000ns 1.480ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 128 30 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns - " "Info: - Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3185 11 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|b {} } { 0.000ns 1.493ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 {} } { 0.000ns 1.480ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "7.223 ns - Longest register register " "Info: - Longest register to register delay is 7.223 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|column_counter_sig_9 1 REG LC_X78_Y33_N0 4 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X78_Y33_N0; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|column_counter_sig_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 128 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.360 ns) + CELL(0.087 ns) 2.447 ns vga:inst\|vga_control:vga_control_unit\|r_next_i_o7 2 COMB LC_X56_Y45_N5 3 " "Info: 2: + IC(2.360 ns) + CELL(0.087 ns) = 2.447 ns; Loc. = LC_X56_Y45_N5; Fanout = 3; COMB Node = 'vga:inst\|vga_control:vga_control_unit\|r_next_i_o7'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.447 ns" { vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 vga:inst|vga_control:vga_control_unit|r_next_i_o7 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3207 19 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.773 ns) + CELL(0.332 ns) 5.552 ns vga:inst\|vga_control:vga_control_unit\|N_6_i_0_g0_0 3 COMB LC_X76_Y33_N4 1 " "Info: 3: + IC(2.773 ns) + CELL(0.332 ns) = 5.552 ns; Loc. = LC_X76_Y33_N4; Fanout = 1; COMB Node = 'vga:inst\|vga_control:vga_control_unit\|N_6_i_0_g0_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.105 ns" { vga:inst|vga_control:vga_control_unit|r_next_i_o7 vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3205 20 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.307 ns) + CELL(0.364 ns) 7.223 ns vga:inst\|vga_control:vga_control_unit\|b 4 REG LC_X78_Y32_N4 3 " "Info: 4: + IC(1.307 ns) + CELL(0.364 ns) = 7.223 ns; Loc. = LC_X78_Y32_N4; Fanout = 3; REG Node = 'vga:inst\|vga_control:vga_control_unit\|b'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.671 ns" { vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3185 11 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.783 ns ( 10.84 % ) " "Info: Total cell delay = 0.783 ns ( 10.84 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "6.440 ns ( 89.16 % ) " "Info: Total interconnect delay = 6.440 ns ( 89.16 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.223 ns" { vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 vga:inst|vga_control:vga_control_unit|r_next_i_o7 vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.223 ns" { vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 {} vga:inst|vga_control:vga_control_unit|r_next_i_o7 {} vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 {} vga:inst|vga_control:vga_control_unit|b {} } { 0.000ns 2.360ns 2.773ns 1.307ns } { 0.000ns 0.087ns 0.332ns 0.364ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.053 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|b {} } { 0.000ns 1.493ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 {} } { 0.000ns 1.480ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.223 ns" { vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 vga:inst|vga_control:vga_control_unit|r_next_i_o7 vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 vga:inst|vga_control:vga_control_unit|b } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.223 ns" { vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 {} vga:inst|vga_control:vga_control_unit|r_next_i_o7 {} vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 {} vga:inst|vga_control:vga_control_unit|b {} } { 0.000ns 2.360ns 2.773ns 1.307ns } { 0.000ns 0.087ns 0.332ns 0.364ns } "" } } } 0 0 "Slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1} +{ "Info" "ITAN_NO_REG2REG_EXIST" "board_clk " "Info: No valid register-to-register data paths exist for clock \"board_clk\"" { } { } 0 0 "No valid register-to-register data paths exist for clock \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_MIN_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 register vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 737 ps " "Info: Minimum slack time is 737 ps for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9\" and destination register \"vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9\"" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "0.661 ns + Shortest register register " "Info: + Shortest register to register delay is 0.661 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 1 REG LC_X35_Y33_N9 9 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 129 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.426 ns) + CELL(0.235 ns) 0.661 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 2 REG LC_X35_Y33_N9 9 " "Info: 2: + IC(0.426 ns) + CELL(0.235 ns) = 0.661 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.661 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 129 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.235 ns ( 35.55 % ) " "Info: Total cell delay = 0.235 ns ( 35.55 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "0.426 ns ( 64.45 % ) " "Info: Total interconnect delay = 0.426 ns ( 64.45 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.661 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.661 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 0.426ns } { 0.000ns 0.235ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "-0.076 ns - Smallest register register " "Info: - Smallest register to register requirement is -0.076 ns" { { "Info" "ITDB_FULL_HOLD_REQUIREMENT" "0.000 ns + " "Info: + Hold relationship between source and destination is 0.000 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch -1.030 ns " "Info: + Latch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Hold 1 " "Info: Multicycle Hold factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Hold 1 " "Info: Multicycle Hold factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} } { } 0 0 "%2!c! Hold relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "0.000 ns + Smallest " "Info: + Smallest clock skew is 0.000 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.054 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.054 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.494 ns) + CELL(0.560 ns) 2.054 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 2 REG LC_X35_Y33_N9 9 " "Info: 2: + IC(1.494 ns) + CELL(0.560 ns) = 2.054 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 129 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.26 % ) " "Info: Total cell delay = 0.560 ns ( 27.26 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.494 ns ( 72.74 % ) " "Info: Total interconnect delay = 1.494 ns ( 72.74 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.054 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.054 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.494 ns) + CELL(0.560 ns) 2.054 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 2 REG LC_X35_Y33_N9 9 " "Info: 2: + IC(1.494 ns) + CELL(0.560 ns) = 2.054 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 129 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.26 % ) " "Info: Total cell delay = 0.560 ns ( 27.26 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.494 ns ( 72.74 % ) " "Info: Total interconnect delay = 1.494 ns ( 72.74 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 129 25 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 129 25 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.661 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.661 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 0.426ns } { 0.000ns 0.235ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } } 0 0 "Minimum slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1} +{ "Info" "ITDB_TSU_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig reset board_clk 11.030 ns register " "Info: tsu for register \"vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig\" (data pin = \"reset\", clock pin = \"board_clk\") is 11.030 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "12.049 ns + Longest pin register " "Info: + Longest pin to register delay is 12.049 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 96 528 696 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.711 ns) + CELL(0.459 ns) 7.311 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X36_Y33_N7 32 " "Info: 2: + IC(5.711 ns) + CELL(0.459 ns) = 7.311 ns; Loc. = LC_X36_Y33_N7; Fanout = 32; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.170 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 157 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.863 ns) + CELL(0.332 ns) 9.506 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4 3 COMB LC_X34_Y34_N6 1 " "Info: 3: + IC(1.863 ns) + CELL(0.332 ns) = 9.506 ns; Loc. = LC_X34_Y34_N6; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.195 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 248 36 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.817 ns) + CELL(0.726 ns) 12.049 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig 4 REG LC_X52_Y35_N2 2 " "Info: 4: + IC(1.817 ns) + CELL(0.726 ns) = 12.049 ns; Loc. = LC_X52_Y35_N2; Fanout = 2; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.543 ns" { vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 154 22 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.658 ns ( 22.06 % ) " "Info: Total cell delay = 2.658 ns ( 22.06 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.391 ns ( 77.94 % ) " "Info: Total interconnect delay = 9.391 ns ( 77.94 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "12.049 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "12.049 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 0.000ns 5.711ns 1.863ns 1.817ns } { 0.000ns 1.141ns 0.459ns 0.332ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 154 22 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns - " "Info: - Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 80 240 408 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.059 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.059 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.499 ns) + CELL(0.560 ns) 2.059 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig 2 REG LC_X52_Y35_N2 2 " "Info: 2: + IC(1.499 ns) + CELL(0.560 ns) = 2.059 ns; Loc. = LC_X52_Y35_N2; Fanout = 2; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.059 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 154 22 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.20 % ) " "Info: Total cell delay = 0.560 ns ( 27.20 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.499 ns ( 72.80 % ) " "Info: Total interconnect delay = 1.499 ns ( 72.80 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.059 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.059 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 1.499ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "12.049 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "12.049 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 0.000ns 5.711ns 1.863ns 1.817ns } { 0.000ns 1.141ns 0.459ns 0.332ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.059 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.059 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 1.499ns } { 0.000ns 0.560ns } "" } } } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TCO_RESULT" "board_clk seven_seg_pin\[7\] vga:inst\|dly_counter\[0\] 9.803 ns register " "Info: tco from clock \"board_clk\" to destination pin \"seven_seg_pin\[7\]\" through register \"vga:inst\|dly_counter\[0\]\" is 9.803 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 80 240 408 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.054 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.054 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.494 ns) + CELL(0.560 ns) 2.054 ns vga:inst\|dly_counter\[0\] 2 REG LC_X36_Y33_N3 10 " "Info: 2: + IC(1.494 ns) + CELL(0.560 ns) = 2.054 ns; Loc. = LC_X36_Y33_N3; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3513 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.26 % ) " "Info: Total cell delay = 0.560 ns ( 27.26 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.494 ns ( 72.74 % ) " "Info: Total interconnect delay = 1.494 ns ( 72.74 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3513 24 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "8.603 ns + Longest register pin " "Info: + Longest register to pin delay is 8.603 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|dly_counter\[0\] 1 REG LC_X36_Y33_N3 10 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X36_Y33_N3; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3513 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.500 ns) + CELL(0.213 ns) 0.713 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X36_Y33_N7 32 " "Info: 2: + IC(0.500 ns) + CELL(0.213 ns) = 0.713 ns; Loc. = LC_X36_Y33_N7; Fanout = 32; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.713 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 157 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.699 ns) + CELL(4.191 ns) 8.603 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(3.699 ns) + CELL(4.191 ns) = 8.603 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.890 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 240 912 1132 256 "seven_seg_pin\[13..0\]" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.404 ns ( 51.19 % ) " "Info: Total cell delay = 4.404 ns ( 51.19 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.199 ns ( 48.81 % ) " "Info: Total interconnect delay = 4.199 ns ( 48.81 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "8.603 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "8.603 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 0.500ns 3.699ns } { 0.000ns 0.213ns 4.191ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.054 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.494ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "8.603 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "8.603 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 0.500ns 3.699ns } { 0.000ns 0.213ns 4.191ns } "" } } } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "reset seven_seg_pin\[7\] 15.201 ns Longest " "Info: Longest tpd from source pin \"reset\" to destination pin \"seven_seg_pin\[7\]\" is 15.201 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 96 528 696 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.711 ns) + CELL(0.459 ns) 7.311 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X36_Y33_N7 32 " "Info: 2: + IC(5.711 ns) + CELL(0.459 ns) = 7.311 ns; Loc. = LC_X36_Y33_N7; Fanout = 32; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.170 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 157 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.699 ns) + CELL(4.191 ns) 15.201 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(3.699 ns) + CELL(4.191 ns) = 15.201 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.890 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 240 912 1132 256 "seven_seg_pin\[13..0\]" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "5.791 ns ( 38.10 % ) " "Info: Total cell delay = 5.791 ns ( 38.10 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.410 ns ( 61.90 % ) " "Info: Total interconnect delay = 9.410 ns ( 61.90 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "15.201 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "15.201 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 0.000ns 5.711ns 3.699ns } { 0.000ns 1.141ns 0.459ns 4.191ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_TH_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|v_sync reset board_clk -5.484 ns register " "Info: th for register \"vga:inst\|vga_driver:vga_driver_unit\|v_sync\" (data pin = \"reset\", clock pin = \"board_clk\") is -5.484 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 80 240 408 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.040 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.040 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 63 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.480 ns) + CELL(0.560 ns) 2.040 ns vga:inst\|vga_driver:vga_driver_unit\|v_sync 2 REG LC_X34_Y34_N7 3 " "Info: 2: + IC(1.480 ns) + CELL(0.560 ns) = 2.040 ns; Loc. = LC_X34_Y34_N7; Fanout = 3; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|v_sync'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|v_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 152 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.45 % ) " "Info: Total cell delay = 0.560 ns ( 27.45 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.480 ns ( 72.55 % ) " "Info: Total interconnect delay = 1.480 ns ( 72.55 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|v_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|v_sync {} } { 0.000ns 1.480ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 152 16 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.594 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.594 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf" { { 96 528 696 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.964 ns) + CELL(0.489 ns) 6.594 ns vga:inst\|vga_driver:vga_driver_unit\|v_sync 2 REG LC_X34_Y34_N7 3 " "Info: 2: + IC(4.964 ns) + CELL(0.489 ns) = 6.594 ns; Loc. = LC_X34_Y34_N7; Fanout = 3; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|v_sync'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.453 ns" { reset vga:inst|vga_driver:vga_driver_unit|v_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 152 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.630 ns ( 24.72 % ) " "Info: Total cell delay = 1.630 ns ( 24.72 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.964 ns ( 75.28 % ) " "Info: Total interconnect delay = 4.964 ns ( 75.28 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.594 ns" { reset vga:inst|vga_driver:vga_driver_unit|v_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.594 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|v_sync {} } { 0.000ns 0.000ns 4.964ns } { 0.000ns 1.141ns 0.489ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|v_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.040 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|v_sync {} } { 0.000ns 1.480ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.594 ns" { reset vga:inst|vga_driver:vga_driver_unit|v_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.594 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|v_sync {} } { 0.000ns 0.000ns 4.964ns } { 0.000ns 1.141ns 0.489ns } "" } } } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITAN_REQUIREMENTS_MET_SLOW" "" "Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details." { } { } 0 0 "All timing requirements were met for slow timing model timing analysis. See Report window for more details." 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1 Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 29 17:13:27 2009 " "Info: Processing ended: Thu Oct 29 17:13:27 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Info: Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb b/bsp3/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7a45114581bb8ff3e53543d803e264030a96c865 GIT binary patch literal 178 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#utZ?_Pd=!4HP(3lh#h5D4Etp~BzZyuNmu?tKR>Nr{RBD$LBnQ`o@T0n5=c AP5=M^ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/db/vga_pll.tmw_info b/bsp3/Designflow/ppr/download/db/vga_pll.tmw_info new file mode 100644 index 0000000..dcf7367 --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:01:06 +start_analysis_synthesis:s:00:00:07-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:32-start_full_compilation +start_assembler:s:00:00:20-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation +start_eda_netlist_writer:s:00:00:04-start_full_compilation diff --git a/bsp3/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo b/bsp3/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo new file mode 100644 index 0000000..4b50faf --- /dev/null +++ b/bsp3/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo @@ -0,0 +1,12368 @@ +Version: + 9.0 Build 132 02/25/2009 SJ Full Version + +Chip Device Options: + Device Name: EP1S25F672C6 + Device JTAG code: ffffffff + Programming_mode: Passive Serial + NWS_NRS_NCS: UNRESERVED + RDYNBUSY: UNRESERVED + DATA 7 to 1: UNRESERVED + nCEO: UNRESERVED + UNUSED PINS: RESERVED_GND + Default IO Standard:: 3.3-V LVTTL + User Start-up Clock: 0 + Auto Restart on Error: 1 + Release Clears Before Tristates: 0 + Device Clear: 0 + Test And Scan: 0 + Device OE: 0 + Enable Lock Output: 0 + Enable Init Done: 0 + Enable JTAG BST: 0 + Enable Vref A: 0 + Enable Vref B: 0 + + + +**************************** +******Individual Atoms****** +**************************** + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 178 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 179 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 180 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 181 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 182 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 183 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|r_Z -- UNIQUE + Atom Hier Name: + Atom Id: 184 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|b_next_i_o3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|N_4_i_0_g0_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1b00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|g_Z -- UNIQUE + Atom Hier Name: + Atom Id: 185 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|N_23_i_0_g0_a LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|g LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0400 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_Z -- UNIQUE + Atom Hier Name: + Atom Id: 186 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|b_next_i_o3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|b_next_i_a7_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0700 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 187 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 188 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vpll:inst1|altpll:altpll_component|pll -- UNIQUE + Atom Hier Name: + Atom Id: 189 + Atom Type: stratix_pll (WYSIWYG) + +User mode PLL + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [INCLK] board_clk LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: [INCLK] DISCONNECTED + 11: [CLKENA] DISCONNECTED + 12: [CLKENA] DISCONNECTED + 13: [CLKENA] DISCONNECTED + 14: [CLKENA] DISCONNECTED + 15: [CLKENA] DISCONNECTED + 16: [CLKENA] DISCONNECTED + 17: [EXTCLKENA] DISCONNECTED + 18: [EXTCLKENA] DISCONNECTED + 19: [EXTCLKENA] DISCONNECTED + 20: [EXTCLKENA] DISCONNECTED + OUTPUTS (Int. Connections): + 0: [ACTIVECLOCK] vpll:inst1|altpll:altpll_component|pll~ACTIVECLOCK LIT INDEX 0 FANOUTS 0 + 1: [CLKLOSS] vpll:inst1|altpll:altpll_component|pll~GLOCKED LIT INDEX 0 FANOUTS 0 + 2: [LOCKED] vpll:inst1|altpll:altpll_component|pll~LOCKED LIT INDEX 0 FANOUTS 0 + 3: [SCANDATAOUT] vpll:inst1|altpll:altpll_component|pll~SCANDATAOUT LIT INDEX 0 FANOUTS 0 + 4: [ENABLE0] vpll:inst1|altpll:altpll_component|pll~ENAOUT0 LIT INDEX 0 FANOUTS 0 + 5: [ENABLE1] vpll:inst1|altpll:altpll_component|pll~ENAOUT1 LIT INDEX 0 FANOUTS 0 + 6: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 FANOUTS 63 + 7: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK1 LIT INDEX 1 FANOUTS 0 + 8: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK2 LIT INDEX 2 FANOUTS 0 + 9: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK3 LIT INDEX 3 FANOUTS 0 + 10: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK4 LIT INDEX 4 FANOUTS 0 + 11: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK5 LIT INDEX 5 FANOUTS 0 + 12: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK0 LIT INDEX 0 FANOUTS 0 + 13: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK1 LIT INDEX 1 FANOUTS 0 + 14: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK2 LIT INDEX 2 FANOUTS 0 + 15: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK3 LIT INDEX 3 FANOUTS 0 + 16: [CLKBAD] vpll:inst1|altpll:altpll_component|pll~CLKBAD0 LIT INDEX 0 FANOUTS 0 + 17: [CLKBAD] vpll:inst1|altpll:altpll_component|pll~CLKBAD1 LIT INDEX 1 FANOUTS 0 + + PARAMETER LIST: + operation_mode = normal + pll_type = auto + qualify_conf_done = off + valid_lock_multiplier = 1 + invalid_lock_multiplier = 5 + scan_chain_mif_file = + compensate_clock = clk0 + feedback_source = + inclk0_input_frequency = 30003 + inclk1_input_frequency = 30003 + pfd_min = 2380 + pfd_max = 333333 + vco_min = 1250 + vco_max = 3334 + vco_center = 1666 + pll_compensation_delay = 3806 + input_comp_delay_chain_bits = 0 + feedback_comp_delay_chain_bits = 0 + common_rx_tx = on + skip_vco = off + rx_outclock_resource = auto + primary_clock = inclk0 + switch_over_on_lossclk = off + switch_over_on_gated_lock = off + enable_switch_over_counter = off + gate_lock_signal = no + scan_chain = + gate_lock_counter = 0 + switch_over_counter = 1 + ======= Real External and Internal Parameters ======= = + bandwidth_type = auto + bandwidth = 8043251 + spread_frequency = 0 + down_spread = 0 % + clk0_multiply_by = 53 + clk1_multiply_by = 1 + clk2_multiply_by = 1 + clk3_multiply_by = 1 + clk4_multiply_by = 1 + clk5_multiply_by = 1 + extclk0_multiply_by = 1 + extclk1_multiply_by = 1 + extclk2_multiply_by = 1 + extclk3_multiply_by = 1 + clk0_divide_by = 65 + clk1_divide_by = 1 + clk2_divide_by = 1 + clk3_divide_by = 1 + clk4_divide_by = 1 + clk5_divide_by = 1 + extclk0_divide_by = 1 + extclk1_divide_by = 1 + extclk2_divide_by = 1 + extclk3_divide_by = 1 + clk0_phase_shift = 0 + clk1_phase_shift = 0 + clk2_phase_shift = 0 + clk3_phase_shift = 0 + clk4_phase_shift = 0 + clk5_phase_shift = 0 + extclk0_phase_shift = 0 + extclk1_phase_shift = 0 + extclk2_phase_shift = 0 + extclk3_phase_shift = 0 + clk0_time_delay = 0 + clk1_time_delay = 0 + clk2_time_delay = 0 + clk3_time_delay = 0 + clk4_time_delay = 0 + clk5_time_delay = 0 + extclk0_time_delay = 0 + extclk1_time_delay = 0 + extclk2_time_delay = 0 + extclk3_time_delay = 0 + clk0_duty_cycle = 50 + clk1_duty_cycle = 50 + clk2_duty_cycle = 50 + clk3_duty_cycle = 50 + clk4_duty_cycle = 50 + clk5_duty_cycle = 50 + extclk0_duty_cycle = 50 + extclk1_duty_cycle = 50 + extclk2_duty_cycle = 50 + extclk3_duty_cycle = 50 + clk0_use_even_counter_mode = off + clk1_use_even_counter_mode = off + clk2_use_even_counter_mode = off + clk3_use_even_counter_mode = off + clk4_use_even_counter_mode = off + clk5_use_even_counter_mode = off + extclk0_use_even_counter_mode = off + extclk1_use_even_counter_mode = off + extclk2_use_even_counter_mode = off + extclk3_use_even_counter_mode = off + clk0_use_even_counter_value = off + clk1_use_even_counter_value = off + clk2_use_even_counter_value = off + clk3_use_even_counter_value = off + clk4_use_even_counter_value = off + clk5_use_even_counter_value = off + extclk0_use_even_counter_value = off + extclk1_use_even_counter_value = off + extclk2_use_even_counter_value = off + extclk3_use_even_counter_value = off + m = 106 + n = 5 + m2 = 1 + n2 = 1 + ss = 0 + charge_pump_current = 50 + loop_filter_c = 10 + loop_filter_r = 1.021000 + enable0_counter = + enable1_counter = + clk0_counter = g0 + clk1_counter = + clk2_counter = + clk3_counter = + clk4_counter = + clk5_counter = + extclk0_counter = + extclk1_counter = + extclk2_counter = + extclk3_counter = + l0_is_used = no + l1_is_used = no + g0_is_used = yes + g1_is_used = no + g2_is_used = no + g3_is_used = no + e0_is_used = no + e1_is_used = no + e2_is_used = no + e3_is_used = no + l0_mode = odd + l1_mode = bypass + g0_mode = even + g1_mode = bypass + g2_mode = bypass + g3_mode = bypass + e0_mode = bypass + e1_mode = bypass + e2_mode = bypass + e3_mode = bypass + l0_high = 10 + l1_high = 0 + g0_high = 13 + g1_high = 0 + g2_high = 0 + g3_high = 0 + e0_high = 0 + e1_high = 0 + e2_high = 0 + e3_high = 0 + l0_low = 9 + l1_low = 0 + g0_low = 13 + g1_low = 0 + g2_low = 0 + g3_low = 0 + e0_low = 0 + e1_low = 0 + e2_low = 0 + e3_low = 0 + m_initial = 1 + l0_initial = 1 + l1_initial = 1 + g0_initial = 1 + g1_initial = 1 + g2_initial = 1 + g3_initial = 1 + e0_initial = 1 + e1_initial = 1 + e2_initial = 1 + e3_initial = 1 + m_ph = 0 + l0_ph = 0 + l1_ph = 0 + g0_ph = 0 + g1_ph = 0 + g2_ph = 0 + g3_ph = 0 + e0_ph = 0 + e1_ph = 0 + e2_ph = 0 + e3_ph = 0 + m_time_delay = 0 + n_time_delay = 0 + l0_time_delay = 0 + l1_time_delay = 0 + g0_time_delay = 0 + g1_time_delay = 0 + g2_time_delay = 0 + g3_time_delay = 0 + e0_time_delay = 0 + e1_time_delay = 0 + e2_time_delay = 0 + e3_time_delay = 0 + ======= User External and Internal Parameters ======= = + bandwidth_type = auto + bandwidth = 0 + spread_frequency = 0 + down_spread = 0 + clk0_multiply_by = 5435 + clk1_multiply_by = 1 + clk2_multiply_by = 1 + clk3_multiply_by = 1 + clk4_multiply_by = 1 + clk5_multiply_by = 1 + extclk0_multiply_by = 1 + extclk1_multiply_by = 1 + extclk2_multiply_by = 1 + extclk3_multiply_by = 1 + clk0_divide_by = 6666 + clk1_divide_by = 1 + clk2_divide_by = 1 + clk3_divide_by = 1 + clk4_divide_by = 1 + clk5_divide_by = 1 + extclk0_divide_by = 1 + extclk1_divide_by = 1 + extclk2_divide_by = 1 + extclk3_divide_by = 1 + clk0_phase_shift = 0 + clk1_phase_shift = 0 + clk2_phase_shift = 0 + clk3_phase_shift = 0 + clk4_phase_shift = 0 + clk5_phase_shift = 0 + extclk0_phase_shift = 0 + extclk1_phase_shift = 0 + extclk2_phase_shift = 0 + extclk3_phase_shift = 0 + clk0_time_delay = 0 + clk1_time_delay = 0 + clk2_time_delay = 0 + clk3_time_delay = 0 + clk4_time_delay = 0 + clk5_time_delay = 0 + extclk0_time_delay = 0 + extclk1_time_delay = 0 + extclk2_time_delay = 0 + extclk3_time_delay = 0 + clk0_duty_cycle = 50 + clk1_duty_cycle = 50 + clk2_duty_cycle = 50 + clk3_duty_cycle = 50 + clk4_duty_cycle = 50 + clk5_duty_cycle = 50 + extclk0_duty_cycle = 50 + extclk1_duty_cycle = 50 + extclk2_duty_cycle = 50 + extclk3_duty_cycle = 50 + clk0_use_even_counter_mode = off + clk1_use_even_counter_mode = off + clk2_use_even_counter_mode = off + clk3_use_even_counter_mode = off + clk4_use_even_counter_mode = off + clk5_use_even_counter_mode = off + extclk0_use_even_counter_mode = off + extclk1_use_even_counter_mode = off + extclk2_use_even_counter_mode = off + extclk3_use_even_counter_mode = off + clk0_use_even_counter_value = off + clk1_use_even_counter_value = off + clk2_use_even_counter_value = off + clk3_use_even_counter_value = off + clk4_use_even_counter_value = off + clk5_use_even_counter_value = off + extclk0_use_even_counter_value = off + extclk1_use_even_counter_value = off + extclk2_use_even_counter_value = off + extclk3_use_even_counter_value = off + m = 234 + n = 7 + m2 = 1 + n2 = 1 + ss = 0 + charge_pump_current = 0 + loop_filter_c = 0 + loop_filter_r = 0.000000 + enable0_counter = + enable1_counter = + clk0_counter = l0 + clk1_counter = + clk2_counter = + clk3_counter = + clk4_counter = + clk5_counter = + extclk0_counter = + extclk1_counter = + extclk2_counter = + extclk3_counter = + l0_is_used = yes + l1_is_used = no + g0_is_used = no + g1_is_used = no + g2_is_used = no + g3_is_used = no + e0_is_used = no + e1_is_used = no + e2_is_used = no + e3_is_used = no + l0_mode = odd + l1_mode = bypass + g0_mode = bypass + g1_mode = bypass + g2_mode = bypass + g3_mode = bypass + e0_mode = bypass + e1_mode = bypass + e2_mode = bypass + e3_mode = bypass + l0_high = 21 + l1_high = 0 + g0_high = 0 + g1_high = 0 + g2_high = 0 + g3_high = 0 + e0_high = 0 + e1_high = 0 + e2_high = 0 + e3_high = 0 + l0_low = 20 + l1_low = 0 + g0_low = 0 + g1_low = 0 + g2_low = 0 + g3_low = 0 + e0_low = 0 + e1_low = 0 + e2_low = 0 + e3_low = 0 + m_initial = 1 + l0_initial = 1 + l1_initial = 1 + g0_initial = 1 + g1_initial = 1 + g2_initial = 1 + g3_initial = 1 + e0_initial = 1 + e1_initial = 1 + e2_initial = 1 + e3_initial = 1 + m_ph = 0 + l0_ph = 0 + l1_ph = 0 + g0_ph = 0 + g1_ph = 0 + g2_ph = 0 + g3_ph = 0 + e0_ph = 0 + e1_ph = 0 + e2_ph = 0 + e3_ph = 0 + m_time_delay = 0 + n_time_delay = 0 + l0_time_delay = 0 + l1_time_delay = 0 + g0_time_delay = 0 + g1_time_delay = 0 + g2_time_delay = 0 + g3_time_delay = 0 + e0_time_delay = 0 + e1_time_delay = 0 + e2_time_delay = 0 + e3_time_delay = 0 + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 190 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 191 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 10 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 192 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 193 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 194 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 195 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 196 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 197 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 198 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 199 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 200 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 201 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 202 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 203 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 204 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 205 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 206 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 207 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 208 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 209 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 55aa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 210 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 211 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 212 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 213 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 214 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 215 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 216 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 217 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 218 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 219 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 220 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 221 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 222 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 223 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 224 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 225 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 226 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 227 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 228 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 229 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 230 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 231 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 232 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 233 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 234 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 FANOUTS 9 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 235 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 30ba + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 236 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 237 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 238 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 239 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 240 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 32 + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = reg_and_comb + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|dly_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 242 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a2a2 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|dly_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 243 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a8a8 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 244 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 245 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 246 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0808 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE + Atom Hier Name: + Atom Id: 247 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 248 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 249 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f0f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 250 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 251 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 -- UNIQUE + Atom Hier Name: + Atom Id: 252 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_i_o3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 253 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_i_o3_0 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff80 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|N_4_i_0_g0_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 254 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|N_4_i_0_g0_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 00ec + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|r_next_i_o7_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 255 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bfbf + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|N_23_i_0_g0_a_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 256 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|N_23_i_0_g0_a LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6c6e + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_i_a7_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 257 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_i_a7_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 258 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 00ef + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 259 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 260 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 262 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 263 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1f0f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 264 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 265 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 266 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 267 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 268 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 269 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 270 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 271 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 272 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 273 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_2 -- UNIQUE + Atom Hier Name: + Atom Id: 274 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|G_2_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 275 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 276 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 277 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 278 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 279 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE + Atom Hier Name: + Atom Id: 280 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 281 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 282 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 283 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 284 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 285 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 286 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 287 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 288 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 289 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 290 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 291 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_16 -- UNIQUE + Atom Hier Name: + Atom Id: 292 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|G_16_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 293 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 294 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 295 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaab + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE + Atom Hier Name: + Atom Id: 296 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 298 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 299 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 300 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 301 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 302 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0ace + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 303 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|g_next_i_o3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 304 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 -- UNIQUE + Atom Hier Name: + Atom Id: 305 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 306 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 307 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE + Atom Hier Name: + Atom Id: 308 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 309 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 310 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 311 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 312 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE + Atom Hier Name: + Atom Id: 313 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f07 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 314 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE + Atom Hier Name: + Atom Id: 315 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE + Atom Hier Name: + Atom Id: 316 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE + Atom Hier Name: + Atom Id: 317 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 318 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 319 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = d0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 320 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 70f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 321 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE + Atom Hier Name: + Atom Id: 322 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 323 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 324 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2a2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: board_clk -- NON-UNIQUE + Atom Hier Name: + Atom Id: 261 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] board_clk LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: [PADIO] board_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 89 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 90 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_column_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 91 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_column_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_line_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 92 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_line_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_hsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 93 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_hsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_vsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 94 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_vsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_r_out -- UNIQUE + Atom Hier Name: + Atom Id: 95 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_r LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_g_out -- UNIQUE + Atom Hier Name: + Atom Id: 96 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_g LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_b_out -- UNIQUE + Atom Hier Name: + Atom Id: 97 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_b LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_h_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 98 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_h_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_v_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 99 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_v_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_state_clk_out -- UNIQUE + Atom Hier Name: + Atom Id: 100 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_state_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 101 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 102 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 103 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 104 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 105 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 106 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|b0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 107 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|b1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 108 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|hsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 109 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] hsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 110 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] vsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 111 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 112 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 113 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 114 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 115 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 116 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 117 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 118 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 119 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 120 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 121 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 122 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 123 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 124 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 125 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 126 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 127 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 128 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 129 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 130 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 131 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 132 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 133 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 134 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 135 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 136 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 137 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 138 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 139 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 140 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 141 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 142 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 143 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 144 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 145 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 146 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 147 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 148 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 149 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 150 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 151 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 152 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 153 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 154 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 155 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 156 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 157 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 158 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 159 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 160 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 161 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 162 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 163 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 164 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 165 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 166 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 167 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 168 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 169 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 170 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 171 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 172 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 173 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 174 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 175 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 176 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 177 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|reset_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 241 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] reset LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: [PADIO] reset LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE + Atom Hier Name: + Atom Id: 325 + Atom Type: stratix_lcell + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~DATA0~ -- NON-UNIQUE + Atom Hier Name: + Atom Id: 326 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] ~DATA0~ LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + diff --git a/bsp3/Designflow/ppr/download/incremental_db/README b/bsp3/Designflow/ppr/download/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/bsp3/Designflow/ppr/download/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/bsp3/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt b/bsp3/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt new file mode 100644 index 0000000..0ed963a --- /dev/null +++ b/bsp3/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt @@ -0,0 +1,1250 @@ + + + + inst/vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + inst/vga_control_unit/b_Z + stratix_lcell + le + + + inst/dly_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + inst/vga_driver_unit/v_sync_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + inst/vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/h_sync_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + inst/vga_control_unit/g_Z + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + inst/vga_control_unit/r_Z + stratix_lcell + le + + + inst/dly_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + inst/vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + + + + + vga:inst|vga_control:vga_control_unit|r + + + vga:inst|vga_driver:vga_driver_unit|h_enable_sig + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 + + + vga:inst|vga_driver:vga_driver_unit|v_enable_sig + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_5 + + + vga:inst|vga_driver:vga_driver_unit|v_sync + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_6 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_1 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_0 + + + vga:inst|vga_control:vga_control_unit|g + + + vga:inst|vga_control:vga_control_unit|b + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 + + + vga:inst|vga_driver:vga_driver_unit|h_sync + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_2 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_3 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_0 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_1 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_6 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_4 + + + vga:inst|dly_counter[1] + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_5 + + + vga:inst|dly_counter[0] + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.sft b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.sft new file mode 100644 index 0000000..5aed62e --- /dev/null +++ b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.sft @@ -0,0 +1,4 @@ +set tool_name "ModelSim-Altera (Verilog)" +set corner_file_list { + {{"Slow Model"} {vga_pll.vo vga_pll_v.sdo}} +} diff --git a/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.vo b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.vo new file mode 100644 index 0000000..75e886a --- /dev/null +++ b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.vo @@ -0,0 +1,9013 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II" +// VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version" + +// DATE "10/29/2009 17:13:31" + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module vga_pll ( + d_hsync, + board_clk, + reset, + d_vsync, + d_set_column_counter, + d_set_line_counter, + d_set_hsync_counter, + d_set_vsync_counter, + d_r, + d_g, + d_b, + d_h_enable, + d_v_enable, + d_state_clk, + r0_pin, + r1_pin, + r2_pin, + g0_pin, + g1_pin, + g2_pin, + b0_pin, + b1_pin, + hsync_pin, + vsync_pin, + d_column_counter, + d_hsync_counter, + d_hsync_state, + d_line_counter, + d_vsync_counter, + d_vsync_state, + seven_seg_pin); +output d_hsync; +input board_clk; +input reset; +output d_vsync; +output d_set_column_counter; +output d_set_line_counter; +output d_set_hsync_counter; +output d_set_vsync_counter; +output d_r; +output d_g; +output d_b; +output d_h_enable; +output d_v_enable; +output d_state_clk; +output r0_pin; +output r1_pin; +output r2_pin; +output g0_pin; +output g1_pin; +output g2_pin; +output b0_pin; +output b1_pin; +output hsync_pin; +output vsync_pin; +output [9:0] d_column_counter; +output [9:0] d_hsync_counter; +output [0:6] d_hsync_state; +output [8:0] d_line_counter; +output [9:0] d_vsync_counter; +output [0:6] d_vsync_state; +output [13:0] seven_seg_pin; + +wire gnd = 1'b0; +wire vcc = 1'b1; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("vga_pll_v.sdo"); +// synopsys translate_on + +wire \inst1|altpll_component|pll~CLK1 ; +wire \inst1|altpll_component|pll~CLK2 ; +wire \inst1|altpll_component|pll~CLK3 ; +wire \inst1|altpll_component|pll~CLK4 ; +wire \inst1|altpll_component|pll~CLK5 ; +wire \inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ; +wire \inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ; +wire \board_clk~combout ; +wire \inst1|altpll_component|_clk0 ; +wire \reset~combout ; +wire \inst|vga_driver_unit|un6_dly_counter_0_x ; +wire \inst|vga_driver_unit|hsync_state_6 ; +wire \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ; +wire \inst|vga_driver_unit|hsync_counter_1 ; +wire \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ; +wire \inst|vga_driver_unit|hsync_counter_2 ; +wire \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ; +wire \inst|vga_driver_unit|hsync_counter_3 ; +wire \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ; +wire \inst|vga_driver_unit|hsync_counter_5 ; +wire \inst|vga_driver_unit|un13_hsync_counter_7 ; +wire \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ; +wire \inst|vga_driver_unit|hsync_counter_6 ; +wire \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ; +wire \inst|vga_driver_unit|hsync_counter_7 ; +wire \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ; +wire \inst|vga_driver_unit|hsync_counter_8 ; +wire \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ; +wire \inst|vga_driver_unit|hsync_counter_9 ; +wire \inst|vga_driver_unit|un9_hsync_counterlt9_3 ; +wire \inst|vga_driver_unit|un9_hsync_counterlt9 ; +wire \inst|vga_driver_unit|G_2_i ; +wire \inst|vga_driver_unit|hsync_counter_0 ; +wire \inst|vga_driver_unit|un12_hsync_counter_3 ; +wire \inst|vga_driver_unit|un12_hsync_counter_4 ; +wire \inst|vga_driver_unit|un12_hsync_counter ; +wire \inst|vga_driver_unit|un10_hsync_counter_1 ; +wire \inst|vga_driver_unit|un11_hsync_counter_3 ; +wire \inst|vga_driver_unit|un11_hsync_counter_2 ; +wire \inst|vga_driver_unit|un10_hsync_counter_4 ; +wire \inst|vga_driver_unit|un10_hsync_counter_3 ; +wire \inst|vga_driver_unit|hsync_state_5 ; +wire \inst|vga_driver_unit|hsync_state_4 ; +wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ; +wire \inst|vga_driver_unit|hsync_state_1 ; +wire \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ; +wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ; +wire \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; +wire \inst|vga_driver_unit|hsync_state_2 ; +wire \inst|vga_driver_unit|hsync_state_0 ; +wire \inst|vga_driver_unit|d_set_hsync_counter ; +wire \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ; +wire \inst|vga_driver_unit|hsync_counter_4 ; +wire \inst|vga_driver_unit|un13_hsync_counter_2 ; +wire \inst|vga_driver_unit|un13_hsync_counter ; +wire \inst|vga_driver_unit|hsync_state_3 ; +wire \inst|vga_driver_unit|un1_hsync_state_3_0 ; +wire \inst|vga_driver_unit|h_sync_1_0_0_0_g1 ; +wire \inst|vga_driver_unit|h_sync ; +wire \inst|vga_driver_unit|vsync_state_6 ; +wire \inst|vga_driver_unit|vsync_counter_0 ; +wire \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ; +wire \inst|vga_driver_unit|vsync_counter_1 ; +wire \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ; +wire \inst|vga_driver_unit|vsync_counter_2 ; +wire \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ; +wire \inst|vga_driver_unit|vsync_counter_3 ; +wire \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ; +wire \inst|vga_driver_unit|vsync_counter_4 ; +wire \inst|vga_driver_unit|vsync_counter_5 ; +wire \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ; +wire \inst|vga_driver_unit|vsync_counter_6 ; +wire \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ; +wire \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ; +wire \inst|vga_driver_unit|vsync_counter_8 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9_5 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9_6 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9 ; +wire \inst|vga_driver_unit|G_16_i ; +wire \inst|vga_driver_unit|vsync_counter_7 ; +wire \inst|vga_driver_unit|un12_vsync_counter_6 ; +wire \inst|vga_driver_unit|un12_vsync_counter_7 ; +wire \inst|vga_driver_unit|un14_vsync_counter_8 ; +wire \inst|vga_driver_unit|un13_vsync_counter_3 ; +wire \inst|vga_driver_unit|un13_vsync_counter_4 ; +wire \inst|vga_driver_unit|vsync_state_1 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ; +wire \inst|vga_driver_unit|un15_vsync_counter_3 ; +wire \inst|vga_driver_unit|un15_vsync_counter_4 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ; +wire \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ; +wire \inst|vga_driver_unit|vsync_state_5 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ; +wire \inst|vga_driver_unit|vsync_state_next_2_sqmuxa ; +wire \inst|vga_driver_unit|vsync_state_3 ; +wire \inst|vga_driver_unit|vsync_state_2 ; +wire \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ; +wire \inst|vga_driver_unit|vsync_state_0 ; +wire \inst|vga_driver_unit|d_set_vsync_counter ; +wire \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ; +wire \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ; +wire \inst|vga_driver_unit|vsync_counter_9 ; +wire \inst|vga_driver_unit|vsync_state_4 ; +wire \inst|vga_driver_unit|un1_vsync_state_2_0 ; +wire \inst|vga_driver_unit|v_sync_1_0_0_0_g1 ; +wire \inst|vga_driver_unit|v_sync ; +wire \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; +wire \inst|vga_driver_unit|column_counter_sig_0 ; +wire \inst|vga_driver_unit|column_counter_sig_1 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ; +wire \inst|vga_driver_unit|column_counter_sig_3 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ; +wire \inst|vga_driver_unit|column_counter_sig_2 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ; +wire \inst|vga_driver_unit|column_counter_sig_4 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ; +wire \inst|vga_driver_unit|column_counter_sig_5 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ; +wire \inst|vga_driver_unit|column_counter_sig_8 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6_1 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ; +wire \inst|vga_driver_unit|column_counter_sig_9 ; +wire \inst|vga_driver_unit|un10_column_counter_siglto9 ; +wire \inst|vga_driver_unit|column_counter_sig_7 ; +wire \inst|vga_driver_unit|column_counter_sig_6 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6_3 ; +wire \inst|vga_control_unit|b_next_i_o3_0 ; +wire \inst|vga_control_unit|g_next_i_o3 ; +wire \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; +wire \inst|vga_driver_unit|v_enable_sig ; +wire \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; +wire \inst|vga_driver_unit|h_enable_sig ; +wire \inst|vga_control_unit|r_next_i_o7 ; +wire \inst|vga_control_unit|N_4_i_0_g0_1 ; +wire \inst|vga_control_unit|r ; +wire \inst|vga_control_unit|N_23_i_0_g0_a ; +wire \inst|vga_control_unit|g ; +wire \inst|vga_control_unit|N_6_i_0_g0_0 ; +wire \inst|vga_control_unit|b_next_i_a7_1 ; +wire \inst|vga_control_unit|b ; +wire \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; +wire \inst|vga_driver_unit|line_counter_sig_0 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ; +wire \inst|vga_driver_unit|line_counter_sig_2 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ; +wire \inst|vga_driver_unit|line_counter_sig_1 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ; +wire \inst|vga_driver_unit|line_counter_sig_3 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ; +wire \inst|vga_driver_unit|line_counter_sig_4 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ; +wire \inst|vga_driver_unit|line_counter_sig_5 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ; +wire \inst|vga_driver_unit|line_counter_sig_6 ; +wire \inst|vga_driver_unit|un10_line_counter_siglt4_2 ; +wire \inst|vga_driver_unit|un10_line_counter_siglto5 ; +wire \inst|vga_driver_unit|un10_line_counter_siglto8 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ; +wire \inst|vga_driver_unit|line_counter_sig_7 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ; +wire \inst|vga_driver_unit|line_counter_sig_8 ; +wire \~STRATIX_FITTER_CREATED_GND~I_combout ; +wire [8:0] \inst|vga_driver_unit|hsync_counter_cout ; +wire [1:1] \inst|vga_driver_unit|un1_line_counter_sig_a_cout ; +wire [9:1] \inst|vga_driver_unit|un1_line_counter_sig_combout ; +wire [7:1] \inst|vga_driver_unit|un1_line_counter_sig_cout ; +wire [9:1] \inst|vga_driver_unit|un2_column_counter_next_combout ; +wire [7:0] \inst|vga_driver_unit|un2_column_counter_next_cout ; +wire [8:0] \inst|vga_driver_unit|vsync_counter_cout ; +wire [1:0] \inst|dly_counter ; + +wire [5:0] \inst1|altpll_component|pll_CLK_bus ; + +assign \inst1|altpll_component|_clk0 = \inst1|altpll_component|pll_CLK_bus [0]; +assign \inst1|altpll_component|pll~CLK1 = \inst1|altpll_component|pll_CLK_bus [1]; +assign \inst1|altpll_component|pll~CLK2 = \inst1|altpll_component|pll_CLK_bus [2]; +assign \inst1|altpll_component|pll~CLK3 = \inst1|altpll_component|pll_CLK_bus [3]; +assign \inst1|altpll_component|pll~CLK4 = \inst1|altpll_component|pll_CLK_bus [4]; +assign \inst1|altpll_component|pll~CLK5 = \inst1|altpll_component|pll_CLK_bus [5]; + +// atom is at PIN_N3 +stratix_io \board_clk~I ( + .datain(gnd), + .ddiodatain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\board_clk~combout ), + .regout(), + .ddioregout(), + .padio(board_clk), + .dqsundelayedout()); +// synopsys translate_off +defparam \board_clk~I .ddio_mode = "none"; +defparam \board_clk~I .input_async_reset = "none"; +defparam \board_clk~I .input_power_up = "low"; +defparam \board_clk~I .input_register_mode = "none"; +defparam \board_clk~I .input_sync_reset = "none"; +defparam \board_clk~I .oe_async_reset = "none"; +defparam \board_clk~I .oe_power_up = "low"; +defparam \board_clk~I .oe_register_mode = "none"; +defparam \board_clk~I .oe_sync_reset = "none"; +defparam \board_clk~I .operation_mode = "input"; +defparam \board_clk~I .output_async_reset = "none"; +defparam \board_clk~I .output_power_up = "low"; +defparam \board_clk~I .output_register_mode = "none"; +defparam \board_clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PLL_1 +stratix_pll \inst1|altpll_component|pll ( + .fbin(vcc), + .ena(vcc), + .clkswitch(gnd), + .areset(gnd), + .pfdena(vcc), + .scanclk(gnd), + .scanaclr(gnd), + .scandata(gnd), + .comparator(gnd), + .inclk({gnd,\board_clk~combout }), + .clkena(6'b111111), + .extclkena(4'b1111), + .activeclock(), + .clkloss(), + .locked(), + .scandataout(), + .enable0(), + .enable1(), + .clk(\inst1|altpll_component|pll_CLK_bus ), + .extclk(), + .clkbad()); +// synopsys translate_off +defparam \inst1|altpll_component|pll .clk0_counter = "g0"; +defparam \inst1|altpll_component|pll .clk0_divide_by = 38; +defparam \inst1|altpll_component|pll .clk0_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk0_multiply_by = 31; +defparam \inst1|altpll_component|pll .clk0_phase_shift = "-725"; +defparam \inst1|altpll_component|pll .clk1_divide_by = 1; +defparam \inst1|altpll_component|pll .clk1_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk1_multiply_by = 1; +defparam \inst1|altpll_component|pll .clk1_phase_shift = "0"; +defparam \inst1|altpll_component|pll .clk2_divide_by = 1; +defparam \inst1|altpll_component|pll .clk2_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk2_multiply_by = 1; +defparam \inst1|altpll_component|pll .clk2_phase_shift = "0"; +defparam \inst1|altpll_component|pll .compensate_clock = "clk0"; +defparam \inst1|altpll_component|pll .enable_switch_over_counter = "off"; +defparam \inst1|altpll_component|pll .g0_high = 10; +defparam \inst1|altpll_component|pll .g0_initial = 1; +defparam \inst1|altpll_component|pll .g0_low = 9; +defparam \inst1|altpll_component|pll .g0_mode = "odd"; +defparam \inst1|altpll_component|pll .g0_ph = 0; +defparam \inst1|altpll_component|pll .gate_lock_counter = 0; +defparam \inst1|altpll_component|pll .gate_lock_signal = "no"; +defparam \inst1|altpll_component|pll .inclk0_input_frequency = 30003; +defparam \inst1|altpll_component|pll .inclk1_input_frequency = 30003; +defparam \inst1|altpll_component|pll .invalid_lock_multiplier = 5; +defparam \inst1|altpll_component|pll .l0_high = 13; +defparam \inst1|altpll_component|pll .l0_initial = 1; +defparam \inst1|altpll_component|pll .l0_low = 13; +defparam \inst1|altpll_component|pll .l0_mode = "even"; +defparam \inst1|altpll_component|pll .l0_ph = 0; +defparam \inst1|altpll_component|pll .l1_mode = "bypass"; +defparam \inst1|altpll_component|pll .l1_ph = 0; +defparam \inst1|altpll_component|pll .m = 31; +defparam \inst1|altpll_component|pll .m_initial = 1; +defparam \inst1|altpll_component|pll .m_ph = 3; +defparam \inst1|altpll_component|pll .n = 2; +defparam \inst1|altpll_component|pll .operation_mode = "normal"; +defparam \inst1|altpll_component|pll .pfd_max = 100000; +defparam \inst1|altpll_component|pll .pfd_min = 2000; +defparam \inst1|altpll_component|pll .pll_compensation_delay = 1713; +defparam \inst1|altpll_component|pll .pll_type = "fast"; +defparam \inst1|altpll_component|pll .primary_clock = "inclk0"; +defparam \inst1|altpll_component|pll .qualify_conf_done = "off"; +defparam \inst1|altpll_component|pll .simulation_type = "timing"; +defparam \inst1|altpll_component|pll .skip_vco = "off"; +defparam \inst1|altpll_component|pll .switch_over_counter = 1; +defparam \inst1|altpll_component|pll .switch_over_on_gated_lock = "off"; +defparam \inst1|altpll_component|pll .switch_over_on_lossclk = "off"; +defparam \inst1|altpll_component|pll .valid_lock_multiplier = 1; +defparam \inst1|altpll_component|pll .vco_center = 1250; +defparam \inst1|altpll_component|pll .vco_max = 3334; +defparam \inst1|altpll_component|pll .vco_min = 1000; +// synopsys translate_on + +// atom is at PIN_A5 +stratix_io \inst|reset_pin_in~I ( + .datain(gnd), + .ddiodatain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .ddioregout(), + .padio(reset), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|reset_pin_in~I .ddio_mode = "none"; +defparam \inst|reset_pin_in~I .input_async_reset = "none"; +defparam \inst|reset_pin_in~I .input_power_up = "low"; +defparam \inst|reset_pin_in~I .input_register_mode = "none"; +defparam \inst|reset_pin_in~I .input_sync_reset = "none"; +defparam \inst|reset_pin_in~I .oe_async_reset = "none"; +defparam \inst|reset_pin_in~I .oe_power_up = "low"; +defparam \inst|reset_pin_in~I .oe_register_mode = "none"; +defparam \inst|reset_pin_in~I .oe_sync_reset = "none"; +defparam \inst|reset_pin_in~I .operation_mode = "input"; +defparam \inst|reset_pin_in~I .output_async_reset = "none"; +defparam \inst|reset_pin_in~I .output_power_up = "low"; +defparam \inst|reset_pin_in~I .output_register_mode = "none"; +defparam \inst|reset_pin_in~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N3 +stratix_lcell \inst|dly_counter_0_ ( +// Equation(s): +// \inst|dly_counter [0] = DFFEAS(\reset~combout & (\inst|dly_counter [1] # !\inst|dly_counter [0]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\reset~combout ), + .datab(vcc), + .datac(\inst|dly_counter [0]), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|dly_counter [0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|dly_counter_0_ .lut_mask = "aa0a"; +defparam \inst|dly_counter_0_ .operation_mode = "normal"; +defparam \inst|dly_counter_0_ .output_mode = "reg_only"; +defparam \inst|dly_counter_0_ .register_cascade_mode = "off"; +defparam \inst|dly_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|dly_counter_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N9 +stratix_lcell \inst|dly_counter_1_ ( +// Equation(s): +// \inst|dly_counter [1] = DFFEAS(\reset~combout & (\inst|dly_counter [0] # \inst|dly_counter [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\reset~combout ), + .datab(vcc), + .datac(\inst|dly_counter [0]), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|dly_counter [1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|dly_counter_1_ .lut_mask = "aaa0"; +defparam \inst|dly_counter_1_ .operation_mode = "normal"; +defparam \inst|dly_counter_1_ .output_mode = "reg_only"; +defparam \inst|dly_counter_1_ .register_cascade_mode = "off"; +defparam \inst|dly_counter_1_ .sum_lutc_input = "datac"; +defparam \inst|dly_counter_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N7 +stratix_lcell \inst|vga_driver_unit|vsync_state_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un6_dly_counter_0_x = !\inst|dly_counter [1] # !\inst|dly_counter [0] # !\reset~combout +// \inst|vga_driver_unit|vsync_state_6 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\reset~combout ), + .datab(vcc), + .datac(\inst|dly_counter [0]), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .regout(\inst|vga_driver_unit|vsync_state_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_6_ .lut_mask = "5fff"; +defparam \inst|vga_driver_unit|vsync_state_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_6_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|vsync_state_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N2 +stratix_lcell \inst|vga_driver_unit|hsync_state_6_ ( +// Equation(s): +// \inst|vga_driver_unit|d_set_hsync_counter = E1_hsync_state_6 # \inst|vga_driver_unit|hsync_state_0 +// \inst|vga_driver_unit|hsync_state_6 = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|un6_dly_counter_0_x , , , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|hsync_state_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|d_set_hsync_counter ), + .regout(\inst|vga_driver_unit|hsync_state_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_6_ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|hsync_state_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_6_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|hsync_state_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_6_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|hsync_state_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N0 +stratix_lcell \inst|vga_driver_unit|hsync_counter_0_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_0 = DFFEAS(!\inst|vga_driver_unit|hsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , +// !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|hsync_counter_0 ) +// \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|hsync_counter_0 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_0 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_0 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [0]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_0_ .lut_mask = "33cc"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N1 +stratix_lcell \inst|vga_driver_unit|hsync_counter_1_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_1 = DFFEAS(\inst|vga_driver_unit|hsync_counter_1 $ \inst|vga_driver_unit|hsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [0] # !\inst|vga_driver_unit|hsync_counter_1 ) +// \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|hsync_counter_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [0]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_1 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [1]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_1_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N2 +stratix_lcell \inst|vga_driver_unit|hsync_counter_2_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_2 = DFFEAS(\inst|vga_driver_unit|hsync_counter_2 $ (!\inst|vga_driver_unit|hsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout [1])) +// \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [1]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_2 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [2]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N3 +stratix_lcell \inst|vga_driver_unit|hsync_counter_3_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_3 = DFFEAS(\inst|vga_driver_unit|hsync_counter_3 $ (\inst|vga_driver_unit|hsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [2] # !\inst|vga_driver_unit|hsync_counter_3 ) +// \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|hsync_counter_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [2]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_3 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [3]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N4 +stratix_lcell \inst|vga_driver_unit|hsync_counter_4_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_4 = DFFEAS(\inst|vga_driver_unit|hsync_counter_4 $ (!\inst|vga_driver_unit|hsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|hsync_counter_4 & (!\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [3]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_4 ), + .cout(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N5 +stratix_lcell \inst|vga_driver_unit|hsync_counter_5_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_5 = DFFEAS(\inst|vga_driver_unit|hsync_counter_5 $ \inst|vga_driver_unit|hsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 ) +// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_5 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_5 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [5]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_5_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N1 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter_7 = \inst|vga_driver_unit|hsync_counter_2 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_2 ), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N6 +stratix_lcell \inst|vga_driver_unit|hsync_counter_6_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_6 = DFFEAS(\inst|vga_driver_unit|hsync_counter_6 $ !(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [5]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout [5]) +// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_6 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [5]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_6 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [6]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .lut_mask = "c30c"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N7 +stratix_lcell \inst|vga_driver_unit|hsync_counter_7_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_7 = DFFEAS(\inst|vga_driver_unit|hsync_counter_7 $ ((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [6]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [6] # !\inst|vga_driver_unit|hsync_counter_7 ) +// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|hsync_counter_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [6]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_7 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [7]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N8 +stratix_lcell \inst|vga_driver_unit|hsync_counter_8_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_8 = DFFEAS(\inst|vga_driver_unit|hsync_counter_8 $ (!(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [7]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout [7])) +// \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_8 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [7]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_8 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [8]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y43_N9 +stratix_lcell \inst|vga_driver_unit|hsync_counter_9_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [8]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ) $ +// \inst|vga_driver_unit|hsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(\inst|vga_driver_unit|hsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [8]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .lut_mask = "0ff0"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X55_Y44_N2 +stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 ( +// Equation(s): +// \inst|vga_driver_unit|un9_hsync_counterlt9_3 = !\inst|vga_driver_unit|hsync_counter_6 # !\inst|vga_driver_unit|hsync_counter_8 # !\inst|vga_driver_unit|hsync_counter_9 # !\inst|vga_driver_unit|hsync_counter_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(\inst|vga_driver_unit|hsync_counter_9 ), + .datac(\inst|vga_driver_unit|hsync_counter_8 ), + .datad(\inst|vga_driver_unit|hsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X55_Y44_N4 +stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 ( +// Equation(s): +// \inst|vga_driver_unit|un9_hsync_counterlt9 = \inst|vga_driver_unit|un9_hsync_counterlt9_3 # !\inst|vga_driver_unit|un13_hsync_counter_7 # !\inst|vga_driver_unit|hsync_counter_4 # !\inst|vga_driver_unit|hsync_counter_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_5 ), + .datab(\inst|vga_driver_unit|hsync_counter_4 ), + .datac(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .datad(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .lut_mask = "ff7f"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X55_Y44_N5 +stratix_lcell \inst|vga_driver_unit|G_2 ( +// Equation(s): +// \inst|vga_driver_unit|G_2_i = !\inst|vga_driver_unit|hsync_state_6 & !\inst|vga_driver_unit|hsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|un9_hsync_counterlt9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_6 ), + .datab(\inst|vga_driver_unit|hsync_state_0 ), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|G_2_i ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|G_2 .lut_mask = "01ff"; +defparam \inst|vga_driver_unit|G_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|G_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|G_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|G_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|G_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N5 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_9 & \inst|vga_driver_unit|hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(\inst|vga_driver_unit|hsync_counter_9 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_counter_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .lut_mask = "0040"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N6 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter_4 = !\inst|vga_driver_unit|hsync_counter_4 & !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_4 ), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|hsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .lut_mask = "0100"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N8 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter = \inst|vga_driver_unit|hsync_counter_0 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|un12_hsync_counter_3 & \inst|vga_driver_unit|un12_hsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_0 ), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|un12_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|un12_hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N8 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_1 = !\inst|vga_driver_unit|hsync_counter_5 & !\inst|vga_driver_unit|hsync_counter_9 & !\inst|vga_driver_unit|hsync_counter_8 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_5 ), + .datac(\inst|vga_driver_unit|hsync_counter_9 ), + .datad(\inst|vga_driver_unit|hsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .lut_mask = "0003"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N3 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un11_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_3 & !\inst|vga_driver_unit|hsync_counter_4 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(\inst|vga_driver_unit|hsync_counter_4 ), + .datac(\inst|vga_driver_unit|hsync_counter_1 ), + .datad(\inst|vga_driver_unit|hsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .lut_mask = "1000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N1 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 ( +// Equation(s): +// \inst|vga_driver_unit|un11_hsync_counter_2 = \inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_7 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|hsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .lut_mask = "0a00"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N7 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_4 = \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N9 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_0 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .lut_mask = "0003"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X55_Y44_N8 +stratix_lcell \inst|vga_driver_unit|hsync_state_5_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_5 = DFFEAS(\inst|vga_driver_unit|hsync_state_6 # \inst|vga_driver_unit|hsync_state_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_state_6 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_5_ .lut_mask = "ffaa"; +defparam \inst|vga_driver_unit|hsync_state_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N6 +stratix_lcell \inst|vga_driver_unit|hsync_state_4_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_4 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_4 & \inst|vga_driver_unit|un10_hsync_counter_3 & \inst|vga_driver_unit|hsync_state_5 & \inst|vga_driver_unit|un10_hsync_counter_1 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .datab(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .datac(\inst|vga_driver_unit|hsync_state_5 ), + .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_4_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|hsync_state_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N4 +stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|hsync_state_4 & (!\inst|vga_driver_unit|un11_hsync_counter_2 # !\inst|vga_driver_unit|un11_hsync_counter_3 # !\inst|vga_driver_unit|un10_hsync_counter_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .datab(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .datac(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .lut_mask = "7f00"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N4 +stratix_lcell \inst|vga_driver_unit|hsync_state_1_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_1 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_1 & \inst|vga_driver_unit|un11_hsync_counter_2 & \inst|vga_driver_unit|un11_hsync_counter_3 & \inst|vga_driver_unit|hsync_state_4 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .datac(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_1_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|hsync_state_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|un13_hsync_counter & (E1_hsync_state_3 & !\inst|vga_driver_unit|un12_hsync_counter ) # !\inst|vga_driver_unit|un13_hsync_counter & (\inst|vga_driver_unit|hsync_state_2 # +// E1_hsync_state_3 & !\inst|vga_driver_unit|un12_hsync_counter ) +// \inst|vga_driver_unit|hsync_state_3 = DFFEAS(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , \inst|vga_driver_unit|hsync_state_1 , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un13_hsync_counter ), + .datab(\inst|vga_driver_unit|hsync_state_2 ), + .datac(\inst|vga_driver_unit|hsync_state_1 ), + .datad(\inst|vga_driver_unit|un12_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(vcc), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ), + .regout(\inst|vga_driver_unit|hsync_state_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_3_ .lut_mask = "44f4"; +defparam \inst|vga_driver_unit|hsync_state_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_3_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|hsync_state_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_3_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|hsync_state_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N0 +stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|hsync_state_5 & (!\inst|vga_driver_unit|un10_hsync_counter_1 # !\inst|vga_driver_unit|un10_hsync_counter_4 # !\inst|vga_driver_unit|un10_hsync_counter_3 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .datab(\inst|vga_driver_unit|hsync_state_5 ), + .datac(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .lut_mask = "4ccc"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N7 +stratix_lcell \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 & !\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 & +// !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ), + .datab(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .lut_mask = "f0f1"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X55_Y44_N6 +stratix_lcell \inst|vga_driver_unit|hsync_state_2_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_2 = DFFEAS(\inst|vga_driver_unit|un12_hsync_counter & \inst|vga_driver_unit|hsync_state_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un12_hsync_counter ), + .datad(\inst|vga_driver_unit|hsync_state_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_2_ .lut_mask = "f000"; +defparam \inst|vga_driver_unit|hsync_state_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X55_Y44_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_0_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_0 = DFFEAS(\inst|vga_driver_unit|hsync_state_2 & (\inst|vga_driver_unit|un13_hsync_counter ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_state_2 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un13_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_0_ .lut_mask = "cc00"; +defparam \inst|vga_driver_unit|hsync_state_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N1 +stratix_lcell \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa = \reset~combout & \inst|dly_counter [1] & \inst|dly_counter [0] & !\inst|vga_driver_unit|d_set_hsync_counter + + .clk(gnd), + .dataa(\reset~combout ), + .datab(\inst|dly_counter [1]), + .datac(\inst|dly_counter [0]), + .datad(\inst|vga_driver_unit|d_set_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N2 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter_2 = \inst|vga_driver_unit|hsync_counter_4 & !\inst|vga_driver_unit|hsync_counter_5 & \inst|vga_driver_unit|hsync_counter_9 & \inst|vga_driver_unit|hsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_4 ), + .datab(\inst|vga_driver_unit|hsync_counter_5 ), + .datac(\inst|vga_driver_unit|hsync_counter_9 ), + .datad(\inst|vga_driver_unit|hsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .lut_mask = "2000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y44_N3 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter = \inst|vga_driver_unit|un13_hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|un13_hsync_counter_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un13_hsync_counter_2 ), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .lut_mask = "0200"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N3 +stratix_lcell \inst|vga_driver_unit|un1_hsync_state_3_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_hsync_state_3_0 = \inst|vga_driver_unit|hsync_state_3 # \inst|vga_driver_unit|hsync_state_1 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_state_3 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_hsync_state_3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .lut_mask = "ffcc"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N2 +stratix_lcell \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|h_sync_1_0_0_0_g1 = \inst|vga_driver_unit|un1_hsync_state_3_0 & \inst|vga_driver_unit|h_sync # !\inst|vga_driver_unit|un1_hsync_state_3_0 & (\inst|vga_driver_unit|hsync_state_2 & \inst|vga_driver_unit|h_sync # +// !\inst|vga_driver_unit|hsync_state_2 & (\inst|vga_driver_unit|hsync_state_4 )) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un1_hsync_state_3_0 ), + .datab(\inst|vga_driver_unit|h_sync ), + .datac(\inst|vga_driver_unit|hsync_state_2 ), + .datad(\inst|vga_driver_unit|hsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .lut_mask = "cdc8"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N9 +stratix_lcell \inst|vga_driver_unit|h_sync_Z ( +// Equation(s): +// \inst|vga_driver_unit|h_sync = DFFEAS(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 # !\inst|dly_counter [0] # !\reset~combout # !\inst|dly_counter [1], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|dly_counter [1]), + .datab(\reset~combout ), + .datac(\inst|dly_counter [0]), + .datad(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|h_sync ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_sync_Z .lut_mask = "ff7f"; +defparam \inst|vga_driver_unit|h_sync_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_sync_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|h_sync_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_sync_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_sync_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N0 +stratix_lcell \inst|vga_driver_unit|vsync_counter_0_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_0 = DFFEAS(\inst|vga_driver_unit|vsync_counter_0 $ \inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|d_set_hsync_counter ) +// \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|d_set_hsync_counter ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|d_set_hsync_counter ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_0 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [0]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_0_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N1 +stratix_lcell \inst|vga_driver_unit|vsync_counter_1_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_1 = DFFEAS(\inst|vga_driver_unit|vsync_counter_1 $ \inst|vga_driver_unit|vsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [0] # !\inst|vga_driver_unit|vsync_counter_1 ) +// \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|vsync_counter_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_1 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [0]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_1 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [1]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_1_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N2 +stratix_lcell \inst|vga_driver_unit|vsync_counter_2_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_2 $ (!\inst|vga_driver_unit|vsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout [1])) +// \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [1]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_2 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [2]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N3 +stratix_lcell \inst|vga_driver_unit|vsync_counter_3_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_3 = DFFEAS(\inst|vga_driver_unit|vsync_counter_3 $ (\inst|vga_driver_unit|vsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [2] # !\inst|vga_driver_unit|vsync_counter_3 ) +// \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|vsync_counter_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_3 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [2]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_3 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [3]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N4 +stratix_lcell \inst|vga_driver_unit|vsync_counter_4_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_4 = DFFEAS(\inst|vga_driver_unit|vsync_counter_4 $ (!\inst|vga_driver_unit|vsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|vsync_counter_4 & (!\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [3]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_4 ), + .cout(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N5 +stratix_lcell \inst|vga_driver_unit|vsync_counter_5_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_5 = DFFEAS(\inst|vga_driver_unit|vsync_counter_5 $ \inst|vga_driver_unit|vsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 ) +// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_5 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_5 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [5]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_5_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N6 +stratix_lcell \inst|vga_driver_unit|vsync_counter_6_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_6 = DFFEAS(\inst|vga_driver_unit|vsync_counter_6 $ !(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [5]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout [5]) +// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [5]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_6 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [6]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .lut_mask = "c30c"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N7 +stratix_lcell \inst|vga_driver_unit|vsync_counter_7_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_7 = DFFEAS(\inst|vga_driver_unit|vsync_counter_7 $ ((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [6]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [6] # !\inst|vga_driver_unit|vsync_counter_7 ) +// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|vsync_counter_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [6]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_7 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [7]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N8 +stratix_lcell \inst|vga_driver_unit|vsync_counter_8_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_8 = DFFEAS(\inst|vga_driver_unit|vsync_counter_8 $ (!(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [7]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout [7])) +// \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_8 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [7]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_8 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [8]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N9 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9_5 = !\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_8 # !\inst|vga_driver_unit|vsync_counter_7 # !\inst|vga_driver_unit|vsync_counter_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_6 ), + .datab(\inst|vga_driver_unit|vsync_counter_7 ), + .datac(\inst|vga_driver_unit|vsync_counter_8 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N2 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9_6 = !\inst|vga_driver_unit|vsync_counter_2 # !\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|vsync_counter_3 # !\inst|vga_driver_unit|vsync_counter_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_1 ), + .datab(\inst|vga_driver_unit|vsync_counter_3 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(\inst|vga_driver_unit|vsync_counter_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N5 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9 = \inst|vga_driver_unit|un9_vsync_counterlt9_5 # \inst|vga_driver_unit|un9_vsync_counterlt9_6 # !\inst|vga_driver_unit|vsync_counter_5 # !\inst|vga_driver_unit|vsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_4 ), + .datab(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ), + .datac(\inst|vga_driver_unit|vsync_counter_5 ), + .datad(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .lut_mask = "ffdf"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N4 +stratix_lcell \inst|vga_driver_unit|G_16 ( +// Equation(s): +// \inst|vga_driver_unit|G_16_i = !\inst|vga_driver_unit|vsync_state_6 & !\inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|un9_vsync_counterlt9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_6 ), + .datab(\inst|vga_driver_unit|vsync_state_0 ), + .datac(\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|G_16_i ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|G_16 .lut_mask = "0f1f"; +defparam \inst|vga_driver_unit|G_16 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|G_16 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|G_16 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|G_16 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|G_16 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N6 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 ( +// Equation(s): +// \inst|vga_driver_unit|un12_vsync_counter_6 = !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_5 & !\inst|vga_driver_unit|vsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N9 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 ( +// Equation(s): +// \inst|vga_driver_unit|un12_vsync_counter_7 = !\inst|vga_driver_unit|vsync_counter_1 & !\inst|vga_driver_unit|vsync_counter_4 & !\inst|vga_driver_unit|vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_2 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_1 ), + .datab(\inst|vga_driver_unit|vsync_counter_4 ), + .datac(\inst|vga_driver_unit|vsync_counter_3 ), + .datad(\inst|vga_driver_unit|vsync_counter_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N4 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 ( +// Equation(s): +// \inst|vga_driver_unit|un14_vsync_counter_8 = \inst|vga_driver_unit|un12_vsync_counter_7 & (\inst|vga_driver_unit|un12_vsync_counter_6 ) + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .lut_mask = "cc00"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N5 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un13_vsync_counter_3 = !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_9 & !\inst|vga_driver_unit|vsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_9 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_vsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N0 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un13_vsync_counter_4 = \inst|vga_driver_unit|vsync_counter_5 & (\inst|vga_driver_unit|un13_vsync_counter_3 & \inst|vga_driver_unit|vsync_counter_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_5 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|un13_vsync_counter_3 ), + .datad(\inst|vga_driver_unit|vsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .lut_mask = "a000"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N4 +stratix_lcell \inst|vga_driver_unit|vsync_state_1_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_1 = DFFEAS(\inst|vga_driver_unit|un12_vsync_counter_7 & \inst|vga_driver_unit|un13_vsync_counter_4 & !\inst|vga_driver_unit|un6_dly_counter_0_x & \inst|vga_driver_unit|vsync_state_4 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .datab(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|vsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_1_ .lut_mask = "0800"; +defparam \inst|vga_driver_unit|vsync_state_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_3_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 = E1_vsync_state_3 & (!\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|un14_vsync_counter_8 ) +// \inst|vga_driver_unit|vsync_state_3 = DFFEAS(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , \inst|vga_driver_unit|vsync_state_1 , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datab(\inst|vga_driver_unit|vsync_counter_9 ), + .datac(\inst|vga_driver_unit|vsync_state_1 ), + .datad(\inst|vga_driver_unit|vsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(vcc), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ), + .regout(\inst|vga_driver_unit|vsync_state_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_3_ .lut_mask = "70f0"; +defparam \inst|vga_driver_unit|vsync_state_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_3_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|vsync_state_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_3_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|vsync_state_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N3 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un15_vsync_counter_3 = \inst|vga_driver_unit|vsync_counter_9 & \inst|vga_driver_unit|vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_0 & !\inst|vga_driver_unit|vsync_counter_2 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_9 ), + .datab(\inst|vga_driver_unit|vsync_counter_3 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(\inst|vga_driver_unit|vsync_counter_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un15_vsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .lut_mask = "0008"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N8 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un15_vsync_counter_4 = !\inst|vga_driver_unit|vsync_counter_4 & (\inst|vga_driver_unit|un15_vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|un15_vsync_counter_3 ), + .datad(\inst|vga_driver_unit|vsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .lut_mask = "0050"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N1 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|vsync_state_4 & (!\inst|vga_driver_unit|un13_vsync_counter_4 # !\inst|vga_driver_unit|un12_vsync_counter_7 ) + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .datac(\inst|vga_driver_unit|vsync_state_4 ), + .datad(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .lut_mask = "30f0"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N5 +stratix_lcell \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 # \inst|vga_driver_unit|vsync_state_2 & (!\inst|vga_driver_unit|un12_vsync_counter_6 # !\inst|vga_driver_unit|un15_vsync_counter_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .datab(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ), + .datad(\inst|vga_driver_unit|vsync_state_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .lut_mask = "f7f0"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N3 +stratix_lcell \inst|vga_driver_unit|vsync_state_5_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_5 = DFFEAS(\inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_state_0 ), + .datac(\inst|vga_driver_unit|vsync_state_6 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_5_ .lut_mask = "fcfc"; +defparam \inst|vga_driver_unit|vsync_state_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|vsync_state_5 & (\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|un14_vsync_counter_8 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_9 ), + .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datac(\inst|vga_driver_unit|vsync_state_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .lut_mask = "b0f0"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N2 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_2_sqmuxa = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 & !\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 & +// !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ), + .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datac(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ), + .datad(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .lut_mask = "cccd"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N8 +stratix_lcell \inst|vga_driver_unit|vsync_state_2_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_state_3 & \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datac(\inst|vga_driver_unit|vsync_state_3 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_2_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|vsync_state_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N7 +stratix_lcell \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 = \inst|vga_driver_unit|un12_vsync_counter_6 & \inst|vga_driver_unit|vsync_state_2 & \inst|vga_driver_unit|un15_vsync_counter_4 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_state_2 ), + .datad(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .lut_mask = "c000"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y34_N6 +stratix_lcell \inst|vga_driver_unit|vsync_state_0_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_0 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x & \inst|vga_driver_unit|vsync_state_0 & (!\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ) # !\inst|vga_driver_unit|un6_dly_counter_0_x & +// (\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 # \inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datab(\inst|vga_driver_unit|vsync_state_0 ), + .datac(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ), + .datad(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_0_ .lut_mask = "50dc"; +defparam \inst|vga_driver_unit|vsync_state_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N1 +stratix_lcell \inst|vga_driver_unit|d_set_vsync_counter_cZ ( +// Equation(s): +// \inst|vga_driver_unit|d_set_vsync_counter = \inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_0 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_6 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|d_set_vsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .lut_mask = "fafa"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N4 +stratix_lcell \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa = \inst|dly_counter [0] & \reset~combout & \inst|dly_counter [1] & !\inst|vga_driver_unit|d_set_vsync_counter + + .clk(gnd), + .dataa(\inst|dly_counter [0]), + .datab(\reset~combout ), + .datac(\inst|dly_counter [1]), + .datad(\inst|vga_driver_unit|d_set_vsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X35_Y33_N9 +stratix_lcell \inst|vga_driver_unit|vsync_counter_9_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [8]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ) $ +// \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [8]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .lut_mask = "0ff0"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X36_Y34_N7 +stratix_lcell \inst|vga_driver_unit|vsync_state_4_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_4 = DFFEAS(!\inst|vga_driver_unit|vsync_counter_9 & \inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_state_5 & \inst|vga_driver_unit|vsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_9 ), + .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datac(\inst|vga_driver_unit|vsync_state_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_4_ .lut_mask = "4000"; +defparam \inst|vga_driver_unit|vsync_state_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N8 +stratix_lcell \inst|vga_driver_unit|un1_vsync_state_2_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_vsync_state_2_0 = \inst|vga_driver_unit|vsync_state_1 # \inst|vga_driver_unit|vsync_state_3 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_1 ), + .datad(\inst|vga_driver_unit|vsync_state_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_vsync_state_2_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N5 +stratix_lcell \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|v_sync_1_0_0_0_g1 = \inst|vga_driver_unit|vsync_state_2 & \inst|vga_driver_unit|v_sync # !\inst|vga_driver_unit|vsync_state_2 & (\inst|vga_driver_unit|un1_vsync_state_2_0 & \inst|vga_driver_unit|v_sync # +// !\inst|vga_driver_unit|un1_vsync_state_2_0 & (\inst|vga_driver_unit|vsync_state_4 )) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|v_sync ), + .datab(\inst|vga_driver_unit|vsync_state_4 ), + .datac(\inst|vga_driver_unit|vsync_state_2 ), + .datad(\inst|vga_driver_unit|un1_vsync_state_2_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .lut_mask = "aaac"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N7 +stratix_lcell \inst|vga_driver_unit|v_sync_Z ( +// Equation(s): +// \inst|vga_driver_unit|v_sync = DFFEAS(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 # !\inst|dly_counter [1] # !\reset~combout # !\inst|dly_counter [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|dly_counter [0]), + .datab(\reset~combout ), + .datac(\inst|dly_counter [1]), + .datad(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|v_sync ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_sync_Z .lut_mask = "ff7f"; +defparam \inst|vga_driver_unit|v_sync_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_sync_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|v_sync_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_sync_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_sync_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N8 +stratix_lcell \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 = \reset~combout & \inst|dly_counter [1] & \inst|dly_counter [0] & !\inst|vga_driver_unit|hsync_state_1 + + .clk(gnd), + .dataa(\reset~combout ), + .datab(\inst|dly_counter [1]), + .datac(\inst|dly_counter [0]), + .datad(\inst|vga_driver_unit|hsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N5 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_0_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_0 = DFFEAS(!\inst|vga_driver_unit|column_counter_sig_0 # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|column_counter_sig_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_0_ .lut_mask = "0fff"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N5 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [1] = \inst|vga_driver_unit|column_counter_sig_0 $ \inst|vga_driver_unit|column_counter_sig_1 +// \inst|vga_driver_unit|un2_column_counter_next_cout [1] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|column_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [1]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N2 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [1] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un2_column_counter_next_combout [1]), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_1_ .lut_mask = "afaf"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N6 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [3] = \inst|vga_driver_unit|column_counter_sig_3 $ (\inst|vga_driver_unit|column_counter_sig_2 & \inst|vga_driver_unit|un2_column_counter_next_cout [1]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [3] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [1] # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_3 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_3 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_3 ), + .datab(\inst|vga_driver_unit|column_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [3]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .lut_mask = "6a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N2 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [3] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [3]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_3_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N5 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_0_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_cout [0] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|column_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .lut_mask = "ff88"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .output_mode = "none"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N6 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_2_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [2] = \inst|vga_driver_unit|column_counter_sig_2 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [0]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [2] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [0] # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [2]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N4 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [2] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [2]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_2_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N7 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_4_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [4] = \inst|vga_driver_unit|column_counter_sig_4 $ !\inst|vga_driver_unit|un2_column_counter_next_cout [2] +// \inst|vga_driver_unit|un2_column_counter_next_cout [4] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [2]) +// \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [4]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .lut_mask = "c308"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N8 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [4] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [4]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_4_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N7 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_5_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [5] = \inst|vga_driver_unit|column_counter_sig_5 $ (\inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [5] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3]) +// \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [5]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .lut_mask = "a608"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N9 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [5] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [5]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_5_ .lut_mask = "f3f3"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N8 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [6] = \inst|vga_driver_unit|column_counter_sig_6 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [4]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [6] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [4] # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_6 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [6]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N9 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_8_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [8] = \inst|vga_driver_unit|un2_column_counter_next_cout [6] $ !\inst|vga_driver_unit|column_counter_sig_8 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [8]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .lut_mask = "f00f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N2 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un10_column_counter_siglto9 & \inst|vga_driver_unit|un2_column_counter_next_combout [8], +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [8]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_8 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_8_ .lut_mask = "c000"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N7 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6_1 = !\inst|vga_driver_unit|column_counter_sig_1 # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|column_counter_sig_2 ), + .datad(\inst|vga_driver_unit|column_counter_sig_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .lut_mask = "5fff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N3 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6 = \inst|vga_driver_unit|un10_column_counter_siglt6_3 # \inst|vga_driver_unit|un10_column_counter_siglt6_1 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_4 ), + .datab(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ), + .datad(\inst|vga_driver_unit|column_counter_sig_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .lut_mask = "fdff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N8 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_7_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [7] = \inst|vga_driver_unit|column_counter_sig_7 $ (\inst|vga_driver_unit|column_counter_sig_6 & \inst|vga_driver_unit|un2_column_counter_next_cout [5]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [7] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [5] # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_7 ), + .datab(\inst|vga_driver_unit|column_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [7]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .lut_mask = "6a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N9 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_9_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [9] = \inst|vga_driver_unit|column_counter_sig_9 $ (\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|un2_column_counter_next_cout [7]) + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_8 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [9]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .lut_mask = "f30c"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N0 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_9_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_9 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [9] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [9]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_9_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N1 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglto9 = !\inst|vga_driver_unit|column_counter_sig_7 & !\inst|vga_driver_unit|column_counter_sig_8 & \inst|vga_driver_unit|un10_column_counter_siglt6 # !\inst|vga_driver_unit|column_counter_sig_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_7 ), + .datab(\inst|vga_driver_unit|column_counter_sig_8 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglt6 ), + .datad(\inst|vga_driver_unit|column_counter_sig_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .lut_mask = "10ff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N4 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un10_column_counter_siglto9 & (\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un2_column_counter_next_combout [7]), +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [7]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_7 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_7_ .lut_mask = "a000"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N6 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [6] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un2_column_counter_next_combout [6]), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_6_ .lut_mask = "cfcf"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X77_Y33_N0 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6_3 = !\inst|vga_driver_unit|column_counter_sig_5 # !\inst|vga_driver_unit|column_counter_sig_6 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_6 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .lut_mask = "33ff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N1 +stratix_lcell \inst|vga_control_unit|b_next_i_o3_0_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_i_o3_0 = \inst|vga_driver_unit|column_counter_sig_7 # \inst|vga_driver_unit|column_counter_sig_6 & \inst|vga_driver_unit|column_counter_sig_4 & \inst|vga_driver_unit|column_counter_sig_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_6 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_driver_unit|column_counter_sig_4 ), + .datad(\inst|vga_driver_unit|column_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_i_o3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .lut_mask = "eccc"; +defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N3 +stratix_lcell \inst|vga_control_unit|g_next_i_o3_cZ ( +// Equation(s): +// \inst|vga_control_unit|g_next_i_o3 = \inst|vga_driver_unit|column_counter_sig_4 # \inst|vga_driver_unit|column_counter_sig_3 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|g_next_i_o3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|g_next_i_o3_cZ .lut_mask = "ffcc"; +defparam \inst|vga_control_unit|g_next_i_o3_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|g_next_i_o3_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|g_next_i_o3_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|g_next_i_o3_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|g_next_i_o3_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N2 +stratix_lcell \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ ( +// Equation(s): +// \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_5 & !\inst|vga_driver_unit|hsync_state_4 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_state_5 ), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|hsync_state_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "f0f3"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N0 +stratix_lcell \inst|vga_driver_unit|v_enable_sig_Z ( +// Equation(s): +// \inst|vga_driver_unit|v_enable_sig = DFFEAS(\inst|vga_driver_unit|hsync_state_3 # \inst|vga_driver_unit|hsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_3 ), + .datad(\inst|vga_driver_unit|hsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|v_enable_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_enable_sig_Z .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X34_Y34_N6 +stratix_lcell \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ ( +// Equation(s): +// \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_4 & !\inst|vga_driver_unit|vsync_state_5 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datac(\inst|vga_driver_unit|vsync_state_4 ), + .datad(\inst|vga_driver_unit|vsync_state_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "cccf"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y35_N2 +stratix_lcell \inst|vga_driver_unit|h_enable_sig_Z ( +// Equation(s): +// \inst|vga_driver_unit|h_enable_sig = DFFEAS(\inst|vga_driver_unit|vsync_state_3 # \inst|vga_driver_unit|vsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_state_3 ), + .datad(\inst|vga_driver_unit|vsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|h_enable_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_enable_sig_Z .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X56_Y45_N5 +stratix_lcell \inst|vga_control_unit|r_next_i_o7_cZ ( +// Equation(s): +// \inst|vga_control_unit|r_next_i_o7 = \inst|vga_driver_unit|column_counter_sig_9 # !\inst|vga_driver_unit|h_enable_sig # !\inst|vga_driver_unit|v_enable_sig + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|v_enable_sig ), + .datac(\inst|vga_driver_unit|h_enable_sig ), + .datad(\inst|vga_driver_unit|column_counter_sig_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|r_next_i_o7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|r_next_i_o7_cZ .lut_mask = "ff3f"; +defparam \inst|vga_control_unit|r_next_i_o7_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|r_next_i_o7_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|r_next_i_o7_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|r_next_i_o7_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|r_next_i_o7_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N3 +stratix_lcell \inst|vga_control_unit|N_4_i_0_g0_1_cZ ( +// Equation(s): +// \inst|vga_control_unit|N_4_i_0_g0_1 = !\inst|vga_control_unit|r_next_i_o7 & (\inst|vga_driver_unit|column_counter_sig_8 # \inst|vga_control_unit|g_next_i_o3 & \inst|vga_driver_unit|column_counter_sig_7 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_control_unit|g_next_i_o3 ), + .datac(\inst|vga_control_unit|r_next_i_o7 ), + .datad(\inst|vga_driver_unit|column_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|N_4_i_0_g0_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .lut_mask = "0e0a"; +defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N0 +stratix_lcell \inst|vga_control_unit|r_Z ( +// Equation(s): +// \inst|vga_control_unit|r = DFFEAS(\inst|vga_control_unit|N_4_i_0_g0_1 & (\inst|vga_driver_unit|column_counter_sig_8 & (!\inst|vga_control_unit|b_next_i_o3_0 ) # !\inst|vga_driver_unit|column_counter_sig_8 & +// !\inst|vga_driver_unit|un10_column_counter_siglt6_3 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ), + .datab(\inst|vga_control_unit|b_next_i_o3_0 ), + .datac(\inst|vga_control_unit|N_4_i_0_g0_1 ), + .datad(\inst|vga_driver_unit|column_counter_sig_8 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|r ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|r_Z .lut_mask = "3050"; +defparam \inst|vga_control_unit|r_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|r_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|r_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|r_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|r_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X76_Y33_N5 +stratix_lcell \inst|vga_control_unit|N_23_i_0_g0_a_cZ ( +// Equation(s): +// \inst|vga_control_unit|N_23_i_0_g0_a = \inst|vga_driver_unit|column_counter_sig_5 & (\inst|vga_driver_unit|column_counter_sig_6 & (!\inst|vga_control_unit|g_next_i_o3 ) # !\inst|vga_driver_unit|column_counter_sig_6 & +// (\inst|vga_control_unit|g_next_i_o3 # !\inst|vga_driver_unit|un10_column_counter_siglt6_1 )) # !\inst|vga_driver_unit|column_counter_sig_5 & (\inst|vga_driver_unit|column_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ), + .datab(\inst|vga_driver_unit|column_counter_sig_5 ), + .datac(\inst|vga_driver_unit|column_counter_sig_6 ), + .datad(\inst|vga_control_unit|g_next_i_o3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|N_23_i_0_g0_a ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .lut_mask = "3cf4"; +defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X76_Y33_N2 +stratix_lcell \inst|vga_control_unit|g_Z ( +// Equation(s): +// \inst|vga_control_unit|g = DFFEAS(!\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_control_unit|r_next_i_o7 & \inst|vga_control_unit|N_23_i_0_g0_a & \inst|vga_driver_unit|column_counter_sig_7 , GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_control_unit|r_next_i_o7 ), + .datac(\inst|vga_control_unit|N_23_i_0_g0_a ), + .datad(\inst|vga_driver_unit|column_counter_sig_7 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|g ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|g_Z .lut_mask = "1000"; +defparam \inst|vga_control_unit|g_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|g_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|g_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|g_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|g_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X76_Y33_N4 +stratix_lcell \inst|vga_control_unit|N_6_i_0_g0_0_cZ ( +// Equation(s): +// \inst|vga_control_unit|N_6_i_0_g0_0 = !\inst|vga_control_unit|r_next_i_o7 & (\inst|vga_driver_unit|column_counter_sig_8 # \inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|un10_column_counter_siglt6_3 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_control_unit|r_next_i_o7 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ), + .datad(\inst|vga_driver_unit|column_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|N_6_i_0_g0_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .lut_mask = "3323"; +defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y33_N1 +stratix_lcell \inst|vga_control_unit|b_next_i_a7_1_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_i_a7_1 = !\inst|vga_control_unit|g_next_i_o3 & !\inst|vga_driver_unit|column_counter_sig_2 & !\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|column_counter_sig_7 + + .clk(gnd), + .dataa(\inst|vga_control_unit|g_next_i_o3 ), + .datab(\inst|vga_driver_unit|column_counter_sig_2 ), + .datac(\inst|vga_driver_unit|column_counter_sig_8 ), + .datad(\inst|vga_driver_unit|column_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_i_a7_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .lut_mask = "0001"; +defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X78_Y32_N4 +stratix_lcell \inst|vga_control_unit|b_Z ( +// Equation(s): +// \inst|vga_control_unit|b = DFFEAS(\inst|vga_control_unit|N_6_i_0_g0_0 & !\inst|vga_control_unit|b_next_i_a7_1 & (!\inst|vga_control_unit|b_next_i_o3_0 # !\inst|vga_driver_unit|column_counter_sig_8 ), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_control_unit|b_next_i_o3_0 ), + .datac(\inst|vga_control_unit|N_6_i_0_g0_0 ), + .datad(\inst|vga_control_unit|b_next_i_a7_1 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|b ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_Z .lut_mask = "0070"; +defparam \inst|vga_control_unit|b_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|b_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N5 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [1] = \inst|vga_driver_unit|d_set_hsync_counter $ \inst|vga_driver_unit|line_counter_sig_0 +// \inst|vga_driver_unit|un1_line_counter_sig_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|vga_driver_unit|line_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X36_Y33_N6 +stratix_lcell \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 = \reset~combout & !\inst|vga_driver_unit|vsync_state_1 & \inst|dly_counter [0] & \inst|dly_counter [1] + + .clk(gnd), + .dataa(\reset~combout ), + .datab(\inst|vga_driver_unit|vsync_state_1 ), + .datac(\inst|dly_counter [0]), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "2000"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N6 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_0_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_0 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [1] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_0_ .lut_mask = "afaf"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N6 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [3] = \inst|vga_driver_unit|line_counter_sig_2 $ (\inst|vga_driver_unit|line_counter_sig_1 & \inst|vga_driver_unit|un1_line_counter_sig_cout [1]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [3] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .lut_mask = "6c7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X55_Y31_N2 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [3] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_2_ .lut_mask = "ccff"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N0 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_a_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) +// \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|vga_driver_unit|line_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .lut_mask = "ff88"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .output_mode = "none"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N1 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [2] = \inst|vga_driver_unit|line_counter_sig_1 $ (\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [2] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N7 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [2] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_1_ .lut_mask = "cfcf"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N2 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [4] = \inst|vga_driver_unit|line_counter_sig_3 $ !\inst|vga_driver_unit|un1_line_counter_sig_cout [2] +// \inst|vga_driver_unit|un1_line_counter_sig_cout [4] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [2]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .lut_mask = "c308"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N5 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [4] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_3_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N7 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [5] = \inst|vga_driver_unit|line_counter_sig_4 $ (\inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [5] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .lut_mask = "a608"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N4 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [5] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_4_ .lut_mask = "f0ff"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N3 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [6] = \inst|vga_driver_unit|line_counter_sig_5 $ (\inst|vga_driver_unit|un1_line_counter_sig_cout [4]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [6] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [4] # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_5 ), + .datab(\inst|vga_driver_unit|line_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N8 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [6] & \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]), + .datab(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_5_ .lut_mask = "8080"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N8 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [7] = \inst|vga_driver_unit|line_counter_sig_6 $ (\inst|vga_driver_unit|line_counter_sig_5 & \inst|vga_driver_unit|un1_line_counter_sig_cout [5]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [7] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [5] # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_6 ), + .datab(\inst|vga_driver_unit|line_counter_sig_5 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .lut_mask = "6a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X55_Y31_N4 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [7] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_6_ .lut_mask = "ccff"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N1 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglt4_2 = !\inst|vga_driver_unit|line_counter_sig_3 # !\inst|vga_driver_unit|line_counter_sig_0 # !\inst|vga_driver_unit|line_counter_sig_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_0 ), + .datac(\inst|vga_driver_unit|line_counter_sig_3 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .lut_mask = "7f7f"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N3 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglto5 = !\inst|vga_driver_unit|line_counter_sig_5 & (\inst|vga_driver_unit|un10_line_counter_siglt4_2 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ), + .datac(\inst|vga_driver_unit|line_counter_sig_5 ), + .datad(\inst|vga_driver_unit|line_counter_sig_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglto5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .lut_mask = "0d0f"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N2 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglto8 = \inst|vga_driver_unit|un10_line_counter_siglto5 # !\inst|vga_driver_unit|line_counter_sig_7 # !\inst|vga_driver_unit|line_counter_sig_8 # !\inst|vga_driver_unit|line_counter_sig_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_6 ), + .datab(\inst|vga_driver_unit|line_counter_sig_8 ), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto5 ), + .datad(\inst|vga_driver_unit|line_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .lut_mask = "f7ff"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N4 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [8] = \inst|vga_driver_unit|un1_line_counter_sig_cout [6] $ !\inst|vga_driver_unit|line_counter_sig_7 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .lut_mask = "f00f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y32_N9 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [8] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_7 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_7_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N9 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_9_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [9] = \inst|vga_driver_unit|line_counter_sig_8 $ (!\inst|vga_driver_unit|un1_line_counter_sig_cout [7] & \inst|vga_driver_unit|line_counter_sig_7 ) + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|line_counter_sig_8 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .lut_mask = "c3cc"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X54_Y31_N0 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [9] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_8 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_8_ .lut_mask = "ccff"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X41_Y19_N2 +stratix_lcell \~STRATIX_FITTER_CREATED_GND~I ( +// Equation(s): +// \~STRATIX_FITTER_CREATED_GND~I_combout = GND + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \~STRATIX_FITTER_CREATED_GND~I .lut_mask = "0000"; +defparam \~STRATIX_FITTER_CREATED_GND~I .operation_mode = "normal"; +defparam \~STRATIX_FITTER_CREATED_GND~I .output_mode = "comb_only"; +defparam \~STRATIX_FITTER_CREATED_GND~I .register_cascade_mode = "off"; +defparam \~STRATIX_FITTER_CREATED_GND~I .sum_lutc_input = "datac"; +defparam \~STRATIX_FITTER_CREATED_GND~I .synch_mode = "off"; +// synopsys translate_on + +// atom is at PIN_L7 +stratix_io \inst|d_hsync_out~I ( + .datain(\inst|vga_driver_unit|h_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_out~I .ddio_mode = "none"; +defparam \inst|d_hsync_out~I .input_async_reset = "none"; +defparam \inst|d_hsync_out~I .input_power_up = "low"; +defparam \inst|d_hsync_out~I .input_register_mode = "none"; +defparam \inst|d_hsync_out~I .input_sync_reset = "none"; +defparam \inst|d_hsync_out~I .oe_async_reset = "none"; +defparam \inst|d_hsync_out~I .oe_power_up = "low"; +defparam \inst|d_hsync_out~I .oe_register_mode = "none"; +defparam \inst|d_hsync_out~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_out~I .operation_mode = "output"; +defparam \inst|d_hsync_out~I .output_async_reset = "none"; +defparam \inst|d_hsync_out~I .output_power_up = "low"; +defparam \inst|d_hsync_out~I .output_register_mode = "none"; +defparam \inst|d_hsync_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L5 +stratix_io \inst|d_vsync_out~I ( + .datain(\inst|vga_driver_unit|v_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_out~I .ddio_mode = "none"; +defparam \inst|d_vsync_out~I .input_async_reset = "none"; +defparam \inst|d_vsync_out~I .input_power_up = "low"; +defparam \inst|d_vsync_out~I .input_register_mode = "none"; +defparam \inst|d_vsync_out~I .input_sync_reset = "none"; +defparam \inst|d_vsync_out~I .oe_async_reset = "none"; +defparam \inst|d_vsync_out~I .oe_power_up = "low"; +defparam \inst|d_vsync_out~I .oe_register_mode = "none"; +defparam \inst|d_vsync_out~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_out~I .operation_mode = "output"; +defparam \inst|d_vsync_out~I .output_async_reset = "none"; +defparam \inst|d_vsync_out~I .output_power_up = "low"; +defparam \inst|d_vsync_out~I .output_register_mode = "none"; +defparam \inst|d_vsync_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y23 +stratix_io \inst|d_set_column_counter_out~I ( + .datain(\inst|vga_driver_unit|hsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_column_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_column_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_column_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_column_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_column_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_column_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F21 +stratix_io \inst|d_set_line_counter_out~I ( + .datain(\inst|vga_driver_unit|vsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_line_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_line_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_line_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_line_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_line_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_line_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F26 +stratix_io \inst|d_set_hsync_counter_out~I ( + .datain(\inst|vga_driver_unit|d_set_hsync_counter ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_hsync_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_hsync_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_hsync_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F24 +stratix_io \inst|d_set_vsync_counter_out~I ( + .datain(\inst|vga_driver_unit|d_set_vsync_counter ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_vsync_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_vsync_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_vsync_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L3 +stratix_io \inst|d_r_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_r), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_r_out~I .ddio_mode = "none"; +defparam \inst|d_r_out~I .input_async_reset = "none"; +defparam \inst|d_r_out~I .input_power_up = "low"; +defparam \inst|d_r_out~I .input_register_mode = "none"; +defparam \inst|d_r_out~I .input_sync_reset = "none"; +defparam \inst|d_r_out~I .oe_async_reset = "none"; +defparam \inst|d_r_out~I .oe_power_up = "low"; +defparam \inst|d_r_out~I .oe_register_mode = "none"; +defparam \inst|d_r_out~I .oe_sync_reset = "none"; +defparam \inst|d_r_out~I .operation_mode = "output"; +defparam \inst|d_r_out~I .output_async_reset = "none"; +defparam \inst|d_r_out~I .output_power_up = "low"; +defparam \inst|d_r_out~I .output_register_mode = "none"; +defparam \inst|d_r_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K24 +stratix_io \inst|d_g_out~I ( + .datain(\inst|vga_control_unit|g ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_g), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_g_out~I .ddio_mode = "none"; +defparam \inst|d_g_out~I .input_async_reset = "none"; +defparam \inst|d_g_out~I .input_power_up = "low"; +defparam \inst|d_g_out~I .input_register_mode = "none"; +defparam \inst|d_g_out~I .input_sync_reset = "none"; +defparam \inst|d_g_out~I .oe_async_reset = "none"; +defparam \inst|d_g_out~I .oe_power_up = "low"; +defparam \inst|d_g_out~I .oe_register_mode = "none"; +defparam \inst|d_g_out~I .oe_sync_reset = "none"; +defparam \inst|d_g_out~I .operation_mode = "output"; +defparam \inst|d_g_out~I .output_async_reset = "none"; +defparam \inst|d_g_out~I .output_power_up = "low"; +defparam \inst|d_g_out~I .output_register_mode = "none"; +defparam \inst|d_g_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K20 +stratix_io \inst|d_b_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_b), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_b_out~I .ddio_mode = "none"; +defparam \inst|d_b_out~I .input_async_reset = "none"; +defparam \inst|d_b_out~I .input_power_up = "low"; +defparam \inst|d_b_out~I .input_register_mode = "none"; +defparam \inst|d_b_out~I .input_sync_reset = "none"; +defparam \inst|d_b_out~I .oe_async_reset = "none"; +defparam \inst|d_b_out~I .oe_power_up = "low"; +defparam \inst|d_b_out~I .oe_register_mode = "none"; +defparam \inst|d_b_out~I .oe_sync_reset = "none"; +defparam \inst|d_b_out~I .operation_mode = "output"; +defparam \inst|d_b_out~I .output_async_reset = "none"; +defparam \inst|d_b_out~I .output_power_up = "low"; +defparam \inst|d_b_out~I .output_register_mode = "none"; +defparam \inst|d_b_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J21 +stratix_io \inst|d_h_enable_out~I ( + .datain(\inst|vga_driver_unit|h_enable_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_h_enable), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_h_enable_out~I .ddio_mode = "none"; +defparam \inst|d_h_enable_out~I .input_async_reset = "none"; +defparam \inst|d_h_enable_out~I .input_power_up = "low"; +defparam \inst|d_h_enable_out~I .input_register_mode = "none"; +defparam \inst|d_h_enable_out~I .input_sync_reset = "none"; +defparam \inst|d_h_enable_out~I .oe_async_reset = "none"; +defparam \inst|d_h_enable_out~I .oe_power_up = "low"; +defparam \inst|d_h_enable_out~I .oe_register_mode = "none"; +defparam \inst|d_h_enable_out~I .oe_sync_reset = "none"; +defparam \inst|d_h_enable_out~I .operation_mode = "output"; +defparam \inst|d_h_enable_out~I .output_async_reset = "none"; +defparam \inst|d_h_enable_out~I .output_power_up = "low"; +defparam \inst|d_h_enable_out~I .output_register_mode = "none"; +defparam \inst|d_h_enable_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H18 +stratix_io \inst|d_v_enable_out~I ( + .datain(\inst|vga_driver_unit|v_enable_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_v_enable), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_v_enable_out~I .ddio_mode = "none"; +defparam \inst|d_v_enable_out~I .input_async_reset = "none"; +defparam \inst|d_v_enable_out~I .input_power_up = "low"; +defparam \inst|d_v_enable_out~I .input_register_mode = "none"; +defparam \inst|d_v_enable_out~I .input_sync_reset = "none"; +defparam \inst|d_v_enable_out~I .oe_async_reset = "none"; +defparam \inst|d_v_enable_out~I .oe_power_up = "low"; +defparam \inst|d_v_enable_out~I .oe_register_mode = "none"; +defparam \inst|d_v_enable_out~I .oe_sync_reset = "none"; +defparam \inst|d_v_enable_out~I .operation_mode = "output"; +defparam \inst|d_v_enable_out~I .output_async_reset = "none"; +defparam \inst|d_v_enable_out~I .output_power_up = "low"; +defparam \inst|d_v_enable_out~I .output_register_mode = "none"; +defparam \inst|d_v_enable_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K3 +stratix_io \inst|d_state_clk_out~I ( + .datain(\inst1|altpll_component|_clk0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_state_clk), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_state_clk_out~I .ddio_mode = "none"; +defparam \inst|d_state_clk_out~I .input_async_reset = "none"; +defparam \inst|d_state_clk_out~I .input_power_up = "low"; +defparam \inst|d_state_clk_out~I .input_register_mode = "none"; +defparam \inst|d_state_clk_out~I .input_sync_reset = "none"; +defparam \inst|d_state_clk_out~I .oe_async_reset = "none"; +defparam \inst|d_state_clk_out~I .oe_power_up = "low"; +defparam \inst|d_state_clk_out~I .oe_register_mode = "none"; +defparam \inst|d_state_clk_out~I .oe_sync_reset = "none"; +defparam \inst|d_state_clk_out~I .operation_mode = "output"; +defparam \inst|d_state_clk_out~I .output_async_reset = "none"; +defparam \inst|d_state_clk_out~I .output_power_up = "low"; +defparam \inst|d_state_clk_out~I .output_register_mode = "none"; +defparam \inst|d_state_clk_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E22 +stratix_io \inst|r0_pin_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r0_pin_out~I .ddio_mode = "none"; +defparam \inst|r0_pin_out~I .input_async_reset = "none"; +defparam \inst|r0_pin_out~I .input_power_up = "low"; +defparam \inst|r0_pin_out~I .input_register_mode = "none"; +defparam \inst|r0_pin_out~I .input_sync_reset = "none"; +defparam \inst|r0_pin_out~I .oe_async_reset = "none"; +defparam \inst|r0_pin_out~I .oe_power_up = "low"; +defparam \inst|r0_pin_out~I .oe_register_mode = "none"; +defparam \inst|r0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r0_pin_out~I .operation_mode = "output"; +defparam \inst|r0_pin_out~I .output_async_reset = "none"; +defparam \inst|r0_pin_out~I .output_power_up = "low"; +defparam \inst|r0_pin_out~I .output_register_mode = "none"; +defparam \inst|r0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T4 +stratix_io \inst|r1_pin_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r1_pin_out~I .ddio_mode = "none"; +defparam \inst|r1_pin_out~I .input_async_reset = "none"; +defparam \inst|r1_pin_out~I .input_power_up = "low"; +defparam \inst|r1_pin_out~I .input_register_mode = "none"; +defparam \inst|r1_pin_out~I .input_sync_reset = "none"; +defparam \inst|r1_pin_out~I .oe_async_reset = "none"; +defparam \inst|r1_pin_out~I .oe_power_up = "low"; +defparam \inst|r1_pin_out~I .oe_register_mode = "none"; +defparam \inst|r1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r1_pin_out~I .operation_mode = "output"; +defparam \inst|r1_pin_out~I .output_async_reset = "none"; +defparam \inst|r1_pin_out~I .output_power_up = "low"; +defparam \inst|r1_pin_out~I .output_register_mode = "none"; +defparam \inst|r1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T7 +stratix_io \inst|r2_pin_out~I ( + .datain(\inst|vga_control_unit|r ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r2_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r2_pin_out~I .ddio_mode = "none"; +defparam \inst|r2_pin_out~I .input_async_reset = "none"; +defparam \inst|r2_pin_out~I .input_power_up = "low"; +defparam \inst|r2_pin_out~I .input_register_mode = "none"; +defparam \inst|r2_pin_out~I .input_sync_reset = "none"; +defparam \inst|r2_pin_out~I .oe_async_reset = "none"; +defparam \inst|r2_pin_out~I .oe_power_up = "low"; +defparam \inst|r2_pin_out~I .oe_register_mode = "none"; +defparam \inst|r2_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r2_pin_out~I .operation_mode = "output"; +defparam \inst|r2_pin_out~I .output_async_reset = "none"; +defparam \inst|r2_pin_out~I .output_power_up = "low"; +defparam \inst|r2_pin_out~I .output_register_mode = "none"; +defparam \inst|r2_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E23 +stratix_io \inst|g0_pin_out~I ( + .datain(\inst|vga_control_unit|g ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g0_pin_out~I .ddio_mode = "none"; +defparam \inst|g0_pin_out~I .input_async_reset = "none"; +defparam \inst|g0_pin_out~I .input_power_up = "low"; +defparam \inst|g0_pin_out~I .input_register_mode = "none"; +defparam \inst|g0_pin_out~I .input_sync_reset = "none"; +defparam \inst|g0_pin_out~I .oe_async_reset = "none"; +defparam \inst|g0_pin_out~I .oe_power_up = "low"; +defparam \inst|g0_pin_out~I .oe_register_mode = "none"; +defparam \inst|g0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g0_pin_out~I .operation_mode = "output"; +defparam \inst|g0_pin_out~I .output_async_reset = "none"; +defparam \inst|g0_pin_out~I .output_power_up = "low"; +defparam \inst|g0_pin_out~I .output_register_mode = "none"; +defparam \inst|g0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T5 +stratix_io \inst|g1_pin_out~I ( + .datain(\inst|vga_control_unit|g ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g1_pin_out~I .ddio_mode = "none"; +defparam \inst|g1_pin_out~I .input_async_reset = "none"; +defparam \inst|g1_pin_out~I .input_power_up = "low"; +defparam \inst|g1_pin_out~I .input_register_mode = "none"; +defparam \inst|g1_pin_out~I .input_sync_reset = "none"; +defparam \inst|g1_pin_out~I .oe_async_reset = "none"; +defparam \inst|g1_pin_out~I .oe_power_up = "low"; +defparam \inst|g1_pin_out~I .oe_register_mode = "none"; +defparam \inst|g1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g1_pin_out~I .operation_mode = "output"; +defparam \inst|g1_pin_out~I .output_async_reset = "none"; +defparam \inst|g1_pin_out~I .output_power_up = "low"; +defparam \inst|g1_pin_out~I .output_register_mode = "none"; +defparam \inst|g1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T24 +stratix_io \inst|g2_pin_out~I ( + .datain(\inst|vga_control_unit|g ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g2_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g2_pin_out~I .ddio_mode = "none"; +defparam \inst|g2_pin_out~I .input_async_reset = "none"; +defparam \inst|g2_pin_out~I .input_power_up = "low"; +defparam \inst|g2_pin_out~I .input_register_mode = "none"; +defparam \inst|g2_pin_out~I .input_sync_reset = "none"; +defparam \inst|g2_pin_out~I .oe_async_reset = "none"; +defparam \inst|g2_pin_out~I .oe_power_up = "low"; +defparam \inst|g2_pin_out~I .oe_register_mode = "none"; +defparam \inst|g2_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g2_pin_out~I .operation_mode = "output"; +defparam \inst|g2_pin_out~I .output_async_reset = "none"; +defparam \inst|g2_pin_out~I .output_power_up = "low"; +defparam \inst|g2_pin_out~I .output_register_mode = "none"; +defparam \inst|g2_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E24 +stratix_io \inst|b0_pin_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(b0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|b0_pin_out~I .ddio_mode = "none"; +defparam \inst|b0_pin_out~I .input_async_reset = "none"; +defparam \inst|b0_pin_out~I .input_power_up = "low"; +defparam \inst|b0_pin_out~I .input_register_mode = "none"; +defparam \inst|b0_pin_out~I .input_sync_reset = "none"; +defparam \inst|b0_pin_out~I .oe_async_reset = "none"; +defparam \inst|b0_pin_out~I .oe_power_up = "low"; +defparam \inst|b0_pin_out~I .oe_register_mode = "none"; +defparam \inst|b0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|b0_pin_out~I .operation_mode = "output"; +defparam \inst|b0_pin_out~I .output_async_reset = "none"; +defparam \inst|b0_pin_out~I .output_power_up = "low"; +defparam \inst|b0_pin_out~I .output_register_mode = "none"; +defparam \inst|b0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T6 +stratix_io \inst|b1_pin_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(b1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|b1_pin_out~I .ddio_mode = "none"; +defparam \inst|b1_pin_out~I .input_async_reset = "none"; +defparam \inst|b1_pin_out~I .input_power_up = "low"; +defparam \inst|b1_pin_out~I .input_register_mode = "none"; +defparam \inst|b1_pin_out~I .input_sync_reset = "none"; +defparam \inst|b1_pin_out~I .oe_async_reset = "none"; +defparam \inst|b1_pin_out~I .oe_power_up = "low"; +defparam \inst|b1_pin_out~I .oe_register_mode = "none"; +defparam \inst|b1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|b1_pin_out~I .operation_mode = "output"; +defparam \inst|b1_pin_out~I .output_async_reset = "none"; +defparam \inst|b1_pin_out~I .output_power_up = "low"; +defparam \inst|b1_pin_out~I .output_register_mode = "none"; +defparam \inst|b1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F1 +stratix_io \inst|hsync_pin_out~I ( + .datain(\inst|vga_driver_unit|h_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(hsync_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|hsync_pin_out~I .ddio_mode = "none"; +defparam \inst|hsync_pin_out~I .input_async_reset = "none"; +defparam \inst|hsync_pin_out~I .input_power_up = "low"; +defparam \inst|hsync_pin_out~I .input_register_mode = "none"; +defparam \inst|hsync_pin_out~I .input_sync_reset = "none"; +defparam \inst|hsync_pin_out~I .oe_async_reset = "none"; +defparam \inst|hsync_pin_out~I .oe_power_up = "low"; +defparam \inst|hsync_pin_out~I .oe_register_mode = "none"; +defparam \inst|hsync_pin_out~I .oe_sync_reset = "none"; +defparam \inst|hsync_pin_out~I .operation_mode = "output"; +defparam \inst|hsync_pin_out~I .output_async_reset = "none"; +defparam \inst|hsync_pin_out~I .output_power_up = "low"; +defparam \inst|hsync_pin_out~I .output_register_mode = "none"; +defparam \inst|hsync_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F2 +stratix_io \inst|vsync_pin_out~I ( + .datain(\inst|vga_driver_unit|v_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(vsync_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|vsync_pin_out~I .ddio_mode = "none"; +defparam \inst|vsync_pin_out~I .input_async_reset = "none"; +defparam \inst|vsync_pin_out~I .input_power_up = "low"; +defparam \inst|vsync_pin_out~I .input_register_mode = "none"; +defparam \inst|vsync_pin_out~I .input_sync_reset = "none"; +defparam \inst|vsync_pin_out~I .oe_async_reset = "none"; +defparam \inst|vsync_pin_out~I .oe_power_up = "low"; +defparam \inst|vsync_pin_out~I .oe_register_mode = "none"; +defparam \inst|vsync_pin_out~I .oe_sync_reset = "none"; +defparam \inst|vsync_pin_out~I .operation_mode = "output"; +defparam \inst|vsync_pin_out~I .output_async_reset = "none"; +defparam \inst|vsync_pin_out~I .output_power_up = "low"; +defparam \inst|vsync_pin_out~I .output_register_mode = "none"; +defparam \inst|vsync_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K5 +stratix_io \inst|d_column_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K19 +stratix_io \inst|d_column_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K23 +stratix_io \inst|d_column_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L2 +stratix_io \inst|d_column_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L4 +stratix_io \inst|d_column_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L6 +stratix_io \inst|d_column_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L20 +stratix_io \inst|d_column_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L21 +stratix_io \inst|d_column_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L22 +stratix_io \inst|d_column_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L23 +stratix_io \inst|d_column_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G18 +stratix_io \inst|d_hsync_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G22 +stratix_io \inst|d_hsync_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G25 +stratix_io \inst|d_hsync_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_A17 +stratix_io \inst|d_hsync_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F25 +stratix_io \inst|d_hsync_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_D17 +stratix_io \inst|d_hsync_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AE16 +stratix_io \inst|d_hsync_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G17 +stratix_io \inst|d_hsync_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA17 +stratix_io \inst|d_hsync_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H4 +stratix_io \inst|d_hsync_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y5 +stratix_io \inst|d_hsync_state_out_0_~I ( + .datain(\inst|vga_driver_unit|hsync_state_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_0_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_0_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F19 +stratix_io \inst|d_hsync_state_out_1_~I ( + .datain(\inst|vga_driver_unit|hsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_1_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_1_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F17 +stratix_io \inst|d_hsync_state_out_2_~I ( + .datain(\inst|vga_driver_unit|hsync_state_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_2_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_2_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y2 +stratix_io \inst|d_hsync_state_out_3_~I ( + .datain(\inst|vga_driver_unit|hsync_state_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_3_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_3_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F10 +stratix_io \inst|d_hsync_state_out_4_~I ( + .datain(\inst|vga_driver_unit|hsync_state_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_4_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_4_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F9 +stratix_io \inst|d_hsync_state_out_5_~I ( + .datain(\inst|vga_driver_unit|hsync_state_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_5_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_5_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F6 +stratix_io \inst|d_hsync_state_out_6_~I ( + .datain(\inst|vga_driver_unit|hsync_state_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_6_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_6_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L25 +stratix_io \inst|d_line_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L24 +stratix_io \inst|d_line_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M5 +stratix_io \inst|d_line_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M6 +stratix_io \inst|d_line_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M8 +stratix_io \inst|d_line_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M9 +stratix_io \inst|d_line_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J22 +stratix_io \inst|d_line_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K4 +stratix_io \inst|d_line_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K6 +stratix_io \inst|d_line_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G2 +stratix_io \inst|d_vsync_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G4 +stratix_io \inst|d_vsync_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G6 +stratix_io \inst|d_vsync_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K21 +stratix_io \inst|d_vsync_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA14 +stratix_io \inst|d_vsync_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AB12 +stratix_io \inst|d_vsync_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K7 +stratix_io \inst|d_vsync_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E12 +stratix_io \inst|d_vsync_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F14 +stratix_io \inst|d_vsync_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G9 +stratix_io \inst|d_vsync_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F5 +stratix_io \inst|d_vsync_state_out_0_~I ( + .datain(\inst|vga_driver_unit|vsync_state_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_0_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_0_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F4 +stratix_io \inst|d_vsync_state_out_1_~I ( + .datain(\inst|vga_driver_unit|vsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_1_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_1_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F3 +stratix_io \inst|d_vsync_state_out_2_~I ( + .datain(\inst|vga_driver_unit|vsync_state_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_2_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_2_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M19 +stratix_io \inst|d_vsync_state_out_3_~I ( + .datain(\inst|vga_driver_unit|vsync_state_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_3_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_3_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M18 +stratix_io \inst|d_vsync_state_out_4_~I ( + .datain(\inst|vga_driver_unit|vsync_state_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_4_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_4_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M7 +stratix_io \inst|d_vsync_state_out_5_~I ( + .datain(\inst|vga_driver_unit|vsync_state_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_5_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_5_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M4 +stratix_io \inst|d_vsync_state_out_6_~I ( + .datain(\inst|vga_driver_unit|vsync_state_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_6_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_6_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T2 +stratix_io \inst|seven_seg_pin_tri_13_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[13]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_13_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_13_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA11 +stratix_io \inst|seven_seg_pin_out_12_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[12]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_12_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_12_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R6 +stratix_io \inst|seven_seg_pin_out_11_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[11]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_11_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_11_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R4 +stratix_io \inst|seven_seg_pin_out_10_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[10]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_10_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_10_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_N8 +stratix_io \inst|seven_seg_pin_out_9_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_9_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_9_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_N7 +stratix_io \inst|seven_seg_pin_out_8_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_8_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_8_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y11 +stratix_io \inst|seven_seg_pin_out_7_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_7_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_7_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R23 +stratix_io \inst|seven_seg_pin_tri_6_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_6_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_6_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R22 +stratix_io \inst|seven_seg_pin_tri_5_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_5_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_5_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R21 +stratix_io \inst|seven_seg_pin_tri_4_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_4_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_4_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R20 +stratix_io \inst|seven_seg_pin_tri_3_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_3_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_3_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R19 +stratix_io \inst|seven_seg_pin_out_2_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_2_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_2_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R9 +stratix_io \inst|seven_seg_pin_out_1_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_1_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_1_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R8 +stratix_io \inst|seven_seg_pin_tri_0_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_0_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_0_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf new file mode 100644 index 0000000..adfa90e --- /dev/null +++ b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf @@ -0,0 +1,246 @@ +vendor_name = ModelSim +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/src/vpll.bsf +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/src/vpll.vhd +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/db/vga_pll.cbx.xml +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/altpll.tdf +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cbx.lst +design_name = vga_pll +instance = comp, \board_clk~I , board_clk, vga_pll, 1 +instance = comp, \inst1|altpll_component|pll , inst1|altpll_component|pll, vga_pll, 1 +instance = comp, \inst|reset_pin_in~I , inst|reset_pin_in, vga_pll, 1 +instance = comp, \inst|dly_counter_0_ , inst|dly_counter_0_, vga_pll, 1 +instance = comp, \inst|dly_counter_1_ , inst|dly_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_6_ , inst|vga_driver_unit|vsync_state_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_6_ , inst|vga_driver_unit|hsync_state_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_0_ , inst|vga_driver_unit|hsync_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_1_ , inst|vga_driver_unit|hsync_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_2_ , inst|vga_driver_unit|hsync_counter_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_3_ , inst|vga_driver_unit|hsync_counter_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_4_ , inst|vga_driver_unit|hsync_counter_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_5_ , inst|vga_driver_unit|hsync_counter_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_6_ , inst|vga_driver_unit|hsync_counter_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_7_ , inst|vga_driver_unit|hsync_counter_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_8_ , inst|vga_driver_unit|hsync_counter_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_9_ , inst|vga_driver_unit|hsync_counter_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|G_2 , inst|vga_driver_unit|G_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_5_ , inst|vga_driver_unit|hsync_state_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_4_ , inst|vga_driver_unit|hsync_state_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_1_ , inst|vga_driver_unit|hsync_state_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_3_ , inst|vga_driver_unit|hsync_state_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ , inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_2_ , inst|vga_driver_unit|hsync_state_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_0_ , inst|vga_driver_unit|hsync_state_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_hsync_state_3_0_cZ , inst|vga_driver_unit|un1_hsync_state_3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_sync_Z , inst|vga_driver_unit|h_sync_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_0_ , inst|vga_driver_unit|vsync_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_1_ , inst|vga_driver_unit|vsync_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_2_ , inst|vga_driver_unit|vsync_counter_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_3_ , inst|vga_driver_unit|vsync_counter_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_4_ , inst|vga_driver_unit|vsync_counter_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_5_ , inst|vga_driver_unit|vsync_counter_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_6_ , inst|vga_driver_unit|vsync_counter_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_7_ , inst|vga_driver_unit|vsync_counter_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_8_ , inst|vga_driver_unit|vsync_counter_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|G_16 , inst|vga_driver_unit|G_16, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 , inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_1_ , inst|vga_driver_unit|vsync_state_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_3_ , inst|vga_driver_unit|vsync_state_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ , inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_5_ , inst|vga_driver_unit|vsync_state_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ , inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_2_ , inst|vga_driver_unit|vsync_state_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ , inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_0_ , inst|vga_driver_unit|vsync_state_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|d_set_vsync_counter_cZ , inst|vga_driver_unit|d_set_vsync_counter_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_9_ , inst|vga_driver_unit|vsync_counter_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_4_ , inst|vga_driver_unit|vsync_state_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_vsync_state_2_0_cZ , inst|vga_driver_unit|un1_vsync_state_2_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_sync_Z , inst|vga_driver_unit|v_sync_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_0_ , inst|vga_driver_unit|column_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_1_ , inst|vga_driver_unit|un2_column_counter_next_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_1_ , inst|vga_driver_unit|column_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_3_ , inst|vga_driver_unit|un2_column_counter_next_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_3_ , inst|vga_driver_unit|column_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_0_ , inst|vga_driver_unit|un2_column_counter_next_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_2_ , inst|vga_driver_unit|un2_column_counter_next_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_2_ , inst|vga_driver_unit|column_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_4_ , inst|vga_driver_unit|un2_column_counter_next_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_4_ , inst|vga_driver_unit|column_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_5_ , inst|vga_driver_unit|un2_column_counter_next_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_5_ , inst|vga_driver_unit|column_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_6_ , inst|vga_driver_unit|un2_column_counter_next_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_8_ , inst|vga_driver_unit|un2_column_counter_next_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_8_ , inst|vga_driver_unit|column_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_7_ , inst|vga_driver_unit|un2_column_counter_next_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_9_ , inst|vga_driver_unit|un2_column_counter_next_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_9_ , inst|vga_driver_unit|column_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_7_ , inst|vga_driver_unit|column_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_6_ , inst|vga_driver_unit|column_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_i_o3_0_cZ , inst|vga_control_unit|b_next_i_o3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|g_next_i_o3_cZ , inst|vga_control_unit|g_next_i_o3_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_enable_sig_Z , inst|vga_driver_unit|v_enable_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_enable_sig_Z , inst|vga_driver_unit|h_enable_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|r_next_i_o7_cZ , inst|vga_control_unit|r_next_i_o7_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|N_4_i_0_g0_1_cZ , inst|vga_control_unit|N_4_i_0_g0_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|r_Z , inst|vga_control_unit|r_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|N_23_i_0_g0_a_cZ , inst|vga_control_unit|N_23_i_0_g0_a_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|g_Z , inst|vga_control_unit|g_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|N_6_i_0_g0_0_cZ , inst|vga_control_unit|N_6_i_0_g0_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_i_a7_1_cZ , inst|vga_control_unit|b_next_i_a7_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_Z , inst|vga_control_unit|b_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_1_ , inst|vga_driver_unit|un1_line_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_0_ , inst|vga_driver_unit|line_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_3_ , inst|vga_driver_unit|un1_line_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_2_ , inst|vga_driver_unit|line_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_a_1_ , inst|vga_driver_unit|un1_line_counter_sig_a_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_2_ , inst|vga_driver_unit|un1_line_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_1_ , inst|vga_driver_unit|line_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_4_ , inst|vga_driver_unit|un1_line_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_3_ , inst|vga_driver_unit|line_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_5_ , inst|vga_driver_unit|un1_line_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_4_ , inst|vga_driver_unit|line_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_6_ , inst|vga_driver_unit|un1_line_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_5_ , inst|vga_driver_unit|line_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_7_ , inst|vga_driver_unit|un1_line_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_6_ , inst|vga_driver_unit|line_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_8_ , inst|vga_driver_unit|un1_line_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_7_ , inst|vga_driver_unit|line_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_9_ , inst|vga_driver_unit|un1_line_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_8_ , inst|vga_driver_unit|line_counter_sig_8_, vga_pll, 1 +instance = comp, \~STRATIX_FITTER_CREATED_GND~I , ~STRATIX_FITTER_CREATED_GND~I, vga_pll, 1 +instance = comp, \inst|d_hsync_out~I , inst|d_hsync_out, vga_pll, 1 +instance = comp, \inst|d_vsync_out~I , inst|d_vsync_out, vga_pll, 1 +instance = comp, \inst|d_set_column_counter_out~I , inst|d_set_column_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_line_counter_out~I , inst|d_set_line_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_hsync_counter_out~I , inst|d_set_hsync_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_vsync_counter_out~I , inst|d_set_vsync_counter_out, vga_pll, 1 +instance = comp, \inst|d_r_out~I , inst|d_r_out, vga_pll, 1 +instance = comp, \inst|d_g_out~I , inst|d_g_out, vga_pll, 1 +instance = comp, \inst|d_b_out~I , inst|d_b_out, vga_pll, 1 +instance = comp, \inst|d_h_enable_out~I , inst|d_h_enable_out, vga_pll, 1 +instance = comp, \inst|d_v_enable_out~I , inst|d_v_enable_out, vga_pll, 1 +instance = comp, \inst|d_state_clk_out~I , inst|d_state_clk_out, vga_pll, 1 +instance = comp, \inst|r0_pin_out~I , inst|r0_pin_out, vga_pll, 1 +instance = comp, \inst|r1_pin_out~I , inst|r1_pin_out, vga_pll, 1 +instance = comp, \inst|r2_pin_out~I , inst|r2_pin_out, vga_pll, 1 +instance = comp, \inst|g0_pin_out~I , inst|g0_pin_out, vga_pll, 1 +instance = comp, \inst|g1_pin_out~I , inst|g1_pin_out, vga_pll, 1 +instance = comp, \inst|g2_pin_out~I , inst|g2_pin_out, vga_pll, 1 +instance = comp, \inst|b0_pin_out~I , inst|b0_pin_out, vga_pll, 1 +instance = comp, \inst|b1_pin_out~I , inst|b1_pin_out, vga_pll, 1 +instance = comp, \inst|hsync_pin_out~I , inst|hsync_pin_out, vga_pll, 1 +instance = comp, \inst|vsync_pin_out~I , inst|vsync_pin_out, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_9_~I , inst|d_column_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_8_~I , inst|d_column_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_7_~I , inst|d_column_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_6_~I , inst|d_column_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_5_~I , inst|d_column_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_4_~I , inst|d_column_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_3_~I , inst|d_column_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_2_~I , inst|d_column_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_1_~I , inst|d_column_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_0_~I , inst|d_column_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_9_~I , inst|d_hsync_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_8_~I , inst|d_hsync_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_7_~I , inst|d_hsync_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_6_~I , inst|d_hsync_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_5_~I , inst|d_hsync_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_4_~I , inst|d_hsync_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_3_~I , inst|d_hsync_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_2_~I , inst|d_hsync_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_1_~I , inst|d_hsync_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_0_~I , inst|d_hsync_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_0_~I , inst|d_hsync_state_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_1_~I , inst|d_hsync_state_out_1_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_2_~I , inst|d_hsync_state_out_2_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_3_~I , inst|d_hsync_state_out_3_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_4_~I , inst|d_hsync_state_out_4_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_5_~I , inst|d_hsync_state_out_5_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_6_~I , inst|d_hsync_state_out_6_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_8_~I , inst|d_line_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_7_~I , inst|d_line_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_6_~I , inst|d_line_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_5_~I , inst|d_line_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_4_~I , inst|d_line_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_3_~I , inst|d_line_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_2_~I , inst|d_line_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_1_~I , inst|d_line_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_0_~I , inst|d_line_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_9_~I , inst|d_vsync_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_8_~I , inst|d_vsync_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_7_~I , inst|d_vsync_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_6_~I , inst|d_vsync_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_5_~I , inst|d_vsync_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_4_~I , inst|d_vsync_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_3_~I , inst|d_vsync_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_2_~I , inst|d_vsync_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_1_~I , inst|d_vsync_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_0_~I , inst|d_vsync_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_0_~I , inst|d_vsync_state_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_1_~I , inst|d_vsync_state_out_1_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_2_~I , inst|d_vsync_state_out_2_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_3_~I , inst|d_vsync_state_out_3_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_4_~I , inst|d_vsync_state_out_4_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_5_~I , inst|d_vsync_state_out_5_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_6_~I , inst|d_vsync_state_out_6_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_13_~I , inst|seven_seg_pin_tri_13_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_12_~I , inst|seven_seg_pin_out_12_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_11_~I , inst|seven_seg_pin_out_11_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_10_~I , inst|seven_seg_pin_out_10_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_9_~I , inst|seven_seg_pin_out_9_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_8_~I , inst|seven_seg_pin_out_8_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_7_~I , inst|seven_seg_pin_out_7_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_6_~I , inst|seven_seg_pin_tri_6_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_5_~I , inst|seven_seg_pin_tri_5_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_4_~I , inst|seven_seg_pin_tri_4_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_3_~I , inst|seven_seg_pin_tri_3_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_2_~I , inst|seven_seg_pin_out_2_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_1_~I , inst|seven_seg_pin_out_1_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_0_~I , inst|seven_seg_pin_tri_0_, vga_pll, 1 diff --git a/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo new file mode 100644 index 0000000..cfc33a7 --- /dev/null +++ b/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo @@ -0,0 +1,4389 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "vga_pll") + (DATE "10/29/2009 17:13:31") + (VENDOR "Altera") + (PROGRAM "Quartus II") + (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE board_clk\~I.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (760:760:760) (760:760:760)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_pll") + (INSTANCE inst1\|altpll_component\|pll) + (DELAY + (ABSOLUTE + (PORT inclk[0] (649:649:649) (649:649:649)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|reset_pin_in\~I.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1141:1141:1141) (1141:1141:1141)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|dly_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5718:5718:5718) (5718:5718:5718)) + (PORT datac (495:495:495) (495:495:495)) + (PORT datad (487:487:487) (487:487:487)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|dly_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|dly_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5717:5717:5717) (5717:5717:5717)) + (PORT datac (498:498:498) (498:498:498)) + (PORT datad (485:485:485) (485:485:485)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|dly_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5711:5711:5711) (5711:5711:5711)) + (PORT datac (500:500:500) (500:500:500)) + (PORT datad (487:487:487) (487:487:487)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (403:403:403) (403:403:403)) + (PORT datad (2362:2362:2362) (2362:2362:2362)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (493:493:493) (493:493:493)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (2734:2734:2734) (2734:2734:2734)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2824:2824:2824) (2824:2824:2824)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (2732:2732:2732) (2732:2732:2732)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2822:2822:2822) (2822:2822:2822)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (2731:2731:2731) (2731:2731:2731)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2821:2821:2821) (2821:2821:2821)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (2730:2730:2730) (2730:2730:2730)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2820:2820:2820) (2820:2820:2820)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (2730:2730:2730) (2730:2730:2730)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2820:2820:2820) (2820:2820:2820)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (2720:2720:2720) (2720:2720:2720)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2810:2810:2810) (2810:2810:2810)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1169:1169:1169) (1169:1169:1169)) + (PORT datab (1153:1153:1153) (1153:1153:1153)) + (PORT datac (1176:1176:1176) (1176:1176:1176)) + (PORT datad (1128:1128:1128) (1128:1128:1128)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (2719:2719:2719) (2719:2719:2719)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2809:2809:2809) (2809:2809:2809)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (2722:2722:2722) (2722:2722:2722)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2812:2812:2812) (2812:2812:2812)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (2725:2725:2725) (2725:2725:2725)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2815:2815:2815) (2815:2815:2815)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (2727:2727:2727) (2727:2727:2727)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1960:1960:1960) (1960:1960:1960)) + (PORT datac (2817:2817:2817) (2817:2817:2817)) + (PORT sclr (1840:1840:1840) (1840:1840:1840)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2102:2102:2102) (2102:2102:2102)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1358:1358:1358) (1358:1358:1358)) + (PORT datab (1452:1452:1452) (1452:1452:1452)) + (PORT datac (1397:1397:1397) (1397:1397:1397)) + (PORT datad (1406:1406:1406) (1406:1406:1406)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1357:1357:1357) (1357:1357:1357)) + (PORT datab (1173:1173:1173) (1173:1173:1173)) + (PORT datac (886:886:886) (886:886:886)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|G_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2904:2904:2904) (2904:2904:2904)) + (PORT datab (432:432:432) (432:432:432)) + (PORT datac (2679:2679:2679) (2679:2679:2679)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1184:1184:1184) (1184:1184:1184)) + (PORT datab (1201:1201:1201) (1201:1201:1201)) + (PORT datac (1172:1172:1172) (1172:1172:1172)) + (PORT datad (1150:1150:1150) (1150:1150:1150)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1178:1178:1178) (1178:1178:1178)) + (PORT datab (1168:1168:1168) (1168:1168:1168)) + (PORT datac (1157:1157:1157) (1157:1157:1157)) + (PORT datad (1187:1187:1187) (1187:1187:1187)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1143:1143:1143) (1143:1143:1143)) + (PORT datab (1153:1153:1153) (1153:1153:1153)) + (PORT datac (364:364:364) (364:364:364)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1131:1131:1131) (1131:1131:1131)) + (PORT datac (1167:1167:1167) (1167:1167:1167)) + (PORT datad (1167:1167:1167) (1167:1167:1167)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1196:1196:1196) (1196:1196:1196)) + (PORT datab (1207:1207:1207) (1207:1207:1207)) + (PORT datac (1190:1190:1190) (1190:1190:1190)) + (PORT datad (1131:1131:1131) (1131:1131:1131)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1192:1192:1192) (1192:1192:1192)) + (PORT datac (1165:1165:1165) (1165:1165:1165)) + (PORT datad (1190:1190:1190) (1190:1190:1190)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1197:1197:1197) (1197:1197:1197)) + (PORT datab (1175:1175:1175) (1175:1175:1175)) + (PORT datac (1162:1162:1162) (1162:1162:1162)) + (PORT datad (1144:1144:1144) (1144:1144:1144)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1179:1179:1179) (1179:1179:1179)) + (PORT datac (1191:1191:1191) (1191:1191:1191)) + (PORT datad (1133:1133:1133) (1133:1133:1133)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2904:2904:2904) (2904:2904:2904)) + (PORT datad (436:436:436) (436:436:436)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3448:3448:3448) (3448:3448:3448)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2105:2105:2105) (2105:2105:2105)) + (PORT ena (1284:1284:1284) (1284:1284:1284)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (357:357:357) (357:357:357)) + (PORT datab (351:351:351) (351:351:351)) + (PORT datac (1119:1119:1119) (1119:1119:1119)) + (PORT datad (359:359:359) (359:359:359)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3460:3460:3460) (3460:3460:3460)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2106:2106:2106) (2106:2106:2106)) + (PORT ena (1780:1780:1780) (1780:1780:1780)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1093:1093:1093) (1093:1093:1093)) + (PORT datab (1039:1039:1039) (1039:1039:1039)) + (PORT datac (1087:1087:1087) (1087:1087:1087)) + (PORT datad (1200:1200:1200) (1200:1200:1200)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (374:374:374) (374:374:374)) + (PORT datab (336:336:336) (336:336:336)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (444:444:444) (444:444:444)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3460:3460:3460) (3460:3460:3460)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2106:2106:2106) (2106:2106:2106)) + (PORT ena (1780:1780:1780) (1780:1780:1780)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (357:357:357) (357:357:357)) + (PORT datab (951:951:951) (951:951:951)) + (PORT datac (1401:1401:1401) (1401:1401:1401)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1491:1491:1491) (1491:1491:1491)) + (PORT sclr (3462:3462:3462) (3462:3462:3462)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2105:2105:2105) (2105:2105:2105)) + (PORT ena (1087:1087:1087) (1087:1087:1087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1065:1065:1065) (1065:1065:1065)) + (PORT datab (613:613:613) (613:613:613)) + (PORT datac (1080:1080:1080) (1080:1080:1080)) + (PORT datad (1084:1084:1084) (1084:1084:1084)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (360:360:360)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (2693:2693:2693) (2693:2693:2693)) + (PORT datad (354:354:354) (354:354:354)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (540:540:540) (540:540:540)) + (PORT datad (593:593:593) (593:593:593)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3448:3448:3448) (3448:3448:3448)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2105:2105:2105) (2105:2105:2105)) + (PORT ena (1284:1284:1284) (1284:1284:1284)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (425:425:425) (425:425:425)) + (PORT datad (554:554:554) (554:554:554)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3448:3448:3448) (3448:3448:3448)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2105:2105:2105) (2105:2105:2105)) + (PORT ena (1284:1284:1284) (1284:1284:1284)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5720:5720:5720) (5720:5720:5720)) + (PORT datab (479:479:479) (479:479:479)) + (PORT datac (496:496:496) (496:496:496)) + (PORT datad (339:339:339) (339:339:339)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1174:1174:1174) (1174:1174:1174)) + (PORT datab (1140:1140:1140) (1140:1140:1140)) + (PORT datac (1165:1165:1165) (1165:1165:1165)) + (PORT datad (1184:1184:1184) (1184:1184:1184)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (360:360:360)) + (PORT datab (1168:1168:1168) (1168:1168:1168)) + (PORT datac (1162:1162:1162) (1162:1162:1162)) + (PORT datad (595:595:595) (595:595:595)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_hsync_state_3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (2454:2454:2454) (2454:2454:2454)) + (PORT datad (2798:2798:2798) (2798:2798:2798)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_sync_1_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (357:357:357) (357:357:357)) + (PORT datab (418:418:418) (418:418:418)) + (PORT datac (2732:2732:2732) (2732:2732:2732)) + (PORT datad (2505:2505:2505) (2505:2505:2505)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1388:1388:1388) (1388:1388:1388)) + (PORT datab (4965:4965:4965) (4965:4965:4965)) + (PORT datac (1402:1402:1402) (1402:1402:1402)) + (PORT datad (346:346:346) (346:346:346)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (999:999:999) (999:999:999)) + (PORT datab (555:555:555) (555:555:555)) + (PORT datac (1225:1225:1225) (1225:1225:1225)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1315:1315:1315) (1315:1315:1315)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1228:1228:1228) (1228:1228:1228)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1318:1318:1318) (1318:1318:1318)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1231:1231:1231) (1231:1231:1231)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1321:1321:1321) (1321:1321:1321)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1234:1234:1234) (1234:1234:1234)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1324:1324:1324) (1324:1324:1324)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1236:1236:1236) (1236:1236:1236)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1326:1326:1326) (1326:1326:1326)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1243:1243:1243) (1243:1243:1243)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1333:1333:1333) (1333:1333:1333)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (416:416:416) (416:416:416)) + (PORT datac (1242:1242:1242) (1242:1242:1242)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1332:1332:1332) (1332:1332:1332)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1240:1240:1240) (1240:1240:1240)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1330:1330:1330) (1330:1330:1330)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1239:1239:1239) (1239:1239:1239)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1329:1329:1329) (1329:1329:1329)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1234:1234:1234) (1234:1234:1234)) + (PORT datab (1140:1140:1140) (1140:1140:1140)) + (PORT datac (1150:1150:1150) (1150:1150:1150)) + (PORT datad (1248:1248:1248) (1248:1248:1248)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1249:1249:1249) (1249:1249:1249)) + (PORT datab (1126:1126:1126) (1126:1126:1126)) + (PORT datac (1214:1214:1214) (1214:1214:1214)) + (PORT datad (1381:1381:1381) (1381:1381:1381)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1247:1247:1247) (1247:1247:1247)) + (PORT datab (349:349:349) (349:349:349)) + (PORT datac (1131:1131:1131) (1131:1131:1131)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|G_16.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1461:1461:1461) (1461:1461:1461)) + (PORT datab (431:431:431) (431:431:431)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (1902:1902:1902) (1902:1902:1902)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1170:1170:1170) (1170:1170:1170)) + (PORT datab (1183:1183:1183) (1183:1183:1183)) + (PORT datac (1150:1150:1150) (1150:1150:1150)) + (PORT datad (1153:1153:1153) (1153:1153:1153)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1204:1204:1204) (1204:1204:1204)) + (PORT datab (1433:1433:1433) (1433:1433:1433)) + (PORT datac (1143:1143:1143) (1143:1143:1143)) + (PORT datad (1475:1475:1475) (1475:1475:1475)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (359:359:359) (359:359:359)) + (PORT datad (368:368:368) (368:368:368)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (728:728:728) (728:728:728)) + (PORT datab (682:682:682) (682:682:682)) + (PORT datac (695:695:695) (695:695:695)) + (PORT datad (595:595:595) (595:595:595)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (677:677:677) (677:677:677)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (664:664:664) (664:664:664)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1052:1052:1052) (1052:1052:1052)) + (PORT datab (366:366:366) (366:366:366)) + (PORT datac (400:400:400) (400:400:400)) + (PORT datad (1171:1171:1171) (1171:1171:1171)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (907:907:907) (907:907:907)) + (PORT datab (1230:1230:1230) (1230:1230:1230)) + (PORT datac (1413:1413:1413) (1413:1413:1413)) + (PORT datad (1202:1202:1202) (1202:1202:1202)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1503:1503:1503) (1503:1503:1503)) + (PORT sclr (2649:2649:2649) (2649:2649:2649)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (PORT ena (1575:1575:1575) (1575:1575:1575)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1261:1261:1261) (1261:1261:1261)) + (PORT datab (1126:1126:1126) (1126:1126:1126)) + (PORT datac (1215:1215:1215) (1215:1215:1215)) + (PORT datad (1380:1380:1380) (1380:1380:1380)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1244:1244:1244) (1244:1244:1244)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (1237:1237:1237) (1237:1237:1237)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (360:360:360) (360:360:360)) + (PORT datac (697:697:697) (697:697:697)) + (PORT datad (1069:1069:1069) (1069:1069:1069)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (876:876:876) (876:876:876)) + (PORT datab (359:359:359) (359:359:359)) + (PORT datac (372:372:372) (372:372:372)) + (PORT datad (425:425:425) (425:425:425)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (590:590:590) (590:590:590)) + (PORT datac (1178:1178:1178) (1178:1178:1178)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2648:2648:2648) (2648:2648:2648)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1248:1248:1248) (1248:1248:1248)) + (PORT datab (570:570:570) (570:570:570)) + (PORT datac (450:450:450) (450:450:450)) + (PORT datad (1449:1449:1449) (1449:1449:1449)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (868:868:868) (868:868:868)) + (PORT datab (1859:1859:1859) (1859:1859:1859)) + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (362:362:362) (362:362:362)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1465:1465:1465) (1465:1465:1465)) + (PORT datab (570:570:570) (570:570:570)) + (PORT datac (947:947:947) (947:947:947)) + (PORT datad (1231:1231:1231) (1231:1231:1231)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2648:2648:2648) (2648:2648:2648)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (526:526:526) (526:526:526)) + (PORT datac (634:634:634) (634:634:634)) + (PORT datad (344:344:344) (344:344:344)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1917:1917:1917) (1917:1917:1917)) + (PORT datab (428:428:428) (428:428:428)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (570:570:570) (570:570:570)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|d_set_vsync_counter_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (931:931:931) (931:931:931)) + (PORT datac (1463:1463:1463) (1463:1463:1463)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1403:1403:1403) (1403:1403:1403)) + (PORT datab (4962:4962:4962) (4962:4962:4962)) + (PORT datac (1391:1391:1391) (1391:1391:1391)) + (PORT datad (873:873:873) (873:873:873)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1238:1238:1238) (1238:1238:1238)) + (PORT datad (426:426:426) (426:426:426)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1966:1966:1966) (1966:1966:1966)) + (PORT datac (1328:1328:1328) (1328:1328:1328)) + (PORT sclr (1843:1843:1843) (1843:1843:1843)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2054:2054:2054) (2054:2054:2054)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1242:1242:1242) (1242:1242:1242)) + (PORT datab (570:570:570) (570:570:570)) + (PORT datac (451:451:451) (451:451:451)) + (PORT datad (1452:1452:1452) (1452:1452:1452)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2648:2648:2648) (2648:2648:2648)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_2_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1414:1414:1414) (1414:1414:1414)) + (PORT datad (429:429:429) (429:429:429)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_sync_1_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (996:996:996) (996:996:996)) + (PORT datac (947:947:947) (947:947:947)) + (PORT datad (346:346:346) (346:346:346)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1406:1406:1406) (1406:1406:1406)) + (PORT datab (4964:4964:4964) (4964:4964:4964)) + (PORT datac (1389:1389:1389) (1389:1389:1389)) + (PORT datad (553:553:553) (553:553:553)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5714:5714:5714) (5714:5714:5714)) + (PORT datab (478:478:478) (478:478:478)) + (PORT datac (499:499:499) (499:499:499)) + (PORT datad (2621:2621:2621) (2621:2621:2621)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (417:417:417) (417:417:417)) + (PORT datad (660:660:660) (660:660:660)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2870:2870:2870) (2870:2870:2870)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (655:655:655) (655:655:655)) + (PORT datab (638:638:638) (638:638:638)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (878:878:878)) + (PORT datac (408:408:408) (408:408:408)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2870:2870:2870) (2870:2870:2870)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (461:461:461) (461:461:461)) + (PORT datab (658:658:658) (658:658:658)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (619:619:619) (619:619:619)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2886:2886:2886) (2886:2886:2886)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1356:1356:1356) (1356:1356:1356)) + (PORT datab (1127:1127:1127) (1127:1127:1127)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1165:1165:1165) (1165:1165:1165)) + (PORT datab (1122:1122:1122) (1122:1122:1122)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (411:411:411) (411:411:411)) + (PORT datad (1072:1072:1072) (1072:1072:1072)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2870:2870:2870) (2870:2870:2870)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1189:1189:1189) (1189:1189:1189)) + (PORT datab (1137:1137:1137) (1137:1137:1137)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (415:415:415) (415:415:415)) + (PORT datad (1045:1045:1045) (1045:1045:1045)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2870:2870:2870) (2870:2870:2870)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (919:919:919) (919:919:919)) + (PORT datab (654:654:654) (654:654:654)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (390:390:390) (390:390:390)) + (PORT datac (554:554:554) (554:554:554)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2870:2870:2870) (2870:2870:2870)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1219:1219:1219) (1219:1219:1219)) + (PORT datab (1203:1203:1203) (1203:1203:1203)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (458:458:458) (458:458:458)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (2528:2528:2528) (2528:2528:2528)) + (PORT datac (1077:1077:1077) (1077:1077:1077)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2053:2053:2053) (2053:2053:2053)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_1.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (675:675:675) (675:675:675)) + (PORT datac (653:653:653) (653:653:653)) + (PORT datad (427:427:427) (427:427:427)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (438:438:438) (438:438:438)) + (PORT datab (346:346:346) (346:346:346)) + (PORT datac (368:368:368) (368:368:368)) + (PORT datad (626:626:626) (626:626:626)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (458:458:458) (458:458:458)) + (PORT datab (684:684:684) (684:684:684)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1125:1125:1125) (1125:1125:1125)) + (PORT datad (431:431:431) (431:431:431)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (620:620:620) (620:620:620)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2886:2886:2886) (2886:2886:2886)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1029:1029:1029) (1029:1029:1029)) + (PORT datab (1364:1364:1364) (1364:1364:1364)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (940:940:940) (940:940:940)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (619:619:619) (619:619:619)) + (PORT datac (2114:2114:2114) (2114:2114:2114)) + (PORT datad (361:361:361) (361:361:361)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1077:1077:1077) (1077:1077:1077)) + (PORT datac (416:416:416) (416:416:416)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2870:2870:2870) (2870:2870:2870)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_3.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (428:428:428) (428:428:428)) + (PORT datad (434:434:434) (434:434:434)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_i_o3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1220:1220:1220) (1220:1220:1220)) + (PORT datab (1200:1200:1200) (1200:1200:1200)) + (PORT datac (1156:1156:1156) (1156:1156:1156)) + (PORT datad (1180:1180:1180) (1180:1180:1180)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|g_next_i_o3_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (657:657:657) (657:657:657)) + (PORT datad (445:445:445) (445:445:445)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1107:1107:1107) (1107:1107:1107)) + (PORT datac (2687:2687:2687) (2687:2687:2687)) + (PORT datad (446:446:446) (446:446:446)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1116:1116:1116) (1116:1116:1116)) + (PORT datad (435:435:435) (435:435:435)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3460:3460:3460) (3460:3460:3460)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2106:2106:2106) (2106:2106:2106)) + (PORT ena (1096:1096:1096) (1096:1096:1096)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1863:1863:1863) (1863:1863:1863)) + (PORT datac (1011:1011:1011) (1011:1011:1011)) + (PORT datad (902:902:902) (902:902:902)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1885:1885:1885) (1885:1885:1885)) + (PORT datad (1836:1836:1836) (1836:1836:1836)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2982:2982:2982) (2982:2982:2982)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2059:2059:2059) (2059:2059:2059)) + (PORT ena (2543:2543:2543) (2543:2543:2543)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|r_next_i_o7_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (433:433:433) (433:433:433)) + (PORT datac (1869:1869:1869) (1869:1869:1869)) + (PORT datad (2360:2360:2360) (2360:2360:2360)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|N_4_i_0_g0_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (468:468:468) (468:468:468)) + (PORT datab (1066:1066:1066) (1066:1066:1066)) + (PORT datac (3037:3037:3037) (3037:3037:3037)) + (PORT datad (1210:1210:1210) (1210:1210:1210)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|r_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1086:1086:1086) (1086:1086:1086)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (360:360:360) (360:360:360)) + (PORT datad (456:456:456) (456:456:456)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|r_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5165:5165:5165) (5165:5165:5165)) + (PORT clk (2053:2053:2053) (2053:2053:2053)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|N_23_i_0_g0_a_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (538:538:538) (538:538:538)) + (PORT datab (642:642:642) (642:642:642)) + (PORT datac (590:590:590) (590:590:590)) + (PORT datad (912:912:912) (912:912:912)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|g_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1411:1411:1411) (1411:1411:1411)) + (PORT datab (2777:2777:2777) (2777:2777:2777)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (1039:1039:1039) (1039:1039:1039)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|g_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5181:5181:5181) (5181:5181:5181)) + (PORT clk (2040:2040:2040) (2040:2040:2040)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|N_6_i_0_g0_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1412:1412:1412) (1412:1412:1412)) + (PORT datab (2773:2773:2773) (2773:2773:2773)) + (PORT datac (582:582:582) (582:582:582)) + (PORT datad (1041:1041:1041) (1041:1041:1041)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_i_a7_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (351:351:351) (351:351:351)) + (PORT datab (652:652:652) (652:652:652)) + (PORT datac (1154:1154:1154) (1154:1154:1154)) + (PORT datad (445:445:445) (445:445:445)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (469:469:469) (469:469:469)) + (PORT datab (346:346:346) (346:346:346)) + (PORT datac (1307:1307:1307) (1307:1307:1307)) + (PORT datad (1121:1121:1121) (1121:1121:1121)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|b_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5165:5165:5165) (5165:5165:5165)) + (PORT clk (2053:2053:2053) (2053:2053:2053)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2146:2146:2146) (2146:2146:2146)) + (PORT datab (1135:1135:1135) (1135:1135:1135)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5708:5708:5708) (5708:5708:5708)) + (PORT datab (619:619:619) (619:619:619)) + (PORT datac (501:501:501) (501:501:501)) + (PORT datad (488:488:488) (488:488:488)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1062:1062:1062) (1062:1062:1062)) + (PORT datac (1125:1125:1125) (1125:1125:1125)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1140:1140:1140) (1140:1140:1140)) + (PORT datab (670:670:670) (670:670:670)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (541:541:541) (541:541:541)) + (PORT datad (561:561:561) (561:561:561)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2893:2893:2893) (2893:2893:2893)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2111:2111:2111) (2111:2111:2111)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_a_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2588:2588:2588) (2588:2588:2588)) + (PORT datab (422:422:422) (422:422:422)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datab (1120:1120:1120) (1120:1120:1120)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (1123:1123:1123) (1123:1123:1123)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1123:1123:1123) (1123:1123:1123)) + (PORT datab (424:424:424) (424:424:424)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1126:1126:1126) (1126:1126:1126)) + (PORT datad (354:354:354) (354:354:354)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (452:452:452) (452:452:452)) + (PORT datab (1126:1126:1126) (1126:1126:1126)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (364:364:364) (364:364:364)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2691:2691:2691) (2691:2691:2691)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2111:2111:2111) (2111:2111:2111)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (443:443:443) (443:443:443)) + (PORT datab (1154:1154:1154) (1154:1154:1154)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (359:359:359) (359:359:359)) + (PORT datab (1864:1864:1864) (1864:1864:1864)) + (PORT datac (1122:1122:1122) (1122:1122:1122)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (673:673:673) (673:673:673)) + (PORT datab (1152:1152:1152) (1152:1152:1152)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (537:537:537) (537:537:537)) + (PORT datad (560:560:560) (560:560:560)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2893:2893:2893) (2893:2893:2893)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2111:2111:2111) (2111:2111:2111)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (453:453:453) (453:453:453)) + (PORT datab (1138:1138:1138) (1138:1138:1138)) + (PORT datac (1145:1145:1145) (1145:1145:1145)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1139:1139:1139) (1139:1139:1139)) + (PORT datab (336:336:336) (336:336:336)) + (PORT datac (1161:1161:1161) (1161:1161:1161)) + (PORT datad (675:675:675) (675:675:675)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (670:670:670) (670:670:670)) + (PORT datab (430:430:430) (430:430:430)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (1154:1154:1154) (1154:1154:1154)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (426:426:426) (426:426:426)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1121:1121:1121) (1121:1121:1121)) + (PORT datad (350:350:350) (350:350:350)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (432:432:432) (432:432:432)) + (PORT datad (1154:1154:1154) (1154:1154:1154)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (349:349:349) (349:349:349)) + (PORT datad (363:363:363) (363:363:363)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2691:2691:2691) (2691:2691:2691)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2111:2111:2111) (2111:2111:2111)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2877:2877:2877) (2877:2877:2877)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2863:2863:2863) (2863:2863:2863)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_column_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3627:3627:3627) (3627:3627:3627)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_line_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3459:3459:3459) (3459:3459:3459)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_hsync_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3006:3006:3006) (3006:3006:3006)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_vsync_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2648:2648:2648) (2648:2648:2648)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_r_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3338:3338:3338) (3338:3338:3338)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_g_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1587:1587:1587) (1587:1587:1587)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_b_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1375:1375:1375) (1375:1375:1375)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_h_enable_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2714:2714:2714) (2714:2714:2714)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_v_enable_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1304:1304:1304) (1304:1304:1304)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_state_clk_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2246:2246:2246) (2246:2246:2246)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2615:2615:2615) (2615:2615:2615)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3920:3920:3920) (3920:3920:3920)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r2_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3911:3911:3911) (3911:3911:3911)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2147:2147:2147) (2147:2147:2147)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3965:3965:3965) (3965:3965:3965)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g2_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2260:2260:2260) (2260:2260:2260)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|b0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1859:1859:1859) (1859:1859:1859)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|b1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3616:3616:3616) (3616:3616:3616)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|hsync_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3049:3049:3049) (3049:3049:3049)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|vsync_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3155:3155:3155) (3155:3155:3155)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4366:4366:4366) (4366:4366:4366)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1370:1370:1370) (1370:1370:1370)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1387:1387:1387) (1387:1387:1387)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2873:2873:2873) (2873:2873:2873)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3120:3120:3120) (3120:3120:3120)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3826:3826:3826) (3826:3826:3826)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1359:1359:1359) (1359:1359:1359)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1573:1573:1573) (1573:1573:1573)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1584:1584:1584) (1584:1584:1584)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1593:1593:1593) (1593:1593:1593)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1730:1730:1730) (1730:1730:1730)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2098:2098:2098) (2098:2098:2098)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1867:1867:1867) (1867:1867:1867)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1365:1365:1365) (1365:1365:1365)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2513:2513:2513) (2513:2513:2513)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1364:1364:1364) (1364:1364:1364)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3133:3133:3133) (3133:3133:3133)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1437:1437:1437) (1437:1437:1437)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4102:4102:4102) (4102:4102:4102)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3092:3092:3092) (3092:3092:3092)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4321:4321:4321) (4321:4321:4321)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1660:1660:1660) (1660:1660:1660)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1394:1394:1394) (1394:1394:1394)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4221:4221:4221) (4221:4221:4221)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2352:2352:2352) (2352:2352:2352)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2640:2640:2640) (2640:2640:2640)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2527:2527:2527) (2527:2527:2527)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2083:2083:2083) (2083:2083:2083)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2075:2075:2075) (2075:2075:2075)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3053:3053:3053) (3053:3053:3053)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3100:3100:3100) (3100:3100:3100)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3084:3084:3084) (3084:3084:3084)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3098:3098:3098) (3098:3098:3098)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2427:2427:2427) (2427:2427:2427)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3083:3083:3083) (3083:3083:3083)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3097:3097:3097) (3097:3097:3097)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3630:3630:3630) (3630:3630:3630)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2885:2885:2885) (2885:2885:2885)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3159:3159:3159) (3159:3159:3159)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3326:3326:3326) (3326:3326:3326)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2901:2901:2901) (2901:2901:2901)) + (IOPATH datain padio (4488:4488:4488) (4488:4488:4488)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3887:3887:3887) (3887:3887:3887)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2618:2618:2618) (2618:2618:2618)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2170:2170:2170) (2170:2170:2170)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2202:2202:2202) (2202:2202:2202)) + (IOPATH datain padio (4488:4488:4488) (4488:4488:4488)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2481:2481:2481) (2481:2481:2481)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2900:2900:2900) (2900:2900:2900)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2818:2818:2818) (2818:2818:2818)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2675:2675:2675) (2675:2675:2675)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2504:2504:2504) (2504:2504:2504)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2837:2837:2837) (2837:2837:2837)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2841:2841:2841) (2841:2841:2841)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2870:2870:2870) (2870:2870:2870)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_13_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2666:2666:2666) (2666:2666:2666)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_12_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3687:3687:3687) (3687:3687:3687)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_11_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3163:3163:3163) (3163:3163:3163)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_10_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3175:3175:3175) (3175:3175:3175)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2827:2827:2827) (2827:2827:2827)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3080:3080:3080) (3080:3080:3080)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3699:3699:3699) (3699:3699:3699)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2372:2372:2372) (2372:2372:2372)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2372:2372:2372) (2372:2372:2372)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1927:1927:1927) (1927:1927:1927)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1927:1927:1927) (1927:1927:1927)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3112:3112:3112) (3112:3112:3112)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3163:3163:3163) (3163:3163:3163)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2003:2003:2003) (2003:2003:2003)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) +) diff --git a/bsp3/Designflow/ppr/download/vga_pll.asm.rpt b/bsp3/Designflow/ppr/download/vga_pll.asm.rpt new file mode 100644 index 0000000..6f2ca90 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for vga_pll +Thu Oct 29 17:13:25 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: vga_pll.sof + 6. Assembler Device Options: vga_pll.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Oct 29 17:13:25 2009 ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Auto-increment JTAG user code for multiple configuration devices ; On ; On ; +; Disable CONF_DONE and nSTATUS pull-ups on configuration device ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Use Checkered Pattern as Uninitialized RAM Content ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; vga_pll.sof ; +; vga_pll.pof ; ++---------------------------+ + + ++---------------------------------------+ +; Assembler Device Options: vga_pll.sof ; ++----------------+----------------------+ +; Option ; Setting ; ++----------------+----------------------+ +; Device ; EP1S25F672C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x002DD409 ; ++----------------+----------------------+ + + ++---------------------------------------+ +; Assembler Device Options: vga_pll.pof ; ++--------------------+------------------+ +; Option ; Setting ; ++--------------------+------------------+ +; Device ; EPC8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x0BFCDE72 ; +; Compression Ratio ; 1 ; ++--------------------+------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:13:07 2009 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Thu Oct 29 17:13:25 2009 + Info: Elapsed time: 00:00:18 + Info: Total CPU time (on all processors): 00:00:18 + + diff --git a/bsp3/Designflow/ppr/download/vga_pll.done b/bsp3/Designflow/ppr/download/vga_pll.done new file mode 100644 index 0000000..6440748 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.done @@ -0,0 +1 @@ +Thu Oct 29 17:13:32 2009 diff --git a/bsp3/Designflow/ppr/download/vga_pll.eda.rpt b/bsp3/Designflow/ppr/download/vga_pll.eda.rpt new file mode 100644 index 0000000..46b1ec9 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for vga_pll +Thu Oct 29 17:13:31 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Oct 29 17:13:31 2009 ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++---------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------------------------+ +; /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll.vo ; +; /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo ; ++---------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:13:31 2009 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Generated files "vga_pll.vo" and "vga_pll_v.sdo" in directory "/homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/simulation/modelsim/" for EDA simulation tool +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 162 megabytes + Info: Processing ended: Thu Oct 29 17:13:32 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp3/Designflow/ppr/download/vga_pll.fit.rpt b/bsp3/Designflow/ppr/download/vga_pll.fit.rpt new file mode 100644 index 0000000..3f8d572 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.fit.rpt @@ -0,0 +1,1638 @@ +Fitter report for vga_pll +Thu Oct 29 17:13:03 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Pin-Out File + 6. Fitter Resource Usage Summary + 7. Input Pins + 8. Output Pins + 9. I/O Bank Usage + 10. All Package Pins + 11. PLL Summary + 12. PLL Usage + 13. Output Pin Default Load For Reported TCO + 14. Fitter Resource Utilization by Entity + 15. Delay Chain Summary + 16. Pad To Core Delay Chain Fanout + 17. Control Signals + 18. Global & Other Fast Signals + 19. Non-Global High Fan-Out Signals + 20. Interconnect Usage Summary + 21. LAB Logic Elements + 22. LAB-wide Signals + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. Fitter Device Options + 27. Estimated Delay Added for Hold Timing + 28. Fitter Messages + 29. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Fitter Summary ; ++--------------------------+------------------------------------------+ +; Fitter Status ; Successful - Thu Oct 29 17:13:03 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Total logic elements ; 141 / 25,660 ( < 1 % ) ; +; Total pins ; 91 / 474 ( 19 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP1S25F672C6 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/vga_pll.pin. + + ++--------------------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+----------------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------------------------------------------------+ +; Total logic elements ; 141 / 25,660 ( < 1 % ) ; +; -- Combinational with no register ; 79 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 62 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 53 ; +; -- 3 input functions ; 32 ; +; -- 2 input functions ; 54 ; +; -- 1 input functions ; 1 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 107 ; +; -- arithmetic mode ; 34 ; +; -- qfbk mode ; 3 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 49 ; +; -- asynchronous clear/load mode ; 3 ; +; ; ; +; Total registers ; 62 / 28,424 ( < 1 % ) ; +; Total LABs ; 18 / 2,566 ( < 1 % ) ; +; Logic elements in carry chains ; 40 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 91 / 474 ( 19 % ) ; +; -- Clock pins ; 1 / 16 ( 6 % ) ; +; Global signals ; 2 ; +; M512s ; 0 / 224 ( 0 % ) ; +; M4Ks ; 0 / 138 ( 0 % ) ; +; M-RAMs ; 0 / 2 ( 0 % ) ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; Total RAM block bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; PLLs ; 1 / 6 ( 17 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; SERDES transmitters ; 0 / 78 ( 0 % ) ; +; SERDES receivers ; 0 / 78 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 1% / 1% / 1% ; +; Maximum fan-out node ; vpll:inst1|altpll:altpll_component|_clk0 ; +; Maximum fan-out ; 63 ; +; Highest non-global fan-out signal ; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; +; Highest non-global fan-out ; 11 ; +; Total fan-out ; 678 ; +; Average fan-out ; 2.90 ; ++---------------------------------------------+----------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; board_clk ; N3 ; 2 ; 0 ; 27 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; reset ; A5 ; 3 ; 7 ; 47 ; 0 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; b0_pin ; E24 ; 5 ; 79 ; 45 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; b1_pin ; T6 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_b ; K20 ; 5 ; 79 ; 33 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[0] ; L23 ; 5 ; 79 ; 31 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[1] ; L22 ; 5 ; 79 ; 31 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[2] ; L21 ; 5 ; 79 ; 32 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[3] ; L20 ; 5 ; 79 ; 32 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[4] ; L6 ; 2 ; 0 ; 32 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[5] ; L4 ; 2 ; 0 ; 33 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[6] ; L2 ; 2 ; 0 ; 33 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[7] ; K23 ; 5 ; 79 ; 34 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[8] ; K19 ; 5 ; 79 ; 33 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[9] ; K5 ; 2 ; 0 ; 34 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_g ; K24 ; 5 ; 79 ; 34 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_h_enable ; J21 ; 5 ; 79 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync ; L7 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[0] ; H4 ; 2 ; 0 ; 42 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[1] ; AA17 ; 7 ; 56 ; 0 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[2] ; G17 ; 4 ; 56 ; 47 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[3] ; AE16 ; 7 ; 56 ; 0 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[4] ; D17 ; 4 ; 56 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[5] ; F25 ; 5 ; 79 ; 44 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[6] ; A17 ; 4 ; 56 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[7] ; G25 ; 5 ; 79 ; 43 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[8] ; G22 ; 5 ; 79 ; 42 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[9] ; G18 ; 4 ; 58 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[0] ; Y5 ; 1 ; 0 ; 5 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[1] ; F19 ; 4 ; 62 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[2] ; F17 ; 4 ; 56 ; 47 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[3] ; Y2 ; 1 ; 0 ; 4 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[4] ; F10 ; 3 ; 23 ; 47 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[5] ; F9 ; 3 ; 21 ; 47 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[6] ; F6 ; 3 ; 9 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[0] ; K6 ; 2 ; 0 ; 34 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[1] ; K4 ; 2 ; 0 ; 37 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[2] ; J22 ; 5 ; 79 ; 37 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[3] ; M9 ; 2 ; 0 ; 29 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[4] ; M8 ; 2 ; 0 ; 29 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[5] ; M6 ; 2 ; 0 ; 31 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[6] ; M5 ; 2 ; 0 ; 30 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[7] ; L24 ; 5 ; 79 ; 33 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[8] ; L25 ; 5 ; 79 ; 33 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_r ; L3 ; 2 ; 0 ; 33 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_column_counter ; Y23 ; 6 ; 79 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_hsync_counter ; F26 ; 5 ; 79 ; 44 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_line_counter ; F21 ; 4 ; 70 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_vsync_counter ; F24 ; 5 ; 79 ; 44 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_state_clk ; K3 ; 2 ; 0 ; 37 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_v_enable ; H18 ; 4 ; 56 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync ; L5 ; 2 ; 0 ; 33 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[0] ; G9 ; 3 ; 23 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[1] ; F14 ; 9 ; 37 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[2] ; E12 ; 9 ; 37 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[3] ; K7 ; 2 ; 0 ; 34 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[4] ; AB12 ; 11 ; 37 ; 0 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[5] ; AA14 ; 11 ; 37 ; 0 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[6] ; K21 ; 5 ; 79 ; 34 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[7] ; G6 ; 2 ; 0 ; 44 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[8] ; G4 ; 2 ; 0 ; 43 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[9] ; G2 ; 2 ; 0 ; 43 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[0] ; F5 ; 3 ; 9 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[1] ; F4 ; 2 ; 0 ; 45 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[2] ; F3 ; 2 ; 0 ; 45 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[3] ; M19 ; 5 ; 79 ; 29 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[4] ; M18 ; 5 ; 79 ; 29 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[5] ; M7 ; 2 ; 0 ; 31 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[6] ; M4 ; 2 ; 0 ; 30 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g0_pin ; E23 ; 5 ; 79 ; 45 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g1_pin ; T5 ; 1 ; 0 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g2_pin ; T24 ; 6 ; 79 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; hsync_pin ; F1 ; 2 ; 0 ; 44 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r0_pin ; E22 ; 4 ; 76 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r1_pin ; T4 ; 1 ; 0 ; 15 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r2_pin ; T7 ; 1 ; 0 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[0] ; R8 ; 1 ; 0 ; 19 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[10] ; R4 ; 1 ; 0 ; 18 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[11] ; R6 ; 1 ; 0 ; 19 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[12] ; AA11 ; 8 ; 31 ; 0 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[13] ; T2 ; 1 ; 0 ; 17 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[1] ; R9 ; 1 ; 0 ; 19 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[2] ; R19 ; 6 ; 79 ; 16 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[3] ; R20 ; 6 ; 79 ; 19 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[4] ; R21 ; 6 ; 79 ; 19 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[5] ; R22 ; 6 ; 79 ; 18 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[6] ; R23 ; 6 ; 79 ; 18 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[7] ; Y11 ; 8 ; 29 ; 0 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[8] ; N7 ; 2 ; 0 ; 29 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[9] ; N8 ; 2 ; 0 ; 28 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; vsync_pin ; F2 ; 2 ; 0 ; 44 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 11 / 61 ( 18 % ) ; 3.3V ; -- ; +; 2 ; 28 / 59 ( 47 % ) ; 3.3V ; -- ; +; 3 ; 6 / 54 ( 11 % ) ; 3.3V ; -- ; +; 4 ; 10 / 56 ( 18 % ) ; 3.3V ; -- ; +; 5 ; 22 / 59 ( 37 % ) ; 3.3V ; -- ; +; 6 ; 7 / 61 ( 11 % ) ; 3.3V ; -- ; +; 7 ; 2 / 57 ( 4 % ) ; 3.3V ; -- ; +; 8 ; 2 / 54 ( 4 % ) ; 3.3V ; -- ; +; 9 ; 2 / 6 ( 33 % ) ; 3.3V ; -- ; +; 11 ; 2 / 6 ( 33 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; A2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A3 ; 733 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A4 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A5 ; 725 ; 3 ; reset ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; A6 ; 717 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A7 ; 703 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A8 ; 702 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A9 ; 695 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A10 ; 684 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A12 ; 656 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A15 ; 640 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; A16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A17 ; 602 ; 4 ; d_hsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A18 ; 589 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A19 ; 579 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A20 ; 571 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A21 ; 564 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A22 ; 554 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A23 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A24 ; 552 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AA1 ; 158 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA2 ; 157 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA3 ; 160 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA4 ; 159 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA5 ; 155 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA6 ; 154 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA7 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA8 ; 214 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA9 ; 223 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA10 ; 227 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA11 ; 251 ; 8 ; seven_seg_pin[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; AA12 ; 269 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA13 ; 273 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA14 ; 271 ; 11 ; d_vsync_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA15 ; 283 ; 7 ; ^nIO_PULLUP ; ; ; ; -- ; -- ; ; -- ; -- ; +; AA16 ; 304 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA17 ; 316 ; 7 ; d_hsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA18 ; 324 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA19 ; 334 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA20 ; 344 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA21 ; 350 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA22 ; 386 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA23 ; 382 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA24 ; 381 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA25 ; 384 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA26 ; 383 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB1 ; 162 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB2 ; 161 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB3 ; 164 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB4 ; 163 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB5 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB6 ; 184 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB7 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB8 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB9 ; 217 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB10 ; 229 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB11 ; 231 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB12 ; 268 ; 11 ; d_vsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB13 ; 272 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB14 ; 270 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB15 ; 292 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB16 ; 309 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB17 ; 322 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB18 ; 323 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB19 ; 336 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB20 ; 346 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB21 ; 351 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB22 ; 365 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB23 ; 378 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB24 ; 377 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB25 ; 380 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB26 ; 379 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AC2 ; 165 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC3 ; 168 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC4 ; 167 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC5 ; 171 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC6 ; 185 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC7 ; 186 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC8 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC9 ; 215 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC10 ; 224 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC11 ; 239 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC12 ; 257 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC14 ; ; ; GNDA_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC15 ; 293 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC16 ; 307 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC17 ; 328 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC18 ; 338 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC19 ; 339 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC20 ; 349 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC21 ; 355 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC22 ; 369 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC23 ; 368 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC24 ; 374 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC25 ; 376 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AD1 ; 166 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD2 ; 172 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD3 ; 174 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD4 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD5 ; 170 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD6 ; 188 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD7 ; 192 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD8 ; 204 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD9 ; 216 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD10 ; 220 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD11 ; 247 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD12 ; 256 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD13 ; ; ; VCCG_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD14 ; ; ; VCCA_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD15 ; 302 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD16 ; 310 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD17 ; 329 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD18 ; 335 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD19 ; 337 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD20 ; 353 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD21 ; 354 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AD22 ; 370 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD23 ; 364 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD24 ; 367 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD25 ; 373 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD26 ; 375 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AE1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE2 ; 173 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE3 ; 179 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE4 ; 176 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE5 ; 187 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE6 ; 194 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE7 ; 189 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE8 ; 206 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE9 ; 218 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE10 ; 222 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE11 ; 232 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE12 ; 259 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE13 ; ; 11 ; VCC_PLL6_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AE14 ; ; ; GNDG_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE15 ; 274 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE16 ; 313 ; 7 ; d_hsync_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE17 ; 319 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE18 ; 330 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE19 ; 340 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE20 ; 343 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE21 ; 352 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE22 ; 363 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE23 ; 366 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE24 ; 371 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE25 ; 358 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF3 ; 183 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF4 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF5 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF6 ; 198 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF7 ; 197 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF8 ; 207 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF9 ; 219 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF10 ; 230 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF12 ; 258 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF15 ; 276 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AF16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF17 ; 315 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF18 ; 327 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF19 ; 331 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF20 ; 342 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF21 ; 347 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF22 ; 360 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF23 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF24 ; 362 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B3 ; 740 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B4 ; 736 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B5 ; 730 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B6 ; 716 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B7 ; 709 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B8 ; 704 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B9 ; 698 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B10 ; 694 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B11 ; 667 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B12 ; 655 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B13 ; ; ; GNDG_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B14 ; ; ; GNDA_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B15 ; 638 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B16 ; 610 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B17 ; 596 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B18 ; 582 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B19 ; 577 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B20 ; 567 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B21 ; 563 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B22 ; 551 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B23 ; 548 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B24 ; 543 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B25 ; 544 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C1 ; 0 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C2 ; 738 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C3 ; 731 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C4 ; 742 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C5 ; 743 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C6 ; 729 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C7 ; 728 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C8 ; 710 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C9 ; 699 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C10 ; 692 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C11 ; 682 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C12 ; 658 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C14 ; ; ; VCCG_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; C15 ; 617 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C16 ; 605 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C17 ; 592 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C18 ; 581 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C19 ; 573 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C20 ; 559 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C21 ; 566 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C22 ; 556 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C23 ; 550 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C24 ; 547 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C25 ; 539 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C26 ; 541 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D2 ; 1 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D3 ; 744 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D4 ; 741 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D5 ; 735 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D6 ; 722 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D7 ; 727 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D8 ; 712 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D9 ; 696 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D10 ; 691 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D11 ; 683 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D12 ; 657 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; D13 ; ; 9 ; VCC_PLL5_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D14 ; ; ; VCCA_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; D15 ; 630 ; 4 ; #TRST ; input ; ; ; -- ; -- ; ; -- ; -- ; +; D16 ; 604 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D17 ; 600 ; 4 ; d_hsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D18 ; 583 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D19 ; 575 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D20 ; 562 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D21 ; 561 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D22 ; 546 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D23 ; 545 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D24 ; 538 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D25 ; 540 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; E1 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E2 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E5 ; 726 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E6 ; 723 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E7 ; 713 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E8 ; 706 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E9 ; 697 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E10 ; 685 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E11 ; 662 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E12 ; 646 ; 9 ; d_vsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E13 ; 642 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E14 ; 644 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E15 ; 629 ; 4 ; #TMS ; input ; ; ; -- ; -- ; ; -- ; -- ; +; E16 ; 607 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E17 ; 597 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E18 ; 586 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E19 ; 578 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E20 ; 576 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E21 ; 569 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E22 ; 549 ; 4 ; r0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; E23 ; 534 ; 5 ; g0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; E24 ; 535 ; 5 ; b0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; E25 ; 536 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E26 ; 537 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F1 ; 8 ; 2 ; hsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F2 ; 9 ; 2 ; vsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F3 ; 6 ; 2 ; d_vsync_state[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F4 ; 7 ; 2 ; d_vsync_state[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F5 ; 720 ; 3 ; d_vsync_state[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F6 ; 719 ; 3 ; d_hsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F7 ; 707 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; F9 ; 690 ; 3 ; d_hsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F10 ; 687 ; 3 ; d_hsync_state[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F11 ; 659 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F12 ; 645 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F13 ; 641 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F14 ; 643 ; 9 ; d_vsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F15 ; 632 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F16 ; 612 ; 4 ; ~DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F17 ; 599 ; 4 ; d_hsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F18 ; 591 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F19 ; 590 ; 4 ; d_hsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F20 ; 584 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F21 ; 572 ; 4 ; d_set_line_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F22 ; 560 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F23 ; 530 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F24 ; 531 ; 5 ; d_set_vsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F25 ; 532 ; 5 ; d_hsync_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; F26 ; 533 ; 5 ; d_set_hsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G1 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G2 ; 13 ; 2 ; d_vsync_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G3 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G4 ; 15 ; 2 ; d_vsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G5 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G6 ; 11 ; 2 ; d_vsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G7 ; 700 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; G9 ; 688 ; 3 ; d_vsync_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G10 ; 686 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G11 ; 670 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G12 ; 653 ; 3 ; ^DCLK ; ; ; ; -- ; -- ; ; -- ; -- ; +; G13 ; ; ; TEMPDIODEn ; ; ; ; -- ; -- ; ; -- ; -- ; +; G14 ; 636 ; 4 ; #TDO ; output ; ; ; -- ; -- ; ; -- ; -- ; +; G15 ; 631 ; 4 ; #TCK ; input ; ; ; -- ; -- ; ; -- ; -- ; +; G16 ; 622 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; G17 ; 601 ; 4 ; d_hsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G18 ; 594 ; 4 ; d_hsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G19 ; 585 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G20 ; 587 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G21 ; 522 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G22 ; 523 ; 5 ; d_hsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G23 ; 526 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G24 ; 527 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G25 ; 528 ; 5 ; d_hsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G26 ; 529 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H1 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H2 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H3 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H4 ; 19 ; 2 ; d_hsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; H5 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H6 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H7 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H8 ; 20 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; H9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H10 ; 675 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H11 ; 654 ; 3 ; ^CONF_DONE ; ; ; ; -- ; -- ; ; -- ; -- ; +; H12 ; 652 ; 3 ; ^nCONFIG ; ; ; ; -- ; -- ; ; -- ; -- ; +; H13 ; 651 ; 3 ; ^nSTATUS ; ; ; ; -- ; -- ; ; -- ; -- ; +; H14 ; ; ; TEMPDIODEp ; ; ; ; -- ; -- ; ; -- ; -- ; +; H15 ; 635 ; 4 ; #TDI ; input ; ; ; -- ; -- ; ; -- ; -- ; +; H16 ; 621 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H18 ; 603 ; 4 ; d_v_enable ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; H19 ; 506 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H20 ; 505 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H21 ; 514 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H22 ; 513 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H23 ; 518 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H24 ; 517 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H25 ; 524 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H26 ; 525 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J1 ; 34 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J2 ; 33 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J3 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J4 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J5 ; 36 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J6 ; 35 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J7 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J8 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J12 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J15 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J18 ; 521 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; J19 ; 494 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J20 ; 493 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J21 ; 504 ; 5 ; d_h_enable ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J22 ; 503 ; 5 ; d_line_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J23 ; 512 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J24 ; 511 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J25 ; 508 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J26 ; 507 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K1 ; 46 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K2 ; 45 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K3 ; 38 ; 2 ; d_state_clk ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K4 ; 37 ; 2 ; d_line_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K5 ; 50 ; 2 ; d_column_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K6 ; 49 ; 2 ; d_line_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K7 ; 52 ; 2 ; d_vsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K8 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K9 ; 47 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K19 ; 486 ; 5 ; d_column_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K20 ; 485 ; 5 ; d_b ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K21 ; 490 ; 5 ; d_vsync_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K22 ; 489 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K23 ; 492 ; 5 ; d_column_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K24 ; 491 ; 5 ; d_g ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K25 ; 496 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K26 ; 495 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L2 ; 54 ; 2 ; d_column_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L3 ; 53 ; 2 ; d_r ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L4 ; 56 ; 2 ; d_column_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L5 ; 55 ; 2 ; d_vsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L6 ; 60 ; 2 ; d_column_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L7 ; 59 ; 2 ; d_hsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L8 ; 61 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L9 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L18 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L19 ; 480 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L20 ; 482 ; 5 ; d_column_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L21 ; 481 ; 5 ; d_column_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L22 ; 478 ; 5 ; d_column_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L23 ; 479 ; 5 ; d_column_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L24 ; 488 ; 5 ; d_line_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L25 ; 487 ; 5 ; d_line_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; M1 ; 81 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M2 ; ; ; VCCG_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M3 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M4 ; 66 ; 2 ; d_vsync_state[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M5 ; 67 ; 2 ; d_line_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M6 ; 62 ; 2 ; d_line_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M7 ; 63 ; 2 ; d_vsync_state[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M8 ; 72 ; 2 ; d_line_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M9 ; 73 ; 2 ; d_line_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M18 ; 468 ; 5 ; d_vsync_state[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M19 ; 469 ; 5 ; d_vsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M20 ; 470 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M21 ; 471 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M22 ; 474 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M23 ; 475 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M24 ; 462 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M25 ; 463 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M26 ; 460 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N2 ; 78 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N3 ; 79 ; 2 ; board_clk ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N4 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N5 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N6 ; 70 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N7 ; 71 ; 2 ; seven_seg_pin[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N8 ; 77 ; 2 ; seven_seg_pin[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N19 ; 453 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N20 ; 464 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N21 ; 465 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N22 ; ; ; GNDG_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N23 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N24 ; ; ; VCCG_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N25 ; ; ; VCCA_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P2 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P3 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P4 ; ; ; VCCG_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P5 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P6 ; 88 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P7 ; 89 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P8 ; 76 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P19 ; 452 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P20 ; 448 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P21 ; 449 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P22 ; ; ; VCCA_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P23 ; ; ; VCCG_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P24 ; 457 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P25 ; 458 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R1 ; 82 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R2 ; 83 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R3 ; 84 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R4 ; 94 ; 1 ; seven_seg_pin[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R5 ; 95 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R6 ; 90 ; 1 ; seven_seg_pin[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R7 ; 91 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R8 ; 92 ; 1 ; seven_seg_pin[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R9 ; 93 ; 1 ; seven_seg_pin[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R18 ; 443 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; R19 ; 436 ; 6 ; seven_seg_pin[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R20 ; 450 ; 6 ; seven_seg_pin[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R21 ; 451 ; 6 ; seven_seg_pin[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R22 ; 446 ; 6 ; seven_seg_pin[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R23 ; 447 ; 6 ; seven_seg_pin[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R24 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R25 ; ; ; GNDG_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R26 ; 459 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; T1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T2 ; 100 ; 1 ; seven_seg_pin[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T3 ; 99 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T4 ; 108 ; 1 ; r1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T5 ; 107 ; 1 ; g1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T6 ; 106 ; 1 ; b1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T7 ; 105 ; 1 ; r2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T8 ; 98 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; T9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T18 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T19 ; 435 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T20 ; 432 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T21 ; 431 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T22 ; 442 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T23 ; 441 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T24 ; 434 ; 6 ; g2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T25 ; 433 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; U1 ; 112 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U2 ; 111 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U3 ; 116 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U4 ; 115 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U5 ; 110 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U6 ; 109 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U7 ; 114 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U8 ; 113 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U9 ; 117 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U18 ; 428 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U19 ; 427 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U20 ; 424 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U21 ; 430 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U22 ; 429 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U23 ; 418 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U24 ; 417 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U25 ; 426 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U26 ; 425 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V1 ; 132 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V2 ; 133 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V3 ; 136 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V4 ; 137 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V5 ; 124 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V6 ; 123 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V7 ; 127 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V8 ; 118 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V12 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V15 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V19 ; 423 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V20 ; 414 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V21 ; 406 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V22 ; 407 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V23 ; 404 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V24 ; 405 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V25 ; 408 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V26 ; 409 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W1 ; 140 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W2 ; 141 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W3 ; 148 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W4 ; 149 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W5 ; 134 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W6 ; 135 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W7 ; 138 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W8 ; 139 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W9 ; 212 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W10 ; 228 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W11 ; 255 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; W12 ; 260 ; 8 ; PLL_ENA ; ; ; ; -- ; -- ; ; -- ; -- ; +; W13 ; 263 ; 8 ; ^MSEL2 ; ; ; ; -- ; -- ; ; -- ; -- ; +; W14 ; 279 ; 7 ; ^nCEO ; ; ; ; -- ; -- ; ; -- ; -- ; +; W15 ; 282 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W16 ; 285 ; 7 ; ^PORSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; W17 ; 311 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W18 ; 321 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W19 ; 402 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W20 ; 403 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W21 ; 394 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W22 ; 395 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W23 ; 392 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W24 ; 393 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W25 ; 400 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W26 ; 401 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y1 ; 153 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y2 ; 152 ; 1 ; d_hsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y3 ; 146 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y4 ; 147 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y5 ; 151 ; 1 ; d_hsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y6 ; 150 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y7 ; 156 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y8 ; 210 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y9 ; 209 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y10 ; 226 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y11 ; 244 ; 8 ; seven_seg_pin[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; Y12 ; 261 ; 8 ; ^MSEL0 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y13 ; 262 ; 8 ; ^MSEL1 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y14 ; 278 ; 7 ; ^nCE ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y15 ; 284 ; 7 ; ^VCCSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y16 ; 297 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y17 ; 314 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y18 ; 317 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y19 ; 325 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y20 ; 333 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y21 ; 385 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y22 ; 387 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y23 ; 391 ; 6 ; d_set_column_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y24 ; 390 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y25 ; 389 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y26 ; 388 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------------------------------------+ +; PLL Summary ; ++-------------------------------+----------------------------------------+ +; Name ; vpll:inst1|altpll:altpll_component|pll ; ++-------------------------------+----------------------------------------+ +; SDC pin name ; inst1|altpll_component|pll ; +; PLL type ; Fast ; +; Scan chain ; None ; +; PLL mode ; Normal ; +; Feedback source ; -- ; +; Compensate clock ; clock0 ; +; Compensated input/output pins ; -- ; +; Switchover on loss of clock ; -- ; +; Switchover counter ; -- ; +; Primary clock ; -- ; +; Input frequency 0 ; 33.33 MHz ; +; Input frequency 1 ; -- ; +; Nominal PFD frequency ; 16.7 MHz ; +; Nominal VCO frequency ; 516.5 MHz ; +; Freq min lock ; 20.0 MHz ; +; Freq max lock ; 64.52 MHz ; +; Clock Offset ; -707 ps ; +; M VCO Tap ; 3 ; +; M Initial ; 1 ; +; M value ; 31 ; +; N value ; 2 ; +; M counter delay ; -- ; +; N counter delay ; -- ; +; M2 value ; -- ; +; N2 value ; -- ; +; SS counter ; -- ; +; Downspread ; -- ; +; Spread frequency ; -- ; +; Charge pump current ; 20 uA ; +; Loop filter resistance ; 1.021000 KOhm ; +; Loop filter capacitance ; 10 pF ; +; Freq zero ; 0.240 MHz ; +; Bandwidth ; 200 KHz ; +; Freq pole ; 15.844 MHz ; +; enable0 counter ; -- ; +; enable1 counter ; -- ; +; Real time reconfigurable ; -- ; +; Scan chain MIF file ; -- ; +; Preserve PLL counter order ; Off ; +; PLL location ; PLL_1 ; +; Inclk0 signal ; board_clk ; +; Inclk1 signal ; -- ; +; Inclk0 signal type ; Dedicated Pin ; +; Inclk1 signal type ; -- ; ++-------------------------------+----------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Usage ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ +; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Delay ; Duty Cycle ; Counter ; Counter Delay ; Counter Value ; High / Low ; Initial ; VCO Tap ; SDC Pin Name ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ +; vpll:inst1|altpll:altpll_component|_clk0 ; clock0 ; 31 ; 38 ; 27.19 MHz ; -7 (-725 ps) ; 0 ps ; 50/50 ; G0 ; -- ; 19 ; 10/9 Odd ; 1 ; 0 ; inst1|altpll_component|pll|clk[0] ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; 2.5 V ; 10 pF ; Not Available ; +; 1.8 V ; 10 pF ; Not Available ; +; 1.5 V ; 10 pF ; Not Available ; +; GTL ; 30 pF ; 25 Ohm (Parallel) ; +; GTL+ ; 30 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 8 pF ; 25 Ohm (Parallel) ; +; Compact PCI ; 10 pF ; 25 Ohm (Parallel) ; +; AGP 1X ; 10 pF ; Not Available ; +; AGP 2X ; 10 pF ; Not Available ; +; CTT ; 30 pF ; 50 Ohm (Parallel) ; +; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; LVDS ; 4 pF ; 100 Ohm (Differential) ; +; Differential LVPECL ; 4 pF ; 100 Ohm (Differential) ; +; 3.3-V PCML ; 4 pF ; 50 Ohm (Parallel) ; +; HyperTransport ; 4 pF ; 100 Ohm (Differential) ; +; Differential 1.5-V HSTL Class I ; 20 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.8-V HSTL Class I ; 20 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II) ; +; Differential SSTL-2 ; 30 pF ; (See SSTL-2) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; |vga_pll ; 141 (1) ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 ; 0 ; 79 (1) ; 0 (0) ; 62 (0) ; 40 (0) ; 3 (0) ; |vga_pll ; work ; +; |vga:inst| ; 140 (2) ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 ; 0 ; 78 (0) ; 0 (0) ; 62 (2) ; 40 (0) ; 3 (0) ; |vga_pll|vga:inst ; work ; +; |vga_control:vga_control_unit| ; 10 (10) ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 128 (128) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 71 (71) ; 0 (0) ; 57 (57) ; 40 (40) ; 3 (3) ; |vga_pll|vga:inst|vga_driver:vga_driver_unit ; work ; +; |vpll:inst1| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1 ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1|altpll:altpll_component ; work ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; board_clk ; Input ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; d_hsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_column_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_line_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_hsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_vsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_r ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_g ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_b ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_h_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_v_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_state_clk ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; hsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; vsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; reset ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ + + ++--------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++--------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++--------------------------------------------------------------------------------+-------------------+---------+ +; board_clk ; ; ; +; vga:inst|reset_pin_in ; ; ; +; - vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|h_sync_Z ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|v_sync_Z ; 0 ; ON ; +; - vga:inst|dly_counter_0_ ; 0 ; ON ; +; - vga:inst|dly_counter_1_ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; ++--------------------------------------------------------------------------------+-------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; board_clk ; PIN_N3 ; 1 ; Clock ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|G_16_i ; LC_X35_Y34_N4 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|G_2_i ; LC_X55_Y44_N5 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X36_Y33_N8 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; LC_X34_Y34_N6 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; LC_X56_Y44_N7 ; 6 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; LC_X36_Y33_N6 ; 9 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X36_Y33_N7 ; 32 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK12 ; +; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; LC_X55_Y44_N4 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; LC_X35_Y34_N5 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; LC_X56_Y45_N2 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; LC_X36_Y34_N2 ; 5 ; Clock enable ; no ; -- ; -- ; +; vpll:inst1|altpll:altpll_component|_clk0 ; PLL_1 ; 63 ; Clock ; yes ; Global Clock ; GCLK1 ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ +; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X36_Y33_N7 ; 32 ; Global Clock ; GCLK12 ; +; vpll:inst1|altpll:altpll_component|_clk0 ; PLL_1 ; 63 ; Global Clock ; GCLK1 ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ + + ++--------------------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++----------------------------------------------------------------------+---------+ +; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; 11 ; +; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; 11 ; +; vga:inst|vga_driver:vga_driver_unit|G_16_i ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|G_2_i ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; 10 ; +; reset ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 ; 9 ; +; vga:inst|dly_counter[1] ; 9 ; +; vga:inst|dly_counter[0] ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; 7 ; +; ~STRATIX_FITTER_CREATED_GND~I ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; 5 ; ++----------------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Interconnect Usage Summary ; ++-----------------------------+-------------------------+ +; Interconnect Resource Type ; Usage ; ++-----------------------------+-------------------------+ +; C16 interconnects ; 45 / 4,620 ( < 1 % ) ; +; C4 interconnects ; 118 / 69,840 ( < 1 % ) ; +; C8 interconnects ; 41 / 15,568 ( < 1 % ) ; +; DIFFIOCLKs ; 0 / 16 ( 0 % ) ; +; DQS bus muxes ; 0 / 102 ( 0 % ) ; +; DQS-16 I/O buses ; 0 / 8 ( 0 % ) ; +; DQS-32 I/O buses ; 0 / 4 ( 0 % ) ; +; DQS-8 I/O buses ; 0 / 20 ( 0 % ) ; +; Direct links ; 40 / 104,060 ( < 1 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; I/O buses ; 21 / 320 ( 7 % ) ; +; LUT chains ; 2 / 23,094 ( < 1 % ) ; +; Local routing interconnects ; 102 / 25,660 ( < 1 % ) ; +; R24 interconnects ; 86 / 4,692 ( 2 % ) ; +; R4 interconnects ; 137 / 141,520 ( < 1 % ) ; +; R8 interconnects ; 27 / 22,956 ( < 1 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; ++-----------------------------+-------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+------------------------------+ +; Number of Logic Elements (Average = 7.83) ; Number of LABs (Total = 18) ; ++--------------------------------------------+------------------------------+ +; 1 ; 2 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 12 ; ++--------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+------------------------------+ +; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 18) ; ++------------------------------------+------------------------------+ +; 1 Async. clear ; 2 ; +; 1 Clock ; 17 ; +; 1 Clock enable ; 4 ; +; 1 Sync. clear ; 11 ; +; 1 Sync. load ; 2 ; ++------------------------------------+------------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 7.94) ; Number of LABs (Total = 18) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 2 ; +; 10 ; 7 ; +; 11 ; 2 ; +; 12 ; 1 ; ++---------------------------------------------+------------------------------+ + + ++--------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+------------------------------+ +; Number of Signals Sourced Out (Average = 5.94) ; Number of LABs (Total = 18) ; ++-------------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 2 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 3 ; +; 6 ; 2 ; +; 7 ; 3 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 2 ; +; 11 ; 1 ; ++-------------------------------------------------+------------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 11.22) ; Number of LABs (Total = 18) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 3 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 3 ; +; 11 ; 1 ; +; 12 ; 1 ; +; 13 ; 0 ; +; 14 ; 1 ; +; 15 ; 0 ; +; 16 ; 1 ; +; 17 ; 2 ; +; 18 ; 1 ; +; 19 ; 1 ; +; 20 ; 0 ; +; 21 ; 1 ; ++----------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Data[0] ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:12:35 2009 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Info: Selected device EP1S25F672C6 for design "vga_pll" +Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP1S10F672C6 is compatible + Info: Device EP1S20F672C6 is compatible + Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible +Info: Fitter converted 1 user pins into dedicated programming pins + Info: Pin ~DATA0~ is reserved at location F16 +Warning: No exact pin location assignment(s) for 12 pins of 91 total pins + Info: Pin d_hsync_counter[6] not assigned to an exact location on the device + Info: Pin d_hsync_counter[5] not assigned to an exact location on the device + Info: Pin d_hsync_counter[4] not assigned to an exact location on the device + Info: Pin d_hsync_counter[3] not assigned to an exact location on the device + Info: Pin d_hsync_counter[2] not assigned to an exact location on the device + Info: Pin d_hsync_counter[1] not assigned to an exact location on the device + Info: Pin d_vsync_counter[6] not assigned to an exact location on the device + Info: Pin d_vsync_counter[5] not assigned to an exact location on the device + Info: Pin d_vsync_counter[4] not assigned to an exact location on the device + Info: Pin d_vsync_counter[3] not assigned to an exact location on the device + Info: Pin d_vsync_counter[2] not assigned to an exact location on the device + Info: Pin d_vsync_counter[1] not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements +Info: Completed User Assigned Global Signals Promotion Operation +Info: Implementing parameter values for PLL "vpll:inst1|altpll:altpll_component|pll" + Info: Implementing clock multiplication of 31, clock division of 38, and phase shift of 0 degrees (-18 ps) for vpll:inst1|altpll:altpll_component|_clk0 port +Info: Promoted PLL clock signals + Info: Promoted signal "vpll:inst1|altpll:altpll_component|_clk0" to use global clock +Info: Completed PLL Placement Operation +Info: Automatically promoted some destinations of signal "vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_6_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_0_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_5_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_4_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_3_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_2_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_5_" may be non-global or may not use global clock + Info: Limited to 10 non-global destinations +Info: Completed Auto Global Promotion Operation +Info: Starting register packing +Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option +Info: Finished register packing +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 12 (unused VREF, 3.3V VCCIO, 0 input, 12 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 11 total pin(s) used -- 50 pins available + Info: I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 27 total pin(s) used -- 32 pins available + Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 48 pins available + Info: I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 7 total pin(s) used -- 49 pins available + Info: I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 20 total pin(s) used -- 39 pins available + Info: I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 7 total pin(s) used -- 54 pins available + Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 57 pins available + Info: I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used -- 52 pins available + Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available + Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:03 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:03 +Info: Slack time is 29.931 ns between source register "vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9" and destination register "vga:inst|vga_control:vga_control_unit|b" + Info: + Largest register to register requirement is 36.591 ns + Info: Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 3; REG Node = 'vga:inst|vga_control:vga_control_unit|b' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 3; REG Node = 'vga:inst|vga_control:vga_control_unit|b' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Micro clock to output delay of source is 0.176 ns + Info: Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 6.660 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9' + Info: 2: + IC(2.108 ns) + CELL(0.459 ns) = 2.567 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'vga:inst|vga_control:vga_control_unit|r_next_i_o7' + Info: 3: + IC(2.405 ns) + CELL(0.087 ns) = 5.059 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0' + Info: 4: + IC(1.366 ns) + CELL(0.235 ns) = 6.660 ns; Loc. = Unassigned; Fanout = 3; REG Node = 'vga:inst|vga_control:vga_control_unit|b' + Info: Total cell delay = 0.781 ns ( 11.73 % ) + Info: Total interconnect delay = 5.879 ns ( 88.27 % ) +Info: Estimated most critical path is register to register delay of 6.660 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X78_Y33; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9' + Info: 2: + IC(2.108 ns) + CELL(0.459 ns) = 2.567 ns; Loc. = LAB_X56_Y45; Fanout = 3; COMB Node = 'vga:inst|vga_control:vga_control_unit|r_next_i_o7' + Info: 3: + IC(2.405 ns) + CELL(0.087 ns) = 5.059 ns; Loc. = LAB_X76_Y33; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0' + Info: 4: + IC(1.366 ns) + CELL(0.235 ns) = 6.660 ns; Loc. = LAB_X78_Y32; Fanout = 3; REG Node = 'vga:inst|vga_control:vga_control_unit|b' + Info: Total cell delay = 0.781 ns ( 11.73 % ) + Info: Total interconnect delay = 5.879 ns ( 88.27 % ) +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X34_Y24 to location X44_Y35 +Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Completed Fixed Delay Chain Operation +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Info: Completed Auto Delay Chain Operation +Warning: Following 6 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results + Info: Pin seven_seg_pin[13] has GND driving its datain port + Info: Pin seven_seg_pin[6] has GND driving its datain port + Info: Pin seven_seg_pin[5] has GND driving its datain port + Info: Pin seven_seg_pin[4] has GND driving its datain port + Info: Pin seven_seg_pin[3] has GND driving its datain port + Info: Pin seven_seg_pin[0] has GND driving its datain port +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/vga_pll.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 320 megabytes + Info: Processing ended: Thu Oct 29 17:13:03 2009 + Info: Elapsed time: 00:00:28 + Info: Total CPU time (on all processors): 00:00:28 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/vga_pll.fit.smsg. + + diff --git a/bsp3/Designflow/ppr/download/vga_pll.fit.smsg b/bsp3/Designflow/ppr/download/vga_pll.fit.smsg new file mode 100644 index 0000000..38de4e4 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.fit.smsg @@ -0,0 +1,8 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Start inferring scan chains for DSP blocks +Extra Info: Inferring scan chains for DSP blocks is complete +Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density +Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks diff --git a/bsp3/Designflow/ppr/download/vga_pll.fit.summary b/bsp3/Designflow/ppr/download/vga_pll.fit.summary new file mode 100644 index 0000000..1153f42 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.fit.summary @@ -0,0 +1,14 @@ +Fitter Status : Successful - Thu Oct 29 17:13:03 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga_pll +Top-level Entity Name : vga_pll +Family : Stratix +Device : EP1S25F672C6 +Timing Models : Final +Total logic elements : 141 / 25,660 ( < 1 % ) +Total pins : 91 / 474 ( 19 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,944,576 ( 0 % ) +DSP block 9-bit elements : 0 / 80 ( 0 % ) +Total PLLs : 1 / 6 ( 17 % ) +Total DLLs : 0 / 2 ( 0 % ) diff --git a/bsp3/Designflow/ppr/download/vga_pll.flow.rpt b/bsp3/Designflow/ppr/download/vga_pll.flow.rpt new file mode 100644 index 0000000..b59b8b3 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.flow.rpt @@ -0,0 +1,125 @@ +Flow report for vga_pll +Thu Oct 29 17:13:31 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Thu Oct 29 17:13:31 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 141 / 25,660 ( < 1 % ) ; +; Total pins ; 91 / 474 ( 19 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 10/29/2009 17:12:29 ; +; Main task ; Compilation ; +; Revision Name ; vga_pll ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815334056.125683274905785 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:04 ; 1.0 ; -- ; 00:00:03 ; +; Fitter ; 00:00:28 ; 1.0 ; -- ; 00:00:28 ; +; Assembler ; 00:00:18 ; 1.0 ; -- ; 00:00:17 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:50 ; -- ; -- ; 00:00:49 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti14 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti14 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll +quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll + + + diff --git a/bsp3/Designflow/ppr/download/vga_pll.map.rpt b/bsp3/Designflow/ppr/download/vga_pll.map.rpt new file mode 100644 index 0000000..0e94592 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.map.rpt @@ -0,0 +1,666 @@ +Analysis & Synthesis report for vga_pll +Thu Oct 29 17:12:32 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component + 9. altpll Parameter Settings by Entity Instance + 10. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Oct 29 17:12:32 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Total logic elements ; 143 ; +; Total pins ; 91 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; DSP block 9-bit elements ; 0 ; +; Total PLLs ; 1 ; +; Total DLLs ; 0 ; ++-----------------------------+------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP1S25F672C6 ; ; +; Top-level entity name ; vga_pll ; vga_pll ; +; Family name ; Stratix ; Stratix ; +; Type of Retiming Performed During Resynthesis ; Full ; ; +; Resynthesis Optimization Effort ; Normal ; ; +; Physical Synthesis Level for Resynthesis ; Normal ; ; +; Use Generated Physical Constraints File ; On ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Allows Asynchronous Clear Usage For Shift Register Replacement ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++----------------------------------------------------------------+--------------------+--------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; ../../src/vga_pll.bdf ; yes ; User Block Diagram/Schematic File ; /homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pll.bdf ; +; ../../syn/rev_1/vga.vqm ; yes ; User Verilog Quartus Mapping File ; /homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm ; +; ../../src/vpll.vhd ; yes ; User Wizard-Generated File ; /homes/burban/didelu/dide_16/bsp3/Designflow/src/vpll.vhd ; +; altpll.tdf ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/altpll.tdf ; +; aglobal90.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc ; +; stratix_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc ; +; stratixii_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc ; +; cycloneii_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+------------------------------------------+ +; Total logic elements ; 143 ; +; -- Combinational with no register ; 81 ; +; -- Register only ; 3 ; +; -- Combinational with a register ; 59 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 53 ; +; -- 3 input functions ; 32 ; +; -- 2 input functions ; 54 ; +; -- 1 input functions ; 1 ; +; -- 0 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 109 ; +; -- arithmetic mode ; 34 ; +; -- qfbk mode ; 0 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 48 ; +; -- asynchronous clear/load mode ; 3 ; +; ; ; +; Total registers ; 62 ; +; Total logic cells in carry chains ; 40 ; +; I/O pins ; 91 ; +; Total PLLs ; 1 ; +; Maximum fan-out node ; vpll:inst1|altpll:altpll_component|_clk0 ; +; Maximum fan-out ; 63 ; +; Total fan-out ; 667 ; +; Average fan-out ; 2.84 ; ++---------------------------------------------+------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; |vga_pll ; 143 (0) ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 ; 0 ; 81 (0) ; 3 (0) ; 59 (0) ; 40 (0) ; 0 (0) ; |vga_pll ; work ; +; |vga:inst| ; 143 (2) ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 ; 0 ; 81 (0) ; 3 (0) ; 59 (2) ; 40 (0) ; 0 (0) ; |vga_pll|vga:inst ; work ; +; |vga_control:vga_control_unit| ; 10 (10) ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 131 (131) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 74 (74) ; 3 (3) ; 54 (54) ; 40 (40) ; 0 (0) ; |vga_pll|vga:inst|vga_driver:vga_driver_unit ; work ; +; |vpll:inst1| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1 ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1|altpll:altpll_component ; work ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 62 ; +; Number of registers using Synchronous Clear ; 48 ; +; Number of registers using Synchronous Load ; 20 ; +; Number of registers using Asynchronous Clear ; 3 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 12 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++---------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component ; ++-------------------------------+-------------------+-----------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+-------------------+-----------------------------+ +; OPERATION_MODE ; NORMAL ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK0 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 30003 ; Signed Integer ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Signed Integer ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Signed Integer ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Signed Integer ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 1 ; Untyped ; +; CLK3_MULTIPLY_BY ; 1 ; Untyped ; +; CLK2_MULTIPLY_BY ; 1 ; Untyped ; +; CLK1_MULTIPLY_BY ; 1 ; Untyped ; +; CLK0_MULTIPLY_BY ; 5435 ; Signed Integer ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Untyped ; +; CLK3_DIVIDE_BY ; 1 ; Untyped ; +; CLK2_DIVIDE_BY ; 1 ; Untyped ; +; CLK1_DIVIDE_BY ; 1 ; Untyped ; +; CLK0_DIVIDE_BY ; 6666 ; Signed Integer ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 0 ; Untyped ; +; CLK3_PHASE_SHIFT ; 0 ; Untyped ; +; CLK2_PHASE_SHIFT ; 0 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Untyped ; +; CLK3_DUTY_CYCLE ; 50 ; Untyped ; +; CLK2_DUTY_CYCLE ; 50 ; Untyped ; +; CLK1_DUTY_CYCLE ; 50 ; Untyped ; +; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Stratix ; Untyped ; +; PORT_CLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA4 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA5 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKBAD0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKBAD1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK4 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK5 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANDATAOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANDONE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKLOSS ; PORT_CONNECTIVITY ; Untyped ; +; PORT_INCLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_INCLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_FBIN ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PLLENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKSWITCH ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ARESET ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PFDENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANCLK ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANACLR ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANREAD ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANWRITE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASESTEP ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANCLKENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 6 ; Untyped ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Stratix ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+-------------------+-----------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------+ +; altpll Parameter Settings by Entity Instance ; ++-------------------------------+------------------------------------+ +; Name ; Value ; ++-------------------------------+------------------------------------+ +; Number of entity instances ; 1 ; +; Entity Instance ; vpll:inst1|altpll:altpll_component ; +; -- OPERATION_MODE ; NORMAL ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 30003 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; ++-------------------------------+------------------------------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:12:28 2009 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll +Info: Revision "vga_pll" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0. +Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf +Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf + Info: Found entity 1: vga_pll +Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm + Info: Found entity 1: vga_driver + Info: Found entity 2: vga_control + Info: Found entity 3: vga +Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd + Info: Found design unit 1: vpll-SYN + Info: Found entity 1: vpll +Info: Elaborating entity "vga_pll" for the top level hierarchy +Info: Elaborating entity "vga" for hierarchy "vga:inst" +Info: Elaborating entity "vga_driver" for hierarchy "vga:inst|vga_driver:vga_driver_unit" +Info: Elaborating entity "vga_control" for hierarchy "vga:inst|vga_control:vga_control_unit" +Info: Elaborating entity "vpll" for hierarchy "vpll:inst1" +Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object "locked" assigned a value but never read +Info: Elaborating entity "altpll" for hierarchy "vpll:inst1|altpll:altpll_component" +Info: Elaborated megafunction instantiation "vpll:inst1|altpll:altpll_component" +Info: Instantiated megafunction "vpll:inst1|altpll:altpll_component" with the following parameter: + Info: Parameter "bandwidth_type" = "AUTO" + Info: Parameter "clk0_duty_cycle" = "50" + Info: Parameter "lpm_type" = "altpll" + Info: Parameter "clk0_multiply_by" = "5435" + Info: Parameter "invalid_lock_multiplier" = "5" + Info: Parameter "inclk0_input_frequency" = "30003" + Info: Parameter "gate_lock_signal" = "NO" + Info: Parameter "clk0_divide_by" = "6666" + Info: Parameter "pll_type" = "AUTO" + Info: Parameter "valid_lock_multiplier" = "1" + Info: Parameter "clk0_time_delay" = "0" + Info: Parameter "spread_frequency" = "0" + Info: Parameter "intended_device_family" = "Stratix" + Info: Parameter "operation_mode" = "NORMAL" + Info: Parameter "compensate_clock" = "CLK0" + Info: Parameter "clk0_phase_shift" = "0" +Info: WYSIWYG I/O primitives converted to equivalent logic + Info: WYSIWYG I/O primitive "vga:inst|clk_pin_in" converted to equivalent logic +Info: Implemented 235 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins + Info: Implemented 89 output pins + Info: Implemented 143 logic cells + Info: Implemented 1 ClockLock PLLs +Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 204 megabytes + Info: Processing ended: Thu Oct 29 17:12:32 2009 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:03 + + diff --git a/bsp3/Designflow/ppr/download/vga_pll.map.summary b/bsp3/Designflow/ppr/download/vga_pll.map.summary new file mode 100644 index 0000000..3ed3762 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.map.summary @@ -0,0 +1,12 @@ +Analysis & Synthesis Status : Successful - Thu Oct 29 17:12:32 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga_pll +Top-level Entity Name : vga_pll +Family : Stratix +Total logic elements : 143 +Total pins : 91 +Total virtual pins : 0 +Total memory bits : 0 +DSP block 9-bit elements : 0 +Total PLLs : 1 +Total DLLs : 0 diff --git a/bsp3/Designflow/ppr/download/vga_pll.pin b/bsp3/Designflow/ppr/download/vga_pll.pin new file mode 100644 index 0000000..ce42e13 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.pin @@ -0,0 +1,748 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- Bank 9: 3.3V + -- Bank 11: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version +CHIP "vga_pll" ASSIGNED TO AN: EP1S25F672C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A2 : gnd : : : : +GND* : A3 : : : : 3 : +VCCIO3 : A4 : power : : 3.3V : 3 : +reset : A5 : input : 3.3-V LVTTL : : 3 : Y +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +VCCIO3 : A11 : power : : 3.3V : 3 : +GND* : A12 : : : : 3 : +GND : A13 : gnd : : : : +GND : A14 : gnd : : : : +GND+ : A15 : : : : 4 : +VCCIO4 : A16 : power : : 3.3V : 4 : +d_hsync_counter[6] : A17 : output : 3.3-V LVTTL : : 4 : N +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +GND* : A21 : : : : 4 : +GND* : A22 : : : : 4 : +VCCIO4 : A23 : power : : 3.3V : 4 : +GND* : A24 : : : : 4 : +GND : A25 : gnd : : : : +GND* : AA1 : : : : 1 : +GND* : AA2 : : : : 1 : +GND* : AA3 : : : : 1 : +GND* : AA4 : : : : 1 : +GND* : AA5 : : : : 1 : +GND* : AA6 : : : : 1 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +seven_seg_pin[12] : AA11 : output : 3.3-V LVTTL : : 8 : Y +GND* : AA12 : : : : 11 : +GND* : AA13 : : : : 11 : +d_vsync_counter[5] : AA14 : output : 3.3-V LVTTL : : 11 : N +nIO_PULLUP : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +d_hsync_counter[1] : AA17 : output : 3.3-V LVTTL : : 7 : N +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND* : AA21 : : : : 7 : +GND* : AA22 : : : : 6 : +GND* : AA23 : : : : 6 : +GND* : AA24 : : : : 6 : +GND* : AA25 : : : : 6 : +GND* : AA26 : : : : 6 : +GND* : AB1 : : : : 1 : +GND* : AB2 : : : : 1 : +GND* : AB3 : : : : 1 : +GND* : AB4 : : : : 1 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +d_vsync_counter[4] : AB12 : output : 3.3-V LVTTL : : 11 : N +GND* : AB13 : : : : 11 : +GND* : AB14 : : : : 11 : +GND : AB15 : gnd : : : : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND : AB18 : gnd : : : : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +GND* : AB21 : : : : 7 : +GND* : AB22 : : : : 7 : +GND* : AB23 : : : : 6 : +GND* : AB24 : : : : 6 : +GND* : AB25 : : : : 6 : +GND* : AB26 : : : : 6 : +VCCIO1 : AC1 : power : : 3.3V : 1 : +GND* : AC2 : : : : 1 : +GND* : AC3 : : : : 1 : +GND* : AC4 : : : : 1 : +GND* : AC5 : : : : 8 : +GND* : AC6 : : : : 8 : +GND* : AC7 : : : : 8 : +GND* : AC8 : : : : 8 : +GND* : AC9 : : : : 8 : +GND* : AC10 : : : : 8 : +GND* : AC11 : : : : 8 : +GND+ : AC12 : : : : 8 : +GND : AC13 : gnd : : : : +GNDA_PLL6 : AC14 : gnd : : : : +GND* : AC15 : : : : 7 : +GND* : AC16 : : : : 7 : +GND* : AC17 : : : : 7 : +GND* : AC18 : : : : 7 : +GND* : AC19 : : : : 7 : +GND* : AC20 : : : : 7 : +GND* : AC21 : : : : 7 : +GND* : AC22 : : : : 7 : +GND* : AC23 : : : : 7 : +GND* : AC24 : : : : 6 : +GND* : AC25 : : : : 6 : +VCCIO6 : AC26 : power : : 3.3V : 6 : +GND* : AD1 : : : : 1 : +GND* : AD2 : : : : 8 : +GND* : AD3 : : : : 8 : +GND* : AD4 : : : : 8 : +GND* : AD5 : : : : 8 : +GND* : AD6 : : : : 8 : +GND* : AD7 : : : : 8 : +GND* : AD8 : : : : 8 : +GND* : AD9 : : : : 8 : +GND* : AD10 : : : : 8 : +GND* : AD11 : : : : 8 : +GND* : AD12 : : : : 8 : +VCCG_PLL6 : AD13 : power : : 1.5V : : +VCCA_PLL6 : AD14 : power : : 1.5V : : +GND* : AD15 : : : : 7 : +GND* : AD16 : : : : 7 : +GND* : AD17 : : : : 7 : +GND* : AD18 : : : : 7 : +GND* : AD19 : : : : 7 : +GND* : AD20 : : : : 7 : +GND : AD21 : gnd : : : : +GND* : AD22 : : : : 7 : +GND* : AD23 : : : : 7 : +GND* : AD24 : : : : 7 : +GND* : AD25 : : : : 6 : +GND* : AD26 : : : : 6 : +GND : AE1 : gnd : : : : +GND* : AE2 : : : : 8 : +GND* : AE3 : : : : 8 : +GND* : AE4 : : : : 8 : +GND : AE5 : gnd : : : : +GND* : AE6 : : : : 8 : +GND* : AE7 : : : : 8 : +GND* : AE8 : : : : 8 : +GND : AE9 : gnd : : : : +GND* : AE10 : : : : 8 : +GND* : AE11 : : : : 8 : +GND+ : AE12 : : : : 8 : +VCC_PLL6_OUTA : AE13 : power : : 3.3V : 11 : +GNDG_PLL6 : AE14 : gnd : : : : +GND+ : AE15 : : : : 7 : +d_hsync_counter[3] : AE16 : output : 3.3-V LVTTL : : 7 : N +GND* : AE17 : : : : 7 : +GND* : AE18 : : : : 7 : +GND* : AE19 : : : : 7 : +GND* : AE20 : : : : 7 : +GND* : AE21 : : : : 7 : +GND* : AE22 : : : : 7 : +GND* : AE23 : : : : 7 : +GND* : AE24 : : : : 7 : +GND* : AE25 : : : : 7 : +GND : AE26 : gnd : : : : +GND : AF2 : gnd : : : : +GND* : AF3 : : : : 8 : +VCCIO8 : AF4 : power : : 3.3V : 8 : +GND* : AF5 : : : : 8 : +GND* : AF6 : : : : 8 : +GND* : AF7 : : : : 8 : +GND* : AF8 : : : : 8 : +GND* : AF9 : : : : 8 : +GND* : AF10 : : : : 8 : +VCCIO8 : AF11 : power : : 3.3V : 8 : +GND* : AF12 : : : : 8 : +GND : AF13 : gnd : : : : +GND : AF14 : gnd : : : : +GND+ : AF15 : : : : 7 : +VCCIO7 : AF16 : power : : 3.3V : 7 : +GND* : AF17 : : : : 7 : +GND* : AF18 : : : : 7 : +GND* : AF19 : : : : 7 : +GND* : AF20 : : : : 7 : +GND* : AF21 : : : : 7 : +GND* : AF22 : : : : 7 : +VCCIO7 : AF23 : power : : 3.3V : 7 : +GND* : AF24 : : : : 7 : +GND : AF25 : gnd : : : : +GND : B1 : gnd : : : : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 3 : +GNDG_PLL5 : B13 : gnd : : : : +GNDA_PLL5 : B14 : gnd : : : : +GND+ : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND* : B21 : : : : 4 : +GND* : B22 : : : : 4 : +GND* : B23 : : : : 4 : +GND* : B24 : : : : 4 : +GND* : B25 : : : : 4 : +GND : B26 : gnd : : : : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 3 : +GND* : C3 : : : : 3 : +GND* : C4 : : : : 3 : +GND* : C5 : : : : 3 : +GND* : C6 : : : : 3 : +GND* : C7 : : : : 3 : +GND* : C8 : : : : 3 : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +GND* : C11 : : : : 3 : +GND* : C12 : : : : 3 : +GND : C13 : gnd : : : : +VCCG_PLL5 : C14 : power : : 1.5V : : +GND* : C15 : : : : 4 : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 4 : +GND* : C20 : : : : 4 : +GND* : C21 : : : : 4 : +GND* : C22 : : : : 4 : +GND* : C23 : : : : 4 : +GND* : C24 : : : : 4 : +GND* : C25 : : : : 5 : +GND* : C26 : : : : 5 : +VCCIO2 : D1 : power : : 3.3V : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 3 : +GND* : D4 : : : : 3 : +GND* : D5 : : : : 3 : +GND* : D6 : : : : 3 : +GND : D7 : gnd : : : : +GND* : D8 : : : : 3 : +GND : D9 : gnd : : : : +GND* : D10 : : : : 3 : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +VCC_PLL5_OUTA : D13 : power : : 3.3V : 9 : +VCCA_PLL5 : D14 : power : : 1.5V : : +TRST : D15 : input : : : 4 : +GND* : D16 : : : : 4 : +d_hsync_counter[4] : D17 : output : 3.3-V LVTTL : : 4 : N +GND* : D18 : : : : 4 : +GND* : D19 : : : : 4 : +GND* : D20 : : : : 4 : +GND* : D21 : : : : 4 : +GND* : D22 : : : : 4 : +GND* : D23 : : : : 4 : +GND* : D24 : : : : 5 : +GND* : D25 : : : : 5 : +VCCIO5 : D26 : power : : 3.3V : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +GND* : E5 : : : : 3 : +GND* : E6 : : : : 3 : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +GND* : E10 : : : : 3 : +GND* : E11 : : : : 3 : +d_vsync_counter[2] : E12 : output : 3.3-V LVTTL : : 9 : N +GND* : E13 : : : : 9 : +GND* : E14 : : : : 9 : +TMS : E15 : input : : : 4 : +GND* : E16 : : : : 4 : +GND* : E17 : : : : 4 : +GND* : E18 : : : : 4 : +GND* : E19 : : : : 4 : +GND* : E20 : : : : 4 : +GND* : E21 : : : : 4 : +r0_pin : E22 : output : 3.3-V LVTTL : : 4 : Y +g0_pin : E23 : output : 3.3-V LVTTL : : 5 : Y +b0_pin : E24 : output : 3.3-V LVTTL : : 5 : Y +GND* : E25 : : : : 5 : +GND* : E26 : : : : 5 : +hsync_pin : F1 : output : 3.3-V LVTTL : : 2 : Y +vsync_pin : F2 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[2] : F3 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[1] : F4 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[0] : F5 : output : 3.3-V LVTTL : : 3 : Y +d_hsync_state[6] : F6 : output : 3.3-V LVTTL : : 3 : Y +GND* : F7 : : : : 3 : +GND : F8 : gnd : : : : +d_hsync_state[5] : F9 : output : 3.3-V LVTTL : : 3 : Y +d_hsync_state[4] : F10 : output : 3.3-V LVTTL : : 3 : Y +GND : F11 : gnd : : : : +GND* : F12 : : : : 9 : +GND* : F13 : : : : 9 : +d_vsync_counter[1] : F14 : output : 3.3-V LVTTL : : 9 : N +GND* : F15 : : : : 4 : +~DATA0~ / RESERVED_INPUT : F16 : input : 3.3-V LVTTL : : 4 : N +d_hsync_state[2] : F17 : output : 3.3-V LVTTL : : 4 : Y +GND : F18 : gnd : : : : +d_hsync_state[1] : F19 : output : 3.3-V LVTTL : : 4 : Y +GND* : F20 : : : : 4 : +d_set_line_counter : F21 : output : 3.3-V LVTTL : : 4 : Y +GND : F22 : gnd : : : : +GND* : F23 : : : : 5 : +d_set_vsync_counter : F24 : output : 3.3-V LVTTL : : 5 : Y +d_hsync_counter[5] : F25 : output : 3.3-V LVTTL : : 5 : N +d_set_hsync_counter : F26 : output : 3.3-V LVTTL : : 5 : Y +GND* : G1 : : : : 2 : +d_vsync_counter[9] : G2 : output : 3.3-V LVTTL : : 2 : Y +GND* : G3 : : : : 2 : +d_vsync_counter[8] : G4 : output : 3.3-V LVTTL : : 2 : Y +GND* : G5 : : : : 2 : +d_vsync_counter[7] : G6 : output : 3.3-V LVTTL : : 2 : Y +GND* : G7 : : : : 3 : +GND : G8 : gnd : : : : +d_vsync_counter[0] : G9 : output : 3.3-V LVTTL : : 3 : Y +GND* : G10 : : : : 3 : +GND* : G11 : : : : 3 : +DCLK : G12 : : : : 3 : +TEMPDIODEn : G13 : : : : : +TDO : G14 : output : : : 4 : +TCK : G15 : input : : : 4 : +GND : G16 : gnd : : : : +d_hsync_counter[2] : G17 : output : 3.3-V LVTTL : : 4 : N +d_hsync_counter[9] : G18 : output : 3.3-V LVTTL : : 4 : Y +GND* : G19 : : : : 4 : +GND* : G20 : : : : 4 : +GND* : G21 : : : : 5 : +d_hsync_counter[8] : G22 : output : 3.3-V LVTTL : : 5 : Y +GND* : G23 : : : : 5 : +GND* : G24 : : : : 5 : +d_hsync_counter[7] : G25 : output : 3.3-V LVTTL : : 5 : Y +GND* : G26 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +d_hsync_counter[0] : H4 : output : 3.3-V LVTTL : : 2 : Y +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 2 : +GND : H8 : gnd : : : : +GND : H9 : gnd : : : : +GND* : H10 : : : : 3 : +CONF_DONE : H11 : : : : 3 : +nCONFIG : H12 : : : : 3 : +nSTATUS : H13 : : : : 3 : +TEMPDIODEp : H14 : : : : : +TDI : H15 : input : : : 4 : +GND* : H16 : : : : 4 : +GND : H17 : gnd : : : : +d_v_enable : H18 : output : 3.3-V LVTTL : : 4 : Y +GND* : H19 : : : : 5 : +GND* : H20 : : : : 5 : +GND* : H21 : : : : 5 : +GND* : H22 : : : : 5 : +GND* : H23 : : : : 5 : +GND* : H24 : : : : 5 : +GND* : H25 : : : : 5 : +GND* : H26 : : : : 5 : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +GND* : J3 : : : : 2 : +GND* : J4 : : : : 2 : +GND* : J5 : : : : 2 : +GND* : J6 : : : : 2 : +GND* : J7 : : : : 2 : +GND* : J8 : : : : 2 : +GND : J9 : gnd : : : : +GND : J10 : gnd : : : : +VCCIO3 : J11 : power : : 3.3V : 3 : +VCCIO3 : J12 : power : : 3.3V : 3 : +GND : J13 : gnd : : : : +GND : J14 : gnd : : : : +VCCIO4 : J15 : power : : 3.3V : 4 : +VCCIO4 : J16 : power : : 3.3V : 4 : +GND : J17 : gnd : : : : +GND : J18 : gnd : : : : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +d_h_enable : J21 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[2] : J22 : output : 3.3-V LVTTL : : 5 : Y +GND* : J23 : : : : 5 : +GND* : J24 : : : : 5 : +GND* : J25 : : : : 5 : +GND* : J26 : : : : 5 : +GND* : K1 : : : : 2 : +GND* : K2 : : : : 2 : +d_state_clk : K3 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[1] : K4 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[9] : K5 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[0] : K6 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[3] : K7 : output : 3.3-V LVTTL : : 2 : N +GND* : K8 : : : : 2 : +GND* : K9 : : : : 2 : +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.5V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.5V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.5V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.5V : : +GND : K18 : gnd : : : : +d_column_counter[8] : K19 : output : 3.3-V LVTTL : : 5 : Y +d_b : K20 : output : 3.3-V LVTTL : : 5 : Y +d_vsync_counter[6] : K21 : output : 3.3-V LVTTL : : 5 : N +GND* : K22 : : : : 5 : +d_column_counter[7] : K23 : output : 3.3-V LVTTL : : 5 : Y +d_g : K24 : output : 3.3-V LVTTL : : 5 : Y +GND* : K25 : : : : 5 : +GND* : K26 : : : : 5 : +VCCIO2 : L1 : power : : 3.3V : 2 : +d_column_counter[6] : L2 : output : 3.3-V LVTTL : : 2 : Y +d_r : L3 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[5] : L4 : output : 3.3-V LVTTL : : 2 : Y +d_vsync : L5 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[4] : L6 : output : 3.3-V LVTTL : : 2 : Y +d_hsync : L7 : output : 3.3-V LVTTL : : 2 : Y +GND : L8 : gnd : : : : +VCCIO2 : L9 : power : : 3.3V : 2 : +VCCINT : L10 : power : : 1.5V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.5V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.5V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.5V : : +GND : L17 : gnd : : : : +VCCIO5 : L18 : power : : 3.3V : 5 : +GND : L19 : gnd : : : : +d_column_counter[3] : L20 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[2] : L21 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[1] : L22 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[0] : L23 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[7] : L24 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[8] : L25 : output : 3.3-V LVTTL : : 5 : Y +VCCIO5 : L26 : power : : 3.3V : 5 : +GND+ : M1 : : : : 2 : +VCCG_PLL1 : M2 : power : : 1.5V : : +VCCA_PLL1 : M3 : power : : 1.5V : : +d_vsync_state[6] : M4 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[6] : M5 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[5] : M6 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[5] : M7 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[4] : M8 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[3] : M9 : output : 3.3-V LVTTL : : 2 : Y +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.5V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.5V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.5V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.5V : : +d_vsync_state[4] : M18 : output : 3.3-V LVTTL : : 5 : Y +d_vsync_state[3] : M19 : output : 3.3-V LVTTL : : 5 : Y +GND* : M20 : : : : 5 : +GND* : M21 : : : : 5 : +GND* : M22 : : : : 5 : +GND* : M23 : : : : 5 : +GND+ : M24 : : : : 5 : +GND+ : M25 : : : : 5 : +GND+ : M26 : : : : 5 : +GND : N1 : gnd : : : : +GND+ : N2 : : : : 2 : +board_clk : N3 : input : 3.3-V LVTTL : : 2 : Y +GNDG_PLL1 : N4 : gnd : : : : +GNDA_PLL1 : N5 : gnd : : : : +GND* : N6 : : : : 2 : +seven_seg_pin[8] : N7 : output : 3.3-V LVTTL : : 2 : Y +seven_seg_pin[9] : N8 : output : 3.3-V LVTTL : : 2 : Y +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.5V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.5V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.5V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.5V : : +GND : N17 : gnd : : : : +GND : N18 : gnd : : : : +GND* : N19 : : : : 6 : +GND* : N20 : : : : 5 : +GND* : N21 : : : : 5 : +GNDG_PLL4 : N22 : gnd : : : : +GNDA_PLL4 : N23 : gnd : : : : +VCCG_PLL4 : N24 : power : : 1.5V : : +VCCA_PLL4 : N25 : power : : 1.5V : : +GND : N26 : gnd : : : : +GND : P1 : gnd : : : : +GNDG_PLL2 : P2 : gnd : : : : +GNDA_PLL2 : P3 : gnd : : : : +VCCG_PLL2 : P4 : power : : 1.5V : : +VCCA_PLL2 : P5 : power : : 1.5V : : +GND* : P6 : : : : 1 : +GND* : P7 : : : : 1 : +GND* : P8 : : : : 2 : +GND : P9 : gnd : : : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.5V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.5V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.5V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.5V : : +GND : P18 : gnd : : : : +GND* : P19 : : : : 6 : +GND* : P20 : : : : 6 : +GND* : P21 : : : : 6 : +VCCA_PLL3 : P22 : power : : 1.5V : : +VCCG_PLL3 : P23 : power : : 1.5V : : +GND+ : P24 : : : : 6 : +GND+ : P25 : : : : 6 : +GND : P26 : gnd : : : : +GND+ : R1 : : : : 1 : +GND+ : R2 : : : : 1 : +GND+ : R3 : : : : 1 : +seven_seg_pin[10] : R4 : output : 3.3-V LVTTL : : 1 : Y +GND* : R5 : : : : 1 : +seven_seg_pin[11] : R6 : output : 3.3-V LVTTL : : 1 : Y +GND* : R7 : : : : 1 : +seven_seg_pin[0] : R8 : output : 3.3-V LVTTL : : 1 : Y +seven_seg_pin[1] : R9 : output : 3.3-V LVTTL : : 1 : Y +VCCINT : R10 : power : : 1.5V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.5V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.5V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.5V : : +GND : R17 : gnd : : : : +GND : R18 : gnd : : : : +seven_seg_pin[2] : R19 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[3] : R20 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[4] : R21 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[5] : R22 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[6] : R23 : output : 3.3-V LVTTL : : 6 : Y +GNDA_PLL3 : R24 : gnd : : : : +GNDG_PLL3 : R25 : gnd : : : : +GND+ : R26 : : : : 6 : +VCCIO1 : T1 : power : : 3.3V : 1 : +seven_seg_pin[13] : T2 : output : 3.3-V LVTTL : : 1 : Y +GND* : T3 : : : : 1 : +r1_pin : T4 : output : 3.3-V LVTTL : : 1 : Y +g1_pin : T5 : output : 3.3-V LVTTL : : 1 : Y +b1_pin : T6 : output : 3.3-V LVTTL : : 1 : Y +r2_pin : T7 : output : 3.3-V LVTTL : : 1 : Y +GND : T8 : gnd : : : : +VCCIO1 : T9 : power : : 3.3V : 1 : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.5V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.5V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.5V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.5V : : +VCCIO6 : T18 : power : : 3.3V : 6 : +GND* : T19 : : : : 6 : +GND* : T20 : : : : 6 : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : T23 : : : : 6 : +g2_pin : T24 : output : 3.3-V LVTTL : : 6 : Y +GND* : T25 : : : : 6 : +VCCIO6 : T26 : power : : 3.3V : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND* : U5 : : : : 1 : +GND* : U6 : : : : 1 : +GND* : U7 : : : : 1 : +GND* : U8 : : : : 1 : +GND* : U9 : : : : 1 : +VCCINT : U10 : power : : 1.5V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.5V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.5V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.5V : : +GND : U17 : gnd : : : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : U23 : : : : 6 : +GND* : U24 : : : : 6 : +GND* : U25 : : : : 6 : +GND* : U26 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND* : V3 : : : : 1 : +GND* : V4 : : : : 1 : +GND* : V5 : : : : 1 : +GND* : V6 : : : : 1 : +GND : V7 : gnd : : : : +GND* : V8 : : : : 1 : +GND : V9 : gnd : : : : +GND : V10 : gnd : : : : +VCCIO8 : V11 : power : : 3.3V : 8 : +VCCIO8 : V12 : power : : 3.3V : 8 : +GND : V13 : gnd : : : : +GND : V14 : gnd : : : : +VCCIO7 : V15 : power : : 3.3V : 7 : +VCCIO7 : V16 : power : : 3.3V : 7 : +GND : V17 : gnd : : : : +GND : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND : V20 : gnd : : : : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : V23 : : : : 6 : +GND* : V24 : : : : 6 : +GND* : V25 : : : : 6 : +GND* : V26 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +GND* : W6 : : : : 1 : +GND* : W7 : : : : 1 : +GND* : W8 : : : : 1 : +GND* : W9 : : : : 8 : +GND* : W10 : : : : 8 : +GND : W11 : gnd : : : : +PLL_ENA : W12 : : : : 8 : +MSEL2 : W13 : : : : 8 : +nCEO : W14 : : : : 7 : +GND* : W15 : : : : 7 : +PORSEL : W16 : : : : 7 : +GND* : W17 : : : : 7 : +GND* : W18 : : : : 7 : +GND* : W19 : : : : 6 : +GND* : W20 : : : : 6 : +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : W23 : : : : 6 : +GND* : W24 : : : : 6 : +GND* : W25 : : : : 6 : +GND* : W26 : : : : 6 : +GND* : Y1 : : : : 1 : +d_hsync_state[3] : Y2 : output : 3.3-V LVTTL : : 1 : Y +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +d_hsync_state[0] : Y5 : output : 3.3-V LVTTL : : 1 : Y +GND* : Y6 : : : : 1 : +GND : Y7 : gnd : : : : +GND* : Y8 : : : : 8 : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +seven_seg_pin[7] : Y11 : output : 3.3-V LVTTL : : 8 : Y +MSEL0 : Y12 : : : : 8 : +MSEL1 : Y13 : : : : 8 : +nCE : Y14 : : : : 7 : +VCCSEL : Y15 : : : : 7 : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 7 : +GND* : Y19 : : : : 7 : +GND* : Y20 : : : : 7 : +GND : Y21 : gnd : : : : +GND* : Y22 : : : : 6 : +d_set_column_counter : Y23 : output : 3.3-V LVTTL : : 6 : Y +GND* : Y24 : : : : 6 : +GND* : Y25 : : : : 6 : +GND* : Y26 : : : : 6 : diff --git a/bsp3/Designflow/ppr/download/vga_pll.pof b/bsp3/Designflow/ppr/download/vga_pll.pof new file mode 100644 index 0000000000000000000000000000000000000000..a23d9e16cc35a4bc750a6a3ae205994f7425d32c GIT binary patch literal 1048717 zcmeFa4SW;FnLoaEl;CS=@XE3=#MqWCz~uj!3S5a&%bNs`V9}PU6X(7tXuNk4?nQFHNUuIPW~-(X3v>Bd(JJl+?v01 zVSeqyt5=HwnN&=&rlGo=xsLfOpu22M`vdK(SGF=NGoDEY2mb1W#IMqeB0v$K2v7tl z0u%v?07ZZzKoOt_Py{Ff6ak6=MSvne5ugZA1SkR&0g3=cfFeK%K(=$)Ja!!$C4?w>h&;uWXM5T89JlZ}Zc*eG zp5V{TrxXMN#Vo_)iy)4D7fxHe5!r=`AS8cTrJyzrw77+GYi zcNN=s)R`bWT(Ch2T*$=Ezz1*vWtzKV_Lo1};hSAF?CYv3FYjW4a9{32-y40)mMun* zqcRhj5h@T9gwVrXI3A9G<8AaooxpCT_0=V%LHx}GU#`F7%FFnRcYwr^>_k$IP=J&d zeQ@UTqCdX(yUITzCWd`7Qt)u8RQxI_#c=Y$a99~3c-ZJe>?4Ul$l^a2DkPzbcD^|H zYy5WrxxpQLzYy5Ur`TS1_?wteTyYxs+IU^<^EC=lY~QWXRN|DgCA zyOsyMPWWeno^Afi9{6haphwJl7()i&JOKZ1$++z}a`-PD_zOEjUU6y|tizi-Mh?SQ z#bGAMqlKMi@y+HI@_4CS{3-QsU-Jgh%>i(7}UJ&|(#H zyo^Kgyflf}q1FPU$2-f?jug8@NW-wH7JNs4)8Kw5{4>G9BZDJ@@YOMh9tAOb>tKD| z$U*q3I2cdV)Y24TtvI5MScGfH%-)I@0zQ;>u)3=Ba`l4E?Uxq_!U_&AS3^sIJv8!N z`N8Q8m3)&Q&bOXYiwJ-u7ntRde$_lga0Bq(z<>HNiT7Fflhk`-`^KgCv zFDogdqVw)eJ@8Qx`j-hlnR~VrqQnj+(QP ze%16e`3+|uw^4lN_2f8Ggjf=BZ4tpU#z8o~PQ3b#3=H?d*T%l4!CwhOpUxKoTi_46 z0tVgkJ*upVz=Nz=GK!dL{IIy_K}<;jS90AY77K4xftN^F-I0Tr>)@-sPSUWcNZ8(r zpZ@7jQ{FpTJOz&zV3ZJym8_m(Hkav0Y*Ekwv~atonr(%kCqN+rT)1Tmi&>muM`YNb z#;-BF)F|j_$<Ow0P zx3T;}QS!P)Lg4F)oiI`=OJ{pGM)1XeL<8zvK0nFW&)QrFY=@fYNGyRzSwTYU0(u0tI9;k_o+xIHBNam5Yvxbl<@W!(xs#UwAUu7B` zrHW>2Rc6(A%K>jF;4KH(d>~p5L~b$5@KpgbcKCJr(8q?{wW) zG|%5+cjavK?L0Wq@#R(4NrfrdoGWX+@1S?$Oz{75L6<${PH)f7LxQ(Ag>$w3brHm# z(P}H2wv)BiJP`nIOq`wU&TezN9AWCyEMDwgyU2IzD(g)}&TLN(chTplC_hT@SgL0RX@MIb*f|9GFvrk&6?D0^I5%bc*?h&@;q(3YubJPC#${DeczIr zbvEmgtV!9uwyqz0mq)z6iM-brEQZKStdp|4dG8_|dr?8b^EIvW5b33?^&h;8J@ywS z1$qw_ZMkouyB0`u3mo0+igN?UeI>xy|RGCcmtCI0Ncw%zG3IdE{I3;TX~ac#!( z!b6ShDqmZMXIF7`V7L1yS8l)g5Co__0MYFeJ?x>oczK;m24<^^VXJ>H`Jw8G1dK1e;! z{dSRU!2U*>y=Oec_7(YTfjND*)b_ph%`b*!Ch?nKOM(X4g?xsM^A95NC{&orbm6ZH-HviFSX;s~uB;wk&V`b>keM zoxdcoWl3FNWh$oao#9Sv7{Hzdf16s+5NP1M5BhSx@|`;y?O$HvnqqS}GF{i#UNqEY-2f!Cot^vwtGFz6fC|xnxx5WJ&-;5_X&$)tcPyP*e*LQ9%TGn{famEIuQ-Sof zmtT0{ncMwO&iMA^?{oin%(ujqS?B&jkEJ&C8J`06mWPf&_eVyHsb--KWZ35qC%2{`IrT;{W<79bieNIYYZF{jL z>pyHB(RX3WEdL2RciCSUItY{n@8#J8E4R1Uw`8>VPaH^_dC+mBJoS#53wD(Gxrqn1 zx84r^Ep5fVN%!>xX7v@Uo_VZ1bwQ4Qde1}l9FH%%FQ+H#nW7c`6K>D3Hp{j^<@dru zV&bO$6%tY^2)hdy=}h6dNw?V3Qtq-YfLm+(;PVE3i~ok4$}cA$A6ObeXrYWnE(t;+ zT2K^l@!$B4kC30%87Yu`^F9!0@EDb0fYQlaeK*8FGKPTLc9umv^B1?XO zH(=XXMM6Bt_12o`81Nu3WiBKEP%5tU>u{SaRz=hR;kt|iKn>^H1%X$-mFYxHgfp$F zav{S=7nfB_1dVT|pnXX9qR==krkZc0!t#@DN#|V{CUh8jFGXE<;E1v>oHTZ^;ietm zx{BaOi0g+WE9M@C!2y=EGa$I*Azha0KDKVhr=pvhE~Y{2)Dl|7>C2+UMu{F z*g#B*c?uD)Fh!_nzc2}$1^2yHF}%w zN|{o!H-d!G_>u6V*Uk$)F~elL!ncuh;m#|25*|V&)6|@?n{sfZ84zX*{=Q@zDt$rh zB_Xj?!azs?9)1p2H%WLWEXB34um4EVJxfC9za`gd27FrrMQO6?7Ml&6zeYYxB%3xi z({=Reyk2#vVo{9H5JT}m-ThzUv%X)bXbsDwg9guJKr11ylhL8#yPUfzei-!-;dTkU zJEu%g4`j%7^s1U6HiW>VIixbo4~0e(G78P-5E^%Wsx=*k_o;aF<$*G7Wsi9GQk+8Y9Cc?)hh!-dwUIF7z&Lh zGBRkC3X?n*ukFHMUiDgC)u!qm&(Ueg$6A0GF0Vze7KXJvF`DvsBw;&mfs|C}Pq0i# zxHPnV(Hs3rg2=fGzSANNm*{=6Rqg0`+_5t!qHrQ8@~jk$?ug|2TBdei?rWKERmO7sxj&kIod)5k4b98 z@5nei-rY$w6cSCTQVFgXZDyMC>1l6HCX&kLlGUI!&0$ z3gidOHo**Q8!9fjBk?RnViN7@B4iW0BRA0}8V3^NgOA0}=Ffg#-Oz1}GP%JLiJURm z=)qRnNf1j;n2Z&VdT2ZrQ1>$8dW}{wBM@kXrO;?0Bb#{83>4RcT)PuDDIEc5h0+9R z%;+7XrW=LcZq`J{fX6kUc8r_y*on)9XD-@TU0B~;w(&EvX~eavR34a>dn#*Ib6Z!l z);eB24NGUowANuj)Kr7gE=?5{dpB(#kF@^=U+ zh7tOS-x29y{Y>b8{zb}z>iS2?WWF@4?7psSuIw4DCdFt$WL2Vy(86PST4Bo^o+n{k!Ne|{wCl`S%wR<79&RNudLBD>uH|O)NE%O zR1#e61BrSw%<;Ks{Z6OZDYVesSM=p3b|@(GYuu#bakt%9KBuoZ`{4f8meOJy%&c}6 z1!ne5>nZHd?sDbSWz}X>qvhrS-@pNqL9MCG@#3|y;n(L&aNJ?mR0X|W=)w2(u^l@U zxz;9jI=X!6G=nBg6q+#vjp=R$MwCbXK%x^RD>i0KX?T!4IOl6T3O1nl|HwDmCy z3yM#n(M0i@g(lGnhB(s`;{1aqpn5%!PC9Bl2Hz$%tJwa~#_Ntuw45vSTw&Y(9JqTy z-`$0Sce7h7PmHAeVZw*wwpFDandpD5(zh?qd+)a4hYt?VJ%DjD@XCY3Qx9~UfW&i8{Iqydd)I=J4%_M8m#h4H z@_M#!_HWwA&F|=W`{eyOBlbnJ>&u2q`;U0f^?I)K7hO4-{Zaj~-uid@|E6e4<)Qs~ zr!HS?@2W2u$$9Ouz{^#Ad-Aq!zv|yKJW2%4^%tExnf-qKv7Y*O5ByEh`NF~6Q=89$ zDb2IXvCF!`+u&(%G+5C{yfbZ!Wnt=!l&rLFOHJz36tvJSu!~GEI~?x0m&E>|B<}5b z^Mj(6cBW`qdZYmd=WUlhCVEeBHY!mTBJn<*lG1x>!O(BMAh70C*AU~IW>EZK>#0Z% z6Tkb63#v%Y`Afexse5B`*T$Gtz9otY%{eE>DzT?z8w>RqKawIk<7lNPdtt{K5-UB! z%SNMa<5S+P}kcBzf7OwXs;o^Q6ppCBKGg{mXx}bU& zAY_eIC`)jtRPfw8Uoyy$T5uSajB(9lZ-=M&G0&CiqAM-gA1yf6yWlg@EaK`mm3@11 zw{HK$|KKopGg6L_>T&fo*gHfuco;xs%h<63v>7J8=^^31U1bQXGwF}KC4eFWTJxfWPT7WyT(c+PrIiJYxq54;9 z$Mu$h&EjX3zL)d7cWvAF@WG9rjY%VpLFF8K_Vz3!Es=YIiK>U$=`F8Z7l6y zFc$TW1CmwDgxGkiV7lU7L1iHO?n!4`0QNCw7OT;aBl1pC*)<$ z1|P@`UkxU#8vHXTshY@&K&NsRf7?ICoSu`HmuiNvW8*ucQc}Wp9qn}0d|(=AVqwf& zsl|$NgPjBQFYC{hGXk=b)Xd6BN~c7gm68LektRaMS+*mQXv#QBZj}D=XH5g=lO=ib zkcmAR<3=kD7@QHE&L?8^U@~3Xi<4}VACZh9-TQ;7*J%}oes`9sE0t{+)E`w6(h0jr zwmpj3F|n!_S`CiE0W)E`fwKNu7QL~4kg;|8m9$>L}>J|Kc26GK3!!k{` zZYHwPV=;@)Wc#C9?nC zLh~$9yN6DJ_}uH)+Bi{YG_j%3P-rv}(DX1ZHE2y5T!qB!;-jQ_nBVDvPrd+y6U_Ed zvj?e-AY*UenWp8GjXojCan8MBG@2qjT7bq3 zJxro+G{4S)uMG7Y^_yT6xe*?5xiu!)LO69+jkzXLrJ_?vN}GpxKzKB}#$A#NCa~tP z7w(;7JR8awVCCfYnynk~ZfTg*f1(T#H!*jIjzNTpppTZqmQm9e4DqkYtsV_%E7Z}J zN&<6|g3-e))KFw!-An4dx~6st;heC{@p4^@Wpn#2;wjGb3j_m0k#qDm9oeN4BVm<%$uam8xAkki! zA2?&o$jOiDT8|jIm1>dk`+)cVO4ZY#BeD8UWUUW=|ICz=89tKRr41sY8k`tR*Q8Xd zLT1pBjx#g?qtH-jG!fABASpGYbNV}s=kGxWfSbN>>pWUKGP6H6zy2BTRmwWNv3Rh| zb-wV_WqZqq-fv87-UO8~u`^n@JMsT_aqWXEl=9VOrI@D+GjPc~j0zFIpeD;)RA1*H?hAX<6vdMqCD%WytYSNy=C^p6DPsQ{*pI$ zTi)T}l7WtlGiM7=jj~!1N2xh)Z~2q=!>smU>zs}Ns6@GEU)r9sY2#4;^kZXC?O1Nb z@6g<~7uJ7_o{qsHdqRGoNTS%JGNFDVyWsh5#gruyFM+9V_Kl*o1BrY-T4|$tY1u+T z19jBgp^6xCRO@y%_pWPhMrN4vmETjfcW5T8?vb1?r6-ZI@FA=uh$SaX>P2eImD~W= zSh}jA>2!=LEXa{UL!r?`K+_`$rDiN>9;3!%=xrjWZ$YCy))kL&Qyx2Ux$w+I`>G4; zyURA#?Cn6KdiKi6$FC0cPMALu@;>HURCQoh-l?qF%^SO#OW*9By1O!GcixR3P1rO% z)ITBb?DVsj3(sG)ueorsyKH!r2vi=Jm3t~{R&!fdv*XQPJJvg1Jq@cz$Fkm`*<9%L z_{=6mRdtT$%_Qc-lCY`NTO8Wq5-cd?qN(Lb(>8snsXhr1r6X2N&?q#qp@DaF7nEE3 zSWga@<<3A>YV?Y3|1@9W{%r3-SF61gPx`0a${r`;5 zI~tvrDq!=>g_owo?n_TwQF(Ts%TveIx~nmQfk2 z7Cv7v<5@O0yLJ-uxUy}M_#fTTq?Zwq7KaG$eA^Mou)kjDi96_+kAkIu)RQb{bkU~SD z(L_MggGvpBMiT)|4=Obj8chT=J*d=BXfzSf^q^8hq0vM@(}PM4g+>zrO%EzH6dFwg zG(D))P-rv}(Da~EL!r?`K+}Ut4TVM%0Zk7oH53|61T;OU)KF+N5zzFYQbVE9L_pJn zN)3fZ69G*RDm4@uO$0POsMLJ^pfP)P4y>acoVQ35Hv=9-*PNO;-2yK=3w6IvlV zbq4F^z&--=S8~1RTKzbQ1ag^d%?^ zZhtnL0JXNXhJJrYv&k{wL2UF&VhieL(Tix|3)}Ag>76ebKvFB{XCpRR+z{2{wGz?m zPNC66D(RphdEfy(%UiSDk%xHXIf*8fs2rJ@w_}7;X;WinU7siP6Vn1|W+zASsSqW1 z=u0woDCk9#^Hhj2pmyXJSqkz~$nPkIx$oD1N1_7&}br{=|QE2LZgX*rU#W83XLWLnjR#jW(=qn%xLjQUrtJ2PMXLOXY}Qy znoSdZIVpuE1_GL1^{*VdH@G%f7TD*l`|hHiJNlQ~25($FVc)ppUp)V%ksCU?25uU7 zx2^TH(!M+QpAUW*{8sQ6!QX`=)Ix+~rXx%qI5?^H2fH0pjt%XHv|k)|eD3n|*Ncgt zMTyspTH;#Sd(m6>WJ~L$JKpwwX$s2tH&57aIDP%48#WY{-*n*Usg^y(U75w_Z~5?+ zZ{6~XTYh)T+FQzed+j+lXHC1Qzg?C)c7{n$Gv*0a@l9RhwSm;_lZ2C+9uIIY zJ1*YBI2C8gGmPRyc>_UGnV`Lp#G%$0yG~THoHG@jsm}z^liz7^fYF0NhYvH1GtHPM zlVaXzhOkE@!a*x~NhLUr&wv-mzU_Bpt&qSZY;>`Bs)MeFXRHKuO;WZNn zAe7&GaKEWw)>P(r);P#|zTJx?!^E|D8zzuF*(9AO%L6932uCG3lMyDG=nWNlP2?yv zpFe0!S8$dIttPT-jp&fq)andnH%|o5Y>`|(mt5<@NaT#kMDN4N%S|OgENPd|nHD8F=uw9d8rRTIUQqWl=1QQ# zBZVyVeIo4!0d7$Csn}==0fiCaHLakSkYJdc`CXbx8t^~`M}7)~SzYpq0VXtq z*1u9auJ*cmo2A9G4n7b28w=|i*`6B?jYFSp6=_Fo{_L$e+nTlwJbZ9q?t!|@ma{8H z;L{Nr=nB=j0w)J94E#CJw4kr4a1bKicxVEA2t?f4v~^(R!GWm<>Q7M<~(@m+ydwbKH# zCr(RE>eLi8rVi}#A*?? zhWs|!pdoT9ig?~j0PWIAlV{lQ6C!q$t+X4Cs1ku#eq9?97qh;zp>mp71jaV6MG+E9Qgzt**5mN;KRCeMj1(479iDmwhOJ0dV7LZHgB5K? zHLEE^Q?WC_r6m)hB+jq{%QXKZs)_k#x+<85a;7v@{TG>ysf(f0yD<5T;jE}cfDr~V zIUw!L%!S_RzG15w3SwL$L!R`M(6XP46chh-vMGJ@zL|LZa7;F|?1#GoIYlo7!cK|Z zG_XwO{?(@m^yUmXV=xIjK|)3ci7G6I6I(Fq=H$p-6MG^yD*W^>B z3=J_BuO5du0=0~!Wlv51GRL7nS-YuHP`vzwPXx4_?4Y%i5PVo!&0o7RkK}% zP#Hom5<%E3H$pa(ub?_%oR++c8_6jT&J?t06Y_e#VxgwY8StQX2NEWWw`>Z#L-TG> z7siV6KyZ|0K=L$$>S$azNo2{rU*gO#FBT=&2vo*gf)6^diAh=LO>Wr{GZZ7iRC+JR z7ZxoH+#H*ZKHqD$Q_`3HSfMC1n&>1OG^FO!UF?M&YpB^lv)r)<=*}1JofA8Z9^dqo zAVm8Qb}Um1nIzQ_#f@&d-RQv_4S z6Dhlcs5+Qz_86wA{B<&B2Urzu+w3OMgu)zK(w!Nqui=Ot%B&8-Q#U;W$&-P8Y8SFc zo9(IaWctioiM*eO7(hf%Ej9&{ILXfMbO<~PvlFH-a<-X-S&`UQLW}YeCL@WMY+A*q zZ;z3HA-|>2$nlUoQD`J!$ZxM%Xh`b~WRFwuKq-*jh7p{%l*C#u=rzXBa-|Z^cE5k; zg2(ZPo6q$uX*uMYUN?R8_cFxZK>_9l`9_C42b>c^4G!|QN9Pk7?@2}y;aD6jhY}y8 z{`g)TQHcT2Z*XA=iR0swU|=Q56|vE<%1|aI!V(@Nx1s+zCOoC1i5vZwqQIKI(yTwO zcF560M>|ywpU;orXaJz?e2qebjSgD~&tT#=!m_XbwhztM`m{0C^?L#^-ap;j}Za%VoE-Xsu~}5eku>otH-k=-If09_t!;i^lzn@$H zk;oY%?v74?So9PCzi<18s|}WtYVgl)>KcZKQCH5~2cp~(aG+hgCTJ8I3XLWLnjY7z z)a0X4n6bm&71IlN!*2Ktc~~!gl*mb5xLqbc6%v|9jQyB8ho zb%8|08xQ5St#h`x-ba>aMfua8Kd1oDM=;W@;2fE7!YWCJGx4xgV9Zvls z5_MJ`e45(2njCNX?eC|(Kj1XRAtJ5O;$3wirz8}=9O=(3pEgd?^`jDYBDbsO!f~Tw2@fvLo6T(6fi9(|QB=SfS z8q#BPacyIS+r;j$=0M&S!mK5-od&qe>b3QA8Qcx<0+DgG6I)B|3&5h#lhX^IQMM>d zIHYaEIKSe&_fS^*fOSq?;3RxL_)Y4gmigRJ(kl+xJGMT)HK+6x^fzE#V0?+%5_DK3 zz}ztZ7l}!wJQmR|Nlx1M6Rt4fX!h_OeRlIk6#OClKEzN%ZuG#DI~< zA_|k4Nh-t^M#**SRnuA>&--tEZ#JQtZ2W~pGI`C_i%+85H{R{r* z(l45AbVR0Gxx!R(Xtaqo&}5 z{^Ng1ba|-Ib{Wi<8v7xS&D7o&foAZP;()JH=oxgET8%}?5?;Q4^ximcGgQV>eI_?x zCSfZ`Y?lKsK+$>^Z=qTHNs4ODjX5TOQ#3=6kMMZ<%6na)S;57A8+)bNm`x`V>-kWOq@L$oCV^xL__^jqE4O*0_zW>=iG z6$jvUdD5aYa*ZB?g5%Yl=-3QH^P4J40~742{wtJ*$%{BVruY$hB@8j%+0Ii-PmM>F zlLrX?e5uOtRS`&xA`bSjw<1hv56s$`zxbXhem3Hy6#DbG7M~x40#1Oop^&trp36+&-^K5GIZzjyE14f&>OznAq>1Euw2mf}1 zJ&(oaYC-D& zMgvn9ytF)}?O9!;cIh}vdM~CQdgq&rQPYDMH}aeAN;zJ>De|27oxbTL#o#(Rxu&`G z(T>Jl^l=l9E^6V>4#;@ngtr)qY6J={y=TybI+OQP5(k{?oWbT~>8tP``t2+RhC0Rs zI=b{w&Vt|X`hqT$RM?O>fpI1!R5&1U(Q~V&7&E~i=^DbPThuC+B-PMAJtuie_|}Ae zBTbW>OiQUIcu#a#njjC6XcU?V7K%FxjRKI!<24ISzNH{P#Va|=IX~4ZJIgsSZ-#kq z8L{nOqsC+CZ6ZhSL!-T}Z(@H(#=**hMS0d$d2NrldduvGCr&D_9Ju7o-IjNFxMZLs zm2WI7-%9_>O*46BIv)6vE|7W>xy?Po3OKwj=ku_U!-jc&t;AItuGLZ-d z8b|-PB5gzBcgfn@7x7t=513#!Ms|TO3Lw}f{8GRA&2i`8rNK4sb&g*Zu`$!K(dWD@(E*OC!+9T`AhP&q^ZcULgA#ovUrc*BYRXCeoLT^3_B6M2uFy-`8gQ;0vWk* zx{;W?ze~&vI;aQWCU-t^G!q%|L9I zP(`GdC!S|rClpnwj zyg4lm2!H+x{rykGTfM(d4)OH*%#z)`ZT4lf(O1(FqE zEwuEf(vUjtL6A6DV}fQ_TtJAEEQA=GUk+<0&8R3noWoM^kj>)dn3av(Vqlr!;Dt4! zmcuZ!XB%vIoHkUeIjEOhBk;<(xSDV+hpPF#`zOFQ5RpFapyA+ILt0d=&Ea;Wc%i)9 zjWs;fHI4|+Fgm!oM+dIbAi!fIE+c0n6d6=DDbvBPES-3GV=-tT=L=6=wzquf{l>)h zji0K5Qs&|HpL(nxE!g~!TtB*VMAV<6HJIjuHzEyY`mvMa7FD@t<=vMx`|gchcYg*N zN;lGxs<94Kjg_P$3j{4{sJ{N)uo@*-VnB@=f9~YSe5f_~e$pDteXeY84L+5&hDCMX z2glMzG$4j~DbJ|zTTlZ6?Fjzk+c!Lt4BoXA*?Nt%jzXKk6T`x4W0Af0sggjT$l!D{ z9ti*?)Od$48@%f1kEem6$`xs_u&3e?k>N8ZMh)Hbmw?Vi+-UR;3IlZiQf5R#wxO2! zABzwAe`OiN(LxV=pf5URLmprH6g=b8MJEiAYPJ~WyE9bCK%1_-(rvgzpa~Lulq2Qf ze`a*U5lhN=TF)HXcP`~Ak|rFwdEwNcRz#_L1P~RpP{81G{kn7#F;fsl%2PP4q1(2i zyg9-Snm4M^!=Yh3Ic45Ey#{dNqly{DgF$g$6X3LaQ1=QHNfvP#iX?FYt7lrmJjS?* zlo1|mMTHFA4Z|C#MEWlo7vX5ksi4TfP0E7#!P`AEH!bg;T%Zf2r&~Ie8 z%@5N=3gR#3XQ^D#mZqq759pv`6F`z=wa?gg1s5J&LwxuHf>Ke~e3QY2ypj@=+y;*= z)m70Vxx|rSNQ0G$h%-|a(2sQl5eX|itYQ3HQS=fS>`d=K9GPcRj4ME9u%Ub%KhBWJB?tV6smM#LLHSLJ-J z1)OUdWW-ft8}CYA!Y#w<7O3-KbqbQMzoKWU1keLyY5;pEO-R6n)gXYSfzJrY3d*SD zsw;i-Gq&k$?m8%#j9OeVZ9>s1(dL=gkG@f-{@wm&F7NCk{xg?196WPz-I>-4)y*AU z&FydYu6(7kspiVbnw?i`M75_{W*xfJ;g2>Sohdwj%D(30#qN2-HG4X;_aMEfsp7kd z>qY5~C;aZ)9XlM>+u7v@;rOgpwh=edJ^mtptGg)6zu4nPr)n*>p|V#hN3$pDYhl9` z8JaOPzXlDE(}Je9P_+}Tx)P|}x~^~})Y`(oqCaXrs(YL!PY&o}B}s6tEBTS!U+PtyLt<$<9ju#o2qK#w@Ath#+ zVID$n)G=^H=*S`+pL>(+S?d9&=iw4+E&re>yXOC^OY;CaXz+ov!jr?4CFyp~*Rux) z;^Hich=i$xZ?y6@EY}G8B3{pl@ZN6b;epAk-F~7OXDxmj9cs6^|l3LjDA(T`n}uEL>>W z<5S(?N6}g37i0-Wo`4Tf1%fcDA!c+ zI9*wVv1K?8Fef}njLLZF>gxG#OG4?mMRYhAX!1cNN%ZM~42iZ_252w`;9-RX=tM{j z=Au>9I`^}L&26~h0%;ZKI8#tZxAt~@QtyJIL!}7pLCFa^5?}^~4nAAB*9>miFk9C; zamW|S8dfb~fWZqs(1t6Ld)BtOJ@yfbI0E7UY%NGFMUnwm4QM1FXuRYh&5jQT-^WXS ztZyYdWD8S75(eC%u{6p)uIMhXk%$!0i8@#BjwB$uNB;tVyz&K9K=fdMj%oqc41hA{ zgpqt0Oo$zP08Kv|Q9T;C1)iFA4iqR*lisj^0_9?nI)GH0c0Z^cTn*AoK>KK{1KW|! zmT40-AB#_-fP|`Ui)KE`1rR|Q;He{g1RVtZpnL3r)Ei_|0xb)^kyn^b)2#(eevuI? z(nFAiYNQ1|1m>zVL4AS>f)epP1oS~aY(;qkw(K>w>tPa>lWJBrCe*CwL|A!VuyQ44 z3TIfr3}psVhT4e)PrRP2_}@=K$(qP*t(BP}SgxzC8$Z6xug?>0PsL{<3-n&8%(;+vM!JGe z&GfWyIxI_(nuWrzG}K{@THyhU(lmc$ogIrBK5(tw$rapQ;9czD%}=gO)IgwZI}xI= zjBe7O^_Yx=776_bT^Jg8p_We+C6);eXQVPPM;j1jOY1k%U;+&xkmv^1CotZ;x5kTJ zTB3Um2?tcrKYxLoi? zMLmNLJ%(&Y()~(yfuKwZrHO!t#Lppmp_9^%K3)19Dff2W(DfF z?U#vLffRO~*xJn`QIi4X(MS08qt#ErRU7!>qRAHa@YfX76M76wveB`XIGz@o z($GJ5%hQ(*kVPNt7W;s%;BUGjwtue}QSzH_5g!C;6Cd;CJ$=Z2RP-_P8^*^d5qUKW zn^eOv%l+e1$Nf4JtdX7`g0_4`U2ah~VHpL~1=6sg5nU^1q*2uF6UIkQ42Jh458k?# zUyhPy`e613mdV_|NB@M1yr`s4Z0O~yuro{Ql*m0y@zhPc9@;}wO(L8hlAgjcn{VTL zp+p#gIH*-YZCdaLduJuz`D8Byd}h%GqX*1jHZQm2tF<1+P_qSJzBHjTZIqOe^pwzh z|DQ32)I+02%9y1^zd{A_^7`-eEWA@^E#eAFX4YrCVJC5IWb!1cjTk+v0FFuCM`H7E z63$LUq+0VXJpNG|C>DV(^2;5XaSeEo8=n|RR4eFbP*Tc$I8xSf<~|{PG<+|NxI>%Y zH5^qX!YGzh0$pZK>3J0%4Lg~hM8_$PqTGb_5&c;UTC4ReNr^|0LX`2y2vDe6q| zJ1q{Z>vpDjI#aWqX`C|^Pc;M*^@i4U@`DWH@w63{XZN{0bzH5x8lx5n^!a;yUHh~B z2f0>9sSTwQ2n-Ml2&mOnJPO6*_xpTam&e0#ZZ}F9Qt^0-in6o)evWfEaKfE|0GVLW z)4r{1?Qa~J9mRSWCuKG7QEV{Pl8fy|q0z*LLPMd^L_pJnoYeF!4s2Dqirm=+eu-0{(-A1}+ucPjo5#wrslY7shlvD(TnaJQ{)hP~5SS@~ zQ-U>%QNITo4~eg0GPLMbkLC2l?y6Ql+7*SzL5%Fgh}gz6qgpMVrxtcyy)-zX+t%o? zPi0{Z7sKrGxEB^YW3^^wFZZ}=tV^scmN?%Q$8(N?8TJ;hR}#9j=moREQ9+ATa#xnr z<1;hxV53vIWHIsrqsS>5CNM!c71l&v`1MGGr@_%+#WKCiv&*r|y22ZA>P*{WS(rK_ zB`dAlQjzrO%EzH6dFwgG(D))P-rv}(Da~EL!r?` zK+}Ut4TVM%0Zk7oH53|61T;OU)KF+N5zzFYQbVE9L_pJHOh`>9S|e)Us&68(z?k4V zWmVp-s;*j&!M}aS;PHE^lt}I(Q%%5-BV7Wj2^WQiLZgX*rUyx>2_JIDZ&468ZvHhp z8ShTef8>Kaz8!W`Zg^NF2icSD2HSb&#j-2fr{+!GZI(~w$W@i2?mM4mjWyk{<>i}u z^FDuPReaTYuAjYfQhN4w)qz=gry_@Q@2le~_lGc78s4Ha zZa3fo9i1i|nGm`B{2IEjDW0-*^Ld%rasC4{RWDbhLSHFcRb%zr=wJ$4nZr zq0ofOMD+$sji_1hTiFxVD{!Td#EzWJt(vrMM=VUE#$)L1X5E~`2nawb&*paZme~(a zoD}^QpLmC7=UHREYSmQ7CGOWmq@JMiw81QW|d$fP1i%qGRGXN*ZC-9CWc?J=w&D)dg;B;~j$upbS z6VZ0Z%mf{tp9^)ro>(^Iv7q7UOiSS9V{$6C^c4K2DYlE(!eF7jwMM1p>eR=4*zN)UlGS}A1g11aAr^>bdA-8R93J0`OGU84SA|t74ge5rU*GR#LGlfPIEeZ{VMiT)|k0g|uF`#Y`fBj#6 z3VJ0Rl+x^v39TT=`uuJGPGI-8Y2$}{0af-Mq-=ED_RBG@Y-lzYIz6t{p|sBI#|lQF z(M0F-291WHNEM%|9~j;MuRPr&2J>z zf;;n;pA@F_{-irk!ORRDmhI5oSF~i@h&vk6=bN48gB^hH{eakp1E1arD6M?jY)pgE zGmuniVSOhbof;v&%G-yYq%UNKvtQDTd4eh9XqReo1|4zH6_Mu7#tdyHWZTT@we@ou z+zqwk;4`tc)V{zrDZ3}97e0pvN(SmOTFbddIghP349j@LA`(cQ(6d_MS1>Z6wVoNr3)igq{u zsoBddw?2@w{qW<5hkD1)9}IaH`D$m^!KZ0sS5xVm{;AvFVm})H(fCaRL;d6P&N|vI zA3&e(vVoetb<3^q=WIXn_z@yeXVt-{sjaKYfl}T2_|_-O4kLX5ianjPxg-0rK`bM$ zgh!jUElj#6@{V-$4tE1V;Do5TBo1^G#t^1knD<`o3xMg~Y*(4Sn6|d#ZF|3sN?vcLz(rBy z9nkE(jm_)%l7_z#=U5|S19YIgVA)2XNReX$6!@TEO>iA$EA1qR@i5JPe=HnPYYeC) zoV+xa0hQ2Wtj4S4Alivs<%*_l+=WVwCT0|x*w7@k;=s6pd9Wgg$5I*BV-zt#t(iwk zBVFeyt}Au5u4qReS8c|6Zo9W-U>*95u5J)h8@cgNZrf^C?@W8YKYMHT*5P|^etf}D zwI%uW2K)PI?+>^NK|x140OR89j_{dHrnH18Dsb-8(o34P!hi>DTQtF<&`@YJ5zzFY zQuBF(1}#%FKY8u~wRz^PYd}jSvT*~=?*P_Bks6~PHLqAHcmi> zjF~$WYJ&K-4c>~vP-{cGn_3$PTN_MG$s+*`U7m_MPt)5Cplx7}uA8IYIyj*&apFrP zB<)1B)j{ygE9=r>ZosX!5JP$&P662)PCiRwes>JaJ_49Zi20XM@WuyKfL@&c%eXV? zTo_L68`L$h7cMtxhtTgsZ1~<|#FnDPA2t$9Iho-jL5zoK#~Q7~jJOkniA41vH%2uA zfmT=wjTSNtg@!_-iGZd@5=ssEIsLr(W{uMbKim?M*KC-zO9>ryIi^-B4YgQmr*rtz zSb7Jn3Od9-I{wj5VJ%Y36;NjmpilR_fto#a**jpNQcme9X3Q;A(yZ+|J;uP0SZ7Wm z!RR<9f`#I4%s`WFQD1GP$PfSc)O11%Kx2)f)!qrH%FPF_5?qL=nt}nx81>r_#K$XQ zywg!PG)(Aw`wTFz8I;^mhJ>A<0oLhd?%#3xc@hQ;Ozgr*yJp@EcqAuGu_~F~%*7CE zy(CaL0U$H;Z!C-EWEQ<+Bw4kvXxcgW{k_uyBu;E{T`0LjEfJ$`fDc;lX?DS<6B*@f zo89CLX-Ta(_(*dH683SK(Xvwu59K+QmMS@pCB5bVdnaJ6(xV$-7ur}@tAu;%-~;%* ztDPn^W!S<8)6}6apC5g*gG7!sEe(<|p^3`_M9y6rDkjs0D$L`^iXvXpd(lnf*J;VA zlE0u(&y2nbvCzK%BXf=BLD#_Bd2oHkHtpH;XsWVAB@g`i{lgg&C$QMco^(G1e|t$| ze#IEU*(YOUO_dw<{31)i(c;Qg0pCqfPgEmnID(`{vCP{Ye*3Q)Q8b-_kgVchUW2!4 zK&74&P2!7wr0HeA!{|U&L-y@I{gr`4l|ea1%=^e(A~rCn`3_|w2|TYZGq*QX5Tww( z=ge>uhJ3L6o&++XjFNu&u76Rw8GS~@6{e-vPxI4+PNpHC-K1NZUr8Qr2)u9= z6)YEAw%2T?P=RwH_9sRKMG+oX^I+z)zz)fgy2p~9%G6kH+N4M>kw?x|SNvnL?4e!X zbk9T5u3oag@7cN4QwX~~M^TShj(1!$&i0IyM5HntN9YW4ZfguXnYdAmkd0Cj2RC(d zR~wD07TzQ`0!b1R?I>f-3(a%sv2S}BT%c{+&W#x>9VUUDF(gmmio>xE@1*M$bnqN6$fwYK z$74a_KW4^R5}xDTUb9kTsxv{Tu}o<7qImG>@i#9vct1tx0n=?Ci^i($&rT=44bLIs z;1X~6Uo2U^AIMc z;8Kfd@Ove?UeXj#19)noCK<$%E6kS`BZB+jT-BvVoHANGeqLJe1?8X`kTZVaL3Q1& z>l87?0>?%hSGr9n>Ci{}6@5QQ4f-Un^hDX~JL zj4qd4pyNQuFR}X1rGEt*Fr^nnL*fWBz)Phdn{sLqNW)P>+wKeBo4AS5I2l6ysWzi{ zt1+$Y?}eHn;;j}%-R(Pra(Aixa6q1r6Dqfk_spxh_+)8K8(lrecz%V$*{Q1LYM7A%WVzkn9{15aH| z+{@3(B?-j?j+`8pD2y_EIW6&-3Vl3D!4WDuOeh_wxlm4lEue;}D#FSigR|rU&NU!` z;((x&v)Qt2~8zr$}89EqDfQI8=r(&0(qG8abzpCoIT^G=}2dS$G06dQ)c3q2vk!7W2tcc`L8)N25ts1He*+AS_+F7g<>Pz*^d%YFOkLWY9Or7_ zxSHi0nreXrEL=M0_w3|=-5SmVl--Ts45_0powG8)|EklnffLUlSA)d>2qBq*A9zNL zBjn&K9l6K5@_tu8uJ*cmo2A9G4n7b28w=|i*`6B?jYFSp6=_FoJNm&E z2bya8nhFLjJva8;xHbEG_0zc$&UU|l=Yq%ahnvs!ENMC9nqD`(u56&a|G4e7MNe=a zNX4>^nSnv-mM@1@xh^b9H76oEptuNeaS;HH4BguB7Hm)XhY6==H1a^7yZ5HQp?hSBSd3`2~pc=RUw}pcV z9gvW^hSr894XFNfrfq?k&a`e>R14#8@H99YtY}mu4Ps}4GoF?fH^?+_?n2NYl;T`M2AXa?9u*KH$Pe!Dtw1d{ zN6fz-i6SI8poqFngin4&F~pCPY*eCxXlz;>{Vq@!dewr_s2>N_ePt=#@6HvxfN^obyxo)u4ogQy1Pq z;`Pnnj(fC@4u)9UP;uo%%$od5R62nrIDQBD#i#ZZ+oS%<15u<92_OhNrg)O_B&ARI z93pRNp41KBM+Du5D~*k{rL!sVc?(^HLhrNyjVSXfXG1a%!+hsrHj{OwaSg>Ra0#6q z3Xe1yOkPV5fPw$tVf!oQPx2LpFmo}>!2A`wtVqWd`sX{rXsybq^LoT6(y&<9VlVK8 z2!r@O?$yU=dP?x!)3V71fgh36%oN};6O5cX_+*$*_O-7l4RNmY9$T-iw{d}I?g1{d zrENtA`shD*qG_hbO@w*I2y9jQ$U!Jio&Hh zJ&QfI8uwzPY1puvrtT5ZY=j_SC}=7xiLq|L=1hTB7E43SUJ3dwXe8=?`^8v8J6$q` z-z~E8((D%kTVifHv?->6Rf(he41en$QL`U7@%u~&KTkHxje?5&q3A4Bcz(3Wt3`N>qbaSuF^;7WxQEUH zQbt0_GbT+?Oc?PMy!n!R_JQ}rSP3I@F<(N7@{bCgMhGA3&IuEbxVoC$`&bU%TuFxN51IS=75pnV235ZYp?}G>A&%%=s)W-5f z0OWD~2ClHM;N+wc1y+Oex)j}+5DkUJgMH`~!i9{51+pu)8d8GjJ~X&m>4cguBm#UU zA&a;GFt>a|#3CdkawLf#v}VY(W#k1M@Dvf~a3V5_Xlp>D1wbDfY!DXAVCf8}8O4Wr-MJ zVOYcRr45p^80Z0ikOwCV#2_~c=@IC^^eYf;4?IZJ8OSB$w`E#NGhHb*l;6c{PTHhK zJZJ&{zRG51x-tL_bh-&VA7z)bITk#q+Mc<1u`TmD#0tU;{wI01yMTD~;#H8`E7giz z0=ED*s*>=BvVaOfAcTk^WRN@m2j~g+u%a3K_y-go{)FsFe?oU(7P9K_gqPVuk~l}) z;$kFsh;eqmm!0CmrJf1u6y$p;Fok9yfG#`5o9S%?oZ)FXo4E{mf|wxXhz)u(H8ab+ zc-#F_W}vheL*`I+A-6a&lpDY_6D0)V0e!42yHJvt13rHUB6xJ6fHD*z5TDLw@160C zLwe0WCCG{+T7NtjkZEWVZ#R0^8?g=5w+PxDe#2!94es~ermX`j4-QN{P`BdjinAvM zZvA7syR@1MD`MaB$gM-X`DW6)c{xYXUz2y!uQK0#r?njHLz!#w-y;Kyix1~a5sW-_ z+mGx-CLmrRn_XA6U)0w?AsfmAZ?F(G@)n}S7X)?g-^JKQOhf}dsFzVDBAeUhbO{Pr z2Hy$?*ey1@Ers>7-P~eO(5<*lU;;KU6WJJWwP9D(GT5BnGzc86ucfD8>k+7Dt25vg zII>GpyCFf4WWGIt))_tG<_9+js!bvD)KbORLP{GN7fc;$AKy5tRW6b4`C9=I*%fJ} zpe;p&AbPkzL+vf1u56uzmUZ^`#__ZQVw(H}DGbWtf~for9|k+&eDHz7uAOP=wltEVvIA!NFLL!97YJ0s-QCk5)_b7(!>d_eN=#4 zTJQn^sgs9n7R5_YDwuNhL@zpT+6mqu92LbdoySxclb5etLa)$W@0T`%&6~= zhfm$Hp1OArfVm2-RD1L=6m66mk{_)?=wFehW)-TKdns1+(Cf!{4!g{>>%5`(V8&G9 zdv5e*LL(e3{7<&mgQ<+`HJI0SR?#!G18e)l*cPE3DcT1FDB6+{9W0&;Z;{$@I=KK+ z&6(Is$IFuFkF$2+iN{9yoq!U>LQFZ%?};MR4Vk@0PkT;;GjdX$Na~U}SfP7z9-Jm% z{vtPmH_r=+6J3y~1{t`;I&2K9}Ut8_vLjvOEWv5mXnH z>D{*yr6owVwt!g!ZFT{3#lFePMHxm4Uksb<&2G%W5GUp${42POWGPKK;SdIHk{ufZ zSqTT#YIl{>);NL_HLS`@2>C%<>_sZdXe!UhqV(e24^(^%J6&r7D+EB&jDQg{T5^N@ z3|!Y}mBMz1EvUAb>e{ibD6$Y9)-2fA*MHlmy4%A5=qM=O>jCd%#dactah1Wz6(TmV z6gdF6beqj;#S3(NKDQfQ|Lt|T>~>^uXYz|si1h621cOXPt7=|EbgCv(T~-kgBn)ykYKjXtmF-z^=hvu=6<3FxarFp#(2+ z+miO{@eryz?TzuKf)y}qXf=UT<)D0*;kStx%XM^URD~6$`{+P%U0CM$y!YdV(h)%D zzOyHroX2{y9Gm~FR>|&Y9mz)p3H`++0iGi5!K08B9Vj#+5>dYtnkYy_hopzb!SE|_ zFvGI7Mhug!rptOEWO~|no)mMa@hgq0ZMN7~vQs0zA?MGxGL;{z4+>Sf3_+<8n3x180#2DYctMxTU-#u(ply}==c$D_tsUY>Dx9*9Snjh?TOgT2RACiA@-1)Ek!};rl z^xr+(`dVpUjUo;({G(P#Wy&!Xj&HB+x#MlGG8vS|SRVaRq$UsezgjEhYxayUoWB0j z4I2u}Z#r=FRLh>?uFT@|w|scZw{H2xEx)^E?JZ@#z4n}&v!>lta*XZ2@%{;W$G!8# z(|4AB9eXt0ejkc4B@%<%%ai)PLlNamVj9XAIE`oRO*!|iw13Uobtk6v%_pml8E5ND zuh#PXK2WP*>!Gh(a1OVxvdME|kgcB)nM6yPi37ZlGq{P=)VW5@HsnmK88B&V=QV+S zrLP#`UL)}5yZ6ulg?FaVXu?CG`TRkXZz;%6kxrfEr&?umXNh?;%zMj7n1683HEKMD z-fq?`aRVOEg{tGW+3)lgcXxE_rjenNgVR&6%Ro7sdYinh}TY1($u0Z=eEjNA?}Ygy zA@5_pMO6o8<( z5KnhGQ+WQAea*>>-SdWP_F&})REuqUVFxN1ok6DtLP)h>nc!FVqQy3N!c|r`^*?~U z3;vGm{kHlUr}_z@koee_qb-$ZjYU%rUH?i?vTf;AXVi#mxXg@1iVh2BJB6Mgu%{Ky zPUZHhA95U>Xlxfa@aMw4b7F_l=S!^5HE>9Qu+~Yk`fXbk?3zoCl{?ByruwE;d?OgS7}lzt=iWMk|tO+Nu%#>;txb2J*wc>hTv22_mw@5PjK;cre@2w z&lh#3NZ%Qgm^ZwI1-9B<{bnlD>G${ey7p)L4|1)JQhbPZATZ!*D=N?Kb9w5xT6Z-@ zFc9b?7BH0w9z-XydOSr%+1Y+S$2lB0<<3CB@AvtWnw%Q&fH6t39bPpp2@m)(fT(6rg7RZ#BPQn0#)KXuvNw*7 zX*J867&M2nyO$5tG`3D%G6xQThx7tlUKm*TTIQMAHSEGpY-; z4kKZa;Duk0G^SwdzSyNc3YG3`BxQsqKsS=3XLXl<)J~7D3BPT)u2ffNQ}^G z&?E{ZMrbu?5(N??v>G&t0*Mh?4VpxO#0aehO`S(Bnl)(Xf-Q_k4ukGHxqinZr z@DWR)cmrRV=)G6v>M$m(hP~A>c~>29^4<RDdwHDUWFIt%Hi4$5C-RMVBvMBa@kq{j7hON@Och4fbf-0$6L+cT%-z6|vnwq3xU+@c zhVhPZg^uTmy9pLtE;`!FFX+4 zEHtTlg)MtJwSVEC_k{g=`y_9K>R6plPtQL8?4ekz(*F`VOZ~Th>D<1lli%(%WS?L7 z&PvNN-h8z-4|8k%vOb)w5IR5{a`I_XR003v974nbTvIzEzP*f>B3c|E4RL$ zUPf9VnDq9ia5L(_pZ&5*(z3Hpx-^AcPmpFac%SZh;a%&K{+xIRK9jD}iTJ+1o9b)w z(yy=wjE2TH&->P2vU`2&{`aJBS^Ct= zb7pLC3Z0e(@_kMe6&x5n`@4xlv$MzjPKFJTK5B*Eu`W3s^x9mSPEd05;e>?Nj z*~MEg*n7$RPjtVuy#1w(O~1P2iCvfcXzvHw&szHMp4m?yd11@;l?z{<`Rz~i-M?)2 zeY0=5?bY6oAI-ge+s?-iTs8CM=F87tx%lV>dmqgo-qrom-u9OcH2rGj6T4O(rwo?v zzHinox4qK)!K1miY}@(J(ubaFe&t(#ADZ5AeA7v^FYLRsd-%O64O3DVU;JNdusQJl z&t$|CWS3Sbpo7H36;&xsLjSawZ~vEHti@nnrKKq@HsSAUdsvp(uN*Ahy0@)OC}&l{ zuhU;tEv^|XKG$Gpd-N{Hs#M3$9A|dcfoZk44W)MNxt2_|=y%ul_sAqF{Z~Nf`O+Q`v z#LksJdMN4|MIDb@|NJEHc+8p1W+D3F_8+;TR(rrB5B^&_GmJx6ocVO``zI?)N>^{lpMA9mIOzWf4r`y7sQOp{g}Si z>VWHG^Tk+%PtIgeCA8FxR9>egUYf63P1iUUi@{GCSgsS z6hE?rvL^*syP^{EL6ZP;B3>etSk#Imw3#97dEa|;s(sX@wvBiT05DoyYmXI3;VevdMU(crx@l z`i|46OhaA&;UCuMT+vD1?fB-l?vwFKtH%#S{f<|^9X1)i>HUtH+~Sc?di2p~`$KK9n3k-`eeTtGRO_9;Yf- zu=qBJnTwt%7gudqrAJDMg()oXx<&U^Q?`b@5I$t7^(+w`CF!&>y{Dmr8x z|BQZAnf}ffU$fGThgXQJUB__ZWlcCoMTbHY^y8w1tbaU=3{Uz~QE4V#N=40P;%vf- ziUuj}Jw7KV3%7ne`l*_X7M#Ghezdo>wX`&+o0>A2#>RBGTp-oc)7jb5(%6{KXS2=C z>F74cIqkKX?d<6ER(fS$Eq?BW#(13G2$33iUrlaPuGz-q^?v)vJL>=74R1T;)_mP} zPrLdJ7oHNHz>>PN@6P>qUUTQdfu^Qa`&V7FYT>G=Dc(HwA5W|0tS#Zqh|iy3H!e-; zkwfu@Go5;J(NE*4N&Z*qvDo;FIpKC3;}^HGSTu=FXvU?P)crM4&Y4vIbq8y)6Rpjm zSVQ*0ze%icGBLsHe~D0y6#}| zJ&3u;X>@7gz_Eb0E={i4=q$#jE`~JhxqM*_uKDdq|KR@Wo=aAGCZS~dvD@b6$GOkC zBNI3HQ6!_j7U~wRVX7N=G(7%e@}H^>;7TepYRQj1@u_jDHfrYhYx%sQtFwMEf9W-q zU7c0Z7Di-O3@=>kb=}$JmOnZyPLoTG^&V>B>lW@Bo#&JwR4JiH;lGaozwg2Mh#wJS&$&J15v^eX}ew@6$FU}J- z`h^W!C|07+rmL#B#y*+p{CQPv?~{tNZvI8Xr9bwm%9`@vwyL8Q_kWC|;8>;iXRcuqKHQr|MCF5gh!9N$4ZXX?0TDsq=} z7w11am#OPo8o?|+Y7?AVZOp)G_Kmg`C??79Vil}e3UxX}e!K2(>+wb@i$ z)}CVNhilu}9M?V+YT2TSU<<|1WygU%Z|TNg%lkEV9Nd2K>_g8V{mAZR+xIWd-m|g^z5T_ROKyr=M%y_;2}N%-C|Yaly8} zkb2gk@16VDu2bK>?Xd%=UcRhz-t0{?&VSF=?eDqZd%Mp5#?qPJnEfAr^~R4MeQfU= zXCFG}(2>^XUTD7l`4@I9KHBxoZC5rP-kW~uz#qT**seFe{pErDCweYl_Rzf9PtQ1i z{nqX4$IoD4F@x#%SGRV-G_hxg= zZRu!`VNPE~@2}a=-B(Q7mCJNCX6r)Qo}sXW?819)RxB;Fi}C*tn}_&^9c|moZ7RYb z!;UH+yi-1#)K4CWNxxE&V`Oj2P(Snkt*_|p|0tCveX6c$bxRr}nEvIQzUx1wcxwNKO-JXjto zPhq0)NR4M69~E5oYs3?%l2={FqQ5Pha8zI}WKn3@Mfg!Oqz=|se*J9ul@{k1U-J6- z#oryB{jq$nurcMLbr!0XRI^n7FRQpMFrx0th5yQY2L9XU%7l&y%7#3$p)}E9cYVU| zx4-q^yb51w5Z5cprYw8(grA?@HKq(@0}8#rkX2VMp@t7$^iLJu8c?WYL;b2K(|8q( zUSvmnf55+gd31QW?+uw;eUxJ=s%JuLe{#Y93rBF(bW{p`f$W}KwqZ&-n_89Ys4sKu zKc%r@YM3FF`qrP7D_WVbj)Gn&YuQ!O|MTsqrFyFBrCidzA(y?RbI$5q)Cud#)P+V^ zpGz)m*oXYZzmzH2=%8p_hpu`kX80F%t77EQbA^kc7QOmw>8s=meU(c~IwLPPZ+s>H zi_^Cpop!^v+XmxH`!cf{pPhB>hqAlQZ{E8rbY%|aUpecmac8CHtWP|3r1hB>ny-2O zh8>HyPO>vnrZa@cLzOwje zXx+VSJuUfkcT?rPj<|Eu(DvEh&hEK)v^8FuzH(papKM;8iCbp{otCGzg~m4VCmS|D z;fEO=tTiNTJU)NX_d`B6-P<=|ZpjB(4e?bSx31k$`TdV^sY2P}?c}IMH$<0kob~Wk zmGtR{lSaSl!Sgl4f#E#H*WO?0ZKBB)3_J5Mxbj4_h!LMq`l0P!G4+_4Mx=X7p@%febkp?`r+~vOE_xAr*=(rb4i><)RxBme5JL_;7Y_(EJ-ngVmU_U zSJDwEj2bMsY}~~aB8z!N--cGjh;*4BCO=+aC`D;DrPC!j{p{9T#v5Q6$|~wb7it{; z_C{7R&aZH-);T}?|3Zyu~<>+u*)m=`TT{SDHj}vl_pP|sSq)6)ftI%gyX%TWlxl4|4$OH zYaM2em4_CWkmc;Vl74oBrHTFw7i=iI(G&ZZgj2!gKgI4thz%JHx5V-#8hG;+<+F)@ z!SSX#Bn*d+q3JZl2T{4er5~9h{Pye>< ziTk-ilJI|VMHY&ekkex`lNwZUd9hNQN0db+ z5qS)K`B^@3%M_ADJ%^|ZfC7-m2)?MHVr;|fZCv=xW4smNOpHs-bf9^*^TOGF(@z&nZ zX=vGy?aEBeu38Z~4PkU6n~nZkK6OQ=ZOV+y+U|z>tJmgMuga~;MMEFS9g0-y`u$}q z6$M6VJJ!adGSOsLF8UY#3m9FYKH*#7i5HMc8oAkh!6g&aW={8(kkj1Umdx5*K4jCJ z{oRmpSV$;)Zh7|wxvsX(3o>1qE5qttlS_xtxK5+TdxonR3V#0G$vdEEy=HQu(Zp3+ z8*&TVl8|NSF-5_lzG3~xbuQ8*o!q8-pQ>$ z^nvcnmpy!>ZT7R9!w66qz$tWy;t`-+G(@!WNd6N$kK~p;{LBj*FE0!NZ3&}D&oA8< zcbZoIsN!LwXIh_oy7~G8FYH)!wClcYP4`8errs}w^;;aONf?$HIeN1rcV#Y&2CdFS zzs8=v6`{kmDciJTZPaNBq0xqH;z6QR>SzBWX-5)oq@!(OcEm0%VM7~+@27=%6F!BF zL$sBRx3md!6@ph@INquMs8l1nZE-ovPbDdIu;(tvW) zrR}en<*50-@O)i3v?y&1qh=WSHI%Kq^@DSh_RA$5#VD`Aa+J>{mHKgXR=aKFLgR1Y zY+Sidu@Q`l>%aY~q>aa@ISXHtiu0lGRzef%G?+m`aZ;E4r++WI-131*xugcRK3S5Y zEJ?{aziCP+Ry-zONK_J)>i>%?%3b<1xORpXvU+eTs@||sN%i(;<1OfhWEluR+OG_x>$@v_iMYAgnZdWA|f7r&PK8*vWS_@<`l*tz&=nEL7; zP94n_Rd{&G@%C@1m{G!zQ%7$}dn~%egI)P0&lWSE%-@=B!}})lX#7?2jb;Py+cTN! z6w`)r^47jfm*hKgQ-bhKy;E+=wzqZWTGCf$a@po^B63dsoR+%oe73!*Io+G;=nON} z-_+CGp6hOF$#za}s&8nln=^Gz+myb>e0O_S=Jrf)I?k*w)!ja)FXT3*tudbpd4+r9 z>gQ}q_non}>HPm$vbIWpK*eIke}~rb(8P%7@a4fpiz*y38l7^)_rhRNg%6V&k#g*p z;$C`eOjRKj9l}hUV{{qBr0<~!4dW+&QvNcC=yyE)8F3g;3?BTM_l57_@{T1RNzJK? zqY7KGu+a#^W2u`$SX&{*=u8IFr&2A&90&h?^88T&LvxM_ANOnjfoY}ZEk-XrP8+sn zQF!Qu7m6OHOSaO{MJw^=J6}#bMKB^koH*1boPQX~uekel;pAzM*+lrhDVH z^OvOGQ8%ymsg3oww0?bGcK%f#o}by++}D+#xpT&sO5z6grQft~y< z36U-5<&Nxb{qVlkz2}73ncY22yYJX}^NcUH_k^Uqy`Ne!(D$x|Pv)lI-ZK41*=%QL z_P16{nOnD}^W1xT-kCk~j+T4hd)wOiZ(frO?)1B+&8h3E|6tF+_C0rQPM^E{u5`!UeO>olHY)Dx**Ba!?e28$ z&XI9%>B%l@y6og#%T=GvA&Sd)HR-Hxf>tsjo>%ue0$m15$$mK}X- zE_B#myp+Na_JEyLC9Xk?=k_hi z*Ppqtx9N8>@9LfQ_@-^?eVf{5PU}tIkk4$qt`OgrU(nlhRqvA4%T7D%s>d>GGEG-+ z?n^)XhHJK8n?83&-+jGPT7Q%avFozu&3Gcyn7eYt&ZdpmZCKPdH=pTSu=}l@@7lfa zp?%Z$ZOYGV%r$L#WW#kE0$+E&eecw(p6NN--jaKF=cZ|0^E2VD_|@Ib?ddDKm)!hx z_g$HHw>vxOKwg3t~FihOyghX!*cIE zqpx>g`^}rWJ6BxaGZ@<##opZ1n+XRFgOQh?JMT}o@6XV&Tvi(gnXSV%S^W5Hz&Doh-d+ykGdfS_a;+xOup1F0;s0_Kv3RnYq91d3^ORU-cnC zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ j009C72oNAZfB*pk1PBlyK!5;&ATTvGC6!8j;KlzB>b0-s literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/vga_pll.qpf b/bsp3/Designflow/ppr/download/vga_pll.qpf new file mode 100644 index 0000000..dc362e1 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 17:11:00 October 29, 2009 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "17:11:00 October 29, 2009" + +# Revisions + +PROJECT_REVISION = "vga_pll" diff --git a/bsp3/Designflow/ppr/download/vga_pll.qsf b/bsp3/Designflow/ppr/download/vga_pll.qsf new file mode 100644 index 0000000..87bda1c --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.qsf @@ -0,0 +1,158 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 17:11:00 October 29, 2009 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# vga_pll_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY Stratix +set_global_assignment -name DEVICE EP1S25F672C6 +set_global_assignment -name TOP_LEVEL_ENTITY vga_pll +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10 SEPTEMBER 29, 2006" +set_global_assignment -name LAST_QUARTUS_VERSION 6.0 +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" +set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis +set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf +set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name BSF_FILE ../../src/vpll.bsf +set_global_assignment -name VHDL_FILE ../../src/vpll.vhd +set_location_assignment PIN_E24 -to b0_pin +set_location_assignment PIN_T6 -to b1_pin +set_location_assignment PIN_N3 -to board_clk +set_location_assignment PIN_E23 -to g0_pin +set_location_assignment PIN_T5 -to g1_pin +set_location_assignment PIN_T24 -to g2_pin +set_location_assignment PIN_F1 -to hsync_pin +set_location_assignment PIN_E22 -to r0_pin +set_location_assignment PIN_T4 -to r1_pin +set_location_assignment PIN_T7 -to r2_pin +set_location_assignment PIN_A5 -to reset +set_location_assignment PIN_F2 -to vsync_pin +set_location_assignment PIN_Y5 -to d_hsync_state[0] +set_location_assignment PIN_F19 -to d_hsync_state[1] +set_location_assignment PIN_F17 -to d_hsync_state[2] +set_location_assignment PIN_Y2 -to d_hsync_state[3] +set_location_assignment PIN_F10 -to d_hsync_state[4] +set_location_assignment PIN_F9 -to d_hsync_state[5] +set_location_assignment PIN_F6 -to d_hsync_state[6] +set_location_assignment PIN_H4 -to d_hsync_counter[0] +set_location_assignment PIN_G25 -to d_hsync_counter[7] +set_location_assignment PIN_G22 -to d_hsync_counter[8] +set_location_assignment PIN_G18 -to d_hsync_counter[9] +set_location_assignment PIN_F5 -to d_vsync_state[0] +set_location_assignment PIN_F4 -to d_vsync_state[1] +set_location_assignment PIN_F3 -to d_vsync_state[2] +set_location_assignment PIN_M19 -to d_vsync_state[3] +set_location_assignment PIN_M18 -to d_vsync_state[4] +set_location_assignment PIN_M7 -to d_vsync_state[5] +set_location_assignment PIN_M4 -to d_vsync_state[6] +set_location_assignment PIN_G9 -to d_vsync_counter[0] +set_location_assignment PIN_G6 -to d_vsync_counter[7] +set_location_assignment PIN_G4 -to d_vsync_counter[8] +set_location_assignment PIN_G2 -to d_vsync_counter[9] +set_location_assignment PIN_K6 -to d_line_counter[0] +set_location_assignment PIN_K4 -to d_line_counter[1] +set_location_assignment PIN_J22 -to d_line_counter[2] +set_location_assignment PIN_M9 -to d_line_counter[3] +set_location_assignment PIN_M8 -to d_line_counter[4] +set_location_assignment PIN_M6 -to d_line_counter[5] +set_location_assignment PIN_M5 -to d_line_counter[6] +set_location_assignment PIN_L24 -to d_line_counter[7] +set_location_assignment PIN_L25 -to d_line_counter[8] +set_location_assignment PIN_L23 -to d_column_counter[0] +set_location_assignment PIN_L22 -to d_column_counter[1] +set_location_assignment PIN_L21 -to d_column_counter[2] +set_location_assignment PIN_L20 -to d_column_counter[3] +set_location_assignment PIN_L6 -to d_column_counter[4] +set_location_assignment PIN_L4 -to d_column_counter[5] +set_location_assignment PIN_L2 -to d_column_counter[6] +set_location_assignment PIN_K23 -to d_column_counter[7] +set_location_assignment PIN_K19 -to d_column_counter[8] +set_location_assignment PIN_K5 -to d_column_counter[9] +set_location_assignment PIN_L7 -to d_hsync +set_location_assignment PIN_L5 -to d_vsync +set_location_assignment PIN_F26 -to d_set_hsync_counter +set_location_assignment PIN_F24 -to d_set_vsync_counter +set_location_assignment PIN_F21 -to d_set_line_counter +set_location_assignment PIN_Y23 -to d_set_column_counter +set_location_assignment PIN_L3 -to d_r +set_location_assignment PIN_K24 -to d_g +set_location_assignment PIN_K20 -to d_b +set_location_assignment PIN_H18 -to d_v_enable +set_location_assignment PIN_J21 -to d_h_enable +set_location_assignment PIN_R8 -to seven_seg_pin[0] +set_location_assignment PIN_R9 -to seven_seg_pin[1] +set_location_assignment PIN_R19 -to seven_seg_pin[2] +set_location_assignment PIN_R20 -to seven_seg_pin[3] +set_location_assignment PIN_R21 -to seven_seg_pin[4] +set_location_assignment PIN_R22 -to seven_seg_pin[5] +set_location_assignment PIN_R23 -to seven_seg_pin[6] +set_location_assignment PIN_Y11 -to seven_seg_pin[7] +set_location_assignment PIN_N7 -to seven_seg_pin[8] +set_location_assignment PIN_N8 -to seven_seg_pin[9] +set_location_assignment PIN_R4 -to seven_seg_pin[10] +set_location_assignment PIN_R6 -to seven_seg_pin[11] +set_location_assignment PIN_AA11 -to seven_seg_pin[12] +set_location_assignment PIN_T2 -to seven_seg_pin[13] +set_location_assignment PIN_K3 -to d_state_clk +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state +set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin \ No newline at end of file diff --git a/bsp3/Designflow/ppr/download/vga_pll.qws b/bsp3/Designflow/ppr/download/vga_pll.qws new file mode 100644 index 0000000..270194c --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.qws @@ -0,0 +1,12 @@ + + +[ProjectWorkspace] +ptn_Child1=Frames + +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames + +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +ptn_Child2=Document-1 +ptn_Child3=Document-2 \ No newline at end of file diff --git a/bsp3/Designflow/ppr/download/vga_pll.sof b/bsp3/Designflow/ppr/download/vga_pll.sof new file mode 100644 index 0000000000000000000000000000000000000000..0800d4af1bc01c17e60a7c801dea6064a0a6979d GIT binary patch literal 966463 zcmeFaU94@%aUQnLo;_D*NGjYjBp?G34x|JSl03+Y9~{5|js;;LAVI#nb=t7~grWkA1#^oYTLv>PHBF z{HuTE7k}xiexQ>Y(#_Sr9e?)yLg1ONKx-uQv) zh3X}u{;j|EOTX|-U;f#jsa~npr2g?ASAYD8YC|P!3 z7ppAdxL)$ueRa)7YwE9@SF6%Wj$!>ttzEy`a2kecnstk2#f|+aur0LYdJ zcX{d(odb34g61WCjIDpcuT}8@o4=Q4epjo*<;BmivTe;=Rs9~b*VId0+pL-zaalx- zt+hP-#JXmqH4UMhSF6Gc?D`2>3r#JaH#LnywccFa*q`I}k7*vfS~D#=K~!Veyu(FY zubSH9k5nnHnSFKR)v6d|HeNp0nnY{i`c+mpZ?@R;r>ut6SyOxXVpCh4xv_hDX$9|5 zG#jm{yK-Kw3L81W&(~Ue^q}E149(nbY;v})yRla+UG3 zJbB34ukLCOpH$U%G+g!gcetAErxe@vl3Ce%3|u(ZfXd-CYpqq)&u|x8I^XNs`B_t| z*Fckl&9&iCYDBGNJFM=tTI<+F5pDD$)rDK>{-!m=YK!i*IUheOXaCdC)YiXIz1eV8 z_15d`o$aT(v(kPll3DZEef6t{a(d<0fT}7v&01^Z_bSJxy}zk_W7X8&Y;`+sM6%er z!+N!j2||~f-O|)rm3E8t&9e6Ng}B?_wAM;rl&AH*EACiT-r3!&{a$^C_VmvxS82qN zHI3X~(`;nA;JxO1Y}{QpR=-w--ToGK`>t|%$C`D{)^)F!>bKt!>&xH%|D4k!1IgKo zzn+AnJ8qp8t%*^W>)CFR(z)I2qUUApIjxXpe_Lm@I$l;ZcdSn{PVdlCbBFfEJG;BK zM4n#kZth{da9UlsN|{Zp5-;QQQrz9f`8D0?R=a(7wcGRBJErDZrqNt;@?JK-!+zJB zS0BG6wRPBCAp+g^H23hW2_nz&i?rTZ&p&^b?Qhvm$KB@8?67plnn`(ww*91Xl^a`o zDQdcevOc`3n@wWR?Cv_hjcZ!a4V~_9{=S=as2OHtUDb$MtN!-uYz+@z^sW^h6I8}N z022-nb56)Kr_rfR-v|WWvpkQ{3(9MJ;`TcN^6A64m~ziK&Uc$)SB@m%JB;a_FJD zjqwMz#IWC-|V` z$!XWcYiN@V(l)Dexa^Q369OHxTzfRuyhYZytQL8ZOT(4kda@Z?lWbuAC1Z8fvKNd^ zDtvcLYk-<@Y!0|FB9St(bGl{ygx!gdkz=dPhfp2<{jrVptT~E~U___J2*JtG3`6@$bek~ANHNk4dRVFlpFLgqv_yd zUA7{cU13Hy15I|3olRz-X}9^h+oYCV&r02qTFho<-zlek2kehm%=)|i{)e`9?IwA@ zdmsHVo#qMLtiEh-<8n>o+-~Z1cbEK4kG$3XHl6{k1oy2x_5$vG8GD@^o@*ZK4&T$f z9zvYj?kvBNeXVHyTFYLT_ez{s$$R>l+=m}!)MH&oUukob)*V3)LZ9od`@1)JFr1hi zeJMY&MVI^fNtfk_{Kdk3$Fy*Vd+lx$_Zsf*1PhC%sw>^=w%_WtIB|D$1n$Yb)g2xE zQ`#LPaCKXrd`^jU%upx&L!Fj|4fi^cguzsRM#8Jc12OYvuOMWB0n85MPYtRng7pAA ziH4nClL!R{Ff##7N%&Js57_#^X3cB~0h9u?`WGvFr({6P355c*>Vh!=6`+xLv&Nj5 z&7cZdU;wj&SD=x^E&xwrVoy>fLV*FyOh8kTvn~Li);4Rfjs$QD&}K|s+FVG>6W*;6 z*i_-^zCK;JTStBmvJLhA#}lX^M;1|d06t&xf%Oh(?RAN_9P%94i#g&<=*YwFX05!2 zc4&!du0pD^d7Ev5ochQ_#El2W12OB|*tOe%{oDdpvcQ$C)~rj1zv?Mj(9H z4)}H)?**rkfC|t^2ChfuVj*n`3}6(Xk;E zP=Ge$*`Rvzgc~NH0yL7-)X^XWj6^NBY3la&#ba}Dr*g3o*xvS^Q!F+TcdeuCckxw3 zeqo>w2yz$^g$LmC-8!(|0jc|^F5Kg(Tllf=dDoe7;!h)R8iB)(Ktgy0Xe0yIqt-=CS~wWM?cnrn zT{ajpEEu0Tk9E>6o3&IkC=M`nr*G@_J#~*UQGkj;?kf{+DLjB*Rb96MS|LyCKhfnU zkmfN2X9TZ459G+M5oso%X(44IyOhp$HTiR5PnA`%MOY8&9qSr)1!#qoiHHmTjk^aG z-$Lr#)Du($-Vq_}s)Lp0Zq;$bEEQ6}*sb7eVzcS8+<u z&B$ze+-}XU=o0d^ow~| z?O<#Tkqapj(UeBiC|shW{TQww9uWOW`e!mErj#NPak<7;;{nl;y4AViS{YZFb=3L| zYBURl#HxP-ScRg=%?U4&Nm0-GxAF5dGTQV+l6+p$6`$XCs36~7JcG9f66FyJ1tHrQ zK+L>$6of1=f>D4*lDYsqiH4nClL!SyFf##7Nif?kfG07z z3Rz$TqX4ZwpMz5aMWS=VH6ekq4bVsiGVP2et!w0cMxkZ{N)N!3nAnq4iBO>CFuf+h z5(*E%Lrj`N7HGN`ySGA^6LUnN0Bvb`uylvZ^_O_!mg{ffo)T{<3wOFucVXeFi?S%) zHJotF9)Qo6Z)Cj#{QZC0{=glKg^oPzg~;U9uS(2-!UOOmu?^5XFi-+d&Rlir9v3e`*K(K0<~xz4a(*rynJq-ff&HOe!(P%6 zqyjXO)CJ&4OzcUjL?|$VnF(l0GO)|rtff(b5sU&f|GE^|B2Y|FdY5~-O8crA%55VWkH?rOV<}y`#P1_&1gR#(&hrJM)y!us%8Bllto+P#b znkS|!aT&Nc*$Ltp+|rE}VDbQb$8?J+M}PmHmZ?d+U(Gwu>n4#&s>B&M-~0uTryzCH zGm{DE0eBK;g3dXPm>0n90(~5-i-jNSo*#1RoKKoB2ga2bBODH@54>4&9nWLA8*at475nc+=4k+pCPVmkh!|~l0c43?pTAb5}%QoFk zuc|O3_>?B25}6WkuJ5f$Wat1s7;>oXVWi(|x3P)L?qF=gJty)4_qzfrNG`lCJrJ|G zunJjV0<(iHGzf1l4F3cARaML;_9Rsz6qvxw1T-b#-QEMXKD2k_%$ATqDL~8bKw?{k zMxt}WHDVt8E*l&R@!qU4CvD7l3Rz$Rvx7@$kb16CmHu5+`=?G`q;L9j)ZQ2FCv-a# z(3E7|1#Ep~*{S>dbMEzsu=zC4!4WgKn_c5|is`ti|N0BrLOyOPcc}MJrFz%`vQ~gb zqPv)>u{8k|pn1~X*P6#V-HX5V32LRPgh(i60-Ac#PQ-3*{Z@$=QFs8J{t7X1u>({* zFTSt6qvxw1T-b_ zW{o*9+q`Pl772s`wCPiqLO?S0 z-J?!!#eiLXjbGt#kA`)LLuocI>T9WIgRN}B`Cj}#t!Vc&d$GOCp0w9>3|#_06VQ}| z2h0PuF8pmB_erqS)Lk|m?&d1}`>PaQ*r~OB(p(wmrVCRh=raLLNxWHOj;#xSTgQFo zFOs{i8H^?04SFCx{O!6z-LO?`&g3P3kHIofi93`n{d1unT@5?EhR{~ZL^P!lHF;uA z7!(hP=5ycVYLkFoCL&(m`w8pk-9R4K@KS)*B`I}TCh?f1Ld@&!g84`w#-hc|2{(}` zVMFNmKI46(-v`zii5%o5g@c*CHt4a?pZASPWJInvciDR)<=f;Q(fY(0;(;8%7MB`$SM?3GJ@7v`~7-YQ4!m>2XL;ct2RI@gd!AglS}a8BVhQ?@Do~9 zjLJ~IJL3n>#ZdG)Ju8-kV9@sdKmgk8a|0IiTR5pkiF<-e&=o}-Eh+67*trXYMk z;Q{#kvn$S9$Py{n{3)(>z%I!r>brk2&}p($Ycofj33Z5~Fo{f3B^b`<%7eNulW@%z zh3T2?3FrZM5}g~a3CZY1bCcx6rD1opEN~T}C_p1g3O_|trz&_76MK>>5ekf8W&)a$ z=m}X32YH?Cn&QYzFK}W_w2{sslwqqUZ95u3-ocsF3LY2N#AzF zboSYG;;mty5eH&juss45pplqeK~*u2Tf^=Bzu9jCLNNT{JLI7%coGwPk}44jY$qa* z#$!1NmQZ*A9%9lIvOv?R*u5)gGg#yi;iW)I^Iy<%qa||a$uz>O0L_y%LhuO*;OVDQ zGyTICh*MQUL=-atO+DG=pxKPBNxXo<1MnoV4bVI>iHHjij0a*aCn)~lvKw?N4ki7+ zXM9^;815XgT{h##%g&sfvaLf5Uy~)*BJZSfxp3B-!27eih68f(0Q|ll>(YOW%~E#? z4n6HcRBk2`#2_E&Ops8zt(#ii)4W8uaRPb(o}`$dS0j&E$Mx0Zr)ozup$$gp3eZTB z!mm;F`A$WJ#AG^2l?Vk!Ff##7N%RCWH8I<)Yt|MKgaR~wg#@+;m{X3(be)-xP)*g3 z|5!K+N9g%(cX>wN8a_MjA#AGjy??s*4^a=9Up!>+1ILcM4)f)kAC1`+RF$mCHSA?e z`+vwTUA0R<30QsbeN><(fC|ak4S)lbK#6R!M9g)mUzKPHg$LjvCTk%JG+l{ZuSTXO z=7>UpLxAeI<4CZ006q^CS?>UInX0`szd!s}h*DMT5yebEQ%~B@m-f13(ln~X3n)AQ zPZHYz%@a4at_xF>2+ni|jnD z=82S_G<(04{e{CnSvrZ_{OF1ZmjzQ0`%7e1J%2}*fxCtSy7mBk$0jQ#L0Q7wfkN%j z>Jo6GKZk5lSgg&m<#2VsRV~>aI+hHJcS~B5Y4U55SX@6ZDP5 zdE4ySyZc2YY<8jvzeDaWLREl9l6LS90!^E$;7LrTlT?Y2BR7v=W&)a$=m}XlXZaeN%^Ag!-{5sa~#=lS^^_f5a z5U~#yV!y3R>~Yxt_N|*pk=c!j#7n9#%tDFur7+U=#Zi9gJmC@%Mmf)O8kfkt*pph_ znnVV&z8<$3|G|rVwg!pzk%6Fd%p((-6M9;k{U);I|Kxr4L@f6}oKggO0KT}U3Rz<7 zf}WZ^l&6c~&#pe2-<3#!fMO<~sVA*OdTu#9KZ$2u-_{2 zbgoQ|&6hSMF9~p_D{(tR;enXT2|E7-#}V^UF#i2Vt7ZIP~`s3@5(0@eo zx>muHOqwg>ED;I}U}gfElJrhpTHCCpQGo%B0<_6fM=c`0a5<{{Bm91rpX_d)2G8Nm z!`zJs|Acn1bktOT{RRAq&$?NTN6qCCmlt^k$3<;U-E(V4)Av~-HZR| zg0`Xcn-}%|EKxY(Oz6nN?q(*hepO=X1<2IuE=|HC6A`!Gy*U3Sny0F&E(8C0sbfLB z*ahJCHg&)JGA+0lU#EaPogBIfD0UEcHRZZ%XzEG-+S+d1!$g_uEgaG zg$H6T*H$)K;<7yct>wGCA3eTz?8A8Xn~~48W9M<_`hV|&Q%*nyXe4?)GBq)~>t0M5 z2Gn;@KGr?^-)P^7aAH7(#KfMYN`wLfn3;g4Bz%r}z}6)n>!`ygPq^0vRDeceCQMZ^ zoADH~zyM|k&3$A9%kZsFzlmC?Rq!Mx_9Rsz6d1tF1T-Z%>jLm;*~hwA->#tRBZ)lG zozwiCWb_E|DjMy5Ajh;iKUPONkrUuU_21BJ3(x6EfFRU zz!xJcWQnar11CpLI(5Iiq95}83iLZZbqjx6*NilO-KrqN$ajMtNGtw!U7-n;mvN0x zmCf7aA`uBw2nah6j%duahMiuc=7p4rT*GH9kSh{?K>u8*KhiL{!rMxjhzK4cDZNkFgrL0r<@umS&-pb!>6GGdJ6FqN^WY>{>22SKLIVgdKQEVXF8> zZ#d$NM9x!R*ee(nFn%O2b^&<##vx(M8JM#`^G|1M4y2$79|6PDCv?<*2~Z!^hRhmv zdX1WwQYK>Z>R0791S_lKC4~`Pnp{B@QYIoU`c^_ylRL&Mcqu@u1;bS-2%AuN0G^Ja z4bVKHT{ zbLD}Ub#AmK7B^ae%>(fHOmj3LOT?L4DbxS!7P^n&0$V-9u4m4%2HA zOwTt{tNSv!78%rp>7LmH^Z-1GJ3(Sv2)AWF-`G5;*8i~N?;O*7C$d1|X@Nd$7nhc( zwm))F?=*$!juFjwA`7IQ(8qJ>$Xmm5&t$d+R;A6jH5}o*g8+>rDSYNErt2Shz2?A^ znAnq4iBMn!GZWC1WVg%PnwV|YHEW9qLIIk8T?%XwC?<&i3tD80uq!|#8OXGVCany% z_y1<*1H2f1^W*DyenlT+iVB{@#Ga%|gaX@%WcOqv3=%A%@Blo-q$y;9ra!TJE2J4L z@`&(KfcE@{hk1)^f}{>TXsNPuya;Ut{LtV%^Cp+v;w8^+upibh8tz zWxhj7Sa9kh#zn@=UBd}>55VWkH?rOV-es!pmizwOFOvuVHF7q69C0ReHAi@5N1b3~y4Ep3EAeF@>;cC$58Sy^3xyyZ48PR$~FaZ3(Pu4%2HAkK=eCW}9KnW(BvcO!s1^N${^wVlF4>@ehc_ zjTT_@0DQ-Ei%C!xG@pwKwL_h0I=}v#XH@^^cs^h*YiiORtJXbag`0?`G@>TKcITVD zfvfv68D<&e4wdPTZ3*ZBc#?90zJV~=+0Jfzg0DGow`J^q80d)QbAi&xM_JO-7%!1& z5k`3rKOrxa$f#_4omPCNv|TRSDy_8jui=oB<@c$3iR?3e9c%d8x`h(C`LR73wPeD_ zZ{E{DgmH-s1lfoA)+91?FeB$Atin*F8`?)Ea>HImG(SWl0sSgKBjHu!0b3JL0h%Z6 zOMCNJmtD|*lv=5Z5aBGLm1>Ox#?Ve4UJTl5DA6CTWwVhUJbsUv=Yg`bQ)oh zcoBsM;L8(^SqDsi;^EC&Ggt@?F9j%1IS%;a$g28*&7tmak8?yz^?Lqt_AIqjr+Gi? zYI||yvnNmI4%N@={f{S5L5@IoF;f$>yY7o)PhfVig$Ch%=~I-d`a7A5DvOCdNo``7 zGC`jSXiCz*sXerpPia(O0;2%U--aVw1axllwT8NDF%zb$L^I==^^;cb+6LIPpR>ClO6)Os!69jnV_Qzp~)eCGL@c2d+^TE#qt3?^v|MyV=?CCa7<` z(mLM(`aDmz)(9;8Y_RKFH{W+35vi(pBos3NO+7K&>LgX-MHC)@CrNF9=85T9T)b_V zIWY$m3Z%$SpEnuFX0kwdnR>w11XO_LiJNFPS3ikHcVp^aN2<{QP$a1h&^&QRWb)K) zj=foh>gPTpqv0LoiR&4Ghl8(i=xMwc)8i1cjbSe#KNLY8eq9ce7oUQVx{H~bnB8?> zj9LP-gDo_OZo~g&TV<=g%Qjv0s}iBW1ZF0nDM|mPw(w)!`Aa;8$9t@+(#NY9vze4Z z>Yto`t3)U;ftd+tO42`d2Yjr{|C@}wz$lpuPU)DiJ^IVuk9qVn`#x{xZ)SVbEE;{J zs*iQD{zo=Dxz!^OP%1zp;j#39tqG_A&6D=#R(@Oe?9WguTuaaSf+`^rikX0>p0pF0 zb~TlF5rqff7yq`7JI!Y;)C`K&tc6Tvp9EBZ=1FVB5??LIZFk?Z`Ulj@dZ*SA63Ur? zrk=DCnfM{8#EU3A0KfFNb=)UG@isY(Cz&G!O+SQn|@Ur(dIh+x^`WU|VHBpmr zGNz9aXb0!JnsVJWG%ch|gdd8=0^gym`3GMf)aSlQvq%C&nFzZj42lOt(+FTlB_2~$3`Ffdb`GdROux$s$t_a@RGvKrg0o7XC!hqqs>4T!{)f(8=>J2 zyFBi1BI$yTSpf@$g?DQJCuu>+X zDUGO6xGVbi2Euwk^o#V*jRx7Ju77A&< z-fkO=L#p_G!mu|~-9)Cuj1@+-TUMdlmRn{d5~{wvE5WEhb#!Om4yP)N{6fndglr?t z{}mVoQZO@o1Psq!tU{)eiOhIe=4?PyD`g^Aa2X2~;2|bgAqzA;i=B5G55yc%C_t;ljh0~a0DK-OvfiF=)LfY3XLyBcVck!zSI ztAWHV33Pw>C@59M!Ni`VN`w-d9EwZQ2!lk^ZQa!B&P|~{^yG|7o!-h1twEUB0xAP4#Y<50*_IGsnw(p>FwX&H4&&{?=<%v~1>Z zcdCiEh6VEt+*brDKqKK1^+3$!8Wz5d{{A1}M6jtopfKl+3YNvho}@N0OlcCCq)MoS z!UOOSlctabnx4h(U6-;gD#A;FhHO=DIG zKvPdlB9l~!7f^Tro+P#bnkS}baq(6Nb7C$gXtUaSv6tjF+qh(R_ouIi_-(;4<-Gd7 zg|F_%JlcKs{VVmFbk}e|Pac5p*kr|&qrd+*`@>a5e-c7&jAT=6<5_6 z*BC&NnAnq4iBMn!GZWC1L{BhN6SK{_W^EBcC_wX9NMMVAnV>}Mlgzw8m4DXJ>Sz5u zYdJ?+>|Ior-1zC#xj5j|B|cNylTf_OXZ`rW!Dr4(q@C72w8UFOZ=`)D5`T}k=WO}L ztZ$lHf0Ga&vxc*VQcYa=`+tCq;e!{*1GU5|RUjtzBvm40n7D2dnWRcEj^lxti>w=Z zS8`kbLCjo|RF%dNg#szp{}fcm9Y=!21MqpE$a(=no6A(~rK$Zq92zy%=7@48plMqo zt0s|2s>BN@JOED;+W@UCkyX>PxC|^4bD~ij+N};I?_ZRm6EB|@fBkx{@*L*G9_n5q zdkAYoY%Njf3nfzYJDJFTh2As{<vmLH@W0>81 ze+^HGOdZP~n%dw{{`WMIYaXuzRDeceE~l!B*<4tKEHHrCLGxG_!Fm9m#KfMYN`wLf zn3;g4B)r>uz}5#gYi3IbpcJ4L_lbH}BIblb0b2E0#spM=Mxt|NYGO9yDP(~G%nq8z zx(L<-@FXVoBvm357{JT~G$rw7jX4<8+GY*bkpNBs+Kj17n+q90X@A1IG6M9flw-e6 z@vaQj?aMRpPN+}BbxjhDC_Dh4FZsZF2ekIO)NC(i2Ub<0aKxF=k%!&QT6qoa&=ONG zK&Hm#ZMF$=>LU{oHy#)d#N2#j(V8GOxt0i%2jJ&TUAV_nce&iuC7e2mPd=WC6Wpy4 z*zI6(U=*N{#4Z3&Vq#BHB|?D#%uGO2 z5*{oM#B8&!Sz81U3eaXe8&pqLuVIQ3S}Q;!F%zb$n9XY;F>}(+D2obNV{=sW|KiZ25%_hwbAO{SYIt z{Vv{$c3*V1o*E*0^Z=JMe!yhbBO2T@w6MMNtPz|WhyaF3^M!N)ob|8u}eP9ty{f#pXa zp-Tm5B<3ols+i5iLdXIGm>rzHt;+^Oh6Up@@NAIJO=5Qi))i`l&XuW&ea_UKzOCE$ z)IG*zD9Fu8!YzddGIQ4`6rdII)O(v8&I4Y3fYq)hoE+ITBFzLeEu>7uyydQ6l~u7t zSP$w|aSgiyv_i^6#D#yC=YiZp>fCUx2%8ZhWXk+1W~q>Uz22?}+(WpDSbv`w-VU1tAT-l)dRM zUDU=aD&Iie1ET3ATpD(I4Ur2e65(vd0)E-<2w5MM3!HLX4=H6e*;(r(_kN}m&l~J11~8&Pa~sEPbA6bC0+50x5;5e zJ%hIg66FyJ1tHrQIlBow@3Aq2oG6H_dk>5ekf8 zW&)a$4D9kYYiU$q1fu}Wzb*x~2ow|a;=6L0im)p{BN@oFh$gKJ`ul%?mj~cUOzcUj zL@3ZCGD($S355sXAtp^B3p728U9X#_CgzAj0a^f@L z3|yS-1Zhh*T0l?_z;{fym~!;@|7n?;#QW8}^So{nnWRb_J;6+^?#ASmcb?Zx&umIS z55SW+6LijT#Jm7*7wF?yT`c@q_xzAk=X}z9d6aQ=5;;H~BOdGanMm_GMQ;t?__2;R z#fU*tfJTzK06dA=A10|1AxAHf2#2u%UlNYvftYR9HJcS)B4Q3GJOEEpPSE#=A)-)# zM&c#OoS4gK!tanB{QW<`$pi2tCiWy%BIM{LV)E)&C0Ih?0eFZ>Q^*{>M8s|`o~nvD zqEH~^n*JS1($}5H``j^{@Gj*h;&}#-9L*)3mp=E=iSz|o!WLrLOX8ic>5eiITW&)a$@NVw`TOZmxa%M|NpcJ6xcObE?LLxZT!>n>pHE6YyZljq#) zZGp)1cw4f$)p_7`is@EocuLM4fl$dWMyo2ot^ke1Y-OslH31c%dD7nNn#Vfbd`(S4 zBos3NO+9HRVmG&btHg^aJOEFBg_yY50VKjCQv zjx+-CNc|qC+vn1)^VBf7shQoSBmV?m0UE^Yz^aPb#Ga%|gaQ+onSiDw>n>nxu?N?z zEfNR?XxTQb8?w~I>^#;rKYcz;9fl}%0eF&IHFXz~(+Th12qgIr9Nfah!!69|Hl>ul z!dH=s$4Q}1Q7=HI#`XkMfaXd2GIe^a>$oBg?8N~?t3XPsfBLK>*T@1f6Q(L#6Hoz~ zC#|VVoFTdCbDXJ3h=gJ$ps6RVM2Z3Iw@SQ-!UOP2Kh|yd_Jn&r!D$3eBe3WQEcI-# zZH`JeUsDr@{_U&Z+H_&c1brr;DGBfP9VO8 zxX=7Wa@RG3wZM0S9*EBiC=`TjK@c%s$r+s5ZX$f$T=r63FPJ^ zVNg6Enzt>Jt4#uWo`{T%c$rrds!F#%`d4akHS{P5_PA z+b}4Ql4x@=FSS#Z?DXm_4LiMt{t77*(UeBiDBi$J3ZIdS$+buVM45=Vy@A35qUkMa z zf?WYxA!Q=sLMzLEQ=xpORZP$>@ESD*;R6Z}z!x8730WfLnt!5JJDBH4RmILDF%#+@ ziozr^NtIwYpDPdQzD&Y3TNI{ewkMzm;7N3DxF#f{7tKwQ6PJeF(Xzl*grWeABy|CJ z5)*rpDiI2dV4eVC3u0R}R#DM_WYq<1EwZjzTSO2F(EJq=*dpLgP-Z`Tb>YAI9CJWC zA7dPcd>#xr+->`9`SXzjjAG)g;Q)cVLSC>T0u`W<4D1i%*08_-2gLUPJc)@tNtFl% znnWh45-g$c06fH`DP)1BXR&)%(Cp$A;iW(d{};5VbfYCQ^kf=gR)FS-xlGkA(=v@{ zH5TCOiAiLVD)9me55SYeHbC>l^eiqb6ds7VoS^uF%Wlw#&r8YXzTtM+1A4sd%+dGA z%O2m7y<^RFyz{)SIZUrfoPk~5 zzwG2GNZoYLWCD5so}`?hCr`xUMZ1rWbrFZ#!1=DGoL@sL5JpfN)Voy>fLV+d` zQaASERJznxbP1MFcmN(^(iE~l(~H;#E~61%3eakCqa_$U0G|hntam_peTCz+Oe30% z1^9Ym5}Bk*ynw<3@FcMf&^$3ciwiFa55(+DP~bRT2M6I2pO*&-ee|H)Wi!~kx|RiS zh;E%vn!{11&Pn6|$2;P&Zhwias^)cy?ivm_J`cc;c&y`1vEs>6khYb#H83Z3vp-By z>mIVgO+-@~QIlW;yS%+}W*O8~Hxafapa)eSb#6d!1-pgmPR>xiHJR*@BloCIlY8D7=CxQikeRLw_`LBN0eS7 zBbrTh8U9Fdp+u%8Uic?DQ`-D7zO8c;X{WUhE%B1-i?mQ8eJPBzeQ~7U!QD=YOdR5C z{tfrUMu%{}--(zT5*fgV!UOQdHC4zGTbK64S6g!Gyzh_z0mV!}Q%~wdV$a=1E7#ml z;&tBVvUzJ0_L2Z+dJ#8s8=|V1oe8SH@^LKwtVM|g3~hksNh{I7DUsXprj9yqr8G*F z1M8o<%UL7R44KZAsfj~5LFX^?VKnVe<=q*9TlPA|yEAad&-4kUKnpY>69s4_1Dl%J zcBD;#0n84X$GQmC1Mnm!_9Rsz6d1tF1T-ZvTbZhCePFX@wuAsm0b23Nly1#pPAC*) z=)j#T$u)2!2bj9%u`VLM2jEF2&6RPM2n7Z(1Th=7hRcW2=gQPzOlzApSVsal z1!$9}P8yx?Gy-jz^`w=^#828Jo<0ni8k@H^VJ``ACJ}M# z-HVIg8)u=Hn!0e0r*7eI>zdi)Um_^Ts^Ggp52V${P$)E^@-nWgYv>B3MEb|8&jYgI zq|~s}Yt+1uGLdWej0JMVIro76xlpePlPkQfl!=JP7KH~iRxs(@a1B(Ktaw#-S;z9x za}2>_l^gqdJ8dysi^DGc9x3(P*L(%&_klGIyI7Anqm7L*U4M6alf$&Qzlm&dty3W7 zivGPp|6BlHrHd9YXMsk{d7B))iR&>=QV)oJR>U@4^{X}q*2_dpUi~V?ctqRlhDr~J zj=ld(RTV(=yeE#V30Fe}G$@4TpU&1CNI^S30uSU9Aq_jd zhW-jE6ES)9t8yEHmDTZ*!iXMCuAmAj6A>5h{e;ZP9pe?e6rk0zL8%~YLg4{;I)*kt z^MrEEpWD9*9}zhHFAHy3qnm z9)Qnh+C~$5jW|;)g_`w}WKQfR(@ARGLs4iB(`ypUJJp!0`!Z=38PtU7p4kNS06d91 zL1J16w+r=ijBc9mM2^w-0)1XC(8sa5XucC!s{iNGk++8Bp2^!Jq|LZB9O0}0jU;se zcoGwPk}44jj9_L0nvx9c@-}N}RA2<70L{NH1-1wj6V&_{v?A;Z&`1U{Euu*)gZ};> z;N<~$5)*rpDiI1aiA+)@SVG|ec!)_;$O28zV)s@^b{UQEQh@gR3vbZ;As{-wf0B6O z7CWx%g=a4D&bQd%E!t67cIv7qi_%@g2}kY$_pFvT`NBN@oFGn%xnvAn**5V`<7iHSW)l?VlzL?)>c zETQlKJjA3aWPzq23~W$f%lmRUZ)6g*KmTx1MvCsjjR_CnBfi-YOiVgLqw~w0N=I*RyBv|HHpV@ zJP@~NWB&Gx4*Z4@=fwygS8O=-N06h~tL0ImMYM@g>1LbCszZXxt5Y0(MQyNo~oGae#Jz)Don|0mF772s`H2(@3*&<** z!Kf`Oy7Ib~15Djzlg&Mxx>pvQy3~y05lcQjj@bXH>(dA^ ziMb+9QYBtQ;Q@G()COptn4ZPOyMi(&=72(hl;)?;N^*@XkpAmYf{Ow)PuxWB<6~Vu z7|9!nhI2<`^3*k7`7}|NFZupx`EKt)j}Hf5<8TiS@?IXo!>`L>E%j`$ZAP(wFSd8t zW{c@pn=VY5pw9#}CF$SP7JjU2e)_!B)LqIL3|&U=c)wo%Wi*?cUU-4X`H1EO&YSxgYOa^alMN7pokc}4%;Kxhw$ev$r}T;XlS9})lkg#6Az z3h<1!(hDjTY}A&1Hg*GOwXzCkp^$yO-mVDTL%50b_!p*FH8)s=iHTM4dCSg7BvgHS z7uHzzN;#^d%bxed!Avi-%mJDen*S?s3Z!5e@)3AICNkUDrmKDx?X8rFT)|~5R8@dG zR+bC(bCk&o-d4&)#N!zX59DUNn4n$Uh?)X45}s@i#LO#7LC69r*ZfhSwg;3RfG5$g z(`yo;K$FNMRe~iH9)O3KT!k#q^elG1EA&9j5rqP@THI&}Mi0Q}fgA{KKnLJnkFMw4c3j8GMzk)$pFPhw(EQYAux5zI_LQ<8!6 z&1Nl)3XEVBp!q8#utlJppyxlGnHQ)I|JCOLNgSw)2M3DV5q9C3tA_vTvm?>lPbc0Q z7R>X4`-(sXXe0ysL%D{fSsTrDsWt|b9)Kq?u_vh#p+J+!BvpbX6dr(wm^6hf(DW?! zfs0dwmjWrxe;C<~mdK$e(+INyG*8TBs&<){X+*2B0AEi`B9l~!7f^Tro+P#bnkS}b zaap18K+NR?MIJ)2p71mRrx93k1l%)3BOci0mwl{5O4wjc z&Z9DQr(0TP7*SV%Mv}S!Jc)@tNtFl%Mldr0O-Z~<5p!a;S=X#BA_xU&{t5|f5ik>! zh<%co7pR^d>-ILt7YCfW#Aix7)uTMtd5N^s+J}~SYv_%%&qSKnDMs|D0F7kT1#GR% z8Wu9c#P#y77If$W@FXVoBvm40n7D2dnWRcEj^lxti>w=ZS8`kbia2l?jfkNDrG7Rr z#{s{=>rsS{0<^Y7R?TIqcA1tbM;Z%t4_U!2?S;tX)vrphfWiatB(V+9+7ekcU5U%U zGI1xU9ej`4`xj-f#LK7T56XCn9H7JPV9bRQ8O&qQ;hfKuwp%#H)Om@t)7p!6IT&T3 zLj`0soZEz_6d!0zas3|}rF_%+S#cVFDLKYan?4Wt9i(owf zPhw(EQYAux0nAK5Qxe|oJz(ntn>Di~1W*dlichBWu0+fUg#xtdf-wOVppocYnVOi* zcnVoy0JDSUu`Yu306d9_JxP@a1qLuP0ZmEHx&VAy+pNJl62K`yn=y51b0GsL?N4}D zMqpE&UZ;3h=Bmgu@J`tAN0t%p9)Qo6d|v~2`FX)ntIYoWa5@A z@$>>@YHZ%xguNudnMA~m2gU<2n@=!=WOOYNCJ(?DBP(QytwaMSM@~9drX~RbikX0> zp0pAv2C&~M@yvv&%H~U(u$Kfl)0Mb+v(^k2dAJiKEl+qFfzt>qH3AphQ35JJBQaZ< zs$zE6y_hl#s4GAtiCqAm#KfMYN`wLfn3;g4B)oxnAZD9&&DtV>P=Ge$*`Rvzgc~NH z0yGkxD^nA*8BZY#3}6(Xk;EHralaq8k@J-CdjFeOhnvxU_20W`E1bq^trT9i-=YpfS)&Y;T})j!jE;& zWfo3&8iCUYEIk4V9V$R0(F>5NiP>B%ge)+C*}>`Cx@<6HSTH^_o(-f~K5MC=s5BF% zs`$*Ay3@CH`<}YTm<$EEIZ3#s@IYqn8ifM1LY{hWlfx;%tIq>DvTH<|320hKnTUDI zUB4=;VvDdI)aU*+>gtMLyiE=( z>KVK}kSLE(CE>UnNgO?0HEcU&2hT zXksN6or(ummiwkcV&PFt5J(m@tQ3SiN8y2(Ia>uG3yfeCppm3508gS}r`IGxff3A1 zKvNRTwhQ1%Os+x}7{Mq&liO-JsY6?ZMxt}WH6ekq4bVsiGVP2et!vz%RH)g2(gW}$ zCiWy%A{3}OOs`3>gu(;x5R;~m1)A=~?yV5!#2is5KwDZKEZyO9{Ux5b<@#H=r^H*z z!ksSEU08VP>MzONHJotF9)Qo6Z)Cj#{QZC0{=glKg^oPzg~;U9uS(2-!UOOmu?^5X zFH+xt`o(dDERoMeh1y|SrY7-zwM;=6&0%^?A`F~w*%f{A zh$Qsj0eF&ff;Qje!w~ZVxLu%+V|CGdC$dog-&l6$s>_9r>locM--#^M_jBpUY#~Ap z><{G{_L7bu6`+x%E&xwrVoy>fLV*#?Oh8kTfnDBaEsY9{U=*PF*QLM~fntK1|1ffd zT>%=&K&C}BX=Tt{muhQ3=>d2W6MK>>5ehVkOj0FSLg4{;h)Gk(0!`0iA6O<4UJB4I z04F((z-a`Q9RaLgcMT`x;Q{!3`9{_|z+9$kuW9=OcQ6(@@~{^olUKhgF#`$@z>~x_ zK=Z_OB`yOOCp$qLgIl`M0!$u&@0e~e<>>GK(=s)Q_p5p5dEF#3NtHMQ=bOI(@)V?Q zdS)^KJpfPQOwc*U5%U7LU7(L+b+Pbc-Sb0Eo%2cai~0F5Md0eBJm`0<;54`nnT*pF4&V-lg0`T+bVkiRYy+#6pSmr7+GGV(FK7=PUVx zGF~DF=r9aU-9m}n{Mgcn%l6HC84=->$cRB|xqQ&lj;P$zMCxae6-HJ;#<}y#EoO6J z6|%qtW(Qkn5OYCSRm>*#Bvm35n83^gG$rBP-UGHiw0GppmXJUxK+EqyVq1kqqI1JF zVy@q2gJU8708@7<-QCNndu7?F`<>^_Sf0weH3E2@V!B%?pR&aWgevi#h#IR6KqJu$ zkg2gX0TrNm(!NYJk97lkaYCe-fTo_b6S13Hzg6Nz6dr)5zd}r0>;M%{OjqKvM&W^& z0}2IbwFHz3&`8XLsmj&_RDkA5E78Qsk=s3-y0GxnJ@ZEU#NCAv`2M?)++{N#~YllD@*5O%;%*0yGlc#Y~N@38(CjA=s^Tzdu8ecj zg((yCnSiDw-mEdl)`h>V<395j$z9hB#**&_JrJK4P$&r5#?4vwroYFv4QIp_^&M<> zHDVL}%ff00V{2${rA&mgX~TJ2%~F?}lY~L>fM`DBO@=lJ=w%|}<-MP<{{2EAk85}- zKBZ&BeUbPF1qgOSm-b^cwmrq)bFp8d0No z11~9jMlL4TA_)*>BI5Q23J-{;x2Tm9B+VS$$St5_nMCoc&{{3lbaUCi0gQgVT`TT@ zQYJFcz?#?5GA%vG#jeG<%8W!-p@>AI*a)q$_WSj)q9V3$58zx?S8ae+2t_E~CYRvF zN8o|n#$CZ@CZK5{Wg;f8epPNmu(CQ{QpjiH73>Po3Mms27g|~Vn+oMQs+gc%V4g(< z;R6Z}z!zWU30WfLnm@(W4tzuAf!KK@W00%3Zyju1uZvP zB8Q$#Bg_iWJTaH4+GSd%5v|4od_6IVOj0FYK;Z#+lGp}lo|vA+Wre~6F_#k*e{k6i zI-POelFmKD?SjWcqxg8)nWOKK7d*a&ySiMkKVAU5Mk{v>2jt=b_|s!u_Ut4B;{V8| zwq=EPMNF`J0G_0rpj8Y!cV4tCHFIU^iY9y;BUA-wB&iF)lbB2=sS=^U2xcarDG3ji z2V%Ba*Q_lf2nA^V3JGi>h^xv@Pzr0>FjM1kD(}n)(E0OQ^e^0*gincPBk+joF<-u< z?1ZM(-z3DtuHjv~R;9eFg*kKqcoGwPk}44jG>J@7C0Ih?0eFZ>Q^*2M&tf;1Bvr*6 zQ7AyG#f_F=^Z_K0F8ps6P&kx8n=3n)AQPZHYz%@fnJxC|^4 zXMzI9@j5sNm-xIqNa&*n-R>iU#AouDNSjyJavwRyd8&`|Npm>L)H#VvJ(dyvm%~2$ zOJr3&=ie0+_u`U7K;Z%S5s!6p0`X)iNZV@K{$RF?ezoo)E8Ijhr4cm=#&JBT`!acD z8Prub5w<0u2jEG{P4@Y>i^XIwq?e?0&h|2zG}|FNM5qeTNKzMoCo!=nsS+VaFOdj` zu>fC^f%DB~Esb*Y5)peq;Q@G(a)P$Z9|pdgkF*_oe>+AyZm;Gg(%WF3arh&}g%X*U ze%30U*?f* z+J6|zbWe`>$@34xY~9Oad_qS>3pC;26rhm|Y-+8YP+B+`!0n)Utczeh08e6KPf{g9 zfdR}+KvNR4m8r_s2R3VFO9-G8pcS7?nagN4H;LUNBOtW_8p#2su6eABi0=V-l1X!A zoFzhm0nAK5QxcskQ-d+BZPs8N3E&imA@G>Rm=R zJe?ASBhG}5JnV(Y-u3}EioYth z=#RjA7tAN10yL6=>yf*sNXrZZ>N_|+)@6es!-Dad^K9_s2{%kY1!yD(n7Y$r-M*$S z^3_i!>V&5eIE}!PBT(LYQtuHNcmTd*-HR#5))g@|x4Q#-aX^HbfTo_b5}Ei(o5a(H z0aIi1)+X#F0nQ{MZagp^h}oSWHo2AvlLz37krlGUR-%EEBd2>fbqjx6*Nim(50iPWwjr`gH0D~vPOnk(Ldrz0;p4ytTrdWrknjWg z=R*A=6_YExt(1v~#}rfGZg^4*(<*iVG}o5&W|It5a$=-(Uk&js*Rx@ZA&7HGtr zx5?3)xE|w_av%}?tcY#8>Q`+Jte1(Hy!us&@rbt94V4}c9ce=~d2z=U%0$FN-%4m| zWTv;M>D+M5M$PqDHOn<;C)a5fN?FH}%b36yyNuXJ)lFnd;DMJEriyR$h9k~My|pQ9d#J))Qi zXzGbcWRfcJ0tyenlf*Va^ThNlE;yen55%lc zETQlKJjA3aWPzqTKS?}siyhbX!ZVk6=j%DV*kilp zrm*bPRZ$kDyM`0S$^-EE@{O!_fVoW7Ueopm?qDo*j76lKv0^1YN1Mno}1l^MVTBM|R z!qW(Rs764SFW2PQ2d+ou8uo@Pf>eM;lDYsqiHSW)l?Vk!Ff##7Nd~S*Hfw2AU<9K8 z&A%=Mwg|Wr1XFASG?IZ#JEKYK8q4b|4516albG0(REbcaNu*Shy;iA<1WPD901q)~ z3R$3r<(k+BmPv${0<^Rd0`(>2Hb2(!nL2+E5>MRx_LExDw7r9ge>SPrXyC#QW7< z|GSEt$XONFHaT;xQdL3?oNxXD*kwT#HxYJ7Ko7u^xDzC%1K`*ANZWz8ZFL#VOXL7O z4}YY%P$D-!wiTZ#?Kqchbs5b|CYz; z7dt@36Vso#nA;Fl#T-y5K&!vlEYd9=PLY*SrhIJRnPA1DjfFC+s|w1j`P#&>*_`nwpqR>`AIbC@_JU z31~{fyS)c&eP}PA%$ATqDM0hDpph*C?gUj}UCRNc?y||oOqiU{gmBXVtvoS_Oj0FYMBxE=lGFxho|vA+WsSlEF$WY1q%>doz;Keg zH3AO@U*mAMj_1RZ?ZdCju`cy&ux*Cc?7%yfH*EF~6Toj;r(%$QeZ|jnIz~c}hp_mD1>Pb5h`f ziLVwE+Dw?L+Ut-_e&*=5 zcU$&6bMq7FPH~oH?}?OelS?${=IwB*O44tmQ1Fg~65V3?D!}WJ|7N@E`oxWNlJQom zu_x8WC88;fs8Pr(`u7GxdqDJy^v|RTZ!7+YxbG*FcNS8BXS9`GP^n;}w)C^H8$hd- zRWJ*M^uFE>*Fl=>ShTts;U+RAWUTu4fmIk6ZAK!Y>f5^#j0#joB0cYkgPC4vnFBN{ zH2+uN6iC4`MjpHdhHJCFUj3C z9N_8!`270Ct69hrd9oTvjeXkwV77~Xwdul^O%BB+X@o&y4eavv!#$foqnrMiPCyU9 zlav$mfLV*#?Oh8kTf%DB~EsY9{ zU=*PFD5mpv7&Q0qCOqa$`g~wBvs-C6dr&l ziEV)9iRoEfRwz6Wb2&kg$4soZ3HcaqQ$nX)P9w1Q5pdUVKsO$MpZ8cNEeXA?AzNx@ zf0(51`Y;u9A)2I0DBadgt?tRBY5L!(rf238&;#%!?ga5DdeIK?SSNY-Nv6hFW@-}e zSF^8(f3m-#G^#DTh4__6NdfK!+F zOlgnP@XLG}f2VO{np%2^OpP(@pLj3m_1kA6@;b$Q`Nn$fB87qd!CAwt#1m`&{vTlT z06d9_JxP@a878iqL?)>cjN^D9<|6Bc-j&?e|De-cJXMv(5rqO2cImj|NaRp?06q^C zSua3nb6u*vG&NHbJ2l~x_Kx<26)pR8;1IxsmXzj3j*WSM< zLndB6C4W%HOXL6@ZUbykSY3)V39E`G1B7=DhI$ZI_ zFzB{FhpyAYCKCSqd6Zt`zw@j6>wY+rO=P&mkvx9rNc|Sw7K!{XRrN(Wug`0qG{S1j3o57;S~YbS^$cE^J~&%wuAsm0a|gNsCOk|PAC+h{nlrJ8JS3H zu2DKyriLcP@CsRA0JDSUu`Yu306d8qgmLOxnn{EL0~m%!-$rS?hQs_|{a31u8aiv# z65`C-nmHI#-M!`t){y{C0oshIOOG$4WeZbFyMN02@=J<9!`)o;?%F|ast@Pu6tB-{ zpQP22s;AW#Iwy^0_BKo4ov`@Lt)v`LcmO_M@`3dZ(EWpIso7r44y>v~;fOP#Bahu4 zysrN0OLWq%D(dvr*U7t;p{i$@L1O9!$kf=ptpZ8~Xr7q<#DxdO12MawTU1qCZt4Oj zN7?9HnVMu8P|O50^`suLSiSq(=k!7Gsybg?yn^X5L+Pm!&rF!AY`(M!dr5#Z9f+GZ zYt3Mhhck7*!f~YahXzxkLw!o;Oo(T9_W0x9$!7M$GIf`qp+7&{MBJf#C$Ahi@T0(n9BH1|NvHtU+TMF61yZTho8JYQ9-vyjhPqA-oz*QtB-`9ObGi>Z6`QM!hZ zG6e7-@n(%VF)ulFa`M;xet3!pIPUkqkYuyWw#-{Q1bmZXvfI)N9X#H+-7od}jQ;fW zgXcJ?)tx=~?RW82#JlwzydDJ{x&ky$xPt~#v%L)L#Q~9K0-Ac#UT~8pKW(8?HeS_N4%-t{S+~vU>M&2QL4TFF2R<*Be&DUE(XXI(mQo&*>CG4Bj^2kkt_+BdLXT? zQ7AwwIIh+x^`r5FnS7adbHT|0lXj({~$l2}%cdK2EIW?5;z@sI4zD3wJY*?jX zB8yEJR3UjH(u=q}K+!ZrQ7XLTI3~#C4X23Km52A(n=)kQqtOvI&h_x$Ugdd z8$lFEp^qCL<5cwk&a~%Y!6-0i;ic?Fa_OSBUQzi5>K+hHFX7U#(`$%aNGlOMi*q*V zO>&ePlIyI&Mn|h}B{Vg%<5g-VS7J(OCDM!t?X|QayFhfLbaigHR>oCk9kqUg8qGo> zv9h~Y+hrBn`d+kFBKX`{WV23nZK$AciTZ>-lNl$iL`F49{U21)8f(8_4=d^!NDsjC z3oUaHvW-#BRz76~$R6OsN8o|n#yx`1Oh8jBF;C>`RXQt*>~B+j@Dj$D{`0hPwSK*Hn8_rbxS5S_f3w6} z%5n32D}3-@QyPIs`U(9iY)|P(z&!;U5hhCF?ix;L?*aIH`9{_|fG10#_8Q(FLbg9} z2Vew#f3r068Yp-s2!$dY7*~P%M^ss9DX^_ne#u=ANa(tz@&!GnndV7-{>c1 za8r;*C7NE?Apt!APf||M=9?Tx%nRUlfj)-2__gQc^C>=Z9_Zl~V?6d4YN)$J4&PXI z=Bmq~q?WLUe|b$8xKBw}y!`jl>vB`aOHkHmv1iDk^zRSO8umsYf>eM;lDYsq2`4ub zBtn4^48!{$UvDW00wWj&X#RC6utmUbvT0py9>Emb z0F7iIQyWbhS>$t3p*9DU9)Kq?u_vh#p+L>yTOXxwm+0A!9_w&+s-d$c!4e7&z(Y)$ zLKbLx5&OV0iSSY&<;BFkfv?5t4S%RI9V&27-1onOzE=8!{}dkU=RJP6+O+-eZ%=x$ zol0t}`_%s6^*|reN9;HMh|hMa+$EtuFQbo^=|fGdUv~{B9F7Oz^W_^^?*Mbbs=cP| z58T06=*XkmC35!fy}GK>KMSY$tgEl|!+}n`zkF7mrJusH z`A+Eb)f@b=UH!wFU9|32jFSHi=2t@%J_UR4)Pf||M zPrty12vmSZ;w8zPn9FFw?~onLWvVs?lpcU5F|jA95+TP{B2TN$kJ2vrE8mK#dWP&w zhMp?H5(*E%Lrj`N<_L#mIuJYW+a8EHqEH~^8vApie+Q8CbtmXPcMK=IOO=VdMt++W z{UPt)lb>PA{^B+sPbQwYKL0Y2KJO#iLM%H^>yqnWQU^bsE)sc@W{s8zy<_OdKlbMI zcpWXm;M5g~bVQm!hl+lpc~!kHeWyMB9xIKwY)?pXzH2*?RGt4ko(+C{Rp0E-4?MMH zJCUia9sl!-nq1StkR-;N!v{AHv7ZP{J{|3Ky_T=$)q*v)v> zjck!X?BEg_#G5td#B5@Bsb`)+A{3aw0M4&IbzWV3Yn7@;P^-59sTW`*S$6?jUs-PI z(BFH{F^Ze&r_NrB)eo=vSDN%81E+G&Ko9DjkJC3Mzx8J8Bf`6MunDU#Z7y)Wt}qL&dIT6xkWa#sD}pQa=HdAhiVhO6&2J5`AnQFs8J z{t7X1u>({*F};WjkGTh84k#3$vHaji-v3u=s2;r=*c^pD#MC8Dj^djMQ&sv-RM?RK zR~ev9FXPVH3d)ma#5UDGrN5Fo`xi74?xhlb)*$gB3J<{3uR0S71!$f$iL{2?fm>FFnJ$2Blzd2iRdn7YGQWRebaVVCe#$5 zLC}>8;D@d}re~u{gaQ*7f$yblCOxGTL?wQp1`m>T7qGR+x@K*WKqx@-x8cYZ0Y1$L z&MP_LQlPhnRBw{;ze85v2Cx4l`Z^1tSL|#V`0a{}f6bVll{v5ELx~kqB zV}Laz;Qg0RrjJzN#m8T&kCf-*)*LlY(Wq?FXL()8-2L9DCU@T{UeGb5v$uEw<){9g zIW+n+_Vg93ar)BW>=CUxOkK#*KSSLE_DMhmXr7=0-ATqj*5$GPfA-!c){^Bo6RUgQ zW$Qi-C0#yAR!fkHY|b;LrLo~ApoJE+oa{#n7xdWf84TbbcyY#@0R{stEWiuG&?5QJ ze&pD+<}GD-bG@>cb0OD(D0z?cOWvoH)8nD`>BxXe60r+hn>*%5POS*QY zrg{Ch@K}e-&ZnG)fH!N*v6-56$CEh+dc%=0UJ&mJ+PRD-_{;<}^+Xf-Gx~e*>pvr( zDYM4SBwj?}0r*WH>jI4+Z>{O(;VVsOcvye-(RHLep0Qjv{};BB|5#7LSqIA_H>>4& z8~v1)L*!RW+75KKRacn0`(vlxtT87JRdZ#Wk6oBDL8m!u?*7HUPe0&z{1vJu`qzil zS6eIGNP4q&(^1)amT&7~6A?@S8p(AZm}7VZDv*LiHp{mAk83Kuy253!QT-Y>$*hl);0caiJbN8sHbgUiAj5r#G zN}8oy3a__2_8(*3WHE!^1CTJZ!H&7{ppSMC!m!H~rgefGdo8-=>F_7ZJ^$lS^+?$y z`_uyM(*7h%**4NNqCV3*7(u5ktqg%r_7#RDm2^rYGBg{bUjM8!5Q>C5gw-Lk#FLlZ;*@Kpbs1K zL`K_Ohas2W$7SI6-xAPj!!%+=MNFj!66pbj0<=sh0zb$o(4vDEAAtwb{R4bv0-9#h zON0*YD*cn&=E^3gX_}hchG1nCUQ)qL&(?TD4odA_q z{(nr>3;LHZZ>V32hHqaZ2R#|CPQwN&gFa zKXD^935G%9liGrq>H z`mfG?tm)jRf|p?IY>Kbg;ivdz!_PjZXXV&wK-1}O65>-{!<`sr|3CH|;Nk&z5)->h zl?WLguR%BQpZ`-b{+Dn#a$3Xhz;gqrv0Xl9S#zTtOb6niS0hssb3~y)%JF~D`spkB z-r%RVO_IdnHN2XAX&PV8oXs8aJ3ggNE#_=D@%B>GdIH2IP$ z5l-8>eQavGG-#0*I$t zYqrr^+7uDG0yL6T0`Mdzc9kj-GK^pZLZBM{)JIgRjMU&ka$N$pW?8qaEg}d7Xrs%- zo@4lo>L=VVeK*l0(obx7jF=J#C_10k2)hpQz^oIxA2ohE#OC&+_O$HVF0Q4C8KnR7 z@9_x`e=pFye$s@A?N)G`)-|tu?pn`>Z5hK;Z#+lGq1mo*X-EyB8O4g)k>(JKZ#3d@Q)k zk;ma1-P6G9wCMzM?PFx(^K#52k1)Ap=tKatS<*+!iVppjWp^GMwcmLUcOE+kLlRP542*RnRTSEvf zJX)5>eIP5!Z7vdHYHF-zPgycl_>jSMj`kUE5-s zzn4gu*7UqyULuQn_>wkh+Az;8kGzO0qJDG}D!iw=Rwpt&be-p!6ZtCj9-F`XkM1HK z589h#$ta@m06eaZJ%Hv3U+|8Q_(Dr=ytx>7ue(-2q?v%Go*Z)+xZ5bYNIboFG&MFK zY{Fg=;7l*##slMln00QBw<0g^cxj}6^>Mh8zXO0Jh*zHIf@yCD)kt{lmX`oL3h}us%S+r zICZK0VTk4`jWP^i6rj~k9sg%AuhH(hc@an2=ObF?djBb&+`t1d2NVj>JkkAwYpo0KyB5N!J=bQ! zDTt}?4;4)|Q&kD27a&t>dkQEOpm}0C6&GhO=3SAkou78eEP?$ra-jeBH^Swo?l(D2 z2Fj*MfX+xj^*G~#My{9T-_uV9m z%0=5aa-cq5QJ62IiTYu^3WuF-3-9vAk?iM<#Bq24KHGbQ%(1tdoo2_|i~m3y2UKSQ zntIYpq;gA^c&BFrc_L7i%?F#XmjpPIh`8~Xdm!f1+~{MS7@B|e4f=-QWNvrS2%`t! zvyl}t$6lg=lOrc@>i+FtKD7?0%>*>{q?brGfWuaar*ma$Y~J|Wy5k}8_hbq(w0t*s zg3in0q!qqhZxoK9x@Ej+9-%9c66s&U6avBtgd-Y*h_LeCr=oUs>P0#2S*^lRgG};7 z9^q4hJ7XYM%Ju36m2@B3sU=p4;j{geW=$f9*))d|&@jQIbHg=ICAp@*w=3DE^U-q+ z!Lof~>g(-nlp#G18K>A%8usFsbPc<(HBRtpU3g=ndK_#sXlx_RDZZT3XpJ_{H<55l z7v2LFJp*l74^;mQgXjVHCcWwba~5dCoVUr*CCz)Y-5YuHIo`izVppj)2iEgMOkTq( z#duBI>m8LI5FKfcGHG(h7V<>IL*GhhYGkI{5S<&Y*{EGTHpk`KWvlBn3%T6HoCDLo z+%)jT&Ucy3x{1_88+l1#YT8@7v6wRwxlKbsAMlY1fvh$v0_yxzZVzDO7g~0JISVxZ zbhdVZ6x@dJ5g7RUaM<3WVmOh8jlOd?gP z#0w}q08bM80L>HAv$)_~Z9EXO&JEXuWc&l5h7?Oc;Q{#WOxtK;ZxLr|qtLQmlD~5$ zy^)K_v`TGz$O_G&z9zxUsitb%mq|0rpe0QA%qE}*;7N3DxF#ep9SArgv=!yx$L2wh zP04q73hePak!^Fm`{pJShyNwdik?J~Ozj+6rzfEwzZ2Oi+4s^B{=D-_7qXDLA()z& zi)&cSEk=|l_!JsR$|2x?iwNLJOzbLEB4ilB%mg$g@pgIU#B8%}SzAO93eegE4^9mf ziOx;CIr9IYMTST}UA>KPVL*jsB-1RKv@+P=|M`<5@(A!U@bFu@=1lA=6`>vJCsKDc zm2e4#2jC$lO(8QhiHO}S4pqe*Q7AzBYuTW~--L2mx%m)&PPkwQ5>MQM0Ci0t+e_=0 zU(=tB)kQd)_x;BYzZuin!fhWYY&&)I%5mNm-{F%CUC46WJdPfSIigU2=83sXwJy3L zXzjR}l1qf!Oh8jlOd?gP#0w}q08bM80L>HAv$$NN@IcHuH(YCO-!|=!=WftSLyCJq z;Q{#U(M!l2`CL?J9lRvzvF<1)(<=2C!*0L{NH1-1xyn=D)6FHyG6Nw6mJdH3Ww|Q0kl@ z)_V2n&0VtO6n!-H!;~nCeZu(Eohy;sPw7eS8?p@EA#q*Eau^AP2jIKQH?p2VV1_44 zq4je1hwE>Be1rd*84~;O?Y?TPMul%*0;`%seNEzV91p~7vu@c=!EI%K(;URk2kwEG zb#7#>(GNtfW$NDJ8%`UIJMy3uP52W^Cwwj{v{o<4-?<9Myinr5CQY65iER_$)c>i% zjqHU;Q<_kdP|o>wx-Wo-N$%ADsp2NW)&%qbJc-T?*N8cNe!)Y@PG^JfcdoL>pzn?q zx9m5-h@K@aFA=x@W#ss`b!SY3PdDTn(;ffMb<1)$t*?*gM~5Gpy4dkMYt>8StQ|l8nT zOh4~tk-ExW&qm<3-T(OUNkRO)O^rD*n~f!lEkr_bf&(;&yBG7HrLdV|XD{b5{S{RX zkV67B6VQ}|cY6=m`qExLnav@AQh?@f!;vilIyYQvEvUPe6{ha~n2j@avHkuDF2#A7 zU^sSR$^?BTpeczrYs|6r6Pq=&IV4aD(5j~{QIpPaA;N_uP`~uw>+rldDRh>)V_iV$ zJ=cOD8#MLud?&wd*r4~pF8GP=aA?Ay*KX{Nz{}eoKn;C>M#6LL0b3JL0h%XfhihH3 zz4)fNxw}8LPpHiVH1)(JQl(0~h{6N#B&iS3JTX0s%MA(-#2ip4(8JXaxTs7p6?mX9Aj%3~y>1Ki1uTEZfIc zQ+F?8(7BBA|N6)9oxjC1#2!_1Wt@*)m@+}131~_(JasER)?GQz-LE94OJhI+;j0nF zE~6uGdd!WNzDc3Q@LPfM{o~P`cZX~Q0x>s$h5;3l`ZvhS#lWl2V80)?0e&>+L#G#;aZ;$sxlm3pimI9k2GQj?0*Wdzjoei7r_PI93q{V8n(WMF0AB< zXi5`m6z+lkeTJ|e5RLE7Os?>@k|!b_{!0qQ_O3fOJWDoePrsVF0rXl~1+$Qe^Lo30 z-a=s4)bOof)@%{sCQ=hIHhkkyY;qlnrk-I&BB2@Ds}qb0R7ZaU?}>w%U&5vU$_mZM z12_dzFf)7v3h%C(&@hmR%r>_6GNFd{R`Nt1;4&2&N{<99%Z2u4+$0BYD|sT~@eYLt za>uB1!?jM-h`Ita5}s@i#LO#7LC6d#*Y^7fuC?}n(!lFq=U}ij8n(X10dg>jRH+gz zq3{4a#N;YuhNfq+^G@S|m?H`WXsx)>5{w>z?*@vj7l8K}h1SbU@*js&h=|%uKvPdN zhx(et3n)AQPZIk8%@fnTxOgjsIWfBviRJC`%(Y#aG;IP$-So$F0(tM@2XBlMYorX=Q6Q&r5)__l^#5uqtS^H)ehVuVd9hutG+NEZGbiV5;Sj^$_zqHfs*;BGo;40CaL@=; zfJUMlf~ko)U&F%p(ck|AoDBRQ;94=UtJGr*Q<_AoR0)+(cmN(^(iAd7)3ew|E>00% z3Z#VQhQ5O++;JpWJOJMf6j?8TxlFZQZl-)p>=1!70ZlzIiBzc)FQD)MJW1>WG*3*= z;$jwusxW_Qf3!-sEpHEG2=wn_5>7qGzstvT()UVv1inG0zg;$OZu#yHHt!N0>YbC; zHcVu0r->J)_tZei2ONM0;0v3qn7VicMWHq6hH$EdKcWwI+kDLBpi1p_-mT*U?oJ}d zl%m6BeiFysRV1Mnp7Cd;GcMU&IfOLr)m*c2nG3eZSW2H__C z>dTws)y`yEr6RN=k+>^BC!47%T9KU2GFuaKVSHQTsfeg4K=W5fV2gm6phWE3?$mc& zSE>%Z%qRUPD|Nb2*t0y={b+KsuW0JRWbZo1#AiysjsD`R&qE8ZVwdbGT|;%943tgbpUIn?$Nq3C3|e5OceKX15>NIB5$iULqRqY~36*W}!Bm zNTJas=vzKh`aOr@)X{=|cQs(`$Eni1M5+QzbG{#S+bEIIJVqTJ`ip4Pe>I0ak=mjC zR&9f|{GW9q38SU}jl^6|RTZqr2n0By$9C7Qa(O?P-wBXHM*@2vG?@T3OfDJC0u zC(It@5xbeVvAZA`+3PLg}*;$1VCJ(@8BP(Q%y+i{iM@~9drX~RbikX0>p7avQ25{Ia z@yvv&%I15Uu$Kfl)0Mb+vvwRT@^B|eTJHGNMj&=3_NnFm3!d-c6%RlHDnKJKTbZh2 zcGta_Iv7w_fJPEa0G`Ceu2LmJh5^h>KvNPvπc&AMf65kM$FoBnKYo!FiJPVD+E z*H~9*tvXkxCU!HPZ6jL*5DL&pVhO;LnAlaSM946JnF(l0;>{X!VzybgtStfv1!$9} zPQMh3+-!%ZI0A>!*El@Iqk8r^zokFT|6SgS{9Dh65%2(fcgY9V3((u^(s6q+JFuz} zg(J>{LLPQE>*Y1JLrYA37%(+9A3B>Lr#>+eapQsUK+O5GLHLvhZL_Hh&v@!Keyn?6 zIx}|ugC2p-=O!O?o_lfwBamQIfJUMhAX5{wxmXC9VE}W2{oA_EV92mwd?r5|w6i2_ z7!yzd8i|=ORmD7K>h^Ez&N6j86*3ENP$@34*l}#^FWU5 z5s_vBnr4zGV%~B$tn#Y3Js_+H?P>oAy8^UK@hDm9a9 zmIR0_5%GGwqtXMSBVD+3Zn!3me7uQ1U&->T&`g^sw?Bqe^*U(%Y&9>Ds^B9pDZEV+ zqtz#phJT!%y-f})>K(H2K(c&|LP5wrMmbx1uls8PeE0}FkWc3|Y<&&kt;8%5tfEd{ z!zy_q;=oG^`4VPwMH4GA>r_0jvh14*nIje+*#v=PLBmEt$XgU1h?%oh5HiCEMgba0 zDgk&B4O?H62pL8&GXYIWFxxJGCo#DSnPCK@0IiYpIXE>?Bsw=-6A~Ev0F7iM(_%Df z-6Hnz&fg@pY(VJ&coGx4N|gv1nnbEp36@ZJ03Kq}6f#58v)H{A!km~R3I%9e%Zsf$ z+^)aG6SqZwUvANf?IZD)V%g`Rhs~x=#w*IAbk}f#iwEGl%Qv!K0Du3l+aI`tsZhwn zUWiOy!>Ys#C_Dg968iwn6VsKrj9i@Z2|E4{T5hy}pdNtlUcWf5kU8?XsL(ppWoi;1 zR`V2u(H!b)5@Fs-;RMCTh01q4Vaj7nj--&G0|Bttwx#oVO zifNPWVR3?NA`z&4SPvPkP6U9QVGD5nAlaSM946LnF(l0GP29ttff(g z5sU&f|GE^|B9Ki`O0p?O*cG6WjAWWclU4@Jb*Z%mlpcU5F|n&uiIAa5q)L@w355sX zAtp^BGc-MmePo$Lcqvf*mOBHz%TpVH{Wq9TZN^Ud(ubN@zwR1N@bUnBclk!v3t%o& ztykUtz#UA5LLT-)Wb(?@t4<4v8Bllto+S1GnkS|!aT&Qd*$Ltp+|rE}VDbQbVYB&N-~0v8O+o6WXC@QS1Mnoy1Z{k=J8&LP1NiK!NOj}K zy7y~No%2caeZ^3m&(%p}PH&}!2=YZEKLcBHXeo@DJhO}|kuo#ZWr)`9$ z0F5M-06d9_U8PEdE_#VXI7|ijl5iXk#B8%}*-qglBIba?1MnpI1pNasL=+0pNW3JO z6LTI-_!F{&xh}QFfYJl-Bqny1DiONqC1Ua#RwY^8eQl@+v%y`HE_~4sI-}E&{MAqZwu-69R zVy;4}vO@wYK=Y)3nc5%gvZoRmG;bSbPRs#?0x9WBc_deoYh;1wT$vhM6Hoz~C%vgl z$81I}qY05_0-Ac#OC%e>VXMT8C_Dha>0=!Y-`$0-G<>W(>?80CJp#P=6l5$$HZ`-w z6vi)sKfwVS#O%PTirK`jQYAu$3Cv7DQxe|oJz(p`-_~)T1UhS-9dw1MyFYfQb7gAc zP&HS^`PhXi6ZDyYrX=31F~`=8zpdjwyDyS^Y#9tF-wk>oKK$*vLff!c++B6v^!KJU z;fy$-4!8rTO7#Rn!`9c(-b$VbXEPP#<|JWIJRq9Sc$2G50(zc^czN$9Y=5g4$m0=S z3eZZDQpr-qW0o>G*X!-Fzym~^h%S)c8yk8_;X86MxlT+(+&)9$0nzjpwS0o4nS*z73+Py?D1H^1ZE>#G+u687x|_&Q z18cj9mg(t1E_OZ6Rc0h|9o$GQNo%bCem$(Hi0#`0I5*8hAE0GI5wf?*C3x`>cp$fN z5Ac}@Xqri$h{=ktinqQ`Ev9Cy8^UK@EHFW(Bn2NWKF z&%VqPGDpg_`xMtYn1>Nn#m*x!6WSiK!X#3qN-&(yjR$RCCgGON3ez*&6VL0L@<^ zfh_{=1a<7|S6BS2qV>EXqQ{fV1Dls_L?VHgu{4J!mLg?z<^2vmSZVs-^p#XM~d z`}==D2oJ!MnAlaSM99!2Ql(0;gu(;x5R;~m8JeEO?p;AU7pDj>1yYXxgO(dDk;6cy z5oQHwo|wy2>r$6#M60O)Ur$UTRjR}bC_Dg968iwn6VtP}T%+(n%=rYxKiqbMPJCWU zHqQ+Y+aA!HZD)?YN8a}Mw(RYG%g(5;yU2s-uHk@OJOIBx)^(npWI*h%R$DeJc*GUh zo`4>JC&?!0Q`ub$*VmEjQ5H=)F&LpMKqE;d08e6KSE&*q!w6<3pec!WDPm5{HtUwP zMFgP$&0isbEdn~zs73sd&tu2@3sB_|coxT*zWMH19LF!(K_psuzb6MBQ7Awo;l02E zG56Q7a5?68weSfg08e6KSE&*qLz76AD!~#855PlAnnGr1dKUZ0#VNu|0a`0=v;?CE z;Jbk$>jf~Esn)A5Q|@3Y6!NeaB9qs!Dlr2J55SYeK0x!tbR{m{3Smyn&IARH<8`nK zm-xI~CG^FE9=6Tk?i)99i@Qd*&L_>`B2(uivcmCBc&s~LBG=9PA6+$x4#Qo;0mtV7 z_z917yeT$3Sqjp2>@sThhbp!0p;NetXi5`m5^Q9bAAhWK6XDni=mB_=e1d-b1J2tY z-;qr7Xwu6G*&#wzfJTx^0G`Ceu2LmJ7rjIx9Hs(%Nk*8EuLE%A~X zinLK8Ln%zOeRrbY>TY`?l|%gaQDuX*+@E10Z*{E94T%^c3J<_%*Hj^MYsC=+}CQ22P0_inol?IG~sbXzEEXk!%2mtrBl|>h5jAUJ~F;SK_8~WolyXO%QwB zevRXZdB>+T0&m|xrEK?HJ0tLx>a_e4CKvR;zsY^FDYiX2W0HXk{dg`Rnj{6AgBe3ZRZ2W9+I<}F^Xn^BP zKvPf5wpyi1JiP#!TH9SfsQ}Fr)3dk??#0>P7EznyPoH~F4ae>xDjtCE<``HnfSc&p z9S}!!AURxTu-MuZ#3WLsO32fM#Zyhb=4pEjC>5Z2VtN*r>ZyD0h4#7R(>r_S5#WiP z67wfhoOYiNa0Gtxijzt}1!yEA*CTh`OUn)h)KBmsKGvO*(==@j$3Svb0=91XSVtYc zeapQjpaL|K6{c=~tm~Y|a)QWMn~SHaVooR&NQr!V0(zHy1ojcwb_BM1Hb{K6pko`^ zivtdSCZMS&=F(iHN<7`gOpVP4o3NJzIMcJZ@xXW>W_N&NCi3U!N zoSxy-ZTD?mZ;<(y2nsT^d^dQ4n^UcA`Bjt(y?Ct>Z{*L29-%W(8R=ib6na23=32w{ zYuA0%^`qvQUaQ$`N3>;caBt2i7?5Vm;!FHa14R{$+iWby_^%L=HIh z6i9iXf1lAm7r-~^RS%f6KqKb7O^)8gHS&5p6T3>aIk285V)7bRDaLEsUhk;%fapjY zs!5YOwvZ~zso7wTcXFFxWE^?lX{+tn@COQ zk(U&vX4<|h?}e-Bm@cDf$o~OGg{BE02Hq1#)`Y8}0vcpO^G|1M7f3-n9!d}7+hPq{ zUqgSHw`pF9m3=+@VwuHlgqUJRL(H zpm{>MO79KVS{FV?JrH|DF%!_#6O%}lD)9me55SYeK0x!t^eiqopBoRvtaHOPAsO9h z0VWT?cW2s06MKs|QyYbr^^#;x>?YGHwe2A*G>7_{1oKWc=GwkYnpp-dVY+8F0X+au z;!cp5Hp0V3{am7(<98yL=zD`c?>Fej`6iCv{igbX8?nSiDwBfGrKS{h{-!6-oUuS){X5nc+={(9pLnm_tO$Inj^ zPuyn5^|h=fjU@8>yuoohe z*RU!v0}2nolf*ti^Tc!|E+ZGG-UMOr9-5EkRB@vP1oZ&?{#e(!i<1HAe5Ao6-LhH1 zL$1K~1oQwri8Dbw*<#)CNsYiWzH4|=^H7&8uQ&dDncC^{<(fnqxgI%d*vTM*Q-DU2 zN&ue3#I8~$LWU8{Oh8i-9x@NaY_o1zTSO2F(ERICV2gk|K`_NWKqK*zWKPV*Xku=$ zcYQrs0%{(BCo!?BREdzGNu)}ZU;2|bWAu}{Ri+yC7M0hDcOLsz`zJ)yF4Lb3} zZ83<{2Tj|L_!L;Dze652@y?g?>oQIvD|8sfr|w*dV2R>&iV$}VCs;fH-(9|u^$Y?t z+<`*tRkuGxw3-U=?Mq-)bEvOLJdWdmm~DnF+bOthW4agnH3|>JoKMgjcFyh!#66(! z0DNJ(#Uv;T+TZ`ZB->n^*e3B|wVT2~A||h4RpN}CZ~g-4rc)k~1UC=BljPIQKN}R| z+41{)r2VjmzPgR(C9*=#;~yz*l*sa9yXG^cALqWWZligLtkCoLw{_=AB&?8qFXU_9 zgL>3uls%E!asRd225b30>qG)ZO#vE7@ygBC1XO_LN&nJ*e5@n=od648{V z)FkJIcY6=m{?caMwz5S6p#aUlf=0FoxD&+F=2}*my8B}`&v5EK*>LL8aUAz@AAx-Y zHXH%D*ah^c0F7jLQ%i7BfaZyRAsX3>6C%w7H1)(JQl(0~h{6N#B&iS3JTX0s%MA(- z#2ip4kdoy2szb7wERcQbXb^ayc{tozi(+C-Km}-?oM-Cz=?za8u^Hx)L&j(5H z45x0($2uCpSI42;%Y6j)5!iMF0=iRxMl!sqCAcU+^Q3=W+P|%9pEh(@WYC5$qn)`4 zW{_D|Xszd;x^%p#Kf@>TjlZos&S3U7ISlOqRu90_YohwVoQ1D74L^J+kO$5PUVR?O zNoitNsWu1J^F%bI2{pO7F5L2hc1<-TuUpd~ zB|{i>>g(-HvtiXsWVnT~j=ZGs?#}p^)ES9f&1h5Velj2VnPam1dXCRGk^F6Pi3Z)g z9Zppl;xAArc;ikZcEIvgfY)pP&32FNi5ux8)3EimX^Ch`6KWLlf&P7l&>j$tKXo&? z!rO{JBDF6mv{8Hd)zl53*UBoGg-p)%db=#}0O2Mw;GdbIe3Fv!N8&mhYs2M?L_#yP zcVUfnUMWX)Brx!vIGE{$mR*2mh35YOoB}CWhI|AbkcrGTw&`kEMSClGA`ftx3QZH> zj+Ny?`y6HRg141C5%GA3!UOr~TsA?cxDho4Xe2z@9*CJ&l!A~MQm)+}?zQ%S(gW}$ z8n(VB5i&H1RH+gyq3{4a#N;YuhNfq+^If3_VvZ;jpta&gOE7u>z8fgAUVt0$3ayuy zBy(bqC}skhdZIbh*Cbv*;Q@G(*av8ynC`{JTOrJe*_|LS_-b6@^K!ND7Y%&4Xz#0c z9-i^k-LF1+{SMmyEz(`X0j?f^?_R%nH4B*|PnJUKP`5vr?P6HXQxHaTsIN(ckzL;Y z6n|nO?3REYfG5c(=aH0xu8ssN27l>j`6iCv{igbX8?nSiDwBj=mV zS{h{-!6-oUS4d!sKt4h5zt=HuP#yoP&kd4Tsf!mY#Vv&0c;=e%zxpgB`r*@ww}#F0 zjObAT8p+81kgs8B)<<()YK;M<2jEFe>?&0vWM~qpQYBbI;Q@GvNmIxSP0wN241~i-@WMG?G*T z@FXU7l`0W3j9_L0nv#rMk8IY`D8mRw0h+%;0$T*k1f`B?qw4-xx6wc^>n8D;(vNYG z$2u>Oep=_y5^oKIk=95gqDKX2BqRHSS;Nw%gNf_@U2TBP1Mnm!c9kj-I+(a_5~)%p z7{~EI%vsh)`c!gT|B5(raf*nc0Id~s9Kt3P9)Rx#imYcKw7E>RUUivrq^Z#M&?&g3 zy%3qahE)j`P9(CPhX%#9Km&12Nzfj5RxxAh(NL~6(QTeS_=@_*Ke zB#fE@G!k<;RaMO9!YX8j0n7=Gk985O2jEFe>?&0vWEjBA1T-b#-QEMXzOY#{n?nGl z04@7uO7BX?&0vWEjBA1T-b_ zW{o)*(~ZpFUdY_o1zTLcga&=yRcG~aO_fqewF8-cB!4bpLY(aqP?l<8IaS$wunBuffOBK>z<3~0+zDcnYl$#<06rU8A#>~{8aO#}G83k%1PCZ*0-Ac# zOC%e>VXMT`xiU32?~irfsPEi90?%&*5)MfL8j0>=rY2^y#R!>U0CR%<+q%wR$gp61 z7Cajyv{rydVkS&gG0&O0{oA^8Pu&|#hJxIjB-~PXAT#%fLIGMP-|^lihf{!8p9gYe zkBBrA&@_`g5%ZS2VO3Ve0bxC8Py0vM6`*C3Cn7HV=dd2QFDcBzc8Cx<%KR#3DU)-( z-YyF~K)8tv_-Ce(mlVdBweX1`*Ej!(dzR};B}9n2*2%`E*{x*4O$eh?XaURn*CA zSS3%y8F)z{U&2hTXksO1or(ummiwkcV&RcZ5J(m@Y!rmNMd5*%Ia>uGGmKyqppm2! zfG5$g^)-o*VFWW1(3AwT?E-ibldF&!MlcG{d;rAk?7oTO-Nwu12mG6OpDQ^ zb&Gc>6gN*O9KRE}MBf|qdA~s)m+Ip9oyf-hn=M3oAK4$K zt>K7R3eZSW3BZ$>*j1`T$S{JL31~_(vdi17rBQ|vi~=r%@GlpcU5F|n&uiIAa5q)L@w355sXAtp^BGc-MmePo$Lcqu^JWgmfk1THWF zSikNXPDsWB@ZIGbSucROOtoHh`vZ3{6$*LS3z5ldSe2Log$LkCVjrM+V!9HSk&Ba^ zAdbN;-Dm+O55O0uTTET__y4*~P2$69xASh@BvPeHoRRa*UjW?{q;7g2FcsiS!f`whv(36?JB62sm;(wAz>~NW1ViitG!idK=EPi#Cg!#~ zb6sj}0i_4vNlff2RU&keo0~+cR0)<)cmN(^(iF0bULs;2xr|15DL`9M(hr^BYwQ?K zH$BQtq~~!KCGorrh1w{Qp%kXsLd^XV?|eDGD&r-xLWf~=>NZMb`LU%5m+j@fOo*^2 zGGUN-f5uBw<#>?&0vWSGFr1T-b# z-QEMXzO;Ab%;u0lDM0JqfyBNFjYQ{$YeJSSD@@(}u}_^VQxk`(xiZejE=-xA&jd6j z@n(%VwtjM%spGzPcw!@vo>;znx-Xcm){X4N36W+3 zntIYt#BOfGR*4r;cmSUM3NdkU0#rOPU5U%^UMy1;;L3nXD*>efG!mUFQ)6oaDnRq3 zmuTV)$?X|VUAWBDd1JnF`v~kKu;vK3PYolRT5l&TCYNAQfCe!;u&QDHL$juXqlcKP=MyIkiZrJcY-?h^{db6uRiT)y|Bdd(m#|xA6a2k6K@SG z1Rf31!%3f(GrXvfbRy1tQWvsrdqGMOu2)pP{_kxh)iC?s>BQ^JOED;`vA=o)0MdB zx-c~{yA$LEUyVzAUal7YqJa+=?S1vm!!w?``_)I!?Z92b0j?f^-yiEb&rUKR_BR_X zn-zTeDzH5PJpfPQP7qr(5Z9RNq-L&6VlGD$yI@420F5M-06d9_U8PEd3?rDCfTkqo zDx|8IZPqPoiwHsin!iEe%<{J_7p)Y&HTBJt;sV(G9`W#5`>c`**bgAv^$2 zVq#aR5+OsANR=wV5(*E%Lrj`NW@vgAySXH(D&~kn0a`0=v;?CE;Jbk$>jf~Esn$zV zGc~bC6f*%$Ju!(?sS+=s@BlnX>;p7UOwZzC7Kf^0b|=USz8aVKyj(5(MFStU@10Nk zHM(^^X$}{eIwz48j`w~%=J^ugRmmN?YdFB<0r&}zb#hMdWGP77MmGdg6T8_Ts?@fJ zPT?k^DNU$JFplFv+m}hRlR;Z`6Jc8ddH|lpoglWD?3r8qSjXK(#8QApl1c!c#AI5f zN`x+Yi9|R|1^AMfvrJVn+pJr*Q+SDpIiT)b^8X`Mq$yrhOAZIsAR3KMPLo#?l^+nz|}5I^#7 zxGNj2;eJXatr5K<<|&biHlwcQu1WkO3J<_%*Hj^M)N47_5?^g|r#dFUy$CRR(n}<} zDy_h-}P(Q(W9_vic zMn#8?1aL)waiP5Mcfu@c0HXjc`(#Q#mJxG8q0mI=ED5Lpjl`QZ=EOW_#Ln|rXL>fO zWS3#UcoB4mO;zyAB;9N{b<0xSTkj*VkHC@$B61MuA(1M3BF6D{~oqyzkl0HY@+5uv-kQaRC_7T`eVC@k|=s*D)$qLT~`(s^qM-4p84Eh{rYT}Ym zC_rPsF3DzCNLHA-%Rbg+!xnIu45%!VwDDtIJ9W#F-dpb@u#Z6f2)MVN5pg^KpY1(D z=GeOvPMzD`+;u7w;8+A0J?SM<_~ONDH}R$0By0DY`l1RBI0#G;Xxz!l{zymDIHmchyPh|bPCMF_o`c^_y zBQw24P3MMdZs?Yb4L^<#xlFOWo8q*E&r?q1HVuu?1B?po?c2b6;>bPWYN$X;E;RqI z!7AiF^^A7v)!cY_BAOD{+*XyO$;NG+Cn9d%`w5woJH`iiDL`xG4yA&y355sXn)@#X9Z}B#q?~{Lih;22rw>|S&2xm0fh(PN%9E_ z%-bUp(!FT%g;eZ$w6|S&2xm0fh(PN!$s7A@%_p ziFeUtPRzw0Yv9X%@%>0)G=M0hDcBZ-U~!Twlxo`L2Q z?>wQrD2JT9%wwICNS@kaCh(dY46{Ze?(UJ0h6mug%Qv!~L11Ru{+~4-A|i}MfUz%u zRg;KO`z_{a5zQ2!^(C8dJb zvjG*7NZ?O!fVNmn&qghTPvDCH<3f2k@$#9_j{>yrM=-IkLL+e}h*{U7b7g80ZO(|@ zOVfpDdNyhycmiJp7#GSX8%|wXnCsqrAAx-Y=8r%?Qw3-w=7~U6wkDtgG*71OW?su8 zmtZLZjGmZ8jOw+h7kI2g##>F@{lejq=4P&pTIeEyF9M7UWy{C9g{kh%_Yv4fV8I9kv{iscVx9<8 zWorT|K=Y)(o9*A$6~1iZ=Kv36Oalr9Xsra43eZS&u1t-s38(rG!Y!YpuBnFP)mqbFE#Ie{$kip1kNnKB)^t?FeZGn0 zueD1w=;rNks>+ysfkMF>NE)#Nmal^6Rn2LqUd;=aC!#5F&1+Q6nQXlFc_QNV1~&m7 z5dDs}(pOX}NSgv)-4zT~biBk;_cDCSiG*fo?@BN#P#woV@SZrBf4L9PtkC>FfK!0B zSWM4G^}^?gJitu>+G3fNi1bP;i`B zK8Mgwy;>on=HS%|jp}HVO`);rUc8D=E@F--6ri=@MoTby0KOY2vR(k};f+GUtBQ{B zE&`06XbxV@Yf;NZG*E!%iRoTkycNQnnB58Tg0IH$gUf2sFB|x9+3wfwJ@A&Yb}yHS ze}9>7-8CGLiwEE*{8^;9P22y)cOshI!>FYoCK02STGjCL65$>a&;#%!?gX*LJ&utp zXE_>&Y$2jlfVNmn&qghTkKl^{<6@bWi1ZpzcmSTnoggu7gyVnpxltl3bn{|`m>*Ec z@xS`~fRe3CCGnc8YjXzgh!_gcNci5=12Ioq!xQ&^2{{o>&qgf-F^L$p(5Q}{m56jP za&e0AQh?TqdmITy55RW=Mb-;2ZT~Mc_UQ<^2rznL5;3aRqLzzjpa9Jiw`XsKFeee* z3G#yP+&%*P2&_H=?ivp0)&ubSW1Wmb7bos&-W4$+jtAgL+zD#1qk7Srkt?SkjXUZ< zL|p;eVlh1%wGcjnF9M8()plB6kI7B-lw^0H3X4Av>73K5_r=v>UIQ-NUF^`^1b%#Hd-LImE0)q@$6G zQ-qfSv{u~XNHBT;z8fgAo`KNQ_W!K$5W#_qkhf%4d!b)HuZf9>+sHC;Cn)dWOw7+- z<$>1NG4fsv<0WET&Y>PggKU(@Xda^uw|u7b-2!hZeQtHlOJs#k??+>9l*nivqYe+e zC5^hR@31FQJI3FtZLpUAvrZ&o)D)nRm*bI@7aJ(V-&&ToGVg zC@<{Icp+W@uK+FkWJ>Q!#GFtlKx-wTRDedJb7g8`o-<Y0EhMS#(hULx6t zL|%(J?qE|YH8+Wf8xM>JVs?1ap*muTSRa;G{bz`Y1CdeTc|g{ix@ zDS1hNGl__sH*1~2awn+N!Org^u#do+BajeW0UC*UB2ZP#?z$IK2LtK~&=!m7*{Fr^ z0elf)Tr9H^kzVyV&eX)5P$)oaC81P+Mxt|NYGPiHs05gere~uT!Upg~fN`H8xftcM1Vv}o$FnItz8#^I$>?ImFx!kFa32-k0jGpuoSz+q-$2xD+ zcWxhn=Qjcghok_F#5@tGDrU0*37KI4bAtWby3Sz8uwZ=lZ|mg4K^cn-1DGPfxJ=Ua z-_{}FH+_(slXOL_2XJnh$38&I_Y=W}DCnAJXbxDQy*d+nZ)%GbTa&wX7KR(ycFOBnj6Zrx|qd*G1>B@UW z9CI>`FL9fqAf&;MJ1>&sSIQatJXJgOYHrLd5za=zZB$E|Y~1EqBI5Ok&n!J4I?{zp z=Z0%;=$5>RL)OW*uT1t_+3^V{lH@PtN&}R=O%5yS9lSk|D6df{2-(L-w>gNU(6`Uj zv{SF<1&!StG#jmXjjB15jn_U;M7*%FczIbM79QCIfn-6$MnTA16ds6~FP;iQW*EUJ zKwB)@saGq6kKl^{<6<${6yinj3ecJ+lmI-5&JEXu1jareyMxke$ ztC-_3Y9XrT;MEF^>gZXCNEhA;VNT2ug#xs#<;B(=Zr5Kj%VE2oH|Z(yma<7#n|Bf} zGj(PQk;6_XJOJNazLE6;OxynpjeRX#O-I7s@&J5cy2aGRY5RY-#c16ehfzz-O(I4uwW{IgCBi)f`P2B&FZ6=kbXQSpJ*uf-X)V$FQW>zB7&&V=~ z@KS)bqNE=>!PnR^oNjuQn@G>&EK1^u8w#~iB10)mvxS)ZCEikUepSXxWQ7jH=+teL z$ns-L6E54!dzlbnPh`R%^;~}5(=Mny??kRKvI;WJ=0dKjn9YS%$P5#h6C9u|7Spp) z3*i&^BEYy%UfQ3|72+lE3edWDAhEAPBhk6xnvi9S&XuW2!#N{%-|2IH)%0xCLfizt z2rw>`PcAcc3$xyvUt|Q*MS9&kT{HsW)S7DwEpHw$Rb_huDnRq3zni__t=IFb;1Ya` z0HY`UL?&)-UZ4V(t`aN?&^$4Th|3KM55yc$C_rl^pj3cHqH|?xY)wD~XrA;EO`M$P zR>LJY7Xe03dWmGe#`jv(ap5vkSC{1Oy^p{?0?S9heQGdI1gc7ZW&|S&2xm z5rqffNy<|v_8p(l2&8X5p=9?|J0p<(l-GFYu^@D;=7~U6_DMhmXrA;pk+XbTm(WiU zVDzM)$i(g53sm4XeymGh@>OiJsY^G7jL#*cM(eD(7Vbm#UF*hgU9 z5pZuk%@cvDl8f1Z3JE6gCpbV`ET(6p7Q!d+MSyXk?BCW+7(g>uMlA$L;EMp`Lb=Sh zb&tqeffU?L^4*{ZWFz^g56l_3yUJhpXI$o}re~vi5%Waez)itxRL#vwM0(-hO?x0; z3OvF~0a{5?Dp{&{-ebEh&J(s9aauh~WLmTHd(BAXZbqBsiGR90#Cak--$e4a$-VYp z5y!8MlhHij5~JV_F^$+4Fetcgm85p+)!bltBAOD{+*XyO$;NG+Cn9d2q40ocdMjN% zLDI~@JNcH?u~bpNQRTg&^HWabIt&dAt+D?5^{}EMZdE*hbJINZ0a_*$q5L+v%zG;F z0LLQ0m`R?<`gvtZfXEXOH(FV|yfTnG#%zM572yqP3c?2z9)REfEK)|Hi+nqw&^2}I zG<)5jj<6{KJpfOlbHgafh)(bFg|1UK5=?JG(XI&SjCK0>|;y7Lht8j_W%T+>OJm_KD4DRTrlb7lm-8!E% zhl@;|lgJ9kJK?eJoQd4XO{eqJK*ttvd>(+G@K`731W%TNv|WZ~_b_T6+f77M;#%IS zo|%^j_mhAgfG5c}+2eNU9tR(>2c>AjpOAw`s0z>)i#ZOX=Hb0WBHR?9EtXk{NUs5f z2jEHE2@=x^_;tSC_5+`D$LL2M(7Z$j+~=CcKT_N%k+}zOYM10pIq6)v#J6>BBK@?^ zp(S2(Lyq;iNK`8V8^jn;5~-ierd5gEXU!UOQxHC4zQ`GR+Z zbe5aBfL@9KqbJ84{11t|7Ioagrc`Qf5)n6Z8=|V1oe66HF4D31vlca#0*s!RZPln=i&`$AfdVv7+@7!C>48MZpAC*bea)yWQh6$(ujl`QZ=EOW_ z>h{OFb4^|3tDnroj{6AgBe3NNH%{WXv8YsCTClhbknm@-Bx)b>*qBw5pnw}ZUQ_Yn%+vMbHg<^ zbW6sj^XNU~IBa`2Y~IfLloPp4L*w)Sqe6T8Ht?P}a!VjrM+Lb-N7QS)4C zxrhcufYB3^h*7;3wOm941!$hQJ!2g-9!Lb88?JT2y3qn0JOJOFX&X)KEn*LE6neIq zia8FWmV#;yUai!shM$)R_mF@dfG2S$NK6~yVWWO7(am|j6S+j@llr`$fG5c&==eWqMOYP} zk$4wP=ER&u6WZX!{ok}2R!z@FEwnX>7`4!-j-Hi>bm6TK=ENLPC_ww`jW_5Q==6C? z;)&br*d8~Yxx`z_W{07ANliy*6Wt>Dj1-)+Q0778=#jvl5XmMwUs0 zmjbkOCj{zS$o^P&o>8W0`w^df=Lu({94tX+3U4Vnzb@k>vO^CfcI+)=4MR_J0XE5zUEa)I5lr$ohFrOoZJM&;#%!?gWWx1^j;J z!_#B^T8zICD-MCt*czJ{yY;&vN8fjRsk9b+dN=v0xCfBr2nXSmdCn;eo7z* z1P>$qMC^C}#(9RCt`b@)K=Z^TA})M!@j%Q0g#syuKjAnXlFej+c(bM>li;EN&68fD ziIel(YPbaFBEaYg5(&L*y1(7l`JW9W?ovz$;KJ8oDTvutjaq0_MJISGK=Z`y z`39aINQ8hw;kvoIk?UE9WHbCoCQRMu@JoP_xQUjWr|}7HE)0EaViGYbLN1kr01D7N zLC>A%OL6gLjX5y~6belUJUL*Wg~VrZ6jKl4<@37MO+D-yB!YoAW{!349S?Tqv79*6B~5x0<^9g~KDwk8{=e2r|2NWE~|iMSyXkZ24G6SHV}D zu6+H!F!R0nJ_7p))QmuYvjQ{{zWwxotqG_A&6ED-w*I$uUJlIl6Pfs#RWVv_*!bJJ zV*&vc1!yGRtTD&d1XO_LNpHjw?a|96Aw&^i^rV+a=PEgPZ{r0ja2tPHcg!Mtn;fow z2UtA--!yON-z|V^D0BH*)9}NGLhe(~Xs4&zv_z84X4Smh1CtTyCcJrIEe&$$VCTc@I-$e4)+9euv?-g;($-sSqLctqN z8nFYGuY%`Q&1t7z%?p<&qA79BYgEmdY`pe)BI5N1Hvt|H{f@TMS5zuUn*v_l6%18$ zyu?!XGJML3gl1^(N-!!=9mnszB91v2o937Mz?=b^{|8VC&=!m7*{ELlJdp>uDL`8+ zvl5YBX=U;9%0PaqpmW2uPNorc1!yEZ*&c|QSCoQ~8S?5?$n0|n?bNFkB5Dp^t48%!%2ZATRi896z|M7X7k;4;SeEw&_goy8Q>?zsKCNOuhf zOfWiatB>4or|DIU3!tuZQ+$xjv_w(ZXA%`Mj z=6IP8Ii1lq{#T!8>}=amiPzjVeI|4h0Cpc?Zhx$6hhch=?`mFK_lh`jaf%3}Khtru0+2$YY(CNI$J}XcHdm&Y4L3OWvOCKGGh^HA)Y}Zq{(y zNQ=bPiTl4cn@}@78#N1%m@$bMHET47n3afhG;(o@@KPY<@h?FY?l=-G9)Rx#imYcK z^tAmyYdl17;3DKL+0|a?*UxKWBI4$)5az_3pJ;FL@MmLw_9_o`&K)C9(CPhX%#9Km&12Nzfw!blxAh(NL~6(QTeS_= z@_(j@$X84Wqox3j#9YW#6|=dp3YlR5bAt0c)|sA-iVhtK;EDj_LV00t#tZQRcm-(L zeWKo#h&iE9fcDQ{0Xt-3T|no`)Wkez#Ln|rXL>ej=Lovh0Hp{pE|YY#>C~k+ubQ|l z${p&NfF?zN(UV>x z*@r}4i#qOLQz|t#iHI8yj0a+NCx}h1CBozZ_-yQi%(0hf;N)_rIwruq2rzomOJs$q zySFKMNq{qnh?_TSoxyS@==gPAshgeuP)8vC%7>cSp4Z3-9KsD&WrCXmG!pYfpsJYN zbuXq42GkXxEf&+WQ48S%_#(i#SY{<6z3OwEsfjtEP@wDB-E}0YOD5I@bgoQI%nK6j zbTX%EdNyhyVgO$R7#GW|M5I?UVXBHbp->N|ODz}Bpa?K}(o3XphwinT${lPjvzr?jpK}dricU~mNuaqM@?+V7RPSsAmnj0%igtJj_8�J z{hDmt=2;@*^@wHb0nw2zTsk*gb3?b}O&qdLwtZ!?=gN*xIFTfumy`x5dz&0q)H`^4 zAW>eUP!O_@k#2JkNrCEpmpPht>eal6v73WtqcyKl4aaxeCL6DPo``s1W%2T|KrB46 z2?EK2hK+)dwC4QGm8sv{SEE2p_>00mj8*vMI!i;1!@XO(+3)5}g~a z2?>mSfJSm%0=AZ;iMd6-^-}2B<|^hmj9Q4QIe4`~qdIz4BGQGoLYNbCM45Fc`r#9PXToZX#O?VSo*qa9GeH#M_G39!+-L!EO}CK00+8r9LW5|J)OmPv${0<`~) zdqZuPCpH2PPb}X(-R=lr=WutA1TPQ3cb9Kuy#Ukp|3YJ*j-ZPGqbDX2qk1iBxrhb| z&^&Q_9=SN#38EPCK<+#>P@e()dH}vK-D2wEwEe%^Vzh3K!>Fa^CK02STGjCL65$>a z&;#%!&UD}M5r}yMJZ#X%rMlSovF`nvQ|Elre3{2OCy^EAcfw=cITLyHnH+<=dyL$# zI%~K;AiRA?a0<{Ci|N^@d3Z082sZ_2i)B_K(rZBB0eF&pf?o0eFWWhU$c_Sh#2$z_ zkJf36DmzTv|4q|j)%0xCysekW26;_OM7&0pNraaIv=t@&&CivZ(7`DELvd)rRk!mRh^n~y-cdnG60 z<~{EnE*gQ*B;Ev@CLoRiG!nkq^?LX;Dd~ ztArK`&^$3Yh|3KM55yc$C_rl^pj3cH;>{X!Y)wD~XrA;EO`M$PR>LJY7Xe03dWmGe z#`jv(ap5vkSC{1Oy^p{?0t-gqy|i_n8diBWSdeL_>8!0UAwUseTr4K9Lc9cC0ot6$ zy5mou_owE8c$q1xBEZe~=kMIE>CV_o`E9?1i;RDecu{;5kh5_uUWG%o^-o|w5Zs@I~9+xW5W?(`{i zU7EZ1J_7p)%o>6A<4d&N>e=8hD^c6X>~%)9-mRWc76HbEvh}xhZMs`c-TlJhk>+Nu zj9Ta-fiD7#3uVJ^>w>2Gh^hi9xI^I&`8^;T3I7OCLC8MdU3K2{_oi=KplPRG%?q3- z!r3TzjjB15jn_U;M7;QS(;mp(<0HHjpp_)0lBJ68Y{^ya&hC6CmxQy&tqwS2MDAv^ zsm?2F@M*?ehpT&0`wWE#MAKX8@(Ge=4&KS*xMQiJKA8WY>nSI49fro7)>!}jdRS2rw<;dM zxoIBy04)=WP=1?S<~@~ofMXG0%p^}_{k*ayK;(&t8?7u}UKz+8V>Ut3itq-tf5YAz zwAivFX<~7+vhL(73OZj+Yq)}<+-4=MN`XJ%p%cdeuOsJLMnuI`Ulm2K=dz=WS?2YF%NU|i10Y)#JQOj2JdsX&)m(w za6jP@aZcWN3c?2z9)K@?%oDOi(wcvxRyJs7__AGvT0SGhxV2s_ypdGv>W!Sx*aPrn zx;9)BlF`f7;mmVEI@YsETri?gfOoQ)k&RnPAHi1v!IN#35E(U~@BloSyFe1!2si#$ zpBo*O4D)`|(Ka9KYx(CR7dY_5=iCJn*HS)kAOaQOk(n>Y)RpkEb9mwYFDVzI8QHj% zBu0pFE1lZtRYGKlnTu0ID+O|f|AUr$9Eloq9)QmiMfNMOZ2zxx_UQ<^3J7{;gc#TB z(aJ@1P=M!|JF=b^rY0H61&Y7gc7sm*x%7_b^>c@958>0cE7yfLymU|7E?w9ENba7) z0a`o&zdzQ=EaX`3YI*cn_pXQuQ4heAl?!z9C=oB4`$WfAQ|VJ?6Ml>lt^&N1&5Uf^ zO8N-C3J9KTtAxm?0fh(P$%+N~mIssIj<0J5KD{ovyIP%rk5Y{&6yTBF)PSSqIV`ln zh5NthHSL<+!?=~cMu>4Mo!aPCLS%^M$GSn8dmIT-55VUu9oetIvi-l(*{37uDj?{Y z5n^1gM=KZ6K>?m;?#MHziL*c-IgdBMMYzPD%ZsGGdeXzT3hsY#EBBF?7}oiwIb3Dw z93dAt-UW|!=M!?%4X;yl&*6aM^8oyU$2xhAShoL5%7til595|eTp^d&wNMB*OF$36 zla&kfr9TVYP7Qc8qb}NzW59*z?D0`$houvuQ6yTAW2TXM(?4I`$$}pgQg!4SsnURf)0UZnA zs(|2$_P$#sL`F4V2$37*|F9(CghGLWgDJ_ip4PQ8HHohgdY;ERGqQ1e^|lCDI0AqD zz@KL6_Pk4XGqrS(+TU!Rrh4za{R}*J=h?xwSN=yo;wRo>i+>Oz^Ab^b0KV9Ege-B{ zZdUf2U;<)QK+rQI#JFCMRxY4}0zA*$k>8=E2a=(DHt2qt49TuEk0?94SHKlo zX}gdPXjcUUJu^a#>-A{m0y-$b^UNLDTQ%k+gIT4ndrV&HoqH8C@cUPxau-?7!0#WJ zOF#v9Waf!LT?xDAy@WChs2|}xH$`S-<6=O^0=Oz5c%q&8v9A9k1QC@`D8PGs1ZHGn zUqIK&)FixS#;*HVchRLwXMU`kS-P0rlw@nRSN9p%XW-&9P~3WA#8?CH#oi-iiKA^b zWw*O!)1^#6!zv)?*+|F*e)2h3NuCIBMu;@yiSahpp#ojT^p{UbS(Zmu~l(g~Y3f|J|jR zWNH4lE98J{odP+}^xt>%p9|o-^e_VEEYOTKyiLybuK8wU3}9DQ*Hh zAe!Dvr)$GCH+4_OjvvCOnkP|(lP{zaFS7OB#>K+@f81%tGb0M)oVP zZ2zxx_UQ<^3J7{;gc#TB(aJ@1P=M!|J2GF0Jdg~<0zG%%$VbJ^7SPKB@cUz(%tDUE z{N_Qe^OP%aAOSr9Pv$HTud_sVd{r~>hVL3))jG6_rE`|QU#5O~`f^P&&D^g#=dhz7 zf>VHZvYC;MTS*_mR{_D3ZIuuiHK6bSJej*d2*feKBje4~0|{5NNw~*_`~RrVoMT2d zZl$*oV%$onHhPs18Di!%iD;z&FWn1)`WE(vH|WF*x5XsV7_@A^;Sb+s#yga06K^Rc ze_6&6a)ANE{L-CM2wtZMb9aw~hzH>F(>JnTKwxIs{$F&SA|irSKyYk1|@iQ{G05{(;@j`sBBq!LM|}={FlKS2|4}PZupZj&hs>O zxA8n77Z`c|*SfO{Nf$T_r2II4*}l-oChac7OvtSJC$lX!YVbCNBsntzCQ$(%nYoaw z%h3c>falrxQS&U1bqV8C0YT5kLhNt(R<3bWnijnLDz#YRpN7fI@*BzWo5Z+pC*_5Bs(5)vcKb{_txlw|X`>oMoQO zj$_=)5D9!05IoT~eyqFe|MYpQrF%MYdZfEqE8|v%NZ_l0;EA^7V;xC-I8pB2eV>7S z23F2MKtBa|Waf!LU5+N80zA*gyV?G0UFF9neh%#=q7LvWmCK;d$?Xs0ZM??$a2Uv+!-#@xzCL zZL!8TBOBMvSPD@|TyuLhlIw)g$!BXzhfB46%|hN? zLww|Cj!P{^L*BP5q68?I$aBkBt9 z$at|mkT9Q73PKjhXRksLUqk4iUagW*HF&j3r#9NCsdP5OORMUWi-aQz1$e!=*%E>t zfX@>}_A7uryi=&OYN8`rR{=rKRD)OZdbDy89TebsW`>t0-WkH2gxv-5l3$GD2bYUw zzi#5gb%($7=z+JCOOJA${7)MXdoL%YdkzO^@c{gSe-51 zy;}HnLU@D(^Z-1WSs)Vs_$U$gIA*S#CYvNGFoIElce0t0jax|{!B+vnlWmm{88x8r z06bZ_Kwth!B3t47zxv!t$@#~5fBuwH88=?JZvJ0=PO1H>V~NkXZ6rnv^T1^V5} z9<5wN2L*VZxg+18r3aFsSfIN{FZIs7iWxZDkBqP4Xy1~^#HVQS)F6i#P(f0d6maHPsmu-IlRQ@++?P+2??}+^siHl z7*PQp*-Z^NYR_RIGfZ4xxc_JU=BsA+FmBO5F=K=nx9GHnSS3UTnz=Ydv{Hc6Z(#0m zBx=xk06tF?*)KroW&3~8d5Yk~RVaIMQ+uJmysm{pq}j}A;w;bwzjph>L#h32J4Tth z&UixVtyf15vpqKwGOJ^@!5x26#?1n6DP!*9o+so2gFemX+(^i*j@bs!^Ox<}9A{~; z3u&Fkzi91nsrGMLNW!csz#}ska&;waF04Wp7{DCiJdbr|WaDB$#{#%2Ab6s^w|C@~ zd;z=yyyBZFy(^J$LZJY!dtgjJ1$bn-R;DK5H8Xae$2v2zaYbE$0ZbJTJk8SWrc0OZ zAG&y&;=T7ZX5hXH-}95;H3r|uJZ%O7?}U|qBN&m}1MtP(BV>uA-7LK;8?-Y4U8;bf zXCooSH$`5LHtt|0)w&xY(u^m@0|}e&TC&t`B%*-_;ETBvvc!?lz~ahAZA?J(Dj?|D zNXP}2?#W8>M1V6wq?xyB*<`s3R2yLD_Ziq{;4(Atz-bdu0UnuoB2ZVt?s+ev3%F#vyqU>9lF1QW(cqdOE0A^OD9~gR0S**QuE^YP|lX5NU-oi%%~L z#G*y9Kp@%Bu~QK84uuC2=8LC-kOf9C3h+)g9n`B;(ns)BK=5QUYAX36cm;S}7is{W zOxK2MLIUF$;E~a+(8@)=14B?$2%t<(+ zP=L3!z2ADk?Z!*ybl7g>O-4$*rED_P=7WTdmoCal>Fyp0NACgn{Pd0NS76!xU+L`A z5p)$0^vnn`uGgcLi|C*L&og)AnTu1oK*Rq*%gq)rt_R@r>lf!0vczTkf7x$>F~?!t zT1O+qxV2s_{5l~#LIQdKo~&G;`@iQSknje0*kFun4RM~|L>8<6?Y1k|JuO!D`Wl|+ zH<9JX{Y9F(yT{D^YIzQO!$yz_@J==}vT-ZvBls#Hc(Sb$BBKTr9)Kq+7AXBrE>jUv z1$bmLSI#1v^fI_`|2Ms+T{E(AD}9X+<5oJg(W`{W5HqJqL@Nb&4}jhF8Q5oF+Zn*l z;qD#@Iy?ZMpT3d(3M||IE1i8hg02FBo*5y=^?I~&5gioZdFGBhb8)f@#5p**n=K&Z z0r<*tODM->`+weJwr-BYxV7#^h;eJZTKIKBc!UJ>06dwqKzDou65aq08;o(SAvS)j z`*O*pbG~W5&SRY;Zq*0T98hKZA-nNh%%&daUv$>F~D`9hC6|%qt<_HIP zCz~1BxRvw?d=(Hp(LUHeovY+a;1%HIcOY@>!XwkQ;Totf05?*6TXK%2dy?T!M6*`L ztt3z2tAOB%_Oor5?y+CG6IJisH=hB#PBCph>OSDfGZ4DOn_%%2-)A;Yy6SQ~0TtkR zHr~z7@>rKJP8AUJY%FBq=H?};aOqt_L;;>>Mu;@IMd5*j0}2Iry#q|&b1q4qvqpOlHfmeXH=CN-0)8~EZWCl;eO_%QBG_8B@>&-y=^XrYhk9^7u zq`&5KJbNq%SvvDXpf0ybKm~Z7jW?0~v99t|a*Mh1K;|@{P#|ac(`O~QMiH2PLFi|I+t$aU+emrd_k!|4(?0%ZqNgYk^N{4%o(_U zm%ZullL@YO&B(^}@|Hqaje^&ynOh}9M&ZAk_CW3{U(iYcUd>XfMVk2j7p0~?7is*O zh1_37{4X!E4l9zrT_NS$1|2NV++AFOTC+q>1{|rq&m1{F;T_gsF+4b8P&6J)BVy zw<;c>ao0VM0bXI0q5d|xqV-bZ84as|U}2?@%j+scfGCAXGdi>Q^eRB^7>fmxUWB)( zDF`1>cmTfmF;B=6No)R%TG^nT;mdXvYWa*1Dq8jNJcMP zhcnLw=~&Mualwc}0p7`GMmBCGeFR?x1W&eALS)o{!UOPR?gB|@Bi#63eQtDAGR*r; zN85a`ujQYQT;RYHpK}*TTub@Dfe2K9M`pepQ&+;v&f$gozocAq376xpx9vi-l(*{37uDj?{Y5n^1gM=KZ6K>?m; z?#Oyxn3`lL7byN}+YLJL=h8c#*UufcJ%mr&u3Q)1@X|ePyL4UuBe{DH2WasC{Qg)c zvyfxCtL4#S-Mba}EnX#)bR;xYLYhb`RrLIvXLz zt#oRmR|%0Jnjh;1W$tk#L_GkXuXJR;0?YRQN@t&rpsRqOXGVx|y&kPxL zoF>i!1HN*+@vSeqN6@?#W83 zbvHt!8BdG{5-u0$?pw}N8)WCd-Wk|mr}*`*&5W`~iqlz|&`bdynR&oeSHkXjFQE(r z>PI-wW1Sh2|}VJ5B1|d!K=Q23F0$#?J<;G!~r#m?|LXnb}s2>-A{m0y-$b z^UNLj9a?%I8Ompa;ZL8-2=$2Q*o>Dj?{Y5n^1gM=KZ5K>?m; z?#SM%F((zM`oS~)RnM%-b*OMfcg=>#>YAq z2bCwZElkl3Q+aK$)&#`=>Wis^<+|(qTP$-ZS`RWIHw|xfo z8Q69P%3DtwKSF~C;4A07gmN5ht0}wP%^S7V1DaO>LC;1)F7T7j!AkN(fHOj*8BdG{ z5_T7eLvAD@jqi;mk0`Shr)M* z9!RgBqEHx89>Uf?UhJK1zlujZvMg}k7d0=$#WsPXcZLZsCJg$Mo3iLMRT(7I+f z{28r9m-2CG!%MfD5VGx!+JunW9K;oUYPpv4zgyn)vMt}PkOMw?3gkS~f8Wu6E`aaS z!w8tOKr`0xHaXk7=9`g?>-H*zTwd2gA=2!pxC!upXnHH1t_|1R)IAwHeh8mxvLyC- zNuw>=yk;SHX=;X^5me}J-zMG@N6izih6?1AM(h6(tU}qQozX$Pnwzf_qLR4g_G%=J z8n<^TM4IvY9zBpd#%HurfY;kSN(EsP3J<_{T^s{E&q!>&CUOnsi^hCf)arFMI?rz+%a8qwG&Ng@kas9N zknpl|IHIuvypzq0Y}`uv2)+sko@}dx$fyB@2jIzy1seVjS`kqNcx2v1lQ{_&*@QN@ zaQ`>Grd>0#aVvd|5aU)lwb84D$PnHc!kmO73I%xoxbX)49)rG3OT2KK9ox&sE0=gn z+3fH(9Vl$ObiW4Dbbsp;+A*HmFnFWt^=M;k1DZ<>{BO&4e_}V5bF~-2SZ2!-?%~vCuQ~|-U1$K=P z@?na)E|t12-EFEWR23N@C zbuARa%@WW9@MP`+N$3LjH`&eTkp3`Kwk$m%7Z`v3E5(h3oPKOK{7D(-c^bRhc%G08 zj6DBq-9|!AKems&C7qt<qaW<$li3myCC&& zHd`bRM|gq<)3q`+37gzau4E`MfmsRYO2*6N0Y`tf;nF1@aff{d_8Hi81~z^+I3AnX zfz_233B^i4SI?R@UDH_dMHC)@Cre|1=b4+^+lHBw3;~4#Im4emE6FvAz|2)hU5+N8 z0zA)Lp>OfAE}x9#&BVaDGt#_t!%sd#*1dc^Gw@;dH4d-mSpK+_e)zQ9q%vDHTjwYZ2JkQ2^-TrG`@ne%r+Vo{KTbp1enSF&ms%vFx98W+6 zc%F@xF0n#trjOvJCMgn%m4L3Ejf4~vI31OI5rqffxBj(`$0R7;CWi?){uNK?X@mlWRLFU+<=$h#GN7OJT?i~P({ zk8c)vyF$v>+9jHF?-g;($&CMiLcv=Gny~{;Uj?-KNN*3thtvb2BYns;ZF>zvSSy97 zqy;rf_e}qNhqN9L{bTyiXhmylr4VWH30sW^M1Q8O^npqR=~KaXOE-W@f1$Aoh23`^ zdu*1v^X%2fgs8YeMlEKX*iCQaH(@40A6_9ObW?j*LQsL~7|DA@9CHYE-A~5AoB>+@ zXHW{{U}g9SJfIMTZEiEvw2S`MN+Hi^vJ|>5pgGPg7y9QYqYJIAl|rP&XA~aD&A6@& z*Rre;bp?22yx1N{n9m&rAqym}`J+G|4=6nVPo`+G~<8pzr`ZSsVjA z&&=@B#5+Tnld!u$Uh<1^i9eSYOMlhGhpUc$@xj9zUb?4?&)#@|{zr2691dve0r>p- z#b>jSCGuh^^bOkf2eVyFyQKtSR6~1BGR*Aq_RGD6LbzE1dH|lRT%gBCiI{^Caz<&H zO}dRS!c~ArmTCZ=%;auzB}0J`%t}C4vYF4DRV|$gj9?Vt`DaMrh(NhOU;Zi!Z&028 zSDzb*xX=*qFO;{EcH@=n=Ks}aMd;U0C*B%1)cL?;MW6yavYGv%Jcp&*7|nI54+fMT zfG0D#n_S6Ipb^sKO0tB)1Mn~-Q^*3%$P%BqI7PHlAZPd=BfHrWHJDHu5mtcbnYm2$ zP1=-3^jZpN>zNVK*V*1eA_B_HJK@c-W4&SjR)Y#+y&wd*2|VjGgqdmY!Xw9s4BoCOEmybW|TI$ zlA*u|W+k92nRh8-PQq4o&)On_P=M#3A%Pi$@Fwn=`t;L;`jq>QCr z<+08aGM04?FY(qendzKDhSw=ZjHm#Q?4|}B?aVnWWQK|B{{9~j^8h@V$=&2ih71$e zjgTf+l5rjnBwVN-=wr!!{VzJrB}rZB98oC1=`XV8IM8nPdKA${0p8dmyXG?0H&JP( zCUNe%68aIcf)m*bkB+lbO@RU7&IDGkSk`D3c{VeMmW_nW>X>ct%p1dOv&%Qwg|yD` zU$l0(RQoqABw^MR;E|cjsk#z27giw)3}B8hJk~|99)Kq^xtm|G7Or(3HUtYZP30=yMVm+l{A0;T_suVn`A_tz=DmZd6n2Hpvae7M92g1#mov>;z(#oQzfJdflWoi;O^C@J30gM7XvRDJ~ zWF~i$D;Ww5U{(UUl6kAfoP@3Fp0z~)p#X2i(hWcPNcSCI(+nK=g$}Pt@vc>7;P7+a ziu_y8h&CR8&rkWleg#H*T^hC*vjeLuSvccLsOYe}*+|#i4lOzLVZhWle8@IImcCGk zG~dvBA&M}W^h59G*RkZC2LYhk4j^On15SI&w9(t6N;_FoWJfLB;4M4IqF zhxI_dc-FPyT9Gy*Ldcx;Rjg8B=X$+ek$8e|g-o7frkQ~4e09uQ40;nKA2HDoTV z5W;Gf0;NlIv{NrB#B0-x)AeVmcMwL9L}iEXzhVy`G`V6$T3Fww2of)*9ElU zBk(}Jo!7MOHKex|3n4g*GF{UywM52=mlX0P%xFayYq1zqTHwrb-&9B}S`-Tek_{a@ z1tITHcpza`s~}{75sU&nvQz``WSX|UCK(EhU{(UUl3}%708eJL3Rz$TqX18CtK~x- z#x6WET^p_m35;WaM>eChnoWB5c#l${X9G$Pz>}HWO|E1pP&KsIBw0e?0eF~^DP)0W zc!_&w2y+sSC=}prZSS`paJ%smFWh$HZ9G!qEoI|DHySQ%ymbAK zn3aI8WHYB@`ZjhZ&hd7HCG6_{?b%(Mkc{17Np(2KE`)b_Q_%y613$4iCWRr*CAx z0_HN+w`$uTcz~r)(P1w{M%T0}IRgq0z>~!>!1K%uB~4~7PIiGf2lsTd1%x~RUs-Mm z<>>GKZAy*sX*VA{uNxsvuH>2dy!j_UEszu z$`#_aJc&%aE>kHs5;7%WSuDh|UE-auZ zq*0T98hKZA-nNh%%&daUbM?wCVRK;>vcLr92nTpDb3s>E!X|f3HlNUeOHTb&BZ~>D{IFGZ4DOn_$-kFbeR<%vPo@ zM-xy1o@e8|Zg{NI&DYc(ABfC5WBfeMYk}sn00DKp& zvvfT64*Lx3GqA-Be33z%r-s>0&Fn4}wF$feJeb*m)s?Wx-Q-G!0uz{(fUab>HQ;Em z2luQk5(ou&{x%#rB2X^S@TbpZgnH-&$kZg8na^yTyqe%Bz=P>pnVN)6?j~0<6qvxQ z1au|)s+R6SH0}8MW+46f>(jg|#tfvt=B=m;a1`K?nXOD+jwYZ2JkQ2^-TqitxgyT& z#Q{^RK+f=|&q{KQA~0PmQ{!j?D!}t>v~-CTQZsY$R98|Y6e|H;JsSxrCU80``63Dr zz;FFnch9#cJn|0v4D2(o=?rZ3Y;YKk%Iv`EN`vX`YdSg%Vaf!5C7>%A@Ae*Wbn9R1 zc+maVx|ucYXUSVN<~Y9buXVkq7nBO*;56X7K@TWK_M0BW z6?U%I+ZBl?2v^8N`yv!VL5+xayycH5*I6OtenlU5>?ZP;O|383`0WZQ-zN8w&QGki z2QtM6+$<=#^G-AN0|E-#q+iMGjsH6oo$~p6r!q3#iXk92ontg}D1ET3I zYUKh+HwW+eV&??fD1H^1?Q*Wy+u6KRzAI#^gN@xr%Z&UW7rPPXIxB?S1UFMp(m6JM zzaGx0i0#`0H14|RF~BQ~G8Aupk<55N~+WeHg#Y0bY;>l>KoNOdL7GqDo-5sJbH zX>uhQKF^&8{a8kD&lZIlnd1rQ0eCW98?Fh-=w)+7vT*5G&z2?bA{+&HWT^(=$xQAh zS27eB!K?&yCDRX>sY%$X?pa$z5DM`8GbC_Cz+Ip$e);Og|LXJd2b7KQKC8dM>euqm zM=mh6iMNIeB(9}=;6MZ_z#}ueg1Qo3b`Jade?STkz>}HWO|E1p&~!>!1K(EEKP1ucp%|&f#R>W-JlbHE=A2-!^5@*^l96bqu-IYJ-#hRd)jg^8ms@2 z+&zZ_w0Ho1f2_-%on%7n-)!`3QSirCf#V720eG@^PiAsAxssv42xcXqE17pGVot(Vb40xpCN%G0_6gI$)bC8pMiY_Hk^To zu@vBu&Fl~5IV|1ASl-nl9%=xd%;auzB}0KmNRunc5(*E%!;DNJ3p683eCFa5(Mkbc zZ*I1Ppa1E6FD%W2kzKU+Y{UV_E0$5}#62nKlwK zC1GLgFBi7Ec(Ywd;}pN}-*7i}xsc$K^pSiz zK@(^Ncw{r1+Gr<~9u5X@M;IRKB3KW=lbPI2u4E`MfLRIXN@lh)bvgRps%Ex?07?N~ z@y(Q8RVAEID9F@-%SaA0cafzV9_u28@Blnn(^{FvlA*wW`69@tZK;c1WV%+ShG4q2 zs=+!Iz$w6MUOMTt<30oX3~V|B8$TNyk8S2M8qjbhpsQzQTWxYBpI(4WtsgF+RDkE1 z8CjZ`9avonm(K>npFWon>Jib)1Ms=Vzq20c8m@b|hnKxZ4QNpi+03Tq?}XA-F`#;c{jn~a44D?p&zfh0$4Bm%fC}))F0gdh zeXJ{{E#NR00Gg4#s{#15?PFc!s~-m5ZJ&XC2DY7n^462akI>)&_+pG&;Q0jAp^8t0qX7I8%%o1@+TU3-_y^mw~M4!G7Skn>FceMkSf0KQ8PBVf(~&6x8x zIeHV!!;op-E09N55VUuZL>+dM|@H{g`V|9GAD7Pw8`y9C<@ikUXx_rM~%6D zEF-f}&=Y2OW)si@@MP`+NoXTHY&6a_h8cbnxyINVjQO;|7}pwN_)TQ1@xRDG-Z|`E zin9G-**P3BfC4lf>D6yUzY+$1d0V3{tsFa zaRqo}GfInW(#xQ~{|B`406dw=-Q-G!0*#O+SCSSyWymErYcDd(p!jXFbK0kdU`xP*kslHX) z{=fq)g^CV)Au_tAUC9|xcmSR(jsc!$W+-Vgb8#vcX!su^yV(MgdH{ZZtjq4=WJ0p9 zGBM34uBA zWF~i$D;WxmU{(UUlFeL?tZM00U<9K8&%Z7OjtIC5gissl?(+MAx*9%ODH@54>K}_EYOTB@tM;kqLl)?bT0(zTiE_scb-|MW%~_(1kRJr zW;s}bJ}JEOl{^?e$NkWW?BT@z%en_X=O){pQwUzC2y@Tjgop>=^V2u7UqE1n2TI3 z(Ek4KiDb^rZG=y|$MKI9SBTLy?MkYd&zpY&992-o6~YY?&;#&f?gB~Z0{Az4q~pYg zvAd1u3Aw`yO1Tb zl(+el+_`4`O$!N_Sp|4x)hjng6Ho!3XX8uz@K{&9(U24g#Y#X|&&EQsuZ|Z4Bws|~ z0eJd16p4!?pyHVsPnww95OpOSP$B6>47ry6@058-I zuWAPN*D1cL<;un0@t#OfAE}x9#&BVaDGt#_t!%sd#*1dc^Gw@;dH4d-mSpK+_e)zQc&uN@$`0&$ID$Y5%pZcxQ!7+Vo{KTbp1enSF&mdj6$LtdP>b!6)*K zf354+$i4$lruKlS2jJ-qQDb1v!nfYruc7>F0lS?$|Q}2nRS^fbtt>Dc&&Da5_uL4?q z^xtgv(tmIxeaJLzdu^Ezm9(HnDWB=T?~vL9qVXyioa@+(5*IhIoIWyp^;YuUt3(uRbe6zkWLL*07<@2OcW|72uK0 z><{HREZxRvu1kF|p!5JdnaSPcN`?ZBkS14>B@`ZjhZ&hd7HCG6_{_yAqLl(U!~YoB z&6cRagwlwx0zA*mWvXw|rZl40Qb1eJjF2W*@&yzgfG3M%fajSRS(@CS@Ib=l0!5xe ziSD@1z&-<8&VYLk2Pp6W{Qg+S-=Yi1glzj*hcU6iy4Tm4>yf+M{Xu9EQB{CPmTCZ= z%;auzB}0J`%t}C4GVfBvoP@3Fp0z~;p#aZ6Ljp$x%mO81AG7cV)%~&VY?J(O!KF+5 zNf}GM%43};WGw3(UgE7`GSfMQ46jp+7*PQp*-Z^N+L?1$$P5$L%ez|8p$6c|OztLE zGGv&zZiFt7LPE>00S6yWsF2Ie@>ZuWW<(MAE@*dn{;GSxR} zQ_7i^LO()Qa8G+7GPp!DDIwambMx4%yDwX9X4Gw@DW`A3!! z%{>60pYnnI3XJx;#9I!vG&``mk|m&63FzwCNJ!(BEcx^TWNI8fSiznMa7KtU;z(#oQzfJdflWoi;O^C@J30gM7XvRDJ~ zWF~i$D;Ww5U{(UUl6kAfoP@3Fp0z~)p#X2i(hWcPNcSCI(+nK=g$}Pt@vc>7;P7+a ziu_y8h&CR8&rkWleg#H*T^hC*vjeLuSvccLsOYe}*+|#i4lOzLVZhWle8@IImcCGk zG~dvBA&M}W^h59G*RkZC2LYhk4j^On15SI&w9(t6N;_FoWJfLB;4M4IqF zhxI_dc-FPyT9Gy*Ldcx;Rjg8B=X$+ek$8e|g-o!&sOt)*eWfk0=y`9AlJE>*#fVT|gT? z0uSWdc}?41Lwakm5Q4KP(>3i)(QZ^oRqv68FOV|HM?w-R5$Ls<4{Pd0N zSHR!@+x7<@U@25|*b9--HSJ2yfWiatWN{4eJTpT{lbMTCxj@7JLCeh+kkkY4`SpwQ z3Rxn578UviZAy*sX}6RhjB04FNrsuvTXscno{@wRJOEEtF3|no^I=GM13YXn#ui5Lg4{;n2{-Dfo5ch&zvR^trXxr0CwAFV4s0)X8`A~dk!b)@Bn;%`bPFE zU@lXAtG4}t2UrRf9ri+GbWOXGGobJQJXstAJkQKf(q!i1WEY5Ya8EZ|K*$5|mF1RD zj{g4Nrql?ZcJsmWx)IXkN}id|n|}i25~OZMW-iQI@CJC;V2o=GvGHTw zmrE|4^G);9Rp!+ZaseF+9_!92WO$vTcMiKh)bXAn$L*ZM;|bwSF@jToN0w>;p3LNK zawS8Ko{)$JO95@kIFAPswyJwJD?A|*4k$bTPgX9_g9IW91$bnhNaiG5W)uF1+`wFy z`d~om0eCW#yUCRdIeJ2ju4z}2B@`ZjhZ&hd=I9BL_{?QAqLl)?3tIZMll+`JhLhf< zTp@1DlgPyDGL>Q@AyX2T#X>CGCEoc;{-TU0 zxA%afAM71Dvn3=@3h?qfkT`bXk?GoS4b=Y*a3jUHCAyN*$IPdY1tu^@c!CEzuGQ_a zCY*##?j~0<6qvxQ1au|4tpP`Ww(ZhAe&JDfcy%*?*D0n~r+OFMg)Z?X*ins40Unvz z%GBj(0xH1sY_!*f;jvCPUsID53B^i4SI|5a#+-z=UAl*U(7kwdGm!rL)k)q3^9-cF=Br2- z;3>c((+iNPaWnxH;CVK_Obs_h`Y>Q>k|Lp43FzwCScu)+rlXQCqVNFx){k|=pFRhS ztpJbAESS0+O+W>Bo{i=t4Oa%UVCqVWgkmM2t7ju2um7>`o^MaQ1KqiO2KE`a>vlmnm^ct6L<6rCgm3u*{ zKn~6Yz8my_Vr2a5x`L2nynmOy>F-k?!!5}Hb-+D9U8*M#nzp@${?F7Wkcsw1D1?F< z5$|~4A5pHeLdgA!KJM5}uJOEYLCW zEoutF2NWKFFMiAuvP9CFf1}nnFwc?dN}Ok6CG;Z{g%Q%^N-}(&I}iG?jNqOv3Ntdt z6VLBeR0Hs2CU=u7848SGRsy<`=?BczBy3gp ztSur41$h1$5;!6-UZ9vowyJLYuRgarEFS0mrlV~>*w^yUM=o&SiMNIeB(9}=;6MZ_ zz#}ueg1QnOpTokH=81hXb^D0Dgb0%buNNLhPU7 z_iRz{$5(;l3FrZMvSNWA$^$HgiVk}rGP`Nuj}2;E;hd<*Z z9p^pYjxo+V>3KpXJFE-Nf2DYKA!(h`xn4;=DdT3>__fXzGM04?FYzfgm1!d(QxX=& z{&Hcvi#OYaG*0o$B{$<1EpJi6}e(UtCj#EOB&cPkgndM%DWcNf1!11a$Rm zBxK^2!)qt`s`t4ZK3Kt?2ykWqX=ZLi)RnNaK>wWcWWQd>?JKvBKo7tdGb?0?BcXvM zQKL7wbWhtZ-Ce(Q<&5vlS3U##>lDB81**$?q!8_42`v=hkI zU_Ag&W^y;VlA*u=W+k928O@Xr;Jf!$HM1oIPzvyhZ>IFBD&d4eL8cB|Msk?Bi!9yn zSQjyb2jIz?*2*-N3Y3SAn_S7K7a&vXhYKhb;CW_7mL`*Xaq(}9=!)_XcnW+sQ}M2H+SpOb+T|?{+;{UXW;i=yTDyvWd?r#z<~r*fJZiSJ#x=` z>6u|b{RsPGT{amqEtsD*&jyc=+%W+a;E`Qm>GsFEb1hxWzH64{+Hs$OeFnCf0q4h{ zu8SDk1MrpeUP3vJu84_mfhjz*7YAfm3FzwCNJ!&1ZIVwP2272^2P@bU0nP}KW;`(- zNZ4H<4!MztkO$z4nH93ckl-x{ZIW8)lmS5`-6@6@ucK>(n zT`tq(?Fu>ITBktHGyV4+{pSMsE zx~5&q@sYOIdn!F3I?{$}bn(CzN+Hss?IndaYwpKx;RcX*D(kcgrQODo%b36yyNozT z)fLi`c;+RANxr|I&$vR!U7AY!jG#i-1rQVOiKA%3)ldN)3ZwO>Piqe3pdTNB2l9=O zrfsibyuwN$M%T0}w;?#QDlaLF7}012RahxRnzX&7FiU%nx`IR!3J<{3F^mD8XQVZM z6zH4Wq4YrF5yeVCSI>-)CRg$W6dr&li(`Q2nHgD{;Pc#hAYok_t_jKLW(x>;06t%7 zn@!?9;*;7b^sFb6If)ykO>RFzQK*LYnk4f+YRvUx8JUHGo-o5Rn}8mGCvz7_LL1>> zqj9b=%CWx)eAfP%O~!f6$7EE5IY0QCeh^UIzXBKcJNd;K@wx zCRZ{PXoNJmk}RR{06fgd6tX}wvczXDqY zEmCG?$9)F2p8-96xh4W;u1DoL?CFjm72uJj5)yOZ$xQAhS27eB!K?&yC7Zb(S=G|1 zzz9YGo_}2m91(CA2%$Izcw{q5tJ$P?kLC3hfl$INbKuEL?j~0<6ljDrxsoiQ@Blo_ z$P}_bGqS{IPLqgM3h>gs5U6iq`(xc%X8Dem?Kk`pI7_-B$)RAn#5-Tchpc|F>fvIU z^TdJAxtuBLhk{v21iHVc*D1o>b2uU50r>p%jqDc?nBf5w`c`fGLqxBofVN``?5c+L zn&jg=9!S_K?Aff~zMUCf;x{NfkZ`#`!?S^#EufbN;48~5AwfBz{r%q)$z0ae$X)8@ z60&UeLS%GJyOL+-^X8ubxt#ipBsB8?Jej*d61o8X4Ik+^@nP)t7j{dY+4qE;c?hJN z|4MNqA*UbP4S!O`d7j4ZHl8Qs0wd4=TDOsq(~s>V??I>MIXS~Fq;(4aq_xAP+P`Tb z0kft6kF0v-=4b*c!1HW;X&)Zzs<#}HBB59b=<3;6NcPq7f`H_UC_Dg9|9m2GaRgL6 zGvi4Ua~qPa4N^%Vmvhy!pVo8+x4K7{ScIm?R{1M=V+Tm5rz}>gmv($B! zhwBdi>PElgJ+XL-m*be()Z8t*n1KZ52nTpDXD@!&YeCrLZgSDzu>@`qaiHbWejCXX#`)r9snLna0BqrcCfx0=kl!1yh%! zKihEW5|4OY_-gj+>rTTy`XAE_Z2W9+oZ4Y8zPC>a(juW)3FzvX*;boe$rn+00G=$3 z0iI`OWND(Cuc=8mpim%Z_|s=4xkeG#^z|sAi2^*&T%mTshLs!=Bve)cx_V}WG`W&5 zqVNDbSsDX8&&v2OKja2Td` zdM~zj*=CEGc84KMnc%MkbS2YW%+xr#@nhZar_Wn0-4h=q!5dt1|Np0+{=9i z_8HiA1_FjtfJZjHsUPk4EP=ME4K&b$a?EFiYSQ4ey z=Qva2fwNCU0?`EM;Mw>y)OI5z`63Drz;_$}TE}A&6mOHmgdPy}06e`RY7ER-_||)y z96k|z_IV&5N|U?EwHnwjh44esQjnWV{(~Fe!Gw`tcCGTp;OXI@fxe{b5?+!aFd-@`X6n3uH+ZBl?2v^8N z`yv#(;RdS+F>w=o-m)u%gl=l@!a0_`QjY2va^gL42-6EKbAV@!*8drt0y#Jh`3O9q z5QS}SGt{(;{?~pju|UVX5j6#PWW3lO zNSMzk1tAM0t@)!s9}g%!08gfA+iQ}cKqI8dm1GHp2jF2wtB?hnktNP|g&s&aqELX> zo0}~m=mGdVQDnaYyw51~tvr#;Nj#!h3FzvXYG|)XzJS65@MLie@H{iaOB3%5VNSyC z0(r?V#wGq-UM&4p6CbWR`o#wiZ+PjREldHRLYByjrO-EM z+aJt!G3}NTgi#IcHOVlu%iAya77F2J3FrZMvT}hQA0=WAM#veZWj5(H#t2sd9$BgZ zcruf_$(0NRMldS@UCCxXZ&tN*Dlmdkfajkffg=Lt0)6?bEWAN={$G7=AmTzpyuVQ1 zO4^NAuABc?pB15BKb?4M*ih#Kj}?Im@W^KNhw>bjZeujpr9K!?dH|lx~!>!1K%uFHLSxcp%|&fg(?#M0eb0V4s04XTUv&0~B}wet)dvZ_x#0 zLbiRZ!i$@Fwn=`t;L;`jq>QCr<+08aGM04?FY(qendzKDhSw=Z zjHm#Q?4|}B?aVnWWQK|B{1and+OgDdkK{p&ubDxTn1k8C}z^Bnv1!08bXj z0B>xOT{Dz4nK@0|1sW$mqxXl0GFjr&r{pinctS2P;9)lBMnYzF%r>Cc+ZGnCv2>o0 zLfPrW(`<^3gv{!gZSc$+!)&w5H`s-=&hcNgcDPjgH!UP#))e58naioV5;hlBAqxy( zjxapdMX(-#Co{R5T***i0J9R%m5g_L4>cs~W6h0h|K76-$@y zAEf7wuWbhQ*D1cX6+7w;yc1Ubk!3_P55VWAd|+Z2`E+qx_UMe z(zqo{KD_{$8ix;7uqOhX5hBfaVmy$r`36HsMmG`>@&J4>vqF|Q5*k<>HPW>*HAxUq ztORuRY$T+Z!0D*uGYh6Jho7uqPXstKlr-~JZI~=-;VzK$+;N|QeFnCgfd?Ka0Ttkp znXOD+3A^XLgfa}ME5IX*H2_a$ayPk>p}+uUC7>%AZ(trs*sAVXTLcga@K!tB1Xcx{V*} zzQ`)(V2-f=T9-|RObg~`#j}BQ%U3N86qRPd z)Ri`CmTv#G?%YfF35%g1Hzx_V6duURy`WHlSJ?O7+vM;O;Iq#IIkFdIS_$Y{SSiH3 zQ}A4T_HbUUKPlpH(l{N;XIH<_<(Djf{+Hk$zCLv z9{S)jmEWQ60nzjlE=}8BL*~K?A*^O8P`X4%JN1%6yh_bzEkuAQgh(rXA)yBpj&$MD zwc(m{^6@tMd?U-RLNk4$-2NC=-J78Gv(-EyP043oQh1jZW@{IcrBMJo}#~9_)I(pq-7tn@}zytYqUemVMkltD>gy1a7bWOX|5*a66QplGuqZM7O z#bQutfiuf}Qz5ZvQ7jNhHgxP1guFxHfrMGDf{+D9FbeRjF3|9Q&~mc{B=rD%e*NOSLYBy%MTNdWn^Gfu+ASprqZ-<4l40iamR-@CXCz?+ z55SX^3v~bYd>9hm01q3CajhYS-$XVV|F_$&T=%rmab07W;Wv@3#{MD$nJq-fnf;+W zhdt2|qyjv$R0Hs2CU=u7848SGRsy<`&Fu14wR9>lf>D6yUzY+$1d0V3{>R7>aRqo} zGfInW(#xQ^F7?rX(gW~hCU=u7845H)np{biPGp9*JD+PEDfZg^P z*k@q-8F0_x1RWlL&rjdTeg({Bs&Cb{Kkxucp`ycHh>WgjS8@gv9)Kr{V}R$G8A_VW zT%6nm;+}4{fRG2^_s6>IdwH3VZ6E8pJHE2^Ltnl)Tz-hFjj-`!-Iq%)o%2oebsp;+ zAs1NS1&?*-6f(R{k4?)N|rDe9h-%xM^|N0ux#slzVCU=u78FKW5 z7+uq@Bugkf01q=Vh0M_tBJr8iB%+l9ybD_TwUhjuJBE|qrCcFy%ah2&>oS#MBOy}~ zmc>FW+a=!lO8%mZC*%SHhS{atNXY5OmKI#LPakDL1|uXa=yI7RM#yCbIY-uiI!BUo z!XwkQ;Totf05?*6TcRr|eaw6cSzrQlgeQ0~T`NH1 zU!jlcTA3Qh6Ho!3XCtAB6;iX~g4vp+NGMhUx|a5CW^qO`i@(*Ed=Z5Q;Ja|0rQ@-8 z*k@p$fh}g>iwxpCHOy{mW{at)P2d&a!ORY({`9$wP>-4Gk(tkIoV=RgD8Pg1TA7-JP3|UFG8CA=tORr=`>K}i zK{W07`eq>g`RmiXE5;0@zviu|3vd+Rk(sSbU5+N80zA*gd)>rio$Sw&BB59b=<3;6 zNZX@=nh! zOh+YOMBxGWtsm>|`SyfI-eI4CeFiq2fvuhm4#QEI9avpyFui?EM~5Lync%MkbS2~6 z-UE(q{c9Z$y8l`?v!?wld8@`8$2b19uGjQ}Qh^+t27EW@0maCEGzR7j+`r4-^!KR` z;tu72x(EG)q) zrBsVF@mQt8DzCR2KE5#)Jx(WFAuVY`m~Q=!xBTfgh^`QFzoO5)khvDWoNKUE{Ot-U z-zN8w&QGki2QuFW+$<=#i$F8>0|E-#q+iMGjsH6oo$~p6r!q3#iXk92o zntg}D1ET3IYUKh+HwW+eV&??fD1H^1?Q*Wy+u6KRzAI#^gN@xr%Z&UW7rPPXIxB?S z1UFMp(m6JMzaGx0i0#`0H14|RF~BQ~G8Au$JzJKzi*OX+ zk);}dCo{R5T***i1hW#*l}tZirY2#lx@T<>K`6lU&yc_o0e6A2_~ok`|Etf-A5b>J z`>g&3t6$4MAGyHPCf*t@khqrefddh!0FTV<3hGLD**Wa*{{bmH08eIeH@T9bKqI8d zm1GHp2jF2wrjP}iktOb3L9>ffL@NbyhW~?>n=Mg;38fKX1$dsB%T(W_O=(20rGU1c z86i!s!k1W*yJekSel?(+MAx*9%ODH@54>K}_EYOTB z@tKQLL@Nb&y}8*Ef*yd+6GiqbU@lXAt2U)Pz*4B_uoohuYuc5Z0fh(P$>JE`d1i)^ zCNrmrvp|9KcmrI7OZ>UKNb0L6J#4Gs{udWqI_I0_aFwNVgj`^n7d+OTQ^@c-MfV&I zI6e=+FLXy%NegO{Y-X3Af2?zbaP9>306bZ_Kwl)_ z&+m-VGFzW)D7Zm{rvQ&E)c`!1$=&2ih8#U15e=3C+LFy&kF09xl%pp^;sJ#R;K|I# zOUR4ipYf57)1Pz47-ydFJRuX!*M{c5Qrt+$+5*Uj2$@ zfG%ub7Rk8}i<)30I zypP#71Bt&xZR_(|S|47E&~-Y)>sh+y{b0+7oPPF)uY%&5)p)m}*Dxu82AulFb~^WT zbm~~{vr+B%gSKk_BtOhl<5|G_nA-8O=J;oO&QC4cBFfK!M8uvP)P~-#7IBDpnuge@ z9Fz=0jDklYU?&f$J5E;`XXw4qm#Hrs3Zu|uFj1TLq!0Z}?qQBc6Enn?L5LOD z`zhzkwlNP^>-<>fi5`?v&*|LL(dxhmWMlO0hC`o^%HKKn-J}R3uYbb~aiv1j5NRBEpIy4G7a-O*Km(tcm{^O>B7V8>6gzyT;6nV znax0|?T;aA^h&FTSE_rV>`+^}h5gUa>|zR@&LD12@kcTPDaxJEFYdKQ_pZO-!@vJq zx7OW!%i-U|tX9rfpqB@drMuC+R}AuS@a>&fqd#?Ya!+uJZzl#dhtX@E28BcP?)KyR zlIyy^m2tigZY@7{)ta+X*HN_A-T#Jz@%F~6b-FBC>obQRapj+(k}M|H;nFZOF;)cQQ5KM7P#y#znQCPJaWDjohobmro@Ep?l#t6dtwS z|8FHn-(Sd&asOra&Z}{c9#nPC_Vwc^I$qcPI}RRh?%i6pEcpUapZ_IE)^|VFT6h0r zIZ^IDdbRF1H*(_K{;Ad^OOEMdU^hlyt0DB?N3NxGNd(`^3|CKCl&b{lt?_YI!^AoRjOh^?~n6pwpYRJZW7yncqu*0)gt1J-bTYQpc zr>noy6;gHA%|mzJvlnjO4YdzH9%?u5Ixn|!Ln7U6M<0tJ?3MpVV0Ls3=$9_zetV;; zwbtqW=GF4yO08K`Giq(-3gPajeahi<)f1Nj!>Q@ljyqkK)iQ-cb=YeMU&?>Q#V4Bc zzV_u6>G8@zOoY-&Xtw%AmR|_u(;*YP;k9*10uNC8+vywy$eOtsQq* zy;fwkd|7mE)EaK>{&CNYEN}hVEu4=TV?UnOt zvv=H@DAS*jebvpaJFHjp@3A&L+2i&3jE3RgHp1W=7t}8-aA#eS+gt2auXd#bK#w5IKq^J?^J*jsKp+HIB7Jh}dy zmAac-cUZ61`3ux_|5^7h_@%kX{FgK_G?y>`vitWxdH)}8y8k5A?mzzByZ>}xma7>% zu10#cM_Xb){QIADeTJbb8M3@w71p*LXCOJ;AZtt2EH71uWL!OJu>phqguDLBmgf^*4e0Bhp_+I5 z2V&8UGEnssCF{GP`thI9_ZY(R5ESZ1`<7Vl_9F~IZSm#Bkb&BIqlq#K4I-yU`v+=B zdHZAIZgQA;Hzb3|y1h`S|Bw`oNc8Xi*Z+p-luZ$|lapNr&u6lUL4q0#97r&7h$^fa`Yuhp@w3-x7;^kWIM=rcet?xlCy7u%eyj z0lVT%O|l2K+fvi0QOuP^%`(Bqy|y>Xs}=0M^U#}S$?fge&+pwhTj$v>D`(k4U_GBz zBHkIqPijoS4c=XhC+oZ*S+x&)2uPta$;J^hv7`V&?esu6(+C3hxsjm3xJaeQx-LAx(=%U{ujmWw>A9qqP2awo zi#C7!sJEY|SPyT{=EHM-!KZQnbSX>vTHwpu!3I}sofMOBW}S>JiCp|)Cy}A}Wq2Hd y?(p0~tEnXvY&r5Ctdr=2q~HT^*%(%4GC=o;lN>kffJ?Q}v6w7ClgVH9R@Z+kaQ`m= literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/download/vga_pll.tan.rpt b/bsp3/Designflow/ppr/download/vga_pll.tan.rpt new file mode 100644 index 0000000..91369f7 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.tan.rpt @@ -0,0 +1,912 @@ +Classic Timing Analyzer report for vga_pll +Thu Oct 29 17:13:27 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' + 7. Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' + 8. tsu + 9. tco + 10. tpd + 11. th + 12. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+----------------------------------------------------------+-----------------------------------------------------+------------------------------------------+------------------------------------------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+----------------------------------------------------------+-----------------------------------------------------+------------------------------------------+------------------------------------------+--------------+ +; Worst-case tsu ; N/A ; None ; 11.030 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; -- ; board_clk ; 0 ; +; Worst-case tco ; N/A ; None ; 9.803 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[7] ; board_clk ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 15.201 ns ; reset ; seven_seg_pin[7] ; -- ; -- ; 0 ; +; Worst-case th ; N/A ; None ; -5.484 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync ; -- ; board_clk ; 0 ; +; Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' ; 29.381 ns ; 27.19 MHz ( period = 36.777 ns ) ; 135.21 MHz ( period = 7.396 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|b ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0 ; +; Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' ; 0.737 ns ; 27.19 MHz ( period = 36.777 ns ) ; N/A ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+----------------------------------------------------------+-----------------------------------------------------+------------------------------------------+------------------------------------------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP1S25F672C6 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; Off ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+ +; vpll:inst1|altpll:altpll_component|_clk0 ; ; PLL output ; 27.19 MHz ; 0.000 ns ; 0.000 ns ; board_clk ; 31 ; 38 ; -1.030 ns ; ; +; board_clk ; ; User Pin ; 33.33 MHz ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 0.0% ; ++----------------------------+-------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' ; ++-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------+----------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+ +; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; ++-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------+----------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+ +; 29.381 ns ; 135.21 MHz ( period = 7.396 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|b ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.604 ns ; 7.223 ns ; +; 29.583 ns ; 139.00 MHz ( period = 7.194 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.586 ns ; 7.003 ns ; +; 29.727 ns ; 141.84 MHz ( period = 7.050 ns ) ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; vga:inst|vga_control:vga_control_unit|b ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.585 ns ; 6.858 ns ; +; 29.816 ns ; 143.66 MHz ( period = 6.961 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.586 ns ; 6.770 ns ; +; 29.853 ns ; 144.43 MHz ( period = 6.924 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.724 ns ; +; 29.878 ns ; 144.95 MHz ( period = 6.899 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.586 ns ; 6.708 ns ; +; 29.887 ns ; 145.14 MHz ( period = 6.890 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.586 ns ; 6.699 ns ; +; 29.952 ns ; 146.52 MHz ( period = 6.825 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.597 ns ; 6.645 ns ; +; 29.955 ns ; 146.58 MHz ( period = 6.822 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.622 ns ; +; 29.998 ns ; 147.51 MHz ( period = 6.779 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.586 ns ; 6.588 ns ; +; 30.056 ns ; 148.79 MHz ( period = 6.721 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.521 ns ; +; 30.072 ns ; 149.14 MHz ( period = 6.705 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.597 ns ; 6.525 ns ; +; 30.078 ns ; 149.28 MHz ( period = 6.699 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.597 ns ; 6.519 ns ; +; 30.183 ns ; 151.65 MHz ( period = 6.594 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.604 ns ; 6.421 ns ; +; 30.225 ns ; 152.63 MHz ( period = 6.552 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.352 ns ; +; 30.262 ns ; 153.49 MHz ( period = 6.515 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.315 ns ; +; 30.309 ns ; 154.61 MHz ( period = 6.468 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.597 ns ; 6.288 ns ; +; 30.339 ns ; 155.33 MHz ( period = 6.438 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.238 ns ; +; 30.342 ns ; 155.40 MHz ( period = 6.435 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.235 ns ; +; 30.342 ns ; 155.40 MHz ( period = 6.435 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.235 ns ; +; 30.342 ns ; 155.40 MHz ( period = 6.435 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.235 ns ; +; 30.444 ns ; 157.90 MHz ( period = 6.333 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.133 ns ; +; 30.444 ns ; 157.90 MHz ( period = 6.333 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.133 ns ; +; 30.444 ns ; 157.90 MHz ( period = 6.333 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.133 ns ; +; 30.491 ns ; 159.08 MHz ( period = 6.286 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.086 ns ; +; 30.529 ns ; 160.05 MHz ( period = 6.248 ns ) ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.585 ns ; 6.056 ns ; +; 30.545 ns ; 160.46 MHz ( period = 6.232 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.032 ns ; +; 30.545 ns ; 160.46 MHz ( period = 6.232 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.032 ns ; +; 30.545 ns ; 160.46 MHz ( period = 6.232 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 6.032 ns ; +; 30.614 ns ; 162.26 MHz ( period = 6.163 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.963 ns ; +; 30.623 ns ; 162.50 MHz ( period = 6.154 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.954 ns ; +; 30.714 ns ; 164.93 MHz ( period = 6.063 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.863 ns ; +; 30.714 ns ; 164.93 MHz ( period = 6.063 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.863 ns ; +; 30.714 ns ; 164.93 MHz ( period = 6.063 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.863 ns ; +; 30.725 ns ; 165.23 MHz ( period = 6.052 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.852 ns ; +; 30.751 ns ; 165.95 MHz ( period = 6.026 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.826 ns ; +; 30.751 ns ; 165.95 MHz ( period = 6.026 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.826 ns ; +; 30.751 ns ; 165.95 MHz ( period = 6.026 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.826 ns ; +; 30.783 ns ; 166.83 MHz ( period = 5.994 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.794 ns ; +; 30.813 ns ; 167.67 MHz ( period = 5.964 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.538 ns ; 5.725 ns ; +; 30.826 ns ; 168.04 MHz ( period = 5.951 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.751 ns ; +; 30.828 ns ; 168.10 MHz ( period = 5.949 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.749 ns ; +; 30.828 ns ; 168.10 MHz ( period = 5.949 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.749 ns ; +; 30.828 ns ; 168.10 MHz ( period = 5.949 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.749 ns ; +; 30.871 ns ; 169.32 MHz ( period = 5.906 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.724 ns ; +; 30.871 ns ; 169.32 MHz ( period = 5.906 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.724 ns ; +; 30.878 ns ; 169.52 MHz ( period = 5.899 ns ) ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_control:vga_control_unit|g ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 5.713 ns ; +; 30.889 ns ; 169.84 MHz ( period = 5.888 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.699 ns ; +; 30.891 ns ; 169.89 MHz ( period = 5.886 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.697 ns ; +; 30.892 ns ; 169.92 MHz ( period = 5.885 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.696 ns ; +; 30.893 ns ; 169.95 MHz ( period = 5.884 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.695 ns ; +; 30.893 ns ; 169.95 MHz ( period = 5.884 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.695 ns ; +; 30.896 ns ; 170.04 MHz ( period = 5.881 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.692 ns ; +; 30.898 ns ; 170.10 MHz ( period = 5.879 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.690 ns ; +; 30.901 ns ; 170.18 MHz ( period = 5.876 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.687 ns ; +; 30.903 ns ; 170.24 MHz ( period = 5.874 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.685 ns ; +; 30.904 ns ; 170.27 MHz ( period = 5.873 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.588 ns ; 5.684 ns ; +; 30.931 ns ; 171.06 MHz ( period = 5.846 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.594 ns ; +; 30.931 ns ; 171.06 MHz ( period = 5.846 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.594 ns ; +; 30.947 ns ; 171.53 MHz ( period = 5.830 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.578 ns ; +; 30.947 ns ; 171.53 MHz ( period = 5.830 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.578 ns ; +; 30.947 ns ; 171.53 MHz ( period = 5.830 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.578 ns ; +; 30.947 ns ; 171.53 MHz ( period = 5.830 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.578 ns ; +; 30.947 ns ; 171.53 MHz ( period = 5.830 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.578 ns ; +; 30.947 ns ; 171.53 MHz ( period = 5.830 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.578 ns ; +; 30.970 ns ; 172.21 MHz ( period = 5.807 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.625 ns ; +; 30.970 ns ; 172.21 MHz ( period = 5.807 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.625 ns ; +; 30.980 ns ; 172.50 MHz ( period = 5.797 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.597 ns ; +; 30.980 ns ; 172.50 MHz ( period = 5.797 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.597 ns ; +; 30.980 ns ; 172.50 MHz ( period = 5.797 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.597 ns ; +; 30.981 ns ; 172.53 MHz ( period = 5.796 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.614 ns ; +; 30.981 ns ; 172.53 MHz ( period = 5.796 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.614 ns ; +; 30.995 ns ; 172.95 MHz ( period = 5.782 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.582 ns ; +; 30.997 ns ; 173.01 MHz ( period = 5.780 ns ) ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vga:inst|vga_control:vga_control_unit|b ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.538 ns ; 5.541 ns ; +; 31.013 ns ; 173.49 MHz ( period = 5.764 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.582 ns ; +; 31.013 ns ; 173.49 MHz ( period = 5.764 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.582 ns ; +; 31.032 ns ; 174.06 MHz ( period = 5.745 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.545 ns ; +; 31.064 ns ; 175.04 MHz ( period = 5.713 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.531 ns ; +; 31.064 ns ; 175.04 MHz ( period = 5.713 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.531 ns ; +; 31.075 ns ; 175.38 MHz ( period = 5.702 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.502 ns ; +; 31.103 ns ; 176.24 MHz ( period = 5.674 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.474 ns ; +; 31.103 ns ; 176.24 MHz ( period = 5.674 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.474 ns ; +; 31.103 ns ; 176.24 MHz ( period = 5.674 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.474 ns ; +; 31.109 ns ; 176.43 MHz ( period = 5.668 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.468 ns ; +; 31.145 ns ; 177.56 MHz ( period = 5.632 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.596 ns ; 5.451 ns ; +; 31.156 ns ; 177.90 MHz ( period = 5.621 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.439 ns ; +; 31.156 ns ; 177.90 MHz ( period = 5.621 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.439 ns ; +; 31.190 ns ; 178.99 MHz ( period = 5.587 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.405 ns ; +; 31.190 ns ; 178.99 MHz ( period = 5.587 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.405 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.194 ns ; 179.12 MHz ( period = 5.583 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.445 ns ; +; 31.224 ns ; 180.08 MHz ( period = 5.553 ns ) ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; vga:inst|vga_control:vga_control_unit|g ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.572 ns ; 5.348 ns ; +; 31.244 ns ; 180.73 MHz ( period = 5.533 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.643 ns ; 5.399 ns ; +; 31.244 ns ; 180.73 MHz ( period = 5.533 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.643 ns ; 5.399 ns ; +; 31.261 ns ; 181.29 MHz ( period = 5.516 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.316 ns ; +; 31.272 ns ; 181.65 MHz ( period = 5.505 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.305 ns ; +; 31.272 ns ; 181.65 MHz ( period = 5.505 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.305 ns ; +; 31.272 ns ; 181.65 MHz ( period = 5.505 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.305 ns ; +; 31.284 ns ; 182.05 MHz ( period = 5.493 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.596 ns ; 5.312 ns ; +; 31.318 ns ; 183.18 MHz ( period = 5.459 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.277 ns ; +; 31.318 ns ; 183.18 MHz ( period = 5.459 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.277 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.333 ns ; 183.69 MHz ( period = 5.444 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.306 ns ; +; 31.339 ns ; 183.89 MHz ( period = 5.438 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.525 ns ; 5.186 ns ; +; 31.339 ns ; 183.89 MHz ( period = 5.438 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.256 ns ; +; 31.339 ns ; 183.89 MHz ( period = 5.438 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.256 ns ; +; 31.366 ns ; 184.81 MHz ( period = 5.411 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.228 ns ; +; 31.366 ns ; 184.81 MHz ( period = 5.411 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.228 ns ; +; 31.366 ns ; 184.81 MHz ( period = 5.411 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.228 ns ; +; 31.383 ns ; 185.39 MHz ( period = 5.394 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.643 ns ; 5.260 ns ; +; 31.383 ns ; 185.39 MHz ( period = 5.394 ns ) ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.643 ns ; 5.260 ns ; +; 31.384 ns ; 185.43 MHz ( period = 5.393 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.193 ns ; +; 31.407 ns ; 186.22 MHz ( period = 5.370 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.188 ns ; +; 31.407 ns ; 186.22 MHz ( period = 5.370 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.595 ns ; 5.188 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.436 ns ; 187.23 MHz ( period = 5.341 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.639 ns ; 5.203 ns ; +; 31.465 ns ; 188.25 MHz ( period = 5.312 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.129 ns ; +; 31.465 ns ; 188.25 MHz ( period = 5.312 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.129 ns ; +; 31.465 ns ; 188.25 MHz ( period = 5.312 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.129 ns ; +; 31.476 ns ; 188.64 MHz ( period = 5.301 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.118 ns ; +; 31.476 ns ; 188.64 MHz ( period = 5.301 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.118 ns ; +; 31.476 ns ; 188.64 MHz ( period = 5.301 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.118 ns ; +; 31.508 ns ; 189.79 MHz ( period = 5.269 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.086 ns ; +; 31.508 ns ; 189.79 MHz ( period = 5.269 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.086 ns ; +; 31.508 ns ; 189.79 MHz ( period = 5.269 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.086 ns ; +; 31.553 ns ; 191.42 MHz ( period = 5.224 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.024 ns ; +; 31.559 ns ; 191.64 MHz ( period = 5.218 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.035 ns ; +; 31.559 ns ; 191.64 MHz ( period = 5.218 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.035 ns ; +; 31.559 ns ; 191.64 MHz ( period = 5.218 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.035 ns ; +; 31.563 ns ; 191.79 MHz ( period = 5.214 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 5.031 ns ; +; 31.564 ns ; 191.83 MHz ( period = 5.213 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.013 ns ; +; 31.564 ns ; 191.83 MHz ( period = 5.213 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.013 ns ; +; 31.564 ns ; 191.83 MHz ( period = 5.213 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.577 ns ; 5.013 ns ; +; 31.651 ns ; 195.08 MHz ( period = 5.126 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.943 ns ; +; 31.651 ns ; 195.08 MHz ( period = 5.126 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.943 ns ; +; 31.651 ns ; 195.08 MHz ( period = 5.126 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.943 ns ; +; 31.662 ns ; 195.50 MHz ( period = 5.115 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.932 ns ; +; 31.673 ns ; 195.92 MHz ( period = 5.104 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.921 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.684 ns ; 196.35 MHz ( period = 5.093 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.907 ns ; +; 31.685 ns ; 196.39 MHz ( period = 5.092 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.909 ns ; +; 31.685 ns ; 196.39 MHz ( period = 5.092 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.909 ns ; +; 31.685 ns ; 196.39 MHz ( period = 5.092 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.909 ns ; +; 31.705 ns ; 197.16 MHz ( period = 5.072 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.889 ns ; +; 31.739 ns ; 198.49 MHz ( period = 5.038 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.642 ns ; 4.903 ns ; +; 31.739 ns ; 198.49 MHz ( period = 5.038 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.642 ns ; 4.903 ns ; +; 31.739 ns ; 198.49 MHz ( period = 5.038 ns ) ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.642 ns ; 4.903 ns ; +; 31.756 ns ; 199.16 MHz ( period = 5.021 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.838 ns ; +; 31.792 ns ; 200.60 MHz ( period = 4.985 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.637 ns ; 4.845 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.796 ns ; 200.76 MHz ( period = 4.981 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.795 ns ; +; 31.799 ns ; 200.88 MHz ( period = 4.978 ns ) ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.538 ns ; 4.739 ns ; +; 31.813 ns ; 201.45 MHz ( period = 4.964 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.781 ns ; +; 31.813 ns ; 201.45 MHz ( period = 4.964 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.781 ns ; +; 31.813 ns ; 201.45 MHz ( period = 4.964 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.594 ns ; 4.781 ns ; +; 31.827 ns ; 202.02 MHz ( period = 4.950 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.764 ns ; +; 31.827 ns ; 202.02 MHz ( period = 4.950 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.764 ns ; +; 31.827 ns ; 202.02 MHz ( period = 4.950 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.764 ns ; +; 31.827 ns ; 202.02 MHz ( period = 4.950 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.764 ns ; +; 31.827 ns ; 202.02 MHz ( period = 4.950 ns ) ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns ; 36.591 ns ; 4.764 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; ++-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------+----------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' ; ++-----------------------------------------+----------------------------------------------------------+----------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+ +; Minimum Slack ; From ; To ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ; ++-----------------------------------------+----------------------------------------------------------+----------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+ +; 0.737 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.661 ns ; +; 0.743 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.667 ns ; +; 0.746 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.670 ns ; +; 0.747 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.671 ns ; +; 0.755 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.679 ns ; +; 0.767 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.691 ns ; +; 0.796 ns ; vga:inst|dly_counter[1] ; vga:inst|dly_counter[1] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.720 ns ; +; 0.798 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.722 ns ; +; 0.798 ns ; vga:inst|dly_counter[1] ; vga:inst|dly_counter[0] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.722 ns ; +; 0.891 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.815 ns ; +; 0.904 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.828 ns ; +; 0.935 ns ; vga:inst|dly_counter[0] ; vga:inst|dly_counter[0] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.859 ns ; +; 0.938 ns ; vga:inst|dly_counter[0] ; vga:inst|dly_counter[1] ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.862 ns ; +; 0.940 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.864 ns ; +; 0.971 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.895 ns ; +; 0.981 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.905 ns ; +; 0.984 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.908 ns ; +; 0.984 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.908 ns ; +; 0.985 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.909 ns ; +; 0.985 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.909 ns ; +; 0.987 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.911 ns ; +; 0.988 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.912 ns ; +; 0.990 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.914 ns ; +; 0.993 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 0.917 ns ; +; 1.095 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.019 ns ; +; 1.095 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.019 ns ; +; 1.096 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.020 ns ; +; 1.096 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.020 ns ; +; 1.103 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.027 ns ; +; 1.103 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.027 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.104 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.028 ns ; +; 1.128 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|b ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.052 ns ; +; 1.143 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.067 ns ; +; 1.155 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.079 ns ; +; 1.174 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.098 ns ; +; 1.181 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.105 ns ; +; 1.196 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.120 ns ; +; 1.282 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.206 ns ; +; 1.350 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|g ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.274 ns ; +; 1.387 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.311 ns ; +; 1.407 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.331 ns ; +; 1.407 ns ; vga:inst|vga_driver:vga_driver_unit|h_sync ; vga:inst|vga_driver:vga_driver_unit|h_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.331 ns ; +; 1.410 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.334 ns ; +; 1.410 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.334 ns ; +; 1.411 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.335 ns ; +; 1.411 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.335 ns ; +; 1.411 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.335 ns ; +; 1.413 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.337 ns ; +; 1.414 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.338 ns ; +; 1.414 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.338 ns ; +; 1.421 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.345 ns ; +; 1.436 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.360 ns ; +; 1.437 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.361 ns ; +; 1.467 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.391 ns ; +; 1.468 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.062 ns ; 1.406 ns ; +; 1.470 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.394 ns ; +; 1.470 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.394 ns ; +; 1.471 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.395 ns ; +; 1.471 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.395 ns ; +; 1.473 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.397 ns ; +; 1.474 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.398 ns ; +; 1.526 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.450 ns ; +; 1.526 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.450 ns ; +; 1.527 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.451 ns ; +; 1.527 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.451 ns ; +; 1.527 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.451 ns ; +; 1.530 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.454 ns ; +; 1.530 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.454 ns ; +; 1.531 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.455 ns ; +; 1.531 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.455 ns ; +; 1.533 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.457 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.534 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.458 ns ; +; 1.535 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.459 ns ; +; 1.535 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.459 ns ; +; 1.553 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.477 ns ; +; 1.555 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.075 ns ; 1.480 ns ; +; 1.556 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.466 ns ; +; 1.558 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.075 ns ; 1.483 ns ; +; 1.568 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.077 ns ; 1.491 ns ; +; 1.583 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.507 ns ; +; 1.586 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.510 ns ; +; 1.586 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.510 ns ; +; 1.589 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.513 ns ; +; 1.591 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.515 ns ; +; 1.591 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.515 ns ; +; 1.593 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.503 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.594 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.518 ns ; +; 1.609 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|g ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.533 ns ; +; 1.632 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.542 ns ; +; 1.633 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.557 ns ; +; 1.634 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.558 ns ; +; 1.652 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.576 ns ; +; 1.653 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.577 ns ; +; 1.658 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.582 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.679 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.603 ns ; +; 1.682 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.606 ns ; +; 1.688 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.612 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.690 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.614 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.694 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.618 ns ; +; 1.705 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.629 ns ; +; 1.718 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.642 ns ; +; 1.756 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.680 ns ; +; 1.756 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.680 ns ; +; 1.756 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.680 ns ; +; 1.756 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.680 ns ; +; 1.756 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.680 ns ; +; 1.760 ns ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.684 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.763 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.687 ns ; +; 1.777 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.687 ns ; +; 1.780 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|g ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.704 ns ; +; 1.803 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.727 ns ; +; 1.804 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.728 ns ; +; 1.807 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.731 ns ; +; 1.813 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.737 ns ; +; 1.837 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.761 ns ; +; 1.843 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.753 ns ; +; 1.856 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|h_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.766 ns ; +; 1.875 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.799 ns ; +; 1.878 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.802 ns ; +; 1.882 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.806 ns ; +; 1.883 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.807 ns ; +; 1.884 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.808 ns ; +; 1.897 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.821 ns ; +; 1.904 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.065 ns ; 1.839 ns ; +; 1.905 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.065 ns ; 1.840 ns ; +; 1.915 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.825 ns ; +; 1.920 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.844 ns ; +; 1.920 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.072 ns ; 1.848 ns ; +; 1.921 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.845 ns ; +; 1.923 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.847 ns ; +; 1.951 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|b ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.063 ns ; 1.888 ns ; +; 1.957 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.881 ns ; +; 1.979 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.903 ns ; +; 1.979 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.903 ns ; +; 1.980 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.904 ns ; +; 1.982 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.906 ns ; +; 1.993 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.917 ns ; +; 1.996 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.920 ns ; +; 2.000 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.924 ns ; +; 2.001 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.925 ns ; +; 2.014 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.938 ns ; +; 2.015 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.939 ns ; +; 2.017 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.941 ns ; +; 2.021 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.072 ns ; 1.949 ns ; +; 2.024 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.948 ns ; +; 2.039 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.963 ns ; +; 2.046 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.072 ns ; 1.974 ns ; +; 2.061 ns ; vga:inst|dly_counter[1] ; vga:inst|vga_driver:vga_driver_unit|h_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.971 ns ; +; 2.067 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.991 ns ; +; 2.068 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 1.992 ns ; +; 2.077 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 2.001 ns ; +; 2.079 ns ; vga:inst|dly_counter[0] ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.090 ns ; 1.989 ns ; +; 2.083 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; vga:inst|vga_control:vga_control_unit|g ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.089 ns ; 1.994 ns ; +; 2.084 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|r ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.063 ns ; 2.021 ns ; +; 2.089 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 2.013 ns ; +; 2.095 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.076 ns ; 2.019 ns ; +; 2.101 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns ; -0.065 ns ; 2.036 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ++-----------------------------------------+----------------------------------------------------------+----------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+ + + ++------------------------------------------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+-------+----------------------------------------------------------+-----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+-------+----------------------------------------------------------+-----------+ +; N/A ; None ; 11.030 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; board_clk ; +; N/A ; None ; 10.981 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; board_clk ; +; N/A ; None ; 10.931 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; board_clk ; +; N/A ; None ; 10.931 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; board_clk ; +; N/A ; None ; 10.436 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; board_clk ; +; N/A ; None ; 10.436 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; board_clk ; +; N/A ; None ; 10.436 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; board_clk ; +; N/A ; None ; 10.241 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; board_clk ; +; N/A ; None ; 10.239 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; board_clk ; +; N/A ; None ; 10.129 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; board_clk ; +; N/A ; None ; 10.077 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; board_clk ; +; N/A ; None ; 9.588 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; board_clk ; +; N/A ; None ; 9.588 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; board_clk ; +; N/A ; None ; 9.588 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; board_clk ; +; N/A ; None ; 9.318 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; board_clk ; +; N/A ; None ; 9.307 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; board_clk ; +; N/A ; None ; 9.200 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; board_clk ; +; N/A ; None ; 9.200 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; board_clk ; +; N/A ; None ; 9.184 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; board_clk ; +; N/A ; None ; 9.184 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; board_clk ; +; N/A ; None ; 9.184 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; board_clk ; +; N/A ; None ; 9.184 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; board_clk ; +; N/A ; None ; 9.184 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; board_clk ; +; N/A ; None ; 9.184 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; board_clk ; +; N/A ; None ; 9.130 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; board_clk ; +; N/A ; None ; 9.130 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; board_clk ; +; N/A ; None ; 8.928 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; board_clk ; +; N/A ; None ; 8.928 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; board_clk ; +; N/A ; None ; 8.901 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; board_clk ; +; N/A ; None ; 8.901 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; board_clk ; +; N/A ; None ; 8.901 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; board_clk ; +; N/A ; None ; 8.901 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; board_clk ; +; N/A ; None ; 8.792 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; board_clk ; +; N/A ; None ; 8.601 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; board_clk ; +; N/A ; None ; 7.061 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; board_clk ; +; N/A ; None ; 6.790 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; board_clk ; +; N/A ; None ; 6.428 ns ; reset ; vga:inst|dly_counter[0] ; board_clk ; +; N/A ; None ; 6.427 ns ; reset ; vga:inst|dly_counter[1] ; board_clk ; +; N/A ; None ; 6.421 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; board_clk ; +; N/A ; None ; 5.595 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_sync ; board_clk ; +; N/A ; None ; 5.594 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync ; board_clk ; ++-------+--------------+------------+-------+----------------------------------------------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+----------------------------------------------------------+----------------------+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+----------------------------------------------------------+----------------------+------------+ +; N/A ; None ; 9.803 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[7] ; board_clk ; +; N/A ; None ; 9.791 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[12] ; board_clk ; +; N/A ; None ; 9.672 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; d_hsync_state[0] ; board_clk ; +; N/A ; None ; 9.664 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[7] ; board_clk ; +; N/A ; None ; 9.652 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[12] ; board_clk ; +; N/A ; None ; 9.652 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; d_column_counter[9] ; board_clk ; +; N/A ; None ; 9.572 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; d_hsync_state[3] ; board_clk ; +; N/A ; None ; 9.541 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; d_hsync_counter[1] ; board_clk ; +; N/A ; None ; 9.278 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; d_vsync_counter[4] ; board_clk ; +; N/A ; None ; 9.201 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; d_set_hsync_counter ; board_clk ; +; N/A ; None ; 9.188 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[10] ; board_clk ; +; N/A ; None ; 9.176 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[1] ; board_clk ; +; N/A ; None ; 9.176 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[11] ; board_clk ; +; N/A ; None ; 9.125 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[2] ; board_clk ; +; N/A ; None ; 9.112 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; d_column_counter[4] ; board_clk ; +; N/A ; None ; 9.093 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[8] ; board_clk ; +; N/A ; None ; 9.049 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[10] ; board_clk ; +; N/A ; None ; 9.037 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[1] ; board_clk ; +; N/A ; None ; 9.037 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[11] ; board_clk ; +; N/A ; None ; 8.986 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[2] ; board_clk ; +; N/A ; None ; 8.954 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[8] ; board_clk ; +; N/A ; None ; 8.930 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; d_vsync_counter[9] ; board_clk ; +; N/A ; None ; 8.840 ns ; vga:inst|dly_counter[0] ; seven_seg_pin[9] ; board_clk ; +; N/A ; None ; 8.701 ns ; vga:inst|dly_counter[1] ; seven_seg_pin[9] ; board_clk ; +; N/A ; None ; 8.626 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; d_vsync_counter[6] ; board_clk ; +; N/A ; None ; 8.589 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; d_vsync_counter[5] ; board_clk ; +; N/A ; None ; 8.572 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; d_hsync_counter[3] ; board_clk ; +; N/A ; None ; 8.459 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; d_vsync_counter[7] ; board_clk ; +; N/A ; None ; 8.446 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; d_line_counter[5] ; board_clk ; +; N/A ; None ; 8.444 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; d_line_counter[3] ; board_clk ; +; N/A ; None ; 8.443 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; d_line_counter[0] ; board_clk ; +; N/A ; None ; 8.441 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; d_line_counter[4] ; board_clk ; +; N/A ; None ; 8.440 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; d_hsync_counter[0] ; board_clk ; +; N/A ; None ; 8.429 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; d_line_counter[1] ; board_clk ; +; N/A ; None ; 8.410 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; d_line_counter[6] ; board_clk ; +; N/A ; None ; 8.406 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; d_column_counter[5] ; board_clk ; +; N/A ; None ; 8.277 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; d_vsync_state[0] ; board_clk ; +; N/A ; None ; 8.185 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; d_vsync_counter[8] ; board_clk ; +; N/A ; None ; 8.170 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; d_vsync_state[6] ; board_clk ; +; N/A ; None ; 8.159 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; d_column_counter[6] ; board_clk ; +; N/A ; None ; 8.127 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; d_vsync_state[5] ; board_clk ; +; N/A ; None ; 8.123 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; d_vsync_state[4] ; board_clk ; +; N/A ; None ; 8.118 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; d_vsync_state[1] ; board_clk ; +; N/A ; None ; 8.082 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; d_hsync_state[5] ; board_clk ; +; N/A ; None ; 8.019 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; d_set_vsync_counter ; board_clk ; +; N/A ; None ; 7.961 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; d_vsync_state[2] ; board_clk ; +; N/A ; None ; 7.918 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; d_vsync_counter[3] ; board_clk ; +; N/A ; None ; 7.918 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; d_hsync_state[6] ; board_clk ; +; N/A ; None ; 7.890 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; d_vsync_counter[1] ; board_clk ; +; N/A ; None ; 7.872 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; d_vsync_counter[0] ; board_clk ; +; N/A ; None ; 7.861 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; d_hsync_counter[5] ; board_clk ; +; N/A ; None ; 7.795 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; d_hsync_state[4] ; board_clk ; +; N/A ; None ; 7.790 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; d_vsync_state[3] ; board_clk ; +; N/A ; None ; 7.784 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; d_line_counter[2] ; board_clk ; +; N/A ; None ; 7.719 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; board_clk ; +; N/A ; None ; 7.646 ns ; vga:inst|vga_control:vga_control_unit|g ; g1_pin ; board_clk ; +; N/A ; None ; 7.614 ns ; vga:inst|vga_control:vga_control_unit|r ; r1_pin ; board_clk ; +; N/A ; None ; 7.605 ns ; vga:inst|vga_control:vga_control_unit|r ; r2_pin ; board_clk ; +; N/A ; None ; 7.561 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; d_vsync_counter[2] ; board_clk ; +; N/A ; None ; 7.446 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; d_hsync_counter[8] ; board_clk ; +; N/A ; None ; 7.440 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; d_line_counter[8] ; board_clk ; +; N/A ; None ; 7.421 ns ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; d_line_counter[7] ; board_clk ; +; N/A ; None ; 7.374 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; d_set_column_counter ; board_clk ; +; N/A ; None ; 7.310 ns ; vga:inst|vga_control:vga_control_unit|b ; b1_pin ; board_clk ; +; N/A ; None ; 7.215 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; d_hsync_counter[7] ; board_clk ; +; N/A ; None ; 7.169 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; d_hsync_counter[9] ; board_clk ; +; N/A ; None ; 7.163 ns ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; d_set_line_counter ; board_clk ; +; N/A ; None ; 7.103 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; d_hsync_state[1] ; board_clk ; +; N/A ; None ; 7.032 ns ; vga:inst|vga_control:vga_control_unit|r ; d_r ; board_clk ; +; N/A ; None ; 6.992 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; d_set_hsync_counter ; board_clk ; +; N/A ; None ; 6.879 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; d_column_counter[0] ; board_clk ; +; N/A ; None ; 6.876 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; d_hsync_counter[2] ; board_clk ; +; N/A ; None ; 6.870 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; d_column_counter[1] ; board_clk ; +; N/A ; None ; 6.859 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; d_column_counter[2] ; board_clk ; +; N/A ; None ; 6.836 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; d_hsync_state[2] ; board_clk ; +; N/A ; None ; 6.836 ns ; vga:inst|vga_driver:vga_driver_unit|v_sync ; vsync_pin ; board_clk ; +; N/A ; None ; 6.804 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; d_hsync_counter[6] ; board_clk ; +; N/A ; None ; 6.803 ns ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; d_hsync_counter[4] ; board_clk ; +; N/A ; None ; 6.730 ns ; vga:inst|vga_driver:vga_driver_unit|h_sync ; hsync_pin ; board_clk ; +; N/A ; None ; 6.673 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; d_column_counter[7] ; board_clk ; +; N/A ; None ; 6.669 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; d_column_counter[8] ; board_clk ; +; N/A ; None ; 6.645 ns ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; d_column_counter[3] ; board_clk ; +; N/A ; None ; 6.558 ns ; vga:inst|vga_driver:vga_driver_unit|h_sync ; d_hsync ; board_clk ; +; N/A ; None ; 6.544 ns ; vga:inst|vga_driver:vga_driver_unit|v_sync ; d_vsync ; board_clk ; +; N/A ; None ; 6.414 ns ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; d_h_enable ; board_clk ; +; N/A ; None ; 6.318 ns ; vga:inst|vga_control:vga_control_unit|r ; r0_pin ; board_clk ; +; N/A ; None ; 5.941 ns ; vga:inst|vga_control:vga_control_unit|g ; g2_pin ; board_clk ; +; N/A ; None ; 5.828 ns ; vga:inst|vga_control:vga_control_unit|g ; g0_pin ; board_clk ; +; N/A ; None ; 5.553 ns ; vga:inst|vga_control:vga_control_unit|b ; b0_pin ; board_clk ; +; N/A ; None ; 5.268 ns ; vga:inst|vga_control:vga_control_unit|g ; d_g ; board_clk ; +; N/A ; None ; 5.069 ns ; vga:inst|vga_control:vga_control_unit|b ; d_b ; board_clk ; +; N/A ; None ; 5.060 ns ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; d_v_enable ; board_clk ; +; N/A ; None ; 3.711 ns ; vpll:inst1|altpll:altpll_component|_clk0 ; d_state_clk ; board_clk ; ++-------+--------------+------------+----------------------------------------------------------+----------------------+------------+ + + ++-------------------------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+-------+-------------------+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+-------+-------------------+ +; N/A ; None ; 15.201 ns ; reset ; seven_seg_pin[7] ; +; N/A ; None ; 15.189 ns ; reset ; seven_seg_pin[12] ; +; N/A ; None ; 14.586 ns ; reset ; seven_seg_pin[10] ; +; N/A ; None ; 14.574 ns ; reset ; seven_seg_pin[1] ; +; N/A ; None ; 14.574 ns ; reset ; seven_seg_pin[11] ; +; N/A ; None ; 14.523 ns ; reset ; seven_seg_pin[2] ; +; N/A ; None ; 14.491 ns ; reset ; seven_seg_pin[8] ; +; N/A ; None ; 14.238 ns ; reset ; seven_seg_pin[9] ; ++-------+-------------------+-----------------+-------+-------------------+ + + ++------------------------------------------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+ +; N/A ; None ; -5.484 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync ; board_clk ; +; N/A ; None ; -5.485 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_sync ; board_clk ; +; N/A ; None ; -6.311 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6 ; board_clk ; +; N/A ; None ; -6.317 ns ; reset ; vga:inst|dly_counter[1] ; board_clk ; +; N/A ; None ; -6.318 ns ; reset ; vga:inst|dly_counter[0] ; board_clk ; +; N/A ; None ; -6.626 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; board_clk ; +; N/A ; None ; -6.629 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; board_clk ; +; N/A ; None ; -6.632 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; board_clk ; +; N/A ; None ; -6.635 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; board_clk ; +; N/A ; None ; -6.637 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; board_clk ; +; N/A ; None ; -6.639 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; board_clk ; +; N/A ; None ; -6.640 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 ; board_clk ; +; N/A ; None ; -6.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 ; board_clk ; +; N/A ; None ; -6.643 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 ; board_clk ; +; N/A ; None ; -6.644 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 ; board_clk ; +; N/A ; None ; -6.680 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6 ; board_clk ; +; N/A ; None ; -6.951 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; board_clk ; +; N/A ; None ; -8.491 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; board_clk ; +; N/A ; None ; -8.682 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; board_clk ; +; N/A ; None ; -8.701 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; board_clk ; +; N/A ; None ; -8.791 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; board_clk ; +; N/A ; None ; -8.791 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; board_clk ; +; N/A ; None ; -8.791 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 ; board_clk ; +; N/A ; None ; -8.791 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 ; board_clk ; +; N/A ; None ; -8.818 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 ; board_clk ; +; N/A ; None ; -8.818 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; board_clk ; +; N/A ; None ; -8.849 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; board_clk ; +; N/A ; None ; -8.849 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2 ; board_clk ; +; N/A ; None ; -8.849 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5 ; board_clk ; +; N/A ; None ; -8.850 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3 ; board_clk ; +; N/A ; None ; -8.957 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; board_clk ; +; N/A ; None ; -8.958 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; board_clk ; +; N/A ; None ; -8.960 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; board_clk ; +; N/A ; None ; -8.963 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; board_clk ; +; N/A ; None ; -8.965 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; board_clk ; +; N/A ; None ; -8.968 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; board_clk ; +; N/A ; None ; -8.968 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; board_clk ; +; N/A ; None ; -8.969 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; board_clk ; +; N/A ; None ; -8.970 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; board_clk ; +; N/A ; None ; -8.972 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; board_clk ; +; N/A ; None ; -9.020 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; board_clk ; +; N/A ; None ; -9.020 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; board_clk ; +; N/A ; None ; -9.074 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; board_clk ; +; N/A ; None ; -9.074 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; board_clk ; +; N/A ; None ; -9.074 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; board_clk ; +; N/A ; None ; -9.074 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; board_clk ; +; N/A ; None ; -9.074 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; board_clk ; +; N/A ; None ; -9.074 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; board_clk ; +; N/A ; None ; -9.090 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; board_clk ; +; N/A ; None ; -9.090 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; board_clk ; +; N/A ; None ; -9.164 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig ; board_clk ; +; N/A ; None ; -9.208 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; board_clk ; +; N/A ; None ; -9.584 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0 ; board_clk ; +; N/A ; None ; -9.584 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2 ; board_clk ; +; N/A ; None ; -9.584 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5 ; board_clk ; +; N/A ; None ; -9.595 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; board_clk ; +; N/A ; None ; -9.595 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4 ; board_clk ; +; N/A ; None ; -9.595 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig ; board_clk ; +; N/A ; None ; -9.598 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3 ; board_clk ; ++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:13:27 2009 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled +Info: Found timing assignments -- calculating delays +Info: Slack time is 29.381 ns for clock "vpll:inst1|altpll:altpll_component|_clk0" between source register "vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9" and destination register "vga:inst|vga_control:vga_control_unit|b" + Info: Fmax is 135.21 MHz (period= 7.396 ns) + Info: + Largest register to register requirement is 36.604 ns + Info: + Setup relationship between source and destination is 36.777 ns + Info: + Latch edge is 35.747 ns + Info: Clock period of Destination clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Destination register is 1 + Info: - Launch edge is -1.030 ns + Info: Clock period of Source clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Source register is 1 + Info: + Largest clock skew is 0.013 ns + Info: + Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.053 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.493 ns) + CELL(0.560 ns) = 2.053 ns; Loc. = LC_X78_Y32_N4; Fanout = 3; REG Node = 'vga:inst|vga_control:vga_control_unit|b' + Info: Total cell delay = 0.560 ns ( 27.28 % ) + Info: Total interconnect delay = 1.493 ns ( 72.72 % ) + Info: - Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.040 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.480 ns) + CELL(0.560 ns) = 2.040 ns; Loc. = LC_X78_Y33_N0; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9' + Info: Total cell delay = 0.560 ns ( 27.45 % ) + Info: Total interconnect delay = 1.480 ns ( 72.55 % ) + Info: - Micro clock to output delay of source is 0.176 ns + Info: - Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 7.223 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X78_Y33_N0; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9' + Info: 2: + IC(2.360 ns) + CELL(0.087 ns) = 2.447 ns; Loc. = LC_X56_Y45_N5; Fanout = 3; COMB Node = 'vga:inst|vga_control:vga_control_unit|r_next_i_o7' + Info: 3: + IC(2.773 ns) + CELL(0.332 ns) = 5.552 ns; Loc. = LC_X76_Y33_N4; Fanout = 1; COMB Node = 'vga:inst|vga_control:vga_control_unit|N_6_i_0_g0_0' + Info: 4: + IC(1.307 ns) + CELL(0.364 ns) = 7.223 ns; Loc. = LC_X78_Y32_N4; Fanout = 3; REG Node = 'vga:inst|vga_control:vga_control_unit|b' + Info: Total cell delay = 0.783 ns ( 10.84 % ) + Info: Total interconnect delay = 6.440 ns ( 89.16 % ) +Info: No valid register-to-register data paths exist for clock "board_clk" +Info: Minimum slack time is 737 ps for clock "vpll:inst1|altpll:altpll_component|_clk0" between source register "vga:inst|vga_driver:vga_driver_unit|vsync_counter_9" and destination register "vga:inst|vga_driver:vga_driver_unit|vsync_counter_9" + Info: + Shortest register to register delay is 0.661 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_counter_9' + Info: 2: + IC(0.426 ns) + CELL(0.235 ns) = 0.661 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_counter_9' + Info: Total cell delay = 0.235 ns ( 35.55 % ) + Info: Total interconnect delay = 0.426 ns ( 64.45 % ) + Info: - Smallest register to register requirement is -0.076 ns + Info: + Hold relationship between source and destination is 0.000 ns + Info: + Latch edge is -1.030 ns + Info: Clock period of Destination clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Destination register is 1 + Info: Multicycle Hold factor for Destination register is 1 + Info: - Launch edge is -1.030 ns + Info: Clock period of Source clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with offset of -1.030 ns and duty cycle of 50 + Info: Multicycle Setup factor for Source register is 1 + Info: Multicycle Hold factor for Source register is 1 + Info: + Smallest clock skew is 0.000 ns + Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.054 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.494 ns) + CELL(0.560 ns) = 2.054 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_counter_9' + Info: Total cell delay = 0.560 ns ( 27.26 % ) + Info: Total interconnect delay = 1.494 ns ( 72.74 % ) + Info: - Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.054 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.494 ns) + CELL(0.560 ns) = 2.054 ns; Loc. = LC_X35_Y33_N9; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_counter_9' + Info: Total cell delay = 0.560 ns ( 27.26 % ) + Info: Total interconnect delay = 1.494 ns ( 72.74 % ) + Info: - Micro clock to output delay of source is 0.176 ns + Info: + Micro hold delay of destination is 0.100 ns +Info: tsu for register "vga:inst|vga_driver:vga_driver_unit|h_enable_sig" (data pin = "reset", clock pin = "board_clk") is 11.030 ns + Info: + Longest pin to register delay is 12.049 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset' + Info: 2: + IC(5.711 ns) + CELL(0.459 ns) = 7.311 ns; Loc. = LC_X36_Y33_N7; Fanout = 32; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(1.863 ns) + CELL(0.332 ns) = 9.506 ns; Loc. = LC_X34_Y34_N6; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4' + Info: 4: + IC(1.817 ns) + CELL(0.726 ns) = 12.049 ns; Loc. = LC_X52_Y35_N2; Fanout = 2; REG Node = 'vga:inst|vga_driver:vga_driver_unit|h_enable_sig' + Info: Total cell delay = 2.658 ns ( 22.06 % ) + Info: Total interconnect delay = 9.391 ns ( 77.94 % ) + Info: + Micro setup delay of destination is 0.010 ns + Info: - Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns + Info: - Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.059 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.499 ns) + CELL(0.560 ns) = 2.059 ns; Loc. = LC_X52_Y35_N2; Fanout = 2; REG Node = 'vga:inst|vga_driver:vga_driver_unit|h_enable_sig' + Info: Total cell delay = 0.560 ns ( 27.20 % ) + Info: Total interconnect delay = 1.499 ns ( 72.80 % ) +Info: tco from clock "board_clk" to destination pin "seven_seg_pin[7]" through register "vga:inst|dly_counter[0]" is 9.803 ns + Info: + Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns + Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.054 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.494 ns) + CELL(0.560 ns) = 2.054 ns; Loc. = LC_X36_Y33_N3; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]' + Info: Total cell delay = 0.560 ns ( 27.26 % ) + Info: Total interconnect delay = 1.494 ns ( 72.74 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Longest register to pin delay is 8.603 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X36_Y33_N3; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]' + Info: 2: + IC(0.500 ns) + CELL(0.213 ns) = 0.713 ns; Loc. = LC_X36_Y33_N7; Fanout = 32; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(3.699 ns) + CELL(4.191 ns) = 8.603 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin[7]' + Info: Total cell delay = 4.404 ns ( 51.19 % ) + Info: Total interconnect delay = 4.199 ns ( 48.81 % ) +Info: Longest tpd from source pin "reset" to destination pin "seven_seg_pin[7]" is 15.201 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset' + Info: 2: + IC(5.711 ns) + CELL(0.459 ns) = 7.311 ns; Loc. = LC_X36_Y33_N7; Fanout = 32; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(3.699 ns) + CELL(4.191 ns) = 15.201 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin[7]' + Info: Total cell delay = 5.791 ns ( 38.10 % ) + Info: Total interconnect delay = 9.410 ns ( 61.90 % ) +Info: th for register "vga:inst|vga_driver:vga_driver_unit|v_sync" (data pin = "reset", clock pin = "board_clk") is -5.484 ns + Info: + Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns + Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.040 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 63; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.480 ns) + CELL(0.560 ns) = 2.040 ns; Loc. = LC_X34_Y34_N7; Fanout = 3; REG Node = 'vga:inst|vga_driver:vga_driver_unit|v_sync' + Info: Total cell delay = 0.560 ns ( 27.45 % ) + Info: Total interconnect delay = 1.480 ns ( 72.55 % ) + Info: + Micro hold delay of destination is 0.100 ns + Info: - Shortest pin to register delay is 6.594 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset' + Info: 2: + IC(4.964 ns) + CELL(0.489 ns) = 6.594 ns; Loc. = LC_X34_Y34_N7; Fanout = 3; REG Node = 'vga:inst|vga_driver:vga_driver_unit|v_sync' + Info: Total cell delay = 1.630 ns ( 24.72 % ) + Info: Total interconnect delay = 4.964 ns ( 75.28 % ) +Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details. +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 141 megabytes + Info: Processing ended: Thu Oct 29 17:13:27 2009 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp3/Designflow/ppr/download/vga_pll.tan.summary b/bsp3/Designflow/ppr/download/vga_pll.tan.summary new file mode 100644 index 0000000..f3e906f --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.tan.summary @@ -0,0 +1,76 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 11.030 ns +From : reset +To : vga:inst|vga_driver:vga_driver_unit|h_enable_sig +From Clock : -- +To Clock : board_clk +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 9.803 ns +From : vga:inst|dly_counter[0] +To : seven_seg_pin[7] +From Clock : board_clk +To Clock : -- +Failed Paths : 0 + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 15.201 ns +From : reset +To : seven_seg_pin[7] +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : -5.484 ns +From : reset +To : vga:inst|vga_driver:vga_driver_unit|v_sync +From Clock : -- +To Clock : board_clk +Failed Paths : 0 + +Type : Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' +Slack : 29.381 ns +Required Time : 27.19 MHz ( period = 36.777 ns ) +Actual Time : 135.21 MHz ( period = 7.396 ns ) +From : vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 +To : vga:inst|vga_control:vga_control_unit|b +From Clock : vpll:inst1|altpll:altpll_component|_clk0 +To Clock : vpll:inst1|altpll:altpll_component|_clk0 +Failed Paths : 0 + +Type : Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0' +Slack : 0.737 ns +Required Time : 27.19 MHz ( period = 36.777 ns ) +Actual Time : N/A +From : vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 +To : vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 +From Clock : vpll:inst1|altpll:altpll_component|_clk0 +To Clock : vpll:inst1|altpll:altpll_component|_clk0 +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/bsp3/Designflow/ppr/download/vga_pll.tcl b/bsp3/Designflow/ppr/download/vga_pll.tcl new file mode 100755 index 0000000..aa73503 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll.tcl @@ -0,0 +1,172 @@ +# Copyright (C) 1991-2006 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. + +# Quartus II: Generate Tcl File for Project +# File: vga_pll.tcl +# Generated on: Fri Sep 29 09:31:24 2006 + +# Load Quartus II Tcl Project package +package require ::quartus::project +package require ::quartus::flow + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "vga_pll"]} { + puts "Project vga_pll is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists vga_pll]} { + project_open -cmp vga_pll vga_pll + } else { + project_new -cmp vga_pll vga_pll + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + catch { set_global_assignment -name FAMILY Stratix } result + catch { set_global_assignment -name DEVICE EP1S25F672C6 } result + catch { set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 } result + catch { set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10 SEPTEMBER 29, 2006" } result + catch { set_global_assignment -name LAST_QUARTUS_VERSION 6.0 } result + catch { set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" } result + catch { set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis } result + catch { set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis } result + catch { set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" } result + catch { set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation } result + catch { set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation } result + catch { set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA } result + catch { set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 } result + catch { set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 } result + catch { set_global_assignment -name BSF_FILE ../../src/vpll.bsf } result + catch { set_global_assignment -name VHDL_FILE ../../src/vpll.vhd } result + catch { set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf } result + catch { set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm } result + + set_location_assignment PIN_E24 -to b0_pin + set_location_assignment PIN_T6 -to b1_pin + set_location_assignment PIN_N3 -to board_clk + set_location_assignment PIN_E23 -to g0_pin + set_location_assignment PIN_T5 -to g1_pin + set_location_assignment PIN_T24 -to g2_pin + set_location_assignment PIN_F1 -to hsync_pin + set_location_assignment PIN_E22 -to r0_pin + set_location_assignment PIN_T4 -to r1_pin + set_location_assignment PIN_T7 -to r2_pin + set_location_assignment PIN_A5 -to reset + set_location_assignment PIN_F2 -to vsync_pin + set_location_assignment PIN_Y5 -to d_hsync_state[0] + set_location_assignment PIN_F19 -to d_hsync_state[1] + set_location_assignment PIN_F17 -to d_hsync_state[2] + set_location_assignment PIN_Y2 -to d_hsync_state[3] + set_location_assignment PIN_F10 -to d_hsync_state[4] + set_location_assignment PIN_F9 -to d_hsync_state[5] + set_location_assignment PIN_F6 -to d_hsync_state[6] + set_location_assignment PIN_H4 -to d_hsync_counter[0] + set_location_assignment PIN_G25 -to d_hsync_counter[7] + set_location_assignment PIN_G22 -to d_hsync_counter[8] + set_location_assignment PIN_G18 -to d_hsync_counter[9] + set_location_assignment PIN_F5 -to d_vsync_state[0] + set_location_assignment PIN_F4 -to d_vsync_state[1] + set_location_assignment PIN_F3 -to d_vsync_state[2] + set_location_assignment PIN_M19 -to d_vsync_state[3] + set_location_assignment PIN_M18 -to d_vsync_state[4] + set_location_assignment PIN_M7 -to d_vsync_state[5] + set_location_assignment PIN_M4 -to d_vsync_state[6] + set_location_assignment PIN_G9 -to d_vsync_counter[0] + set_location_assignment PIN_G6 -to d_vsync_counter[7] + set_location_assignment PIN_G4 -to d_vsync_counter[8] + set_location_assignment PIN_G2 -to d_vsync_counter[9] + set_location_assignment PIN_K6 -to d_line_counter[0] + set_location_assignment PIN_K4 -to d_line_counter[1] + set_location_assignment PIN_J22 -to d_line_counter[2] + set_location_assignment PIN_M9 -to d_line_counter[3] + set_location_assignment PIN_M8 -to d_line_counter[4] + set_location_assignment PIN_M6 -to d_line_counter[5] + set_location_assignment PIN_M5 -to d_line_counter[6] + set_location_assignment PIN_L24 -to d_line_counter[7] + set_location_assignment PIN_L25 -to d_line_counter[8] + set_location_assignment PIN_L23 -to d_column_counter[0] + set_location_assignment PIN_L22 -to d_column_counter[1] + set_location_assignment PIN_L21 -to d_column_counter[2] + set_location_assignment PIN_L20 -to d_column_counter[3] + set_location_assignment PIN_L6 -to d_column_counter[4] + set_location_assignment PIN_L4 -to d_column_counter[5] + set_location_assignment PIN_L2 -to d_column_counter[6] + set_location_assignment PIN_K23 -to d_column_counter[7] + set_location_assignment PIN_K19 -to d_column_counter[8] + set_location_assignment PIN_K5 -to d_column_counter[9] + set_location_assignment PIN_L7 -to d_hsync + set_location_assignment PIN_L5 -to d_vsync + set_location_assignment PIN_F26 -to d_set_hsync_counter + set_location_assignment PIN_F24 -to d_set_vsync_counter + set_location_assignment PIN_F21 -to d_set_line_counter + set_location_assignment PIN_Y23 -to d_set_column_counter + set_location_assignment PIN_L3 -to d_r + set_location_assignment PIN_K24 -to d_g + set_location_assignment PIN_K20 -to d_b + set_location_assignment PIN_H18 -to d_v_enable + set_location_assignment PIN_J21 -to d_h_enable + set_location_assignment PIN_R8 -to seven_seg_pin[0] + set_location_assignment PIN_R9 -to seven_seg_pin[1] + set_location_assignment PIN_R19 -to seven_seg_pin[2] + set_location_assignment PIN_R20 -to seven_seg_pin[3] + set_location_assignment PIN_R21 -to seven_seg_pin[4] + set_location_assignment PIN_R22 -to seven_seg_pin[5] + set_location_assignment PIN_R23 -to seven_seg_pin[6] + set_location_assignment PIN_Y11 -to seven_seg_pin[7] + set_location_assignment PIN_N7 -to seven_seg_pin[8] + set_location_assignment PIN_N8 -to seven_seg_pin[9] + set_location_assignment PIN_R4 -to seven_seg_pin[10] + set_location_assignment PIN_R6 -to seven_seg_pin[11] + set_location_assignment PIN_AA11 -to seven_seg_pin[12] + set_location_assignment PIN_T2 -to seven_seg_pin[13] + set_location_assignment PIN_K3 -to d_state_clk + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state + set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin + + + # Commit assignments + export_assignments + +execute_flow -compile + + # Close project + if {$need_to_close_project} { + project_close + } +} diff --git a/bsp3/Designflow/ppr/download/vga_pll_assignment_defaults.qdf b/bsp3/Designflow/ppr/download/vga_pll_assignment_defaults.qdf new file mode 100644 index 0000000..58d80a9 --- /dev/null +++ b/bsp3/Designflow/ppr/download/vga_pll_assignment_defaults.qdf @@ -0,0 +1,626 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 17:12:29 October 29, 2009 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus II software and is used +# to preserve global assignments across Quartus II versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name SMART_RECOMPILE Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name IGNORE_CLOCK_SETTINGS Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS Off +set_global_assignment -name ENABLE_CLOCK_LATENCY Off +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family ACEX1K +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000B +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KA +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix IV" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Cyclone III" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy Stratix" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KE +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000AE +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Cyclone +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10K +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "MAX II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KC +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria II GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000S +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX6000 +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "APEX II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KE +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Cyclone II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix III" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria GX" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX3000A +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II" +set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Stratix +set_global_assignment -name NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT 10 +set_global_assignment -name NUMBER_OF_DESTINATION_TO_REPORT 10 +set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 200 +set_global_assignment -name DO_MIN_ANALYSIS Off +set_global_assignment -name DO_MIN_TIMING Off +set_global_assignment -name REPORT_IO_PATHS_SEPARATELY Off +set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK Off +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family ACEX1K +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000B +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KA +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "HardCopy Stratix" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000AE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Cyclone +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10K +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KC +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000S +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX6000 +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "APEX II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KE +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix III" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria GX" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX3000A +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Stratix +set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family ACEX1K +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000B +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KA +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy Stratix" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000AE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Cyclone +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10K +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KC +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000S +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX6000 +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "APEX II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KE +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Cyclone II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix III" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Arria GX" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX3000A +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II" +set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Stratix +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name DISABLE_OCP_HW_EVAL Off +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL93 +set_global_assignment -name FAMILY -value Stratix +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS On +set_global_assignment -name PARALLEL_SYNTHESIS Off +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off +set_global_assignment -name SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name ALLOW_ACLR_FOR_SHIFT_REGISTER_RECOGNITION On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Cyclone II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Cyclone III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy Stratix" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Cyclone +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Stratix +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING "Force All Tiles with Failing Timing Paths to High Speed" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off -family "Cyclone III" +set_global_assignment -name OPTIMIZE_SSN Off -family "Stratix III" +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING On +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto +set_global_assignment -name AUTO_PACKED_REGISTERS Off +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO +set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name STOP_AFTER_CONGESTION_MAP Off +set_global_assignment -name SAVE_INTERMEDIATE_FITTING_RESULTS Off +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION -value OFF +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family ACEX1K +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000B +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KA +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Cyclone III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy Stratix" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000AE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Cyclone +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10K +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KC +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000S +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX6000 +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "APEX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Cyclone II" +set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Stratix III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Arria GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX3000A +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Stratix +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT Off +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY -value ON +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION -value ON +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name INCREMENTAL_COMPILATION -value OFF +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE POST_FIT +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name DUTY_CYCLE 50 -section_id ? +set_global_assignment -name INVERT_BASE_CLOCK Off -section_id ? +set_global_assignment -name MULTIPLY_BASE_CLOCK_PERIOD_BY 1 -section_id ? +set_global_assignment -name DIVIDE_BASE_CLOCK_PERIOD_BY 1 -section_id ? +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY Off -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Cyclone III" +set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Stratix III" +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? diff --git a/bsp3/Designflow/ppr/sim/db/vga.(0).cnf.cdb b/bsp3/Designflow/ppr/sim/db/vga.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..61bacbc65bbe0d30bfaac23645522fd0153c2f13 GIT binary patch literal 6221 zcmd^j^-~;O&^7KJB=`ae5M+bf;vU@HLSPB*ut9BaSo3+QS1_7c}U3-gcxMJU|#saEal8 zk@STPJ6&29AS15!s{Ey7U;wQ!aTw|=X3Q8A3|W1UD~w1J=_7>xA0hSGR%F`*HN@ zRwO)If^9yA*YS!$TR24D$6^=>$^6|5JC{wjCFOC%(t%k6t zI)~b>k>>BU_3NldmgjQI*Whj@x4a$h7IMoUs7Hh*bIXIKYE6)k_dUcY9seM{KTo11 zAa%Tjg9g>Q1IeTqLog)AErCulVo0t+k1#oJOVZ;H?Bezq`PHwW`p1!%K{{$iKBuVt z{Wqp?fREPSk8dX7eEvaC&FG$kl$cEH8$5;&mT=yVvcg`vn9hL)s7{t_Vn*DA`By{y zFfbx+Lg2vk83e;Zvrb z-7f|Gt;D7>ZEs;iQDAnyv_Tc_ zLV@`%J!i5#*NwPxF#(>=Ra(`NffS92iIrNS6%1ov=eEPknmKF!GQDGPnQiF&t?T)O zdLqebgSP%TvVykGy_#+!i5P~iWxpyuT%r^)NV=YJuaR>xi*3*>4MMS6f@T!>aK`w5474rEgT12aQleaC!Q~HMiiwxrA27bA2aMCsyjB8QF_%US=2Y zkSs3tsvMdQ3FBK!cljDVk&67=rfUCTIG)R$*_}1F1ePHvI+X+c6#cofLUWCb3y22d zFFfB6wFiYybPkitQHfeD4lPlAODEwY!`(q8K9^8weYF%JRm7$h#yYZT> zauZQFkaMi!M6c|8$Ax>0D8)S8knT6&aGyDTBh}D3iaBLxrP=w83DnZDXVSK~bf2*l z*Gk#92}-XFR-gfJ#?$CF-!!NL{c4oN1#Q+mbWTL<6Gow{@i{JHYm$NwHU%h&ccpwI zg*Hi^@HFkZw)`(Hx5m1dX}k9J35s)UcTx%7oU+~z`-KoD-N4M``bpKN2ko@mH7;o} zuXM1dNz*-Et;qk64wCPl#@naCgqg8b*~Qi!NtEm8^Ra6Wnt0(=`NE3N_AM zNIg?pNMNiMI4}UUh5OaLu~}F?&<1-g`&x#1BTH#tgr6l* zxU^l9rsDIkR9{3(o%g0f>~F-_Ok}JmQL&ZF`P6COL8_74m7%j2BN?SHK;`ESeSYZH zl@bu>^-S{eZu;Q~N>>riUMj-$hqv9Wp7>Ljzb*xGZ|TBOXxkwB1ln)wzPCV!1dzi$ zsPEeI6Z4Kpj;+!Be#M0|l08sIY4J3lIlj@D+S%&teU=c`DM~-O5N?b=6Qs|61$o2$ z{*KXFZd(#&3y8h$aJiAyLzz|Px9`-}2Ni2sS#$;xWgyowHM}ftiuE69VS!IbpP%_W z2e~ofzlh?05$1o9%YQ`3HZ1Qp_4`Qi1JNoq$o>1@r6!FT1*$MyN$*!@#2a7f(o9e+ z&!{`MG(#{wcF%RN(j0jiOE!{2nB`9~^oDeZW{*q_ts7Euz}fbNOFn^V4-W+6S8}7j zeNi9g#Jpg9&CDl`d9zADmZu12-graH2kV5i;fgdd(n7FHD zJnUpRHI|w!!1rOZxQ^JdGQ*X1q!4cP9C>8tI&L;X#XZ+hz6%**#Py|UFQ0iDRbkow zAw>H$6?giJjdpa5TFJg5M)Z5>{&mrr3AND0#q8Q2qC3XBiQzIHhPx-iglI<*$KN@P z9LAiIs?A=LiWJ7wYFf~MCs-3vXH>t`$2&9oUD!ogrcZ;Y-pCUHnWNs(Z{PqvZNL)6 zja==R#K!t3w_U#F6_6zYOPCddFD9`pPC_TwH)1c5iRSRO*bB8ak7W{OH97WjHv22x z3(*<<6-zVN?+)xv=hk0kg`e@{&$DekAa_giG9p6x_!qko6K9az(1-nwz@m*w%C&@1 z!&#TMu0QkX3oKV&G2K=$Db>AR#n7+*-4^lFisy#3N28<}gtm;W5%Q)2WyQ>|-?Jv< z2CY{q1v(O$D$ zenqv-O0BQM14ULlJ0vBL*X8<0Y`ou8I0xN2g)jl$p^hCVVF_GmDW zrOHS|Jv)yU#K{)0z%|pPyrUMAW+Q#+Jv%vs8*NcGh}L}GV#Uv5wUSaC#}UjgH-471 zQEjLxT@=)nixEnfyi}F+W%vkbZu!&c0`^bbnyic!GZ8`l-(D^!?7w$bVTZ%$VbXGX zj(07tQs7t}_3a%jn+zj~sCd>AXBSjnmCBrfVUk&a=0FN2UA1kC5QB05sgO|PB3V3J zYg~NIh7hYNK4g0gUSXUI3YvLaUg7d>TS&_B>={QfI~4L=7pP>NJ!Ek3VyVz~%Y=+6 zdvOsEl4Tk%At`OIZjczRm#k-9p;&G-^IC_%|ut>@e}z>GNSzbMG)wtJ%t5Ydm-La=V87l8Xp6wg-w5i-cH1@Bs_nW&|V9`@>*; z8*1F-!!OMdh?37^3B*wd$fbNJ)lM+Uwc1mR5D3MM(1$O#xM*G>g&{~{%tj{VFw>{! zhu{_`zR%U-3qv7Tpt*{!^p<6lX6vg*uOWy=Q{XD`iiPjI@&W#Rbn91i@CIic27p_N zfV6Hz>0L>!-jJC{Wl2xOK3>YNT7neJUq>PTTQJ7;|4jjJQ{+uyWAl<>=JFvnMCy^B zcu#vf+Dum^aUJs^j_F1#&dP4j)?Qn5K*v>GBTO_qWLfae_e!E^qkA!s>hCb0-J{H4 ze8{prki||r1(hHF1>*m!h1^PQBnWR>mGyzXGrZWICns%O?;PKnhl+c{W;#IOj#?&B zG06tn!mqPe^B`;gy0f%60Rc^=SH;RVMK)ETUiAyoeT%X%J`Ji5NY$Llq1MHBN z?0uMtTSp6l@Dt)a3O6=YFL&@##2I1pOf_$JIW>4E9fWO2JhuEE4S25X&v6LxFsGme zh`#QyuCN=T0(6wdQOr$b1!o=;fLzBS2bmw+-g#UHk0CNdSp}2#TcOmBrO(g02rCUY za=T_}bdTlb+ToGYa@W>@s?k!Uj963j3n(~|lW%e65n@J{hmmAcnoyw=Mrn(wGEy9@ zIWQ;F-au9la4rGp*ZRaP?#oLHylOsK^4%mPSMli7Bf%DC+`-7}pD5hj<>KPa@@@i- zEH!mgH&mr>KV|}JLh2PPU58Ni5EMzr*ofgR>p3SV%AIk_Iui(AIANS_`-9HvHZjyq zf`TY}$|UwZ8Hih+XV5Azb-+5on;EUnb2uxXm&+o-@NAGfh@56HNiQGeI|-P_Zv+CC zu>G?1rh5!2M2U5bd5-{8S6$zugAu=X7keon`6x9O$fIo5= zY51DPj-|JVS+3)()Q=&)H?mkS@BKoT&ql@-xi!veZbkYAK9{6}ESl7vRl6xBGY1Wd%YaRk&EIuWMQjymsV}dI< z<9S;`6y{;`7Vx*hV9OMN)+;lg?%u>xh7FfAV`S9}i%RO$f^(m#w)ro%3F?rwZqtQJ&YSh`KwbmdN(_-vcjp)G@ z@}I;~sRI&+?tEqb$;Wn|{+G^gl7IhY_xKPpMQS8lWfK3nYTGkDRcY3>jB9z3OS(&A2- z(S+u2x8w@ri3QI|&Pkxm4Ry*#(jQ_R6Q{WPY2E_OV=sUBtw45&CizJ5Ekk4Q=5?Zh zR=+RM*M(8I}zxoQ+RCwbhHO*$-uZ8YO-evPfhv*#@i}jRzOP59Xto@9q*S8LG z;exfEk!e{!8P>+witB}12KYW7n*00KtiqAa?HK4iqu{tTehNvi^jpE@2Rk+$ z*z+&rrDsYwvR6Sxt25F3lNYWnTgMY&EGL^LB%e^z+yiT>!uNNt&J}U5FD$EQ5668N zEcT!dmFfkRlBO5ZrzkP_440YB7v8J+Zr4uDb=M&1+Q=F&U2`kbE6<<7J13d3tG+M3 zwfafA;48_WbWiMXF`Z08n^sTmqehP>{%#*`mE7t!E@W-Rt5DVpBgP={gLFVeMzE1>s`J)`)0pT2DO8s^IOG$#g>Y~eBqVJ zdGpY=`q|pXv}FaT<;%i~LMZPh);1+(z%y&dm+!Vg!5kzf^#l^0WP8L~ghE0nIkr^Af?&m3P|1xqD z#Bv9=Q1OYx3`fugS^5y+V+$J#w>i;*_qrsq+yy%Z-5*O=W09!-zOlo#R|&G0 zb)I7ukHzCuA3LvsYQXNvRIw{lLuHeH01Y@MnIxrNM8SxnyK-He#Pc>2b;{m&J#9;u zVzV09R?1!~>r5mdjGrN`CINb@D4ZB-I_mSmGp(Q^*6CYGDJa87^(`Cxt0;W+5Izz4o z<3#s3aMGF=f9z>W58#>7MMI#d~jGy)Z>5POIQeHgmH-7MA>8B?M zB9ZwA1SC8FDbkjiXD38#fq?esMl3|PGd5=^6FL8w)rAH5Y6 z($vGF)uHaViO6~=p~3PcZ*~dF4-oAV!?0;_)w)FjR+}T1BryZVWAgfcLi^o&4*Rho z-7La6hiv}QNCy&4Jz{;lL!R-E4x~CE4`VnujA~zO*kD*95?J;PKrZn6MXUrZf0iF; zXu&UM`_6{UexAwSKumMi&a*lYMa8g{U6;ts1E%TKb^+m(VVP^x+Ygy-MwwFcuYLJ; zkw=k~QIXZu0s?i3!U*xckkcH{5T73W^xM3A%NO!72TQFaKuNCT>dXz-1;^z&%ty%c z6wHXGCJaO1w1G)Qt*{z2#2`}%3W~qZ>5@#@KJY8^KBWd%vm=U=YZ;0=Z=Iq?9&2Ul hRta{?`ey`EV2jJBa2t`V>lD*ylh0002i2LJ#70001a0RR910002f2mk;80001Z z0qj~ykDN6S9rk?>!18ibZ|3m;7{-OU}N{%-*EP^`D8l3-)0x%gYgoDdr;_vTsP!4 zB{vD|B(Rgf4gx!HEE>OZaD6^Rbre=oz%Dr$uf}t8FrI+LkVeJ{GwVbjbLen?c6fDe zhWm%}<#_Rk!)DX@Sdb?jf;r@prvjczKk85p%Qs1ggY(c6p>8G_;Y|7gwrR%m%Y)gN zrIjz;>9_?y6MhDmzn`u-SYBR^39wNIJjelnGj^>|@58fa@p=2=IY-W}ivmy#i)e;- zLC9?FJ#W9O$z?NIOjqNDIh;?ICh4I@bFyv#&>m_J_vg#S{*2WJRdiBC7cbQM_gXOr z(@BlLlJKuo>W{3!$SnL)>0;T^y;x~2Tf~|`*1}7b7E;T?oL;WTo(rz=t`gUwN6 zt~lmZTj$*Q3vYd7-aG&P`47x|d~InLrEf;j5KPBhgE6;O{ml|GXWzYW>79%AbLnDe ztJ`VqAdGdk?3>>IZlQ~<+%_k-m6OqTO&jT$hituQ+tWEVm-k&}I_J)H_KIC%_79iz z28o>mw2Gq0nE?Az~ZP}mG3@3Iou>=}*ORhb=?>8s4P%514j zPh~b$rmHd?&lIW&Iw%>@LCJ^?N=9^0GNOZ$5gn9_=%8do2PGpqC>hZK9Dn9+t%W=r z?5gEtE%%h;+BM9sQHULl=xfBbMr>(BPa`%pqN@=d!<|!3+}egp?dsHyPW5$aTc@^k zs;5(%I@Q&wj^Txge&4P>i?k+h4B3U#EEiML<$C0Vl{Q_jM}^>eR0y6hC9&r`eTnZX z_)Z1KSb1XP?Et6eE^&JL66eW~OV{m7szU>7b{u%%^?g1Rud zZyf)Maw6%A3Nkp4vc(eLR41`nt$$iWcGr;|N8&b|_lair)^NLPxE+O~-@-`C{^+}O zZfi}OJ%z&@sF|`qr#7V?{TarBjg$E_0W-e_F!yZ%30_N(=;HzsyjMVqTG8K$O!RpH z$!cL|$A{7oLQ1qEr?LwdC?9G-79Pw$wuBj(1+rP(2tbS-g_4`5lo&aZ5~n96swkAQ zdWsrjA&82f_bDYERt{l+PagKh-)sA}h zoot<&P_e&iR-LRMI{W`&u$$6K53L+G9thcEhDg_o77CNQO&VVo_k_qHnybl(!x9-9 zecNDC!)|k_VYj)|u-hCBn~vW_v@k>#T~sR;U1SSI`ui|syX7JpChO4{%F1+weS=Do z=D3oO7HBP|#Y>sS@>-^`yqIY$)uFLeq{eayG?t5}vGfHROE03a^r2)6HTnyz#-_R| z>!!LY*;H30o9e1$Q(cv8s;iPsbyc#du1Yr5RV{i3t6LXA1FroVdP$8*8HJrx1snXPvN>fiNO--pZb*0kOmP%7!Dou^4GSV3;t+4#nLi3fagM%8W$;42=y&TCgKUK#DF`VT{POLr!h-Hk7Jnt0f&((e~ADFcL zR0bNBq?p2QQU{6;onkpc9Xzpr5wWZRh)H}dA800q!jIAbZe0>pY*3KY*v%MHgSVrk zHTHH(cH=2Km)HH^$~6tvo9O`S6BZbH#@hg?)Z4eBDu~&q?VNPF z&Ox4NN7D{Y_0y-%*#GPwq?}odSEhT$Mz&9{KE3)c00030|9AlsVq*XTb|4l4;$U~b zcpraf#}H3{Aj2~_CLu~ifozcZ?m_;c0r4S` z0Z`Q{>=iSa!RjQSs`-Jm6c9T(2D?VYdw9AAIR-gr+-?g+mIJA1hLI)1RM*N324}uH@ hg_9%@gVct&Muec7?$cMYkd0v~PzA_(00000|NpN>FD3v0 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.(1).cnf.cdb b/bsp3/Designflow/ppr/sim/db/vga.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f18ce65220942e3ccc96fd9b74b5c71d39185e58 GIT binary patch literal 11937 zcmXYX1ymi+&o;#=4#kQ?(c-QbcXx;4T-^QQ?pEC0t+>0p!^NHAP~1L#@BiC9XR?`O zC(q7gPByzig@AylLi_^p>+@v(yjC}LbOPGhl5jIHkqEm0txZUnSy@P!SQuH@8CjT^ zxJgu`NyJ^Otx5jBBE;sG&$}QX;_3g7Ks5i4g~((0AMgI<420N1DE9jTu!FTvgKCN< zC#X7r?wEiEA2l;ZF~Z6d2E|ezO`0g$kB}a^6XVI^LrcA@mWgLCegtK%x^SvS07o3< zr(c6fXee$9fsRzbM|i+Y3k{k1@}bt~SyQgE(cb>Bd34q}@6rX(+fZw?e6y<3zB~TA ziTQa2Zs z=WKFa1!q{PGuTZzgok{UIZ;esv(V(}U>F3i*E+lFMXYuFkG%empc|fCwS8-Z`5z(g zpWAVvv6Kid>OZBkY$xCIs9=zd=45AIbI0pPMku29%S@tZ@Re zH0Cp>O`WP2daREX>)mEEI@I-htx>P{qCQ}pQTsoUg|r2k6KbX~vXpA89F&RW)=i00 zYPL%MHD{mAy~t#Y|^&sV9atkF0R2$j)j3|sw%g# zdM=+SL*LcV6Z;}!sL#x(>F{-K4%Nn6;#7WP&S`C(WlmM+-rk$Cg(IpPiPD`VWo6FS zFGbT^{7rk$JcK3Ha5dXtQJuaCCYUxIVw)CzFRIw&!6QR3Y^2vqH+~HX4|mv1vG1(x zA4T>Oe+&1e@>DZN91!-muL_fhD`b>0nIB}?ZN!G`U4Sfu!H^_rsiZH&mL&1tu5XId zCh><37{|a?wwFXxMQg9rKWT2Vil*iM>WpeM8K|X)X@%R@;LMdw)hqekc9p5n5#60F z#&E$a8Wp3|#j3=3r=c=g=3|t?rj{Y!W#9JT$1ARPDxxA9e4 zG7(t1D*G|&uMZ(O$Mv-HR-4(LqlIEM96V+thtBqW9|naV~Ge}L=Fqm~L1&?SmS?CLU^U&{8D4?cK*8;Mz=N54L96dTL z(oM$>7E@A|5P8S@HA=J)g6`*P99zjPEHHAA16$=K8+)KZoC&BwKU4 z38}g?TfKTC51k}fE(4PBo)&O_$kkf4=C+i{%)#ankPbh7OAEg5d)dSDTm~|yD>E=o zmi1(cE-A~8KTAv{|EQv!?0EK!FJ|V;3fx&DkxM~5NC?$Sfg&=_5i?n0JZM0{{isev zd}Iau2mz7b)NWXI|6HMvOBt8J)WV@DF;y=naFBu@9|dLGIj;ocWML;qHtNun)P){6 ze?P|eig#4I2J(kZB7RzN)>Z@9`AuB)$=m?UF|`@sxV;mwmxclIa}AZgAumbDsKuv_ zjE-!BfInlM0?G^(vGaF&L5^*)d#U^tvEb?Jk)|F;Ef9gn0t@j1R^jo{b)7wkoN>`bQYi4|+;gsrmQs z2ho)ja>v)+IrCM8z;+)l$$2&r06U{0h=(3kL{okoCc3{tZbt>ocODs9c=9X^aPfkl zzxn&0R-^99`-%nvqjokNoVO)XC`mL3YaCss63~lRES1ymyixOtZ-I590SNTwg-C)P zgL!#L(6b-m=boor!FIpOPG0@vpx~bL>gcnuW5ja_MA~PLr1UW4iLE85W<4#~n#>>V z!qs_6$a1KaORR>j3%?{qy?iS30c}gc#in#P^2q&-9EBO+Pggsg=kQIO6G;|m$MfiW z7y8^GRS#7$W_0v51lCjAiH_Q-agjhcJm6Udrc~sp${d^AHEBZefv{&fr8PHl4lJYf zyz+&iDf4idBV8_-_{evwm(+@tMo6?pJ}9wHU@Gvg#TNa7I7sA7WzQII^8;o~QKI&m zEsUNynzP?AfSG=qES9vp(DdYuNF#?~oMXUIb`LZeIf0%^H1`c~N#A3xma!$m{EV<5 z@`k|Joo+z^Kz;aNoGU}US(Uza`A0I>7;|M5JR3i=Kp~aLgONUx2>Y!1TyGGl?>R3v z0FX*-W|PyUjH}^JEk3`Mp2_bv^CE+dzC^W;eLO}L@%AE*cJ|1z3XW6yt{?FNw-dHw zOf2s$tTO%#mINaLz4b9}>IE(mainB^itM*a_K#i(do9$PZBcjBMkP~?$Kk}M9R?e7 z-jLxo=!W@s@cEM`jlZ=}byy3%a#t<{_X}QPah3L?5#PzB{|hCR+t@q-v&lVwI_C8# zfIaeXM-oaWBsPxnE=~-SWvpZl2L}cAt+flG26~(Myt*z#N#aqt%X>Gu}%yhm^x=m99XYPH=>Epz;D)z5FTr zHrf7jZaqolHU@)@-E}SZP{p`pBEo2yZ8hbqTsft<%39TNjCmFLbD-uL(u&+Ml{0st z*!bXIubN4#=n8(9*9%e?F zRyKUp5BYFlI-Bh+C6ul%NN@ZqU|AO;p0xuj$2O0^K*RM)@^(~qQt8V7r}wgUfM&rFJnTuuqf^5G2T zQRUM~Xk{T2xehuQ4fHeifhOH>aR@ZX42V#wV#%Wbn!F z7eF5})P@)}+9d!gk(p7ESSK%*ob?eTGz6MWISxckzde&a?SJ0rWLh>XEsm8?QidXp z(b_gNCy-1pjD=Hu!P&giviF8Ey|q7%mFDUO#{-zIvxD}iuk3yCeb_H~7`RB*WeIeX zGG65{IHewY2Djn!(zQ1WTmrZjh3}`JGf#Ul@)K1HR#EqY`db^+5%yZLTu(#yb{_{z zvOU&L5<`{~m5L4Y(@v6oyfei%)@+jD7OVreGZ8WgJc#@XkkplQh^A5s%2~KZS3{y- ziCv4ul$7gv;KyJUlZB|XDWp-(ZWNQ3ik~(ohur*#gDlsAOywn6_|Azqu4fCt9IzE+?fUX6)}I z89xfJO_;zgk!9O}P@*XTMIE=+W+#pLne- z8N((?fW~=)jpvr1SOYbhO-SZpPV>W6sQyK=8a4sMBkE{K^P_H6k<-}`ChlyRG~uo& zZsl74!Nrj|j$no~fxReh;#7%u72=0x$Z_eQf#TQEbs|!&FF)Yi!h%kzJi{QyM^6;a z&OYOpk&UpwIg0ZXWP(VK)Y7wSHWfDGYqGNBnfJ?=v#ejWB54hSvEOux;Nqkt3AxT<`MSl3|{@=iX{?Mm(geN8rG(R?u_iCCpET0XqS@q%Aj!h?f z7rXf)=YU3fYxTGiFeetK*bJ|$H6L`70I7C-D>5V>0WIfv%KJh;Zw#E2sdwmCi!ShB zyn_Xik|E5?2?vLPq$OCz@EREK2wW zY@@0z;=n=ej}iDrB^S9%RCekCm}P{SsiL^*!&b=-`06($)Cc&W42|@44ly4SjiT<^ z(ozxfj6yOY5)f)RZF@yvLxf=8ONoP|D_iYBRWhEHS1rysi5W{YByUMQgdQiM-%Utn zG|Cr{2M@%-q!ka$zmpRP6RPPIIa^^SYUrR;iNl zEnzDXh`~MDv{D1|l4SS!QIaTHN?H;u#1NJuZ)T z|BB&);VOmjPsOBw`eErW$@3GX^(AcR&Tkv{WliYNAvD*<2`3|`Z|#1Z7V9LpW|a5A3b6~arM%0-BdSuYQ5iW>0Y%;FvQk*8`+w|SK&luMJ65{lB? z7R%AhZRpO$i?m>3AUNnj%I1vN!wXmjY9#fQEVnV|q13d`4jnG7acBd)_h|p3F;QGW z-Z??K4`OFoJhYUN#NbPB*KQn6pBST;?>y!ZMJ&nMGCv;t)NA|lEj$v?z|d!#2-{$b z6utftOnU@I5)r_WO>$b}jn)#_Ji6sgu~}KI;l|M0rKy+ckPTeLmi-hA?4URH=rNIz4*y7(Jv$g4rIFUp5HLr(_Y zaG#-u`WTfvZb9hZ0|@RiaArq9F5`XT40tFW@RheN|M>-3dI(|@!cU?-KKrRu8*j-T-yRC1d zode6cEGM?Fp*2ag!cTkxO)zfh4S6ACp1$>kDS$4&F`vE$m#cs~v?~AbN-Ki8rGlU( zKlg_L`X4^(@>Y+oL+Wb@YRe!?B33K*vl=h>XrlS=MGt^eVPgC?=)UxpoR5k=FBTD} zlzTLNT_>Ro^1}Gzb_}tNQB<-S*TJ60EDIoRQo0CI^@vu~O(HiY4nWlj)%IMZ(P6i= zJkxEZ@5J{SuUUxuwO#_f+J#7{2N(fR6ygb<@K!b^=0A^$wnSPa;y0yNt%S+dpVVOa zvMP0u?2Jh`xL!3nHg*D$)GSdtPsM&D50~2!ZIK1Z1Lfxorv83eioCiJ#IaA- zbVCLt)A*%u5k& zPUCJQ*ICSf;p933A_FGm?iSB?(IdN`{o1zHUlAE+=s+JWI9k|HQ-Gdt7ZZ`9x>1N& z)Gh{GhBMq;Xe7{ham9DbFs{hX_W?Yu|8Zq-)dA}sXA%RJIW7;&<}$fz62TP{>!O@r z)!Bh7&b1cJ?VRC>oI?qmS^B2_yE|nNFh=M#;;1aAhH6&&muq_m2aSOq=p&}ej*sMJ zcRd6ebhZ7ZhrGh9PJ803v;Yaw-WYU#!cin4iXj05%ox*oY^cH3?fP~jetfYFzeWCc zb(7Yx><4}Amc*17zawkRh6^8ZYD8@Zn_I6Q!GtyDSzR@pLJYn zPq0^Uvfcb#s0BT}V2Dd?06V=eS&LA&WemvX#w-Vy_npg?UY+Z{+gT5l_s?q{tK6*Y z=X-^{_mHB6P#F*c0I=1nfMq%-Cy@T~$PR~gvWGj3Mf8CE1=rvtiI8HG+GMNu9_1MH8C9oOTCp_OO^2@3MWm zUKJS?zbxd(SlgIZ{*~50UD()eWRZJD1kS}Plh&A@1Vl(>n1b1m-CUf$C>N5m-=`PZLf?v%WI6`?`w<03`}t?q_a$_Cp)lG5$DdvzwpZw!4Jyqzw|j6MP_qgQ#2U3O4D+>9%0(A7@mA?Zy0=4bX{|kup!pd0#v)Vzh0- zA`dqa>@_Qh;kxIcZB?Drt0w)QEHZTVCh9dd>p>Z;D5kp`*hcL3#Ib(uNIhez`BOj5 zS`omatCJ&Nh~{C?y41Gm`>M2B3HaF!b={CYLN}T5XqpQ6IgC0=5r4fKXffU6Eeq+| zR3e!r(`UY?aJU$X3FhO?hGi-Vm8Tu;8O^cW>ptaI>PdCrVIqcD-Z$Yxr7pKR&j1IRw&@0T?<|Dn*Vei zU4+@*UqYtxF=plAw+DdJ$_#}D5f>(H_57UFv^G}W=Ip!+4vNzy+Bx$x#6NAZ!^wu& z(q&FL_q*T|mS$`LW}hJb**R{Df}`0RrkrmL&^OkXVVGV+0;87QL!#!GbwX?_@sH2c za4Hu){M%X!5bXgfMDl0n2=Mu;4|su2PB`29t^P>o&cu3x4O4t7>r{ZoA&w!OO$?HPWeQr)W+*V{+{u!QrXjM*iE`fv9zH+R+Z=d*8y z+>sfhQEk;ERXfl%15-lGlX)sqnq2bpFgqJ=ooU<^K_{f}bNYrp3_m9cljp@c8z>?$ zO>XAA;eFPc=vb{0NDr05UrMAM~CWBp#_@pB(VeRP4=Hu_Q!vwq^PSc>3S%WDqV}OY<9!E`#^Gz z@bM>TX^srq=xZ9P9g@^wx8d?qe-0)lNyPLx1Od~$@eWqMqq+_2Za^eC$NhVX&#@!@iP{{u4c_P zR4+RZbo1srcDo)1G77bFVglIC-;xDBJjmv9u2Q{~c4CPxwvKb;pl zP!;s>B(5wlO4lI7XUNL&7!j5=y7Ka8#%pDh*y&(OsI{!N7e^?@+y0}Zv?gaC?^*J% zt}r!g>-4Sa$l05`L}g8m3HBOEH^jr*xwY45qL#k4ng?JSpAy{2UiX4WK-eHW7aa;Pb(vpeE5(m?hpThKT{B{xP(Nvypsaf;R!(d}5#Z#D4OL-J8f~8#F?U8diBy0k>h$?IUP1$=sVe{*3%7 zoEqc;y!DPOOqg<^aIY?VnXiuz*_NHBo zCoM~)vp62WJN*+? zGrm69_x64-YsSaqPqysynlh=$OHq;=n<~H`K%{sUFGA;5{gJi_ufCFgN*{y`;tlgl zi^ElyV=8%=k4u-+t319O7;jWad{cd}t)+)Jk>hWw)0t3R2oL>q(o>N**e5DGzYY=p zt2ei<#0efN{;>Tjy)m_HBH@e=`2A5wm#t$Ljqs6#BR-E1R}jqEePPsltkbMW7AnGd z`sKoH25MzZla+LD&_LECQ1N95N9Yo~cieo56LiBj`4n(!k8-YZO3GMOe*T$JzLJ}? zq74tv>NlYrXBIBs5ab?Ru4N|VJC5Ft8WL+HnW=%#<15+0qZidSH)fqNY5$w+7oJ0= zHJ46+9lblPw`BKNo6lyBXWfrzQsv^3LQ39fZfN9#ZK+P{vvXfF+-H345c z)cRh$w!uCw8O1+jCD&Io#~!CIE1nFft$Ymjni)EiU1)d4>h&|pt@uN#O$k$6TFk$A z%}%y)I4!e8j#ox8O45*EJVRzRA&+SHE3mm{`Mv0`agbQiN9?11d-ptN!iqM>WSs+3 z?iTSMqtw$>*%#t%1jWMcs^mtYf)Qf}s+CsM2=LG8ml>vrX77pxwZj0qNi z7a$>B(oxox3u?RDhNVZb_U*rB=xbf=jpYn-J8mDI);>TSM^vgOAld4DOwoO z=aW{@Ih@L*JI~kd_*bmP!y33V>MZZTE$8V+SCLPcUBBEm8nFnxVuD_<2+!yLf{fZmOa|9nJ z==3(F%uYA7{$0mBV?iR-wTMmJ=B^j>O1THA#m9>z)jpPFWlJpRJF zVX_tKzxKRJ1F*zf|CA0sN zI{tyR{!}Z}Iy*vvTyF)tf4S@%^>CYn;@tz6W(d2A*`cis%@S)7NjuzhCG%~IL_|&; z*Af;5{WA)o=Q{?>zK?Dr+#LFC)v>R0?U@G8lJo51BRRC8tX;Z+DcI_)zoX2Mu6qPoFR=nDN@2jufyw(r9%+b2U8THnW z7uP+)mUN^sOZ2!=p0W!+Ux+mQ-;zKL%lM+|Z}Am+K03r0@O*9Y4czks%t9^EAu;x^ z5a}aY8-ermZl6S|LSOIoT?`ag6t-79r54b_Lnp<}+UQGE2 z2V^(9tva47|7jhp^|xP7zVPYa%(bm57&M5GlQQ-%3Gjt)F|^^uCH`EQe7_*l=U4KX7LCKq|o zuleomiJ-Fc;CM@RTxOvz1KH(;I^8)~@=(|1nIu@gXyVrh?48ZW+A;9#H5{(gBU@#h z4v8OeF4wf$TLYa={<;`jrF3?Be7oKJCo&_nGWyMALR6fC<2&Xa<}W5>_f%e*O3`Qi7zmWF(w1(^g~I69NY|oeRWosXmQ=j7 zj$~K_A?aRa3_Q8zJ(&p{re91XnGPRACV$y2^5wB@53$qVqWzd7X(sLXim&)pGyjp$P z55l4YS4N#)X+*PA20P*NetBE%ue3gdCQT^As5gH$0_Y@~reWQZn81lo3-2&mu9gbX zc17`Pm`@8$A~zHFtEIt^tB0-DHqd>Go;OVtIn7(7<5OH~ieMPkuVFayQ>xFJK1BtR zn=o2n6EAqJ)GB1Vhc;>790M9(BCF;51!&<6=@)J=t2~JfNz%l9p7*tWxb&*LdBvjL zVibim`G*3MbIYvEh1k?Y6JzP(YNov?$x9>7Wgr^kbFS;|#+P0CRGXvw-?l0lSu+e{faGIr8?W!n=Gra2s#XTquHaYp{Zjw!1ii zyAvko+)kUNH&n!HmgYJg%dA3YgA=z z4Ky*}Qy*d)9<)fimyj&a+t9oOE+W}i)KwZn)wR0B2f2knWN2NHU>m(qF7?SfT$l^i zPQsqfWatQK{c#;#Gj(+^SH-5S&6|TI^Y(8X3vz>n&NJP7r-BCQp$Sjx1{@Y0%E|P9 zJ`*BjM6kAPVo}1ePUCuj`qm$ZxMZH)Y-~$n4EVwv(52oW>;gZ&TZzcvFCRnLNW_7O z<0n9+_#zBN`VOja;5iG{ z6Gn~an=pb3&|@nkf#=6_zB#;($neS`yj`jbRW`gIRsXVI?mSr` z!r#*XG|#2;+q*o>i~>aMn$b9suK6J7exMMF5*;}%Z32fJ<^K8W+Ur0MI~qFi^qZOR zpI%G}5!ZjXRz2BX-v{66leU%eZcS;hFt9GOplqX~jgR@jyD|vdqgU)C^l-$xeu$~O z--t-Su$}TI&As!Ve;9mjA>JnNxAt{8IdCxljM_Pkt(USJ6qxv$WY`I-9%NiuCyiC3 z&KIbgj-;(~V2SLLv0NR6w!5%N+x`qxH%$_PIz>2)^5w@T{uVD}bs^5%A~6Z}`UO`t z7WP*3Mi#h)6vOgpWM?{49>wCW(mm3X!(4qV2@6pylF#UAc9vFi=4FTAEkD}c;crC} zrhr@vQc^k7f$l@Hx&M8i&3HIcqD4@FoDGS%VUj zOLh(YI-9?rdJnV!XBcJ6%y7_UsfFgsPNp>hox-s*Rs$XK-}xD=?zb^7C?mfl8m`nofpd6% z#gn+>$ZRc6WLq(()s+O!8ttV48U9D?RB$tENo#%U8vdg9ua)dH50P1_(9^V4=k9Jc z#E=7Cx{+`TP*+E#t5R0rB8J#O3eV38jByZI)Osb^(fJxG7rcClie;!uxdW{WsUNssEvNXrgu#+UoOuU(ch_b zxXE9T$t)t+2mb>|^kfzM5lx!y9lBS4?riz}Gu_h*nawqk!TgTgT#xqVAY`^@hq)1v zU;q1kzeIIM-Pvp8M#Y_)!T{LGF$MqB39EHbAwUnQAJxs@QVnXt8@WN^Xv~Z{)A#u3 zdn-5aWZiSyiOVkZKs$LRCx89%5>)MXe{VDrb##>icO7VUZ!@v) z#z*(N@ycMU zc34`!58I0uWoek0b^pmsS0H51dth{$a=@;yj(2y3A^8>8;jl=yHjt**E(t2Oy@!6f(2YOl!~aE~--BZ+1Dr`?ywpz&J;BTQF7R0~ z{qf=c1;fY~0@9TP8*=xTTerKwG8*ypcAUFLcUvc4j`76;{4E{!JVUw~i7!zv*e= zd0p2Kv)l%FRqn7|SR-?{VRv6DMvbF57pWXMAu=Q+!JoYl`*vvfg>XA=s0zy@!zAXh zBPC7`wq`~UFuzgI3SiL|V7$b}@9X@}C%@3{h%pb(f2cof@=hSh3(i82h$C~D`x=zs VF+^%|;R@CrKn?s?K>qRZ{{SV?-PXGV_0000H4FCWD0001a0RR910000D4gdfE0001Z z0qk5`ZzV?&USJ9L`~4=&RS3lT%*?sIS#lsCC7XwkNEnHh<5;^EUYqP5Tbn<`ui}*l zcmf1MTupsd(>-0?RddckAuN(7*<|Lc>guZM>h9{Ulib|g+}xq(xp&>U^Dlb8{d4Ps z+ZecwfjkD@N9)5sX>IWS#P#DJ#B=-S)(5vSa2o@84E(*%F|ba2ivFCRU7UuK%d3m^ z>ErO^>_M2AXk((SiFPJBHPM-g&P{Y-qRWqx6>prsm5gXgU;^O!$y*mE;mP`VeHz*l zn3X_R0`n4>mH>B~_rl#iEW6DCmXQNh6ILwe;9hcoHO+zfXUN)<%k!&87s(|&t`6Qf zchPoPRYpAKA2_P9hrM-q& zdkwMn8e;7=#M*0!wbu}9uOZf6L#(}qCZ8tl-w01m*Fhq`x8W-UUtKg43cxZB&)4(N zY@l~Ya;^iW4&EN}+ei5A^y2vS^HVHT_`VLq_lN2G@hMdK$elUbKoQ1P5)2m_#7pG6xp$Sjk ze025n7z>vslcUyAvT_|u{s2pE=25ibs6}fsM1O+>6uxJLCcQ$WStDAz!*sdYBOzB8 zZ8?Z6=;ZRz>(tNJw&>@J#3LHY>dWohUJ>+>6T5JB9VYZwcz}N%cVU8gPg-_5!~(B3 zgtrC0fKF;xGc4}|FepB(lD%EfnLSf7oUbpJU)|UN#OJX|&W1G;7>&4&mcSkOvu$GpqHDUs7O1;7{a<#QAOWulmwf+P(SJu*;uCd0zS_A70Y-(UL1DhMz!oZdW zwqi_gT4IOB#14 U2omG$wXvOzhB@*r748Lt|ox##Z68G#CBnCYgV~yS|MG9w2b6ylE}#sk&`1LCr4^IGJHAD zByggW9dT7|7fy~i(aGp44Iw)6)N&0iHsOpb_fE}qk7OOb{Nk%G-4BN^zk2^Ck|&dN zd&|6Bq4Qj%k$Y0--zBaWe|-N3mC!P5V``X-)LJ$q4#}g>K}-Jzj*d9qOER!;^9d!i z5Sb5)?lg+@IiS|JNyclh{Pg~zHnYwdzE6xhczOPH;{Oo~{^2Vxr6DQyew(RC1fZfw zQo~y(ExU}^?3>B(T)#3mnA&V*sxXBiDlT{|)T!&_2+3BJ$kQ0?5k7V*P_faUwDn<- zIBZ3$^T7}^V}gpk%`>K7&4!~&Z_L;cs8@AkXasisX0{H7*K9F8i&k#Q)_x~R+YoHQ zkyr$8V2NAJurNpG+p%sLzO182%%i*vU&oa4+~0kr@6pSKJI%=Y?)XCg-gGdJtg$QV@dnP4NuDNOrc`tqTAFpO$=`YzDxbjdvT-S` zOX*z7%%x0S%EG10UCI&^6wUG*c{Zg4+48cy#-^1Z>t2FvY6-GlCCCPrU{Wbxpp!~@ z7M)be!6Y*W&E`q@oHO;FgUO;CWQ&kW7D*Z+g!^-7+=D}7iyRs&;n3JOAc*?II9b73=efBn~CKPB`2GS6{|a7TWXliFKSb^Ty|nDfc>uOQ+9J6ySh0K zcMWrd0G5!7D^zlxhS@JQck+bhPM**(dm>#ZM($mKw?H{?iBM)3tRD0?*O8@52O zZQ72(GrIkPVM7`)^ke5=*u|q5J$&kp11wk#P~%W+R1ApCwJ+S}iY;qCa*c!@P;)HS z;p(sqKKNd`h)05|+zB@LHD_a4v1AGcv9_*#X0+-GBMN3y%A^99S75-sfTEdZ+$Aom zkfQ;@WbB+DdB!d_z+;v?BcZx20ipLnb+rK+94L_x{hXCQv&QAQEp2k_Bo#|Cz57nf zcD!z3GE%$ZZY%389tY!Uo3>kORnl0KUSe?wg~ajVBCkZ_EG&OdG#)Fm#?EqYs@Wrc zL1#V-8yY+F*;3lVUQ|;tq*Q@u<-G-af81QwAe9A+sY)pm{VWBR_6ypiD$vpV;QcGs z9F=mYIgV3v?Th#bdCZkGwycl2A__ttJtd8I=BTR*W3G?F)Z`2`-Psj%8v~BiuQFEY zTaE>ENq`D>u^JRkT;*gS{Fb?A7>S zuf_*^H9pv@@xfk=5B6$&uvg<^uUq4V(GF20Iz&;!A&Tw}Q4Ds7;w6VDu62kq9u83k z(ILvn*R^1{2B`Qm)`Kln#FxC{1uPb78Nkt2Tx*iVu_kFmpg7fRB`!5d;!u+$?leha ztw|bOsj{h~=rol-F`Czr!IjsNW2<}s#L&{!Vq=Mlc_k{A0nOL%L2ix?a&vT$o1?be zAvAJc@e^mASzTEr$aG;2`@xg9ENjo;*{=SabLJk^c@VDf!FiAR-J$ew$w!p!YS9qC zOLa4)^uE?8{z5Dgf1e9Q%~4ha?Y_K=eAqNsRCnuoHFVgu zW{oy>NxI0-e8wUn1LM~9YcTIJ%A9(lE>&0f%tN5fTBdTGdTv$EhQ7!Zt5aGZ4tqpX z%U3EC%dcUp*ox;PJ@gV=w({m7aEP}}0*mti_gfV0qmfpv8#EZHR&Lu!s9#s9i?9mp z`|VgcKO@ zQKx^3mi~%fP&&nz{M7-^T=ZO;M zgBAcqQSQUT6#Rc1><=X%8pv(Z%mPc&8Z1d2p8_^Dz@)&SK@ttN6cn*{!bEE&Abos` z$iIoweoZf5Km&SeAEfcAM8_3|+a%HB>PRnrKk+v=EI>KYXG`;^QYS?SCbI4C+PS*YajnNiayIuM8wBu z+X4Ph+C0lX@O4v`st>=7Pf-HYhu`Lwaz}@yn)Pb6e0vv2)sO%2U(`T}PH_M$@7>#{ zzp2u&|M>JeH2c>Nj_+N+`RLyO00960cmWb(V*mnnAQl4RV0XWGAAe`Z5Kn&~!!tPK zbnmVsj35;Z4q%djfeA><05M2ufNM}NP?4)&NPKWekf)z}Jdha_nH*`{!~#|hAsHAf zfM&qV_jL^pc64`zD0Pirvm9h10|N(`geVmSvO(s%2l>3g8;prOW8072`86V&u6cX?0=jPANy-tA@tXKf51!SEF5c>wZBm5fd z?BVL`_`$MXAL0&V*YHCXd%6I9=jjHt<8OV@CDgXcg0000-1^@s60001&0RR910000)2LJ#70001Z z0qj~yZyZGwZkxqn3qfoLgoK1Ralnof`-JE_QV>@zE!(jii^&)n8wCkz$PeJcg(F8K zE*wEZfH-gm1SjBv2#W*;iNz*y(tKZay_&CznRfShA}~x@)wR5(-}ma(>*^ktWm#TB zy(`PIt7s2fp(d2m(^)o!zhi!83hh4b!S)JTH2Dplb}!nMjn4M@Zn3hl-Q8NAO1bvIDVGR0Cj#=OAnDw0+v%dK;>pMMWeG8-Ny90vfiq-DYa%Yv1cNje{ zj6u(XFkrhoH;dhnZi>#-vh^uZ&)7U`M3aHBVJesDv}aSOi#!#nXp6}iMlS}tD(sZyoMNCIX3IfxH&NIZ+OiA1jFnUlH0Nuu=A@epTgHLi zlX9sol;J$({uF$~Faz4neR)+IbW*q4$uaG;d#VuE*5(dp$cIlj%dsLX<3jQoQ2dU{EYJjj@w7&=v{=k}Bp03a zqpk@ps60_!Ew38Z2QAPvMRKM%IgcGOTdd6%OCHJjPG!SrYl0p9Hze=Eaz@mT zg5YiGoBs#3hJ@KdcRXwiyB!$^yWIuUtFJMLMqLK~n5gjM%4K*9H)a}C z|26pQj9;#d&-_VqG|$ruT4+2CWscRI@CL?eq`A?2;>PD><1#++V03i$QMKfF z=sFrFf35N7fBm_#miX)szh}QgoBGWBNuQ;(`zHRRTe36;aZ>F4=6#y_?4gOzoX_g= zV0yOs0=|62`)%@Eaqi1IRW`9ry;1dZosz`G z|EAK_*F9EXA!% z`rjhA6H${o4L(-#^2-6(SBAz99s$2}pyKI=eW< z2fI6lxWY74zEN-C04wT2Qp%62)ZfK*!s^8fIKe7Bpz73sv;c}a{}9(8-%a|vSy&mI zff6QAg;5v^JwXa>wk|SeVOS27RDdcx52VF_805(C$Y9U#NcVVOpxyDFz5zZz3r%y` z8MuJbB2eWZiW`WX-5ulooxEI~L)ImIHU+6*U|<205PvfR*&sa#zk7JP1~~>fdqh5+ yyLU4a11P9;fEYD^pf1=eTDFf3Y;O)w2``E{p8mJG_ugS<5Cn<_05Jdn0RR61mxJyA literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.(2).cnf.hdb b/bsp3/Designflow/ppr/sim/db/vga.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..747c4ff699767119f9678944554c50cd66c88ff4 GIT binary patch literal 872 zcmV-u1DE^{000233jqLN0AK(y00011WpZV?w2mk;80001t0ssI20000>0RR910001J0{{R30001Z z0nAlRZ__{!V=@_UIfE3)NjF0*2p zFOpKu=BfA&JaXUz2ktrWp#u*b_>lt-AA|o#N%F}wOURt@su90Nyv%(Mr)wWFTZH1@ zK|bA66tJj#VLF9!l3i@C%9Ha0z_aX=e4gV5Svb9iuMfw^oZgS#kC*Bj5 zNS>T808JwCEuaX*+pHMs3R5tWL7>3o5n7bmqKFu#+c4n1QyFtAH&ZI(=ZLHxG8;cL zSiR2{s>uT4N{eqBBV}Mu&3@Inil{;wynr|!qW24ebmaVw+@K>DbmWE|xucF;*pZ8v zv$Q~r^Xzvt#tNwXp|``wm_Sp7^fl6>S^^!YBF@;{>_X(xQQNC^_=1>)bn+q#THQpNE)(xGP;*3IjaLDQ2T}K!}Di|EVBm)Bzkd^^rkkSCxpkSaPSHF<>;E*6sKlgYbGbl1S z(zuBktegd^NexKD%=dK-4t8{Rg(!86U$Y!!A_D^lm}Fo8DU||ZC&ysdh-E{e%8 + + + + diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.bpm b/bsp3/Designflow/ppr/sim/db/vga.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..eabdc7598d42f6e85044309314a299ac81ac5490 GIT binary patch literal 1102 zcmV-U1hM-M000233jqLN0AK(y00011WpZV>$D*ylh0002g0{{R30001^000000000^1ONa40001Z z0qj{zYZFlvt`7tiH$Fgdr6__zr}NCX5ESY{5$Zw&brF&#wj+6wq>A_h#DCx)@E52X zaV@%VA-GiVfml&QMMQkXdy?~g4MU|M1xY;@hCBD0?>z50H#2Ro*X!LL8yoxOX1gp0 zE2#!nxw`)8>U!SI7=p>L+45j@V12M$=fLVgJMmn}uk&`6dY2*_qd3I!mWfRH1<+@hxtf+N}mNr}j6 zy>LLe1qxj{?j8#*q2HgC$Y6?USMuH7xdVjG0Ews>g_}?hx+Ws>PBn+r%+9Cgb%=rqTce0iJ*di*ksbwy2+ps7kwUZ2j3M@b zh(sw@N`DS15;M}L$PW=pv7oj&DH-DXsb=efo4na(z1s20bM11e;Z?FqwKmtEihkmi zJFU>0tah@Q#`#+FlGkdry-rs5vc`0~TCX;`rCPC4_NJTlR#vN4img(+n{~5hqvKti zDUG+A&F%u`{Oie$9wNh#1CVD%>-pT%SI_wwDvTg4 z3<+S8fq@A~^8qnPZ-8S^h-ZkWzn@FIQ5MKh28I?e2~j2qWP_A>c)GZ_`k~tN@mp~P U$S4K|9Wcqja2`qn00030|D>Ao(EtDd literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.cdb b/bsp3/Designflow/ppr/sim/db/vga.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..0f38f76911469e56ae7b33631d3e01dda9b9277f GIT binary patch literal 45550 zcmXVW2T)U8w6z^8s3=8BY@mREi1d;Vl_Cg82PsjICcW1rDhMJa(xrwXAT@LdElQQ% zJ0!FaAV44?kV2B@|K306&g^q%?wNh&%$>8>+G}x~IB}x<(#aEV{|%{sb5nb7A7>Bu z+X@noZ$I;Mc71 zeft06Zq@(Az?0jp7g{`w7%UV4`S(VeV}(!ekD!?9D+as?ukSoByqs+DB`#<{`O=Z7 zsA0HqlGL-ieLt4Jh2OnD-bF=a;~5aBD!yhIjq{N>%9Mm*pelm&nxkOE|33OIGaW@J z6bfo=aDzahx(%H`kExI5M{5~zc@#dm$f>cPYy8yj_D@p6aE#54Q4OJ%cCufEwa=EK z>`xY8&-SRZ+-1&fGt4 zu+Ixd%O$W1dT0%6i&{SWNuN4pVpvu_V@mJLG6vHZXag&x0~Kw?l3L;ySKv*a0v|K0n}&;C;?Jo1}dlHw>N*}+B*#oqFZ+x{dh zH1o6|F86n7qrn3pPTmR^v{yoHaks+BdkNrv7b~&pk@*{S_dX~Dc{VSz*<_Lw)oON? zZ#1dZ1jjXnjSk|C5vAnMHe{=={>?M)oMo1aEzGx#e)hVRIlPX0MEox^w&-ekHoZ(A z`ASjcJ_WP>5=uN`1^aYs<7u+lrZFj~wIv3x3|}=tWbCCc1u;bRvT;okfW4MSMQI?8 z2x$@fQ3t&c!wNPRu_9^XmS0AZ@Q%hIk^y3qztKRFxP+VbqlymxhWXUBh;T4pZ>`Bw z{q!0xuPaB%;);;APvz($XXM zcO5V3i^K!gvsM;p_c_RiV^WYQ`JQGoS60ebS?Kfkt|YFhuBQHHg*@bOtANjosPEUO zl1{C$Vb^BM`j@?QgIsr=?IeUoB^aoviZjPrV*!TFJ)JSs6F^1brF76cIT7=ck;jM{g43)%AQbkYH_E7LP%FPIDs${E`QF;?!K6``^bijkVvbke&+8SpHzPuFik9xZ ze_})7G4%T$lA;gUD8_==iPnx}cI2?^>bTgn9|V=Zu>Ny_g)Q28; zupyw0d}7%an$Sf8FBnpa-P)v>AzJ#VmS!n3VaNlE%=+1qe$P(MmP7ug5GON4lf91{L90rTuQJ5v{m;@4#sVI4R}mA%*lO3aIpHe z_bz?#yl_-SFLZ)k(R_PN8)@?w2VS|wQ}wh@-i#A0WJ0!mJqwSC#XCjg%`s~`CkZLf zDs%&<)h8GsX=b*G2b+e|Qr_@s zFizx1pzo+SKIE?=aNNNiw^mx5apgtkdE|4ztx-Lz>8wxKVoOVhSvLVqzDen)KB`37 zl_pEeF?DS}M&9kY82s^oUv^X7WbOJ#jXD6VN#%^PMTta;w5mfqA4A#Y3yE6}C1%I6g$}54;lZUE+~$wbgxoWkkFu-71&Y zj@0tm1$vb?6`C?`q>*qdVF4-3+%VqV^b#<)rq*B7PKqzVrspQ`8U8hMxurNoH+&+xyRI^KWShIbPI z5<&lE{8V`M0Vl;!B@j=n5o*;9FY7WwU!z!L$o0e@VPC_%R~yRVGY?3@TRDkKB<#C-{keO+ILpn+ zSAah~TJsNxG#KSS+)Vg0;c5{e)6~+&FPMQoze(Om%Y7o@e@FYom`r*pPn9)f+O?fx zGKO3bftPJmt9}^t;a(=aBuOX`!EqI!85H8KjHsB9ygPs#9h0Y$-+VExToJPeIV8Xbr0{PPa~8^M8Ly!A$bl z>$x%U?)#|$^C_L7fHm1^<6%v(^RuSty>Pj`cu$orAy z=I8s;q^FWGEU*iptcfV~Ik5!W@3JV53RE_U`Cfk=tWs)N7z1ISrX|P+&ZwzGWaTC=7*u9E{`3t|TESJ( zmi}wsEh9*)|60OG5zwrTP2G7k~V}X zk&W}Wu?gzit}*kZK%dz!9cpcL68F>43F9)D*Fp{Kk>BP;}pXPQ+kxa{2 znV10TkA@84tk;}`uKX=FSq*?W@Pe_#2I;h?H(wqi3AR%WQEKX^dGPvOpELIsU;rHP z4J`|PR_?$7(yzU)>3|5GL&$ruo73&U#LHH$CE@W=Z{y zQEV{TGHN@nlAW%gfse8$8V#|c; z{DX^(JCdmJqxW|qR|@d~rKC!7+E6tm9)E1H-)VEzpA~;DOWKUPA1m5i?>7{jsQ8&X zH?i65an|X6zw6k2eu4B-G2qI(nhOwo-*g&pU)jm6e*ML%ExFmw^n33)5rN06kh^Wt zYSp%IVP7RymsjPU;=ik68PzH2&}OndHyk0d&IL6Ec3Kq61i85R9(X$- zUX)u!Ttl=?*t;F{2~JHH){TCUyG795ccMNoXL=%H{SN{=c?ycn(qUE1wAob}mML*^ zLAjVX19$0F%a>ItreS?;SUK0sJzgkVPEC&^5z4o-ab7lsY2%?^c%FvQcn)C5h zl;f{VFBn8uJaAyy5jnhF(<{s*Yi6W=H7y@pK>io_8XTm2Y3TfGM;|3vSpIq|W&Vhl zHzUZFZ8c2c7`&_W-}jqUOBWVz--GE=GAsV;u4xvmI1E`{QeGt&b4%@ra~sJNVxQ!? zTbFc4ec=D%A!p(Zl?v00+0 z0oEIgJnx45-kWD3BH4z#RddtqSbL7kyJio9py|i{TS{z4^Sa%a^+5ERmo~Z(rQya- z$g1iL=e@Ot{@wSXSMjV3NMz{qBTWGhe0=4F%E`KSX(56p(nn8s2X-TDNuNM<&`wV&LvhJiVND^JP)K#5~hZjS!PmhvA1rE*j zW6De2gL=-ci*0Yx8Yim!+o--?+aiQZZTCGDy8Cks%^(Mih>@p~3Urry(%r|?Jv^>t z_68GqA8HDn-g4jfx_uD5?7?YhZalvD1Mr%dT})$F&w1axby^tKpEPNjVNlImgUKzu~L_?eguzo zlBkG2Fs~KbFl4#obruY~hnyU=!x^_$vJFi=JHiOlqj~DEX<3KdZvQD%M9%%=;W9fn z1?U}e+Nu?J?vo^hcut@4_xYHW- zSdn(CQW&X@JeJo_hV61(}Q2N;Q z#uzt6;y9HY;6KZ)GKEobeq$uqP(A9A9?}+6wvSoUfh+jG58Z4>@B+$s_l4G?CA-ig zCD++`obILO&$|W<$xIF3%6M2T_rr~2Qn)>pnOc4UDsHo!D9h!ar6>0M4xnYtP1EvZ zv^2%9I0NC_7C+aA3maHm1$SsJPH(LXR;PJ)UD8F>S~nC`#iCGgzCOtQ9-U8pbu3r1 zP}m$XBUQHbX{%9l7MJhOrhpG;C8ky8Y@HIpXwqtY)v#Q^E7wAEePzQ^p{u(l`5nyk zx@*|tS6;dQZqV3?8;R}Aile$~`|%3QD=U#rV$BQzEGe&qah4;Kgm-G#T^M9mB;5HP zykk69{LuZnerHczoV1o8APT!Y&Dpd~wF!7$4tkKLY582m410@2`GpFRJz|vO&HeJq ztKC~n7awvwd9E0+iZ$9z*#@$-TW;4B`%#)2tb#;H^g@+rx2A0%Vry7Xrkn&haKvjQ zVq!@Q{4yGv-EnlEhZ`cIpd~1jd9B%3)NDfI8nw`CnU;<_=}iw%3V$(eJZWKk9u@kh z=SvCZ6Ste51d9Fz@qk!&ZMAS*5|oKvyWVn!Bj_>*vlO=^vor}YW1Qm?x^{Xd^6j9S zO?JjE4!ZKt`!JIoYn6|x#ELQaM0M>3Hh@2*pDq&MyCGp10tN@*dYxPgZ6QiYvY+8O7H*{<08){0^+egv zroUJCYfByH?v6%S`!Sh?-I5Rq3&fV)>J5M_>bEH_;RxK~`ksxeL_;M$zzneG@x^MHDOlGfS|0jX731KOeM9OCzIX7_)0a}*(e+k>#gAzJ3T#n( zI$YS2eIn4Y>O(&;{_ZP8do9$S;P+e%5}jlm>jO; zyA395l@UGE)&mS{`oO94jt4stdwDk9bhhKj+-B2C0wEwo63YFTKgz2#4kG<4^t$NHT$0=Gx2RF z-b>GND_S7CxyvFp{T^rBD$(oUUiSu_r(c+(DxmyTKj<&oVvE2J2XJSvDh`A9@UuRr z{SKvL3E=*z4|dBNNb!_sH4>&D#P3Iu2GqdRA8F;Gw$G_LMHX!7+qk~P^3cZVJm{dU z$i7Z_%0V=xlhHYUY;kq1Nq5%1sK>L`I znyh|qx%rA3>n-@0C*Y26HFdn*@LOP?jJ;R5%0H5yPP;`8&5&2oRE(rk)3yk^yG8x2 z?VKVzN1Q{R7H?N6%g3{U*0{)k0A7SXvCeBekntVbQS>r}(I#7BN&mg-#ci&ialD7Q z=PP+oj0o>^gNd(LZ&=~y?&FpZpUWi91(~CQl+Obf0$0}?O9hiGDaR$Egvvq0(-{f; z;csUh+r3iZ`76MzBetp31^v$}uaB^&QN`sCIT$Z2D;iQjpZ_(hY1FiOtttR$kq*}l zfB1k&foOVtu?-mbWVYzBN2wXR8`c9y(7{@B-xUtLgqQ#IFlkI}Z4&`a>P_qQ-}A5$ zeu_sk4}4!>8Lq8i6wT7_xrJYtPUH{yBAk}`_xr7bu%PbENJ~bcqX3j5Gj6=W@49x= zpPwcx8pe{HHJc$a9ocKKEm<>iw_0wBxqT4Z(zyKg+;#fLB$4hE#bgYvw(Ep^-_E^% z3dCT@CxdQYOc?sLp_~mYwOR|w{#P?(m-Ay&p}X5`OxYrr+ka2zzTxFHgRHabwRBa{ zVs{L(>69ma{6gj<1R1H*k z7BUC_)p=s7eLzQ+%(ItDnv?p^rR_Sej_O%&TaUxbu#*H~CMRr23Tr@G!9hh-@&qP7b4jz!_g^^dhvv58cF$$M=y3M`lygL+r+1&E!Hn*(l5Yc_= zqvWoD{e7Gjmmj@Wlg7;Tba8XfDj0BB7Ma5-x>nCKtrcSTfmJJ<22dL3fMdGt$_+LP zs%QE1>bxGJY494SA0P52rv+}QNPp4Uj;%F{q5(+pS2-RB^UW?~O$Jz}Hkfw%?mc{7 zXp)iRS~(%^;J)p`->v2w*ZLnv^X;kawbi%d!P{jKQW+3^37dTAJC@Y+NkKQyI4{LL zHYK@Up{<8pT6Yg6c8b&DegMudGzvx&hP(Km1bzW-oJIZ9rds&Tv-2>^q{-H(K-0a& z+GNu6jK#Sp92o~xhgwd?@$K51iob}0L4B*im5{Sp@{yPKk-&cakDSr=cdb&zs0+C? z{=w>RfKV2(XV+(-gBSl*jZ$JGCsqsvbK%nZpIPpG=DE*Eadfn^DVrUveaid$A740HNF!_x+1B1Rp>_r^6Fnq7LKD-ce1@)@~sFx-D2OlT#fVkXa zCgIj{D*6zqVXBzCZ*!}%iFk!9#o5P0-Rc9dIxzADXbCt zqA>X)#0BaDw`sxO8=zW1pP=@>^w_OQ&vVUa~UlVj_^sjY7pI3FfTj-9fjaTsr-jJ+N z%RKxZqWVC|ij3eI(pN6L*s#P1+1jZ7&qFb}+2I&_7*g8+z(DT`1MdfKKe)=-A+g(U z>pdy)b@UxK#caUtM`He^IZ#2F4a=u)A>x2Re{8@~F)&-T&hCH^=RdR&P zke&n%O6TFYwhma_1f z>}V|-Q)Q=s(VYK8<+j+Te*0U;&N|Z_mnt+@M7^Ys&sx8REQ zR7I!7(>J76{&OwqwwI%*Hf3S9%FUF!c$YnGM&g7cW?Dq9wMpgNT8lqpNADQo0Y9_Zc_CenwDHut{9sGdfS7v$Fe(HimEvEjCt}mgQdThv+b8R zgA!)-YXp~E_LZZY3aP3P@S#V!wAKTFWlxMOACjm|lKHhEdB(D8X+TiSEcB(fx&zH! zU)1c_ITp-8a39Vw0#5TCUodum^{MDjUq-0kH?J`IRujC6xSVd;-8MEpdqn3*WdAO; zIF_#?DteaYr?ANNq{PMSk!LLN-F3x3=T)umo zTW3S!+mG&Q@YFsGoo`wdB;+Rem02TP%tMp__SP}yv*mjPy=&!WkT2N`a5mD-Qz|8@ z`@KFn2}aei{TvN}5g?kf6jf4ri4Pw9YbW&&x6Aj9{#xK;)Mcb*bCQ^@seo90oh!+5 zD^gy}*~1a0mhMvE?Rp0_e4qD|nX1arZot#n9u7K5T9;I9HeAayW(>_=d}aFYO(3 zhBGtuQ11Gt=(HJS2aJ;{CEr~+^0B`qHisH5jE+(bB zZaoJ8778n%U0WOty0LR(bG#QaL>3%Yn)hl$KOWGmr>Q&csMgQ?nRz+rzc80MKJ6{pb)yd5QHt$__ z_=UJ(lytJR@2YwBvxpceFIFtluQQB#Yh4_zLH(lb8ty-&IZ#KE&8TSZ3d_i{Sl5Ck zWl6K$tqTsa>@m*eFj#+?_#fpVyj5Ct~=R1Z8tNS9-%wzeEZ^V8_%Ct z5{Gu?s-)p|;0`=uxhnBpD){WyYXI6;6mB`R!i!FCz=)!*Y_GFU&De%dtq;@ZGWQQu zH&14>54o=A$ha!25BV{E%yRooO08c{HQMxkHq7yjsaApeF^vmOd89KJ!M4`|?gDHGtK4(~u3W4Y$(MXL|2rZf-Bq!h9t3e!>?xG(b#3oy^3GozEOd%K z%pa_b;&hPK8b|NOnw>W)?k!Yh6i$MSi!vd9SANefyHcjT3GeAD@cy-{p_y})7-@dR z+0mnr8Ko<92aZ0hkXz19LpQ{!fzC(Y6E%3Ra6Obx@YIBt!gX5><|Rn z2W5)#tq0B^O0RWu$dJ5JIm8D^dio}2FxB@ibQ9D_9j&mt|Lm#$B($Z5>Aud+Ri>l8P)P zA7^})J3RkgZu+Q^pnGREs`eLMkP1GAETpHuO?V*dq?AjzI!zB~QEsc55X&r9_WuZN zSx$Z+pgY7YdI%exDgBLYWE)uDI(%4J?6EitkrQ^k7`VO97+`VNIm33PrWKSY>Hs0H zUNkllLv|~v_MD6~Gei9(Vc7{#LBG!iU)%^wHfBz-DyLLyPv+ znXH#w2aDvj1dm2^Eg$nd3&y-Jd$ zqp|bs72_%z83gv}z^g}Fj&t3VHP!Ckgw>3Sak6s6E)ebeEfczq*~{tPZgN;K2NW58 zps5nndN{KlP4))Gq(+&R3;MI*y~UfXi&LYTGg`VVvr0%RODr((2Ws@)!lsftWdHkG z=(lb~a>9Sy#vXJeX$3@OMw9A>z&r&)ah>jc`4iNI+CE1#wjvDY09vJ!psm$E2AN0he zPaQ02Vo=6$ZdQj8<>f@=ZF0N2ypiL>;(437th7O^+ZjR4w$eJygIC?RTpjjN?CzYJ zXjuvmjOm66mu$dsD3}seW+a2 zzJcY1Zta)jYS+Nk;+)@3;H_X8BZfr4-UnU`PQ@8SDBkNZ_@1TgOKvJHyR4#FORN*tT>e3lZt}QXm(F@0Y<2XyBw283ba;c z1Np?0D3GlWMVfq86uvm$-L%#6mTQ`Hr1$)Ey++DkoeIFUtd81l<~1pQy>TDJ(zX<9 za8=@f7ct#)B5BVIbLr*LUWXnlXkv*;Yl7Nck?>J!9HKY$JeW+lZq-MzWN=PiAGyvp zd#j;$NOi}q&Qocl14x!BGU*>A9TYVUj1#t==mT~e*$Mam>Ds52LiGI4xqkM=+Ia?r zViivIsnm7jPxvYY@Rf2jjs$Mr%q{Bbw{w@CxwJaKz2H!}Im4$3!1!fB4dhH-ZXCaz zRv_E{tH3pdKCzeT6v10E21d623G+AH&Rd~rd6ORLItbP7EiuwsTiHuG%TVn;lj+)U{OP-lmj_sd32qN>IhN z@wF^4+V_U3`E1R>uEH!fnDe*}MDk_DrI&3FnuEwC$6m8*zM`kOk=G@&m9sKu9Xolu z(LXx)R}P~Ne2|egafY~J%nir-P`w}7TU!Bi_P>(A4_?(GLyvsXONZcb4O7(xuk{l! z=>c^@@6_eLhWtr2E%sg?(c$8EJGd8^l0)cd>en8hPT9m^HFUgJ{4h<;U+ZPCd+TYn zFEgBo(&LyPr&Yt^tU$10{Zq*ZNc-CC)6|jUM?#fE+Cg#%r(M|(Dy&nB zx)^nk+4yUUyV2@JBCs!`JGnF>C{}C!{-#U;G>T7L+E6(k5b{CN*?yDY7(6><@J%zCNsF(%Pf)6KLEv ze#@;ht%*=4?)3fcE!P4x6ul~ZlrRD$QbC8?N%JpyY5?4_#|`TfyP6!#3YF}(6zb^N zT}>9*)>dw~o_|%j42K252ajvbT86|SOKHCG|F7)02d !CMB?-m1&cjZ$H^_0#lQ z`o-<;Hmo1}8YpMoK>z2g!ae39p3$s!;Md#`PH|MHzsIq5>%Jzbd!<3Rxq$F}<{is8 zOn>9UB8DQ>W@LmJgJ$leg@Ojw_y~m7WnuyAs0libK5Aug`&w`UHe75Q2e3-z4Xi`m zsS^i|B<6EW&k_+8JewVA0*tfN%i7e0e--^Bw6l5KI$6MchyYr7$sdZ#kqHLFl4x&* zmNl=ySpji&pmjW_qG>V_OLA@diI1ZhsCJW$8_XW`VMUtFGu1h$fikJ@{gZ7Mpb|c( z@=&h+pdgcEmsbR(?lAV?;1`rAj{RM*h$j3sqUg%yhS2Adk%_-pVxys!eliY82GEiS zn$JbE=gvsXt|PE}+w_BA zz!iW7Y>k63++t$t=8FFCm9NtCMwFkF@q--L@iWnBJ09%85TFw32)W;U8Zi+-Fk-YO z_pTZb%&S&4?gyk*%0@9S9KD}apfOe){w3}uhvdBX$(ft!I(n7!#AF>e!$BTKSE>Mp z@zNj*T`aV=!C|srJZ? zymPURRlr%!OfEs`o5EGkmoKcc$$Ge_o9W{*aldw{+Xeo5*IR96@Ss{$Q3K1m0TzWu z$&uqmN$0{^r<#tA*ydGUzV*4KZ^fnDQ)EPyxeyzQh?}h;3eKLw8UZvnf6FU<**9>f zkn35Hr=_f`En?7_eK&?FS(7R!^YZQ=bsIs_^0d1wy&c7vr(6JFM%9rfhi)9?ljhne z;^DOX08w{!PXR(`nq!KhQS`;O(j8K{vVnx${-N`ipp%XX1kQ%FORn8zR;{9EMTd8D zJJ%5NHTHcQxcMO#Qj_ne;*h}F@y<3e62)j`#+1+6ji%h^s&-cG(RC?qV{4O8;g989)3if=-s+4gJn&Bv87JtUlQq9M* zx31Nc3JD$GJ2Vz)?w|+Y-}D8&QETZ<~8wSeR@2Bj+B_ z8sealId51zlNhze{te+%7g*y5ioFq`8?0_ZJ^aF7Mzn|E`SKPLPbFKQN9K{o%TypO zYc}XKhQO9QPHEk;`Qy;nC+A6ne#n8wj9-f!zVHsPAH?0W|1TpU`ANn zq6fZu` zyXjhQtTV#6@ub#co0Ij8Nv`&KsdC<&Z>VQkyL#53@3?tXecON1wnD+|mT!VS&tAVH zg;RPD{>x)6qH9bKqP`ft+IXA*lsi4-v|lv!vB8y^VB;;D3hDvBD|p2%jlcIa30`uq z2x0n9pT{}KTFC7m%|_5C5kZVJFf3b!1g`#qwSx2@#aX@r!459#nwdc2>Qj&D;sv{+ zKj89!@5qPb;X|a;xRnP0daiz}l*NVU|HY($ELo$h7 z>pH_n9ST7W$08QC87#L#I7&~d5%EaA0&SMZa0Cz9xUtf-=`qQ2#SBn0Bqaa@k>(Ri zHE=B;`~);X^p?9z46_)QtONtiRG_QsE@zWrqn~5KLx=13?_l-)sOUAH$wKqmb{kpl zl0%Qo4S*y~Y}bD09tb@jPPx2gN5M1(orC%5JRJcJZ%X10;xPhwz^s1B-ST7F*F!6o zrw2fA{hyyyMwixWW=9@hv0gGQi)cdt)g)8)Xncas2c={JndTDOAb{uoK2)p}y|&$E zqY7+lV`Vf$htdYshf?$$yale!zbFZv>M0RsKFce&|tlV+}_ODOII61-*z8b*>iZXUT;2LBW+)s z5R{+Z*rzEl2qnxv6)fJCV@MH4EIFoV#hZL@gHQtO5H=wH^ z{5A6(DD5`_MZCS|f`m@)aNtO3;qZ1Z;OlSLcx6|*=%sv)MUm#JEv zCwqZA3KDB9@ZWS`!+1z5paJ?!#W3Mjw2;vJF90Jn^z68g2|KP>^{5|44Jol%>bWRV zfIYBD#s{^*(f|Mik?l&#YY7r@kmVHe`2^x`pWF@b_LIB?v}gcEj|^QU!!2en3(!z~ zThKYW+;Es1tw|i6DvIwxSsJuqy{=QZOdsSn>ZgpgKD3+@7GuSXn{Df1Tc7b}B$M>Q z{=<3XeJ@ z&~LzShtgu6rkf8x+J2b18KDx=x&r$4J}4WpSqXQnk?)azS{H|Obne$b@`%51^r?Tw z=f@J!g*Yx=_q~W6{b<4%uH1q*h7|T66@FIr`{&u%*)iKu+8CxO%VZF;FqQGM@by5( zIPVANqFq#izGjK#@VU?1;sudHvHeegnkk|WH9f-5xOqqtaLzVu=v!Oy*ZsZyxe%;f z|E8`M2gjv_)z#i-qIfd(XE!z}m>d#&@OWzL=AEKI;X?No<7A>ZNZ;;?0u+7&ym&}x zZiiz(qOMpC7yXJo+rnr?yU*|AjCYxnA6U*%;i6)OI^Usi9yGF1fV0PaA1N%5J&A4D zx8gLhbw<0kkgLjD?isD$9RkiIm=zl#OZpM+gwriAUj~L|m3N|h)EY8|tsVGN)hv%- zw3#E6!6&X6Ud2v*z;yNEft!Q#ICv+Q|)K-s7Qi-@zN3pv1u#=l-Vm^}l%@Owo-0 zB<}KXm4GzRhu~84B!Ao4mfXat=AO@o!xKfDll@dqImB0bS7+;RT&Btj*(aUv&hYng zl`nBtb)#mAd3svd#Ds6|$)-6j7icPV)tPJW%kPwaQG72G{Iz=PT2`;nm)y~3rnnOQ zzaMebui9J1*-J~koPKkh)nj(o!NBXjec_rX4QF4eO!mlY6H`7`NG>>kk+Tu1*E`dc z?aA>v+QI1Uy;48XYTMWekuQqPx8ATKBEHF3zrGm)* zWr{b3xz!!o&Mk94wDWIEwH@jI5)x(bFb(FGAL^(S!%>@`SQJ1DNg{OS{o?E=hD0AW zB@BiYU)kQzNcc?XxQT!8(3_vG0mYp)jnyChxJ@jVU>&s5VIPaYUbGfBrX+ z*l<}l@%0sk-_|9;KeM`wb15j`szBGvXhfa4s4#9N^~GyS{O){c;{%9ordlL3h{H?asHJiw^lfu^f&| zE)pI+zw!#8C4SJc-jBT+rU^e)@I_yZw^LMwB-~$@A1LQ#gZ*18S_hHoFdjJv`X#>gHnobJR#)(2;ADW>!V1b4J<< z3B9M~Y)x6#+@p#-{YD^tX~rd({24!$_xr;qziZ56*wM3W*od&yL+%s?{8UEPo!=%XQrL?c%cb3<*~X%V}#!L5E}^!tU-UiDP8E;ZN5o z!1?P25aWcAK|#%j4Ks%q$lJZ_?n|Mnqv`f14uaGGQch7%Qw`gU-2a|JZmv21lD_+N z;^n1NS+xmSS58I;=>@!b?&9TCix%fn5;*V*dg*5)F#Uk_B16)Jxu+djKV$Gib@om| z)YHafezA-7=nav;QrzWy^Rcbnz5wmbvvrr``kU5~jvrX3h%GDaHSBdMqX+zBtOfQg z6@!#l(ZEYb`|MVukGO7BOvYfA_%G(-pckUOq=>SlJKUK=skYLPgW9=2saLKW!>=1d zaYK#);P>q=aL(F>um`(|s)bXb@Y&LiO;jTLXF=T3!JVQ?y@X3(u%R!=csL9L(<%gT zawWLHqM_VVEW@mvZwG}&{3GFIE&ufxy$r5prgSIwD9WFm6Vpq(BZ&Uy`5z40`m!)4 zS6bV!n|q&WzyDj?PG~}5vv+P9ePs!KA;(ls=_+3%K!5rR`|h1#*>!U2 zd%h-=`9?hWXYx3jY&$8KsfSs~y7eE(Abh1M>)9CXs-3f8;pLaC=e|W;6J^MUHm~tM zzOTcJ9M!%0*dOk^x^eLQbdJaQVEDr~7&<-mYFFhG6T@#*%huS(+|b#O4~*s39~ozK zlgByAp@zOtml5OY-jDva0%H|NdZ@SJ8FKPIKBMFFvO$;ruHQ6J*1lEgRa3NN`Spbd z8dilv^7;_C0wz2f+FyMZMBH20`9g1fPu)9yuw1|2_|0H`s$k4#OCjYZXj*PA|J$dk zJ>U?sQpd?6FUwIW^opfeU!B{bi8tsdV8t%W6k_aEL(Edl1l=T8q* z?_sd$S0k`X!C_lG2i*T|WaL=s{{WjnWWPG@mtAYhszhze=Q`sA_sa%?%RC`sL-kd0I z7u0D}phVAUA!S-WF%Hb*6c$Jnu#HoXk{392MX@SmwYawK@1OZug*Zl{;P45|;!_T_JM81!FihkbD&aTGfZXs0RS^ThX> zI#x?H@iDYMrD)CEf)WSxp_aZmtpyrTg2!hD$T56uI^oXPqJSLX9654uCv|9Xpm|i1 z=Zj7xBKbr~;X`I84&GAdH`MOb9Z)!bT~Hs_O1y~?ZKIZrjV-YC&$aTSiYt{z^AKJ% z!GEk49~Kl}U!+AJ9|USM9^F|>C2;pwLE~LF`_CBoK-$ZwCx4Jvh+FXj6lvcr>FH9( zBmK+C7Ffs6(b3LB!g{;Io9ORoc~ht7K@rcJDzlI`)!(*nk4-Jw4x{k^2fr5wdN{w_ zCvky~T_PxRA`$dN{C!=t{wF-<{s!*R=@8*sE`Gx{-Vz?8IZxNyeoK6lS)2;;Xg|AS zA#JPOE&eW*Bt!f~&gkT0Em>(SNznbA^AnOsp(*B1p{WHEKC-$?(0zsbM2F|m_di+> z#bZyweiAyFzts*}zE@b+3JbdxsbU>rU8Vfzb=XsD@W}nCrv&NM0hX;g-c()Y#tD#q z;ATouXhXOPIkIzPaSA9t_L?XlL)%>(mF5GJCPygQ3Jf~o#uj=pqtAD~lpmG;eI9P7Z&A8PEkb2x)p)aI>G1Ii z&=#I3CO%#ebe0Y%e6W^$-sGxw9_i=l`uLZ7_~7e^UvBX=s<>GB9|?bV9rhG0wy#f9 z^gU`+@KI61_IpL^0f#b2qMt>vvGnWj$|*;J4|ds8ru7q}4)l!Xqy4Jivi;Q)SF9Wv zg|OHJ*6VhY+$z)1M;E){b>;>JMuYW-oRp zI%?C)zpI_gyzd65mwdP6QiGO2zoLAn4c3iC`3#y^h^2{p$q`Rtetd{pGdZp%=(z*h z-9hdAcmVXvg8r<pQrxHnnd;*FMWxew;C+3kQ{ z`NL6mkL7xW?Hsboe$yPeYgsrqBK z6!qSzS)at(u5;#wR#`24cmOy5Rl75EK;ecp{#`9@dJ5hpK2-Tr3?>Xb=ikD*Qjq^h zhUQOL^hYGeJ6m`HBzuGc*XMpBLQs=(Pr+X8e#);QztK}S;vbv(k|^E^R?Fv@N3AO8jRPpI(b#Jr zaf&BW9eTZ~D7b!0WR`o5ij`vZ`*tM zx~N0z_YR=FlxWBM(L~vG)u-)Rw8j?WMC+@q{HWr>0kns6td;xA4r-fC#oX*%NcP6iMC?#g2Yc*=? zcaHnRbVgf@8pum_Q&Jmgc1rX`muTls0di)iU^$Z*O)D|hGCNtm14=L1Kl!b-UJ@6W zcjzN8y5<;LoThTU6z=&^#W~7%@-Z-OJlruBt(R&Om9JG@#v+rvT1W|K^kI#J3tzt! zblJp%qhURTp2co>{((JdA?WByUpv6J+hZPcwrfPOJ+~7sPxBXl5!B{@KuEO1Y>ddG zOO9egNoALo6vn3iPuJ@wl<63i@?RGI-*k*kuyJaU`2Zu!A9UFLENp{WgVR=yM2obL zGOeG8Uze-)(Ji{zM*PFB>XpoTMYT2ZTlJ<{??$4-?nwJtG`_^mn8;mhtBW_GlQsl6 zJbrNik1?aXNVTzDqYIDNiSY;Bp|09`2J|Gxo7hbiX(gtTt7Y^R>+Q%Jhz7@PK*_G;xvrT@{y%I9rSVn)f%E>A~)qy>c!cK6%6GoqOI zU{_(~79LReU{~S%79WrF>%Thsc51adHc4&gR^GgAP2sQ(~bWUd*hzeAuY{}E&5b`Xe&ReI70bJi;LD4aS?9q&bGm< z|I7$F?TUESY*&0EMF={2iv7iHH~U^p__yddF4e)Vj#3|vGb$oPLYDiA*0&uxDn(i3 zbEI&=Xs58N?+SOH7G3P>FyYciUFsOBUG)e%Bx*bB$claTCpvh9n+3#U%t*hIv;y>W z;l@{1Y>xscBN{6->=s#_rn>VfKdbu2^JO**n_u?3o1iD?ST$iH3&zlc1<|D^C>|^d zk+*Zm0y*5+!bcW=6b02O=^c8ehtW%`8?!K;MKcJ$SSn-U1|3jZze-SKu1g*L{l|)% zPKpCZ==QyC-@8&}A}zaBL^!{bA!v_|D+FDoqg~b+#G6$+pm6gCLHBKO)06(`?jy>x zcfMqrMPg~Zx3jIF>}8yiWX`CwxMc2il_Sx*{@$WNx;v_N+ZK>6zKnErsbi@34Dh{9 ziK%|_O3snQ%Mh?)R{hoFDbz)-{MQU~dfT}Iimc8T)aQ;RePspQ$p={U2MO{l@7lVy z9pdM7r*k$zuTN`Up(Tn->R$ZZg07|3Ur2I;!qsZ0S5RGY6#pF14zZbbD7DnKkbu~^ z6DvKL(UJ;x=d8cisYPab2B9W={7%qO;$xLjiaH6GdD-gXc{)Madt5D9NRM!TD@~3z zo~f<`1^#Q z^o;iT(tIGHw0@ou=St(}r6;7%KVtf>i$jq!yB*989HbM_ z%>-p6=t)$1iX)ZJzp~|)DT;FoL!X!M`qX7tcSUb~!&Cy4{afFANw`~yV)WW}+Q4E5 zmHvFOMNz;6wP)1Gkyy*|mCSX$9fLxF_Yvz?6RW8|HWKJ7Eoyy?*R>TVvj%wF-=Vg@ z0Y&CYXxU_;uSlrwpJZcuN#pFx+dkCE!is0aR7r_Ahwe95-GAvYUa_%n3m1%b3L9f( z!Je(r#m2CvuL$zb7F2vB8|s|jd|J@v`HlMFcj8B-FPydQuQ#oU_cM8y_4YV$RC%~& z|2^h68ZksC5)%B0q_E@w=wAixygLalcPGE9zUop(PcdH7W|t9fUNpg2iG+^{%M)=8 zds;_z<7wISWw)f&z_N2ldp+Ue%|;h#A0uh6FDTw@P^5jdpqqABB+_Q*!*@oEZ1SAA zhZXyI6UEcFThi@KGq3No@}r7lMJ4>e_Hwyvbc>+jL-u?v7XNiYza<&8*D6SCcR}&O z-WG>Ie=jI22VLrTr2ku)zX_&PHxk5{)?QDum%<+MdWnwJwCA^fqn>Rp=s!BfB{*la z5~hxx;@@ibQt9splIYk3!%n#4-?`3%8`gO%sxHxZr-Z90R%|6)ow5}AW3P`VwTv$M zV}ECLLFZ^edjv(soe>57v1a!@ey5#mRZyKGGP@$iAMR~=^E!nUFRVWB+%R8rGAq78xNSVHLJErtcbOJlq`<0iNkMt)t8K-s zV{FD8bdbUi1vLu`C{p-=ph&^iDx=emFKeKsl2%^p|FX)%YOUVDUQbov1D#m0##a<9 zqdi;W>(dK;JBVkXn}}~4OFyf2ws$BcHaeXro0?Zp^Rb@d$;`IxZV}@h`yGrWem_Zd zu?3)usr4gFhl1c)n+RG8x`&{QAcm_?DE9RVdaR&G!&?7>pgS5*g4h?Yi2TcRP-J$z zfuM{o?Pr+qq%{OxxP^-Z7`3A8$dC6OqvOc?)E~Kipd+l#Tj0I!e3PgGV>k znMbK76GYqQ57Jp$CC)RTC;t#FX1@mr_pw$_&TQ<-EW+j4bfZg8u<$tq-K+(rCyeUE z={eP+;nI_X1pQ`93qmV)(7`B<4Uo5leohjUk!tG1^P~MwiMzuMt7JyU48iS5`B5o< zqm^*+L# zUC?b>P4{md_f+{wt^BBh z{1NK)t1UiQ|1oC8KD;$aukR798N~CE!qxLK>MOlwR4|mH4QSITcq*Zh;rhsE7D#hvY6!7~l_Z5^i!N-%Pz(&{Dh3f~9620bmvXck&`kR8nnT^Sh_TM6&IwLo`qR6D(1{9e! z-zr=i7l4p7i%9=n@DItw%&Ar;||~Nk~K2!5Ca0s&f&rLsU;xx)G@Y<%{}PQYZ3({_6Tvs8`XbOrPdST+^ODc zo2jFtSF~5bywX?hf&eAztYG>qnN|Nunp;I!pEQnyfh{nic1C*Y66w`%)r$m_r;V`% zU2>#5$I&11$=WMgw!8K8&u6B>n&|C*r=tb9IZ}8pIdt*V67BMxaN6Nn*^OIJ)>Tir zPH5YDJ+FM1CxMmK(UZO%^{D~vTq|n*9d&!Cue!|tOsI?RN# zXN>?UAt&Z$I=8+jz^=m?E&J;&c2)VAt-Rh~QNB}utmmK*@g|W@v(EcPD|&5nEm}NB z6vBGUu7Z#A)f1DW?k5e1r_iMxX8i5Zj%de>m3?n8EFlGE&CHgl1N6lqMIqHJ=M^8k z#cb;?A_rrQqJE+SEsGpHYyNZLJ}>DfU>fCj6t3msH}t1Zo|nW2ql^Bqi>m}BJ{VN( zC!hCwcuoTSF+bkB<%bj?x7aB#?(DhkQ(F4!P4T83Uq+?9nNIx;T-iJ+u z;XW?h_I+l&_WOc9+JYhl{I9dWNP+$yG(ZY*cPd}i;=8e`T~oE9*IT99Kay=;MB#Q1AMn`E~J{}D>@F6bZxa1Ha4}O z(6&URWF5wegZKDZN7-AQ*nVKyIXvwc;r?54G`eA9-xHKIi$UQWUB-H$J)dTbW_-3- zG&=2awtZ;e7|j~szryF+{OwgBV`=C9A3b6Jk5$AN;kH+Stk&v#!aDhF@!Vbo5?}P^ z6D9An8kC;wASk0dmT6Gt$lD95e_ATrw0F?u{(`dRZ$r^7KB4i)d;MrX&rqIkSir7aC$FDMi4mU{ZX1uQ&>w4R-X1f$ z=x+<*^5#2lni;f5Q1)rqtpZ|Ddd+iZK8J#+61`^RI(wdf8iSpz>d~@}pAzMd4pA zKJ>>X;-6mgF5#i#ZdO6tt3WJZ72!G)I;$BSOwl7o+2KmszuCY=I!909W?et*jF<= ze({+H1g(GGf&Xc=v9iCP_}JMF)?uYkBE3^{$02qTJNJ^9@el)-6*uj@X(aTV`qw!! z!6PHnW#UGcI(mvP4&Y`A6;-(ry~;W(xasUK-0*ydjpibh65Kp3=)$7H(>Vr(o3%9y zV+Np09X-X@hQtkRc1lq7D?Hmb_qt;ZZ3U?wR9c* zQoDQ~{8iO4Fg(8|+=p5a;PA|MNtZJUC6N1v#B*@-e5-ACre{^%KdJ@$<_j&*F8_3P zPe;2zmsY!X2)b~K0??U+`_YV>zYmF>E4cZfW9J%GYo*@AyXJs?dVR%fOhJ{$Nm1+-l=pJ&e`Ua3Kyh;sLHSbM zXI0O<{eRY7*KwQxIOic(2}-&P5j3niU1s% z*&EeSE$b)0@AS`DAkRY6%=9k^{L^HA-9dRI%HujeCrScnH>;yuJfzg$|7D%0QuYgD z?AcJ=pY2~!8rLZ-W{mioAk|3-#oIbw!d8^X$LsNQosI#kCSbS%Bm>VGFnrDHNTSux zjE-b^P0RIm5I-6Mk|4MqO48D~BUJ^^QOF}x{pX6!XLl)z@hT!uDApxMF-GrTO<04g z0V$a6DENWPou0!!z4ab<;Jus7@Jd1td-Ru567m`^_K<{nQRL@1k_b;HU30x~F@KKx+s*ixlj)RK&fK?MP$~XegX;{GYN-1FSqXdn& z`bR2YUaS_c*EZfWlO8auolW@o@(U-($Vd4;GU`hydRtA0cYQ~J)6*KyA%@~lN=`W6lqG6YveF$_B*_@ z2}bvOcM@Bl7AuEt(+&>rB}{(DM3#E@!CGp-?Lm?TX}qrAYnX%+%SqSqRivUB{a`;4B0I*UEBOGC^>?k>vH2Y|IcSbx^z~VbJMn z0wy7L;DH1DrI)y}8N`e;BpK8SAv#_?TW$NLEYHVvcWYpJeV2N+gVY{E5O<|0a9C;! zK~H2A$7eGL{2r5!P7Wr7951(qgS{23!NbFV&P03wH98vtsluFG$Emv@c)IKpIy7ju ztbtxB%VDW4h$RJ>&7pLf&WZnI34ogffq~_CxgaLhEpkCPD_u`Ra|=DZQi#izMZmQ{ z9G2RG_?%4OJEbo!a#OSLZCdy%dMd}*jm9Y3ik_dxOJ+!MYZGAe5;~gbQc6SqV-^Mx{VTo z7{nTc**}*Pr_&?p7Ie1mfSva)2vmc(WV0=c7(@`#Y`<$WnYFZ-Heo{BO%?&kidCpV zx1fW$v=L*d76E<;C9d-`*Lmu05ePs}0;K8O5G{f#Bsz^CTy8B`y2yUOll(gHT$ss~ zjy~FuagA^7uOFqXdb$kPsA|3`U#;I=5O9Pbq|%{S1VZpmjJP1wbju2IYXIIYA&9{z zQjCRcePQ!Mu!#MIcd@1$G@NUiSb=2><{Fd-Uetf-MXr1zHDfY{L9HPK5NGPfHD~hG z6hdeggq{oMx#s>~s&^p-oLyj!qO!iw3n%F_ITX?1Nm*Y|2SLc9N}WkbXfO9#2Dg~u z(Plw_m3O$Q(?+fHHw4iM&2!JFVQz3WSwm%gv5)Xp^7>&70wqhJgH6_;R#HF>K|liB z1$^Ov5S~C?N|cSFzjDY=kYp_L_T5&R7{E_phcYzo+KDA^%mGd;dB^{o zHW89w!ZBXQ`^`J*w(+q!Qa-sdh{58zdZv#|Rhnm)dkaJVu-t#kIrXzkCSBR?wf^S4 zxJ0uk8X_E!m{i$S8y0!afv3xoJP9Qzm6W~pR=Q5>z9~klJtc#*fAd9GE`;g~vziYI z2!hls2))EufVHXK`-^Ed(?o$ds=L>kR`>6xsW*vEuyznA9r#t&>4Xz_fx{WRToBjz zki!gf-MjUp)T-waB z7T0kGPaS{H`;~d;^K04(0mM1lj&pZGpc=69ja#@Dh{IA_5YlFtb?P)*b)fg?kbD}S zKF-%9;L)s-S4vb2#Pa!9fCL*YPJ*~Y=;V*=zN@k4J9Fn=J)`Gm355Oq23Hd5C==O zIWARy?KtzL>0@ra4_+aPm=Ae%o6c?)pqsF&=LOm%3=BPdg0O-eAhcN~0 z95@LfR8yEujt36#q2AhxPUrrR!=M*=uJeQtNX@}PU!CgLd+hyJXj%|H!RW3^9G2QX zp}#^bKATM*h>&^bhAITrA(i0ozkj+;L&Y&iiytL}w10gEs}&sDB}2QaS_1;<6w1CL zG=Gmi2PW4JL8w+KFo&fih{XF|l9|3D(=z|Sh;yCh>tNs;JZkD|tC)NCS)zn zDRi=RY91;q$UXKbUSRZkdjr~e4xa!Tf@lf^oi#026~aKA#RWP_TwEbz51;7COd{1t zLTEW`zB8*@X|NQ9K2Q-tHHBax8+d{9nm!srVp`ViDJklN5aPP-3@lFwfz%lc3}(M4 zsr4!rm1p`XtZf z69MC#C-79K!zY|ZN!k0uB<>O`uk7452`xBFF~?Did7qgCJcU`r8Q4%#_fUC=$c)u| zV4BP%dNOHLOkxiOlqK|kAT*9TF^4#?Bw*E4hX)RDH;puh5}lN)^<%skO6LQIbI_>@ zVc;B!TmMUoed1I@sC-ez@#3f!zr}{mJe^=NNnhhGkWiRJT-Tj}<*9pcVEVL-rccX$ zzup`wW92C_g$J@HN#AR5z$D%u)2G|S$`d=ZP2z(xeah8cy$+MfBSP@ zeemo?lbBJlB4!TXkgM;ZFiDU=onaC^9#DYE<@z`Vg9$Cwzx7tGEUmSsYAHoN&iPnT z*U7+ZI#-1-5RZ?qag$dq@;?#jhpllMgz}D7vFl#t{5;6 z&cbArbFK;@FRNbH38^ZCftJ8l(SizWV?=pt%a+WxEJ zK`HWaj_FgFc(-%Zi!(wX(RsV7{$8t+hh)^JOGgbt$Spyi6E9B8rcaVx$7}#0R8t5h z1VuSQR4VzoQeUeip@;vwJ%?Mw=CV7rBjkH|XmGgq9FSIS^I;aiyQQjM48%W3pvAPn zJZfkQ%&*JKXU`^*L`WPSbrS#vGl?7zM!@~X?p&EUoN}scLdhWQ-v|x?31LLO+qt_C zFvb_e9){ZB7ntvatflk(y3XDQ6GHA6kN|h6cPc{jxd3t)^dir7p1KEzKS>A+NQb9M z5FrGny`sWlsV#)l)RT>};1FYFU^WQ>0jQC;VO<-a?$gM;RXB^>G~ z#uV?b88tlR=0LtK1x{`rRlgWGXfl1;T8h0Tn?Chq(wNY%4~Djf*|E`m9`n0%n%PqI2NIb>7i{bFQZD!GW0r-$XlAEQb)B`_if9GY9<%OL2M@92gon zav@ZiKroc&c*>JXenxqQV100(ew2XcDTaz%097I6_|@yGOH|8?;*$d1rsG<%8G@*> zh6!GCH=#YH83I{4Naw_NYs>^zO?7zS0H1LI!$t@%$^A$MY5xwt5V(Q1lDZ;;XbHhp zTekRU4;6qw=Nz32O*6s_CWIU>>i?SyC4?}m4wB_X&F%^h^EZ3BdwHXbW$T^W!^8(= zl^wo;sD_fd`vh~yPiYRh<(X}B$Y&0+(*@+i6SCHP-zAv?5fU}W(PR!)Jjj9jAE%2s z%!Dl{IjD8QC-RQRHRl$RG0r1aNwF$?Pv%j&W6?g?H(MiQL7J22(t5*PvFI(!9hyXC%Y`pR8PL21qULZYNo&d zN>gw^06CuWq!Rr1$J*)|vGV4QjegPeq5IU0>d#1QywGOV9IAZO<-3Q;Z>gI8GrIr! zp>4eEA_HGW=0jts6E&l5>^@+e^8_9^IUeP}ufLuvn?tRM(I^?D{hRwm*nS8DtQ^*^ z)xPTP7k8=E&xyUO$a)Vtl~ z>LCcJsT7#QQWAuabW>YuUqZ&iHQKBuhjj!4V5-~!qaN^F_xWR@lt;oENVx?VWN5$L zh47H90jy7n%@D#M)OJ1CZ+TkW4K%?p5$dR2noTMJlk!?+XkanLUARx_dscH@b z@oyGrI_dX?=9z;pD_W6j7YUDKHr-xo2P_q4KXrIfJgJX~QZIZX1W`Q)?KmR@Qu8gF z!{ie`m$&~yNB9I@tV$e~+7WU;m96B9D>@g`r@#bOO(6s)n~HT!Dk*#P(R7`b6=QqF zkCH*!zmpOiszQQF)fxsy$WIGP|MQ$a;XnF{2lICzx=Q*lc$;Dc9%1$?Oc^SS18i-1m)#Ti(hx<|-g zO9*^r_%}KtFjRz))>du_`>X7tYz`Shh(?<%L9Hag1Rg?ED*4%3e`!a;8uAD^a>3zR zwY<7mUux$M#@Z^>9p-@aa#)_{I#1nAVqKwMFQ@qP(AHL{($(4F7t!dXngsYwgXYMZ z#HwQDoNT<1-IrpHqZp$g`FATQpu=olPbyd(^(tOf+#L4ifer zQwX=B1WSe44|p=Vj=7c7C@DMmU_-_vy4|}80a%Ut-@wU*(mhIkM#NZT!9~oaazUs# zpHLpD9&KESlDXs4G4kDDbOGF^3FXKQntO@WBQ!2NyJLGERq>1W?IH^)Hl=rG4h))o ziu(69&!ejMZX~kb%fFZ$3&cLcZPzM8-G%1h1SdD?fA?!cSffwiQD*mZ$E1af4d_hK_t^vNBfS_Y<7zf$GKF_>U5uAUM!5 z@}$9pkmKo^RD$2M$VwRglkdXh@Ve`&kih9~4gVi|=K^o*RPO(|S65Cc3Ato1+a)22 zNT-XDBnoG%TuVxulrEH#QfqfPr$p&0b!4w(Z`ZEml7w`jI-yf3+1XtV5#>}wX#IcR zF`j3>&m8lz=GtrRy*d4#&u7f>zGFP&xs7K$LMX@;(6~Pnu8@yiZ-e zTQzA??n(|aM8xA7T;E#Y4J+n_2Tms6E{ERy)lG1ycAEFQNfYb2?|V*Jgr#$b4~xXR zHN@BI9~|mQQ}&y{ujx)77n8XIfdd@JLvY$p^@Rsl-j#(;EaSe0$%6x%tX(DI&JxeZ zgh`r=TAnoR`kku#T9`E52+nxWn1}|@H83zZEWgF%MKZ!>68$Ih`i_egI%yVTmCt4S z^VIZ{dFN8g^1plPl3@h?1%4Hy5ww9P94mWFHC|tHJq3fhuQiiEm0$Ma; zgzz0VEN!DeS5t>UFNb+p7?$efQ|Eg`>O<0CrSabT&1xa_UZLK2ccZXcCwWqP&D<|c z$RHhfC;;yL<7@0ckqSKK{hq}1SSiyb2}ml?ETp&xog5`tO`@QuenzPAy#0JG1gszC zD*@$RtGVU!HuW*E9BH+Fw|_Gxrt>!SqhtyIOU%TW$i(bp*+z#=#D(Wrz__l7lQK-w zB`L#Hq64&OG*g!76&-xx;CYd9^3Tbm!%IbELus(?DNS^cCP~Gl_A_3t4X&5LxI|$b zo(+kL#z>UaKpAxr4;;$pb0Me)Mky_yzPs?UnK#1vB7K??Ug6P!p{^oQfzIdvI$#QS zggz@P2=+-CBr!y+pnTX6>2pIgKwakdkq6g!0^kR<-A`}i02ALE8h{^8RbN1Y`sfpLicRBfGiTTUdG+|O6kt7$XHL4LY zmEf>_wpFpvOoYkh8yx;q8egWNdE}=WmymIiib)|jy<3>f8+=z$D7RW`gkK(J)&i(oe!<~I z;&|1FzBBvknOQ?J;h_PRSXOID=tq~}ei)eC9gY#1XK75F8jkAY%E5y};Kn=)Sl1`% zKSl~=x!ym(lf66e9QWb2bYKm6m!1d#-p+HMG<_WO%A;}o+S_9Up#R?LVsU+nJbQGw zN%M3Koug(rINU!b%@3-twhNPH^`lVtt4CO|o2TOv?iR&K{BtK=yj`2-D^Fdp+LAYsYu{_Fh4O|jDzo=yKXGyuxuZ2Cu55V2bI4qy&pvj>f9pWhrQmn}6;8q}CK9;yizA-Lt#7P}J zCS8&;tVm1L&-y(qc#eQvdmhWP@Zp1j5BMU2+^1opXkkFdib*Dyf(hp7k@o zv_7ZL8@1a47~-suL^CfQyo?AlSsBC#FVHwxQ@6up|D5Q`dhYEe%P~Z!;&qEdRq!*3 z^&=%WM78b2Buli-rs)Ba{?xFNLKze%r&*4FAO@hU#`Eg=N6KUZVkJ=q@H)?z{e*Fc zxH2A))Qx~vx+N9JM(~?Fe7K>BT8?=dWCX_{HyfAs=0_MI&YH__!g#4TA3h=<5C6BU zaFnDRsWsYKu@goe9FWP!g6EVC1Cx)5GeqW>>Wp`Nz6lO8PEs)`1PAUi>?#9t;qKu6 zPBY4C=!FLk<+op5uyKb+Z>bMKDQP}^OiFT1ZwLtuk+j~Ux^DOdAyIF;Md>)|K~iSsMW-Yr8R`pY4Tx*#{KMhcirQSLcOmhpF3Z! zhF8cMmn(UUh>HkS$LHOLXzq;PIpEbVLduAe0&(B%H(TzAhqxY^xMuEjDEAV=-XdGJ zPcQZr+u0KTws1fhpW}?)&*o0d;%?wMWy8Sa?yx{)E>@?mb6Rsi8Ta8@Q_j}pu_SQ2 zUIyfr?!+D3704;8p%)%Fl>501?yzFctNFjmXO!BpLfScS~AW*(UX6^3-tS7i8wl@Y6)o3hOy6)G?peUEiB)M zd;GQJsS1|g{aiD5G6|}f#J;nhJ1+YZLrjN!NfLV^+9#$xN$Y;`%5PeJuvj!DeXwW>VQGP03pW+HBhD|9eChSKA#K0iW?a3k!X8K^i>aN z+##;2N2fS2W;#x0^Vr9-9T-G&r2mZaai#hMUqw@zJ7?Mw%XeUWRN5ZOjB#Q!Fdh;D z<|H!)4$t6VzfRt7U@)shJd6zPnVWJ|28RDeOu1iPGsY28;k6nV&v= zpxpy+0l`n0e?;!^!{Ob5iN+NsKDsN=<^9|tx&nCK{{G<(hsYfk9y~Mdz<$NGn+bP- zmcz1*lQKen!m&vCxYBeN5dMT@rUr&YYQyre#7oteuZ zA}sKF&;1?a7#U_ZI;>*z(G5oQX#!6B#sX*#@juE$BF6;Qa3DGi1MVW)A#_X*K9TjNkqrCy z^44)(zKI(ffX8{3tZ^;?hA{E+{q-RzCCz7iDZ~vzZLN5H?-V9C z1QO{r!if>(ZU~b$ITgvi#I6z2l$bF?oPK&Cjv@Bh8xFnWWm}v97j6i=GxmPG?ryGb z%K!NAlJL9kYj%!}B`Y#Y)MF!R5Zxk{_q(2aalM6_zDy>0Q&?Qc zdf-`tnf4M%4~*-2x|X;^bVTL>XbH`M6Hk}q%4Wn8&;lNKKA{jX@iSadH{h&(Th4p}Fum^8#r9IOUD zEK`VF_6e*^nhrcp%h3z&ZI-0$lLch=DFyN-HMJS;D@x+@_h~-(l$Ym5sk!U8!VwNp zvcj5Xz=bo48cc#orvywMmaqw>C_mRN*{WJY44@e_x?Qa#=8Ve8uJ$++QrQ5F{w&U8 zo!oitu!lyg4Xx21mw`qSs+DbAv_d1rzNAr~C<&H7S8^WLsZnWDK7-}c&~nm~t2I>M zuAd%3oba9Mh*BHK=wd>PzO!IXoyXkXhOmc4qDUrm3l_l(?VU&3!9z-=LHG z3F2-xZAg^(adcAv_Z{z>7`sH+O=-STZbbvdLZRdA$=P=}! zPVU}{JdC5)2=hbzF+(es9V7#|`*oEo1Wb@7$Kvz*PqmQ5L$ z^W^WZk(V^3`3kEmutm%3ALPb<(mgtuMoes4LX#PW#C-q-#k}OSA~25-O&xY;?!o7G zQJqasAcOfVaXzA(<}+12ppSxoeqK~=>(q!tBe6;FFcruiEX)6QC+1frCu1H=(eX@! zd*aMa%u-oCgGN6T;cqpVs!#FAYJ<{%Y;AF0$#|5~g#NnBDR6(0mVp7$uu2;(Yp*Mv zcb&MNtB&8g;SQ#rGhlUSwj`Tpcd3)kEVuTV)-ulA2RY$9N?9BF$@$!FIX4r{B}IZu zuP<^c{7w8#|L}sB7)j&=>o4XZuoRGC`Db=w<~sWbE0$ZOoe(2UMu!%0=T0+;4K8whow;ixNI*eR!3D$y$R(JtNG^+bD27QynDE-qaH*I$VHwhedaiAB*mwJk$U8uOyXFDRP@~4MpXa%dGN= zP~?8Uh1`-mGi*huTvjf*&n@?mOYXLi`(^HThGCeQnHih$^ZWkye!Ty9zaH<`>)f8_ zoY(V2GCnmx4Os?=(bX0Be$noot31Ci+AJH4miMVkru}k_c;yzmqhDp|#NnWS*;1?G zvWRuU=CwdkCy(_>;>m=^p`~2=R0^DNtYLmEW5ISy%Wv{PyHWHxxcohWlhs1YP|M(w z>&D6)%5pH>Dd>LJ26g?8srI08WbF~>_o6erz?vbQ#F)f4Rq&o&pUNVY?`t-rMYq?` z=*>|KenM345BQZ(e9>f!v*K5T;FYAbMEq9X*E{J7{zHzozpfkT)Bv0GeQajCipmXAT^mb#HSNG(KOc82=jrZ4&Z@ z>wEt+`kwhyjpi*rK#+^esP*}m=xvS}+f{Q|PR(Zl7Gv2uE8oTY17tz!=efb9r z`I}48=&zA&jiA49#)qwY=B`-Xw9q3?nKk?r+lskm-IrXup!LX14c`LEo_SMdEmfsuhsSuS!s z4?a&oByXVVbS!Vc)4Z#As6-3s;}4H+@%%=eM5E(k+|pT^`7zskW0Z+E_hII?_0KrK z4A$kRoG|^n>dMb2&v(lNDq25^=DXFhJCo~l6r(?A*Tc;)Hs7e`@xh# zYoOF7g=wg;CX9bLo04Z?-i)So_a&iBQ{d|r`ergMdPe(h7VO{1=Z4cKzXX+u)Yr_a zca9VBfp2U$<=osX3O68xHNdv*cMYzNT$#*@2Cz-u_nDtlMBmHTsyIJU+9JhL3XH4V zC5^{6D|ZWfZ^uzluFYAaUQr9zM%Ewpwz7x%#rt7K@7`r1>y51EZmTuE9&cWD>$ZC@ zkp|SAKb%~x2z;|$U|3(>q<6dSW@Srk_NfoDkW+HcHliXJ@U1Vnr0Z%4G0ELY7LEH` z|Jg?*p}unZ^$;w~#ac`Qt#W&B&yaPe$w!eYd;7^5<-;#rpY7kUCv1!KBid>h_G9Z@ zu6utX3uZ(-c&;}XjIGPIL8MQuPoX~CFz>g`S6@=rc3R5gs#hEh2ELQmS^*`E0(?rX zAv)`UiCo`YtJQLPCg~UZQLKiwrNO@PL75j`eTb{>Rmu0!Ie(CkNQaURCy;mK;h5p2ImDpH8IQ`c2G?@58^cj`OfljSf}>0 zZ8LpzPLTJgw|z_D+>A5mWNTQ{3^D$}qBH4ldrFW>16q1U1blwG@XA0a<~_$p`iY+} z%u6xwe&o{2uX9S{bBHR1z0pwA!W_X!aZ^oauHD8mF#$jo^U3cH{a%m1=JWwN7rgb7 zCmBSTU_t}_SX#Pp1C8AaA zc51cVDR-+StD>UG3W+z*_;UBSieI#Od3?#MqY#$|G@{092tUBwnNLoPcx1lBYO>&5JLB&TlU&kZiK59QL2MNJ_hoZx?!aJNl%q5Ex-9K!7$XPwgTlw!~ zu4Yd^+sR~waFJjlN zOG+ompoIHnnwb}C-um}7)SCgH%I&G_E&ONBS74|2Ywurfa7M%X(K+uB2R(h=eaG9a zqF&CcD*RMaKdRUqfhS}Is-s9n?N2a{avD%oih&4qot$n)!Taq^)+2%bB6`F!lIc`g zoDTuw-*%@e;oObi>BN7G>%;Q#2O~V%knhs^jyIpBfN3vEZ{7p_#Y3|rExa_+h#b6M z*q_vv{4QC~#BT|MAIY}4X3Dj*i*j;akej?}^0<`$Hcs%9!2XPUft!HQ>b<*Z*Iw!h z_utU<U$;I}f)*D}G&bxGQC62Ia#I zz>Bx{b<;d*23fnMY95ctwOA>oFy&J1`elAh-~M=0E=?+y-NRyHEo`CNf*0*jP~jAWhvNKDF- z1z*??_M+2#T6#oHqnw$Y18gE{rlj-?jjO(MpFX+1ym#%_xTaSVwl zJ*_G^n*t`C(POW9F4EPcCKsNB6iq63tuK9ArJ=-X^0lK8nsH=cyK;+;L@MTn*E}q7 zN;6OCirQ&kzpp>HuzBKdk`u?H1z{0uzXr+3jt)^^7!smS-W(+HmVtV5{$f)zYmFro zCp2RNz4h-(EY^DeEh8cR`{-5k3o!b3*OT2PJ}pUHauUlUD)}=;Kux2@glD(~?_GaI zD1L)0!4{fC5Hfd`__HJnAFL9&De@)FdnRe=jnU+g;g9ZosI663IKnaamEIZ8TG~@i z(p2n(AS}uFQbIZLy+wAh_lWxU%47*f^7Vh4(54C6t5vOvz*yky`WMYwd5<#y6A7IY zcR!yTHMeF^(q)+VkUz04IBaR-iTl>Q9;>tt%S*ik@67yH9`r)1|P>lc!?4?ogT6IK4*edEs`Q{}sLW zDT_g^}at}DJo^Ib5V|d4$ zi`X1-%k7$e9e5-Ko8FW6zXd+{@^Xx+;>e>9Y5`IP==nBoI4P^G7Whnt?EboaZ_HA> zci4C~LNRwB&49%&*)m@y7}Sw4SFjCtP=^hfz2(K5;~=C zoam}<&vZ>~?DwAVLYRqUuB<+5vN^rg@*Y6$)~ef2xZq-;@;w3HkW~L4=!b~{MUi14 z0l|f|I$QqT1D{V`zO3{jido-^ib;sPe5{Alu>p3zczt6hruzO8^ZbrdRDJP zWYcHRpKTFnz{u9z-pHH~^jAHwNRe?pc~kw0z=C0eo|0BAzKy2F0y;Qq*`Xiw=EovT zf8HCltJ~TxEbrqDJ+k|$ck%{%$}2tLF!arI(15#!aa`wAmQe%XqjOU#DmeKq6nnqg3RA7Q_ z=nRf~#}DI7OboySv??cIrT*}zi@4R#hW|;5il=63M$lJ2z^X-9WeBx<9zVBWimW)Y zc3>(h`)Lh1cezF@!r=fhr_g$doDdRPG8Mi}ps5e&xvK~|gWCunW^4h@WUl?8WUkIF zkHvQhF3HhyznPVepNGM|$?Xa__IkyghV;9$`Kr#qzk5Yp`HTSVI1KKx4084=p}#f! z_ETS{p1``0Z($a;|Cn%s+T@79T$eB$4f1laUh`Y|Z<=O62gwQpK}>toh0CN`BuP@PWi^RliyCLr+JJ5-B4ypQ>! zkU-5Hy~AELx05D=z25|0?X9KbHw=9`rtlRKa}hVXTuO?k6w|S?5qfH=Y2s;$d&AU@ zUG9U062H84t+{*71X$_cC;q~nM8AZJxI)asrVQb^`RC{^&HGthqxe|FSZ|1XGw7D> zMEu2UOLR4d!G(Lf0&Y9q{w+Rg{rSQ2gi%T|GZ$jzSlv!X~P{~L{ z0Y{!jfd^VM>+kCl*za-AV)x5->bsAFzE5)?J(FUwb@tH`F8Se{(eA6|hkHs#P zVc{V1dgE)w7q*-8gW-El9`KAs>gXhTjccEjtT?TDm+YuK$Zeg6to{YFl|saj?yp+z zl##Zsc_>e=A0AhB8iI9_aghEyi40u)q+>{3DV`ti6=z^EOV|E@}K2r9qi|zw|?a_oC z@bb+yf{^aJ!-9~fwF6BP*#F2VT=asP0{2sn=6dLY{^g4!B<;c!8N)L+Rv_EA3=Qi~ zkR#~e!7>_jg*L&UKfPe`4dW`Ld#yOJ*;w}qz8HrN2xkwsnhCAza~lt3?yA;!SPQEFf^RfD z*K3BCe8)=E)WSG(3OZ8d!L&Q!ekIG~d(oy8Bwaz^ZLtR}J;eM0YtuFO-?!^2~U`BIY|nRCWKYGRvl`Gku<6G?b2>fi`Cs&m8e@x(zQM-kb{G z>XOyRaO{y$qPgK7$FD9yc!>h|f@+Ps<4Oi8OcF(u`S#APz?afqA9#rc1{t-P=k?r2 z_=&3uK^b(5FwgH_Zq{~tId5eAyw@`1mz}#-O0loSD7Pt1&}z9CrVG02VkWE-stc$* z37$-)m{wX{E#`Af^t^x?{k%@JLSct7uD^E@n zmiJ^LpMD2XS=TwpFja0h+3%JH8*&7PvaOd9Q7cIVh4`D)a@NpuOf=|I)>;C0Rfa zSMQ{<%{s~3O8&ibT;$&QUbeANd($v_z-M+;-3lAhKKV+%r7JCS_6i|)!a*|~b9B^A zDlF})5aDwmm=<)M+o*i@nrGRET&x`~7MId6wk@6w zASR}ZMBe;?#5s;la4&x08Jgs$z8W2iK%CTW1 zd1WGIWU&eEP?{6d2IS$v{>dFu4eAKav3Vfn;1`9DL{uottgB@_FC$hyKWLV&e^%p& z`Aq=aO@20n-%o;9GXo=w7n+CxDSJ~6Nh%~Tqih=RZBXX zMvgcv4iFWb-!+W86YXc?SHYL>!wcnsgZV~3kY)dPb&elv7!o-)vg}bl-?1AmfAQRU z@?l=w%2)Qk4r1UDimGLW4) z^yIZa0L}f4It#dZ8Rjj-<{-|`%ya{SM=|f_GRGHxu8;??0>@9HHc8HV1=(??wpEnY z)i#GRhEATMkX3xUz=R+G)zVzsXL<#V2UbjeIVy_SjGqjaMi77>l9%-Mrid)A?;)k?w!x#{Su4i5^! zqIj>fAGP11JTduI9+T8xF{bA#JiN@GlN=IZHO=%+NcE(wGi~ACMs&!*BR}LUZf@)K z1?W=JR32*TU;-P$YN>b!Go8BumdBiK;1o4PPgNeYKZYe-%vavGP~l}~mIo4gszYqA zvg2+?zY>HeY*R6Jxm^QGqqttv8Kw7amSp(8E>v6*Y)bR+Z4z8XubJOvywtysQRzRq z3^_$84C&6g*1M+5)1$;7P$}3pzuMZAY8;yp>819(0uHT&rIcvGp~u$?CGHhi~kB@MxGGOPX+q%Ggh~CA$P09>w|4E42K-u0M1*OB5{V&sc zj0G|z>ziYV#-*ved023Ik;{-2Dr_E^Y#56`CUHpK<)z+x9V+RSh5 zl@YpECGdvk*kFH0%TH~$7& z=ig6kZbmq>^ZNi@tKMdzdi_4Uo%f_2Tdf7xf~#0I6k&5Z>f=}$z6;HeBjn^!2lY}z zlA2r7dW-eD)aC{9jIEkG__PBA`?qdC8P2vL#hRfYp8Uhs z4^uNl_)zq|CW=;`on;}#WEpOE&Wv{@&7c_PVNXYmdNU_JZpQngM6&1UD8}p zVBDQ$-8vALmf8Y=j1ysXj9}iqc4&G5=K0C{AK14U!XIl6J2?Ep?&cX``s&x-5N2Sp3?TcSM@%4-m5fncw!nCLb$tFQ8dGw0=4t zdaOU|G_Mvw3c70k5uTFuh7%E_g4vi^y)bIH|6-?@;PZ{0{WmL);$yM8_P|wn1Pxna>>`Tz|6tXQMQdh9-gP0Qp0?`R-3_F9Z3t5a;D>1t-0+H*3o|wY%sL%3){Y%+3d!-B8B&E)o5hPnz4|HghuDcNo(KN=&;oB=TU0m zISuTeg-QP}IWQ&U5w}ANn@sUmA;{|mp?C8fgu@j0a5BqX&bxV?uHF&+^mqZeUoUWy zYqcUpQ!{6}sJc+t$$g+F!y9I}Q}6x<;6Sckp-q6qQz{1GFt=Z48dKOqgY{jg@9z|>7#&b(kZpl zGmbLnnFoFAe^|H9A=CI~AzKdK9s*dEH-32UhOd(6H>$NmlF1K7!Y~v&k|sRZzv#3?YNoQR2WEg6+%u z`SZuiD9a`KG!$HdhAajjgki^VYREXdaz}PV+4=YPF*4DyyG^hR61~|1>*{e)WTET8 ze9;oybI)9DqUj%KT%3150S-KRtGziIV>;T@x#F<(D3CD$o&?XaR)u8bJWCGa)vL|E zS0OlIy4@&8f}0SCA*=Ev&WJjgAmlRjiB0k#w=EHsMy%+Urp-t3D?ZlCwFgSuiWYMI zgr_6a&|}zLIWmgziSE9n3_R%cKHRy}wTiH}vJqTo<4xYpRIsJkMz1_b@-9~BnGJ%% zHOl=wD<*$6w}1kggEn>ZT{D@mqiPkC_&=0qs#nvIq{SPTbbx4MCFjRaw5Zm{g}4eH zxbM&CM7dbXzftnT+i3ITiyS9~+51u*1l0xh8`ACqA-v5q6#=p+<>B?mz`5`h^feiI z)N)hLKdnMVA^u>ht|G zv_<_AK2(aPESM+up6t*n+P>TFZDIKOZBuLq75Uf4q1n#1PtP-pu1?Ho7^rK?^gMhvKnsTejzVj}Q&J7xM#oYzC-35$_P<&a7~?f}&3H zLC9yXl)Pz`FjG1il?KXs=VyhRIlXxRJ60UF-wuSNfM{f(I*mNHh>qbXRP4@DGW1qG zi0A*21fmDO7<6ttzDHgO6oA?9mt$A9xx_hc0DOn}MZuG}%o)_nqQ{wU{8C0+OX-A1 z_&V;($p>3|8lU*+guy#>kGdhx1H>rbn5W|T|9#OIrA2thfs>twCiE-Cog@#J(LF?G zE8IfAFO=5|?QBxEC(A(J;E#1f)H@+ZZlOQu$-woc$Wd0J+iaHSbuY+LWu!Q}H#?Vy zVTCv)xZ?@*B+l$l>~Ptt1M)nalCw62^fpBe{McwsILILG>5m4N9+^7@p*AZo&RHOr z3sw(h4i?YI1Iw(dLw*qyZ+!^7z-EkADoCpdEhwPAPav+gIl&cYT_#9-5BM|-`R-4w zJSdvXEKC`P;^y?ba2B#r-)S00ReOOPFB zzi5PY0%aY8jR#700IE`ia2C|9sKvOc z&kzq=p?ejhe2$(ULe6 zPE{?od@y{7{6OvEj!H#O^H3}khMq*Z)Ep%JFOW491kM@IM1n}(|5A)p z$BLx9;IYF0?q$~J$xg?Lsp9on z@D>It-7Lu0VlW%B`}i-(OvmaCn4(NNTx0ncJYibM1Mo@U7ctyt@(V5ADPIo{)NoCt z{?P(6wsyrVaoJt@xg8c4VlI7aOZI;RuM zuuNLT$!;-M$U*#Jzw*ys1VB_ZUHwH1d3C<^VRSn@<+RA;ZAtq zo_Rv=0LhC%urV;-kJ>L};~wSO2q2~{U>GWxkar5sRiLzXwioVr0u~SYDv`u&Zgh1r}L;U7mFqAL?KFsj{ zgz7RJZR%Y^lrmn=yn|aGjn)jUTKWmuG9HI`yJHd@!)?p6-wAn>qWq z@pe_&^$^8D+0&QFNg#K^{IDR|(Ih{A-nm>+ zYWmTG(Tzz@P%YHb)^95OF|bi$n_SCNg_*d>2yo9H)7P@F*M%UFF2Q9IE}*vJx@IRXp~w13C|eI zHzlL(0hJhq!oT2EDSA=YY+4THbAqntYt;OW9Xms_n-}`rn#W0=jo4Vmwcymr^w`Zq zEVVXnhpIgn=|2aY>*T{XdJjABhg)J9{j_$pA#FG~wZpqp6smd^H9*VN#8r?`PfssSID56=0EG0uTu z_qf=pzMu4LDs;*#kL{XC4AsJ@S8p=-1EA5~j}S!mP54t>ug<98cTd!@oyP|tf5EIE zAN#bR&M(ks!BZh?$K78DJSg@8vQIE7<;W&`$#hHDK||k5N*8THu%bFN1Vw6{0=DiW zcTO>2h7|rpQm!iPL?y%F6jd0g{*-P{io{V7R29<&jgWcnzXD@|%Sjmk=o25aG*Zrf z&n%Ts@EDqpeH9q;Tl$Ohr_=Pk)YBG065gucvv?mwGAy#e>ulG7((%?UUlcA3-UuA)Xtf#?; z!DzU@P~%>Lrw(r^0FmKMI7NY{F1NHA(Cyz6Um@%UL`p_>Gq)V5s`*|LtnpRdx3uMO zPCfgI#toW!_SjSkF!#<|c-d=!82f}+p?&ai!B#g>abJqKkySj%z2eKw=nSQY9&2EB z5yyr%6I(5xhfw;zphP#PQFSA)!7zPD(}+T6T~0EdjA;=T+`BsrmB9UBysz}H%<6)* z((+!rsu91bKP7VI*Vno1-LHoy=iwzk&ITWGHDwsEgGV>x^?Yr8bWdx!(oLz>@44cB z(9Bu9_?ky{iTU^D9T@pU9m*J0b4^A%z3r9c4P_Ag29w`G;z-Fy&oZLWD7V1hK*>KL zc?xPaQWmJodv2=0(sR2fmX#N)44oHAYh;MW*UG@(-Ze|Jyl?Z{iW^ zTrjC+XwUBDCPjX`OOc*Vj$rr8@cJ=8i9 z>q3zM!zKrdH0NIYjm&*F=2I@5%>w>e{YqgIPt_*Mg8o8L91brCE|?39s5{?6gObkf z(?7?YMFamOG-HtaPuQ$BwXW{hxB8!-NM2m0HjjWrFm92yc<;gu5@@-PHtkyE5d}D& zb5dM6?E#~ZM)Nc-+sf;0rYW@0g3$6K-25ws3ln*3!2ssAr)tteJ-h6OD}$*3+>0@l zu{{Mvl?qYF5hk}QW#&Y8Y-OuCgR5a@Ciwm~H~!nL=Ghn-hUQ_*j9#?DBPTOca@ep= z)J5u}YTHl%2`Fz69-_+-XJjooG#+!;{2PrH6$CGwP%kHkX<)AFWxXNYSm3x>7Tmbw zGVUg^f9C(I0{ioCXRR9JZfy!NCx$4E;OP5Prl^OBYpKLi%DvQl4|Pn%bv=+&vv)N1 zKxV_n^^>kX1{mQRFsW*`7mJ~)KS1)mSeS}v+E4voHg_VBAhF5!VpXRA7+gDDo+~rO zbkNjc)T7PAKRGR41c-W5AZghWB4mX`bktg{YXJFis+m8xC!}$IyJk>1V2s;cINpT0m7AR z&6_LMd$_0zcr<$|fiA#hahyqU)er(|q|d*?-{1{LPa~zJm%}8E-E&0Vk7PeM4>yI@ z`V6o+RG1oU@y=>d_j*Jd#RhkDllM)hkGmTR%So3US9p4A8`oZ@yKjAIhU-Hzw`Gju zLW7Qj%SY@RPl|U7r8K$O44MAKKlE8Vf}J~a=FG_n_^e|B%KgUE8(mp8(mkTdRz6~< zlM4R!f{nc|99n(fl8U` zm1~AP@ogGI)P(_l=c)&?!Odv;U?3)#3gkGr;VsZ1T2gH?X7q-XSg9pjx~a9dv4JTK zdi;sxKQm|8mH>{DoHlC=+Jv$eJzjf&u&FC>4mfFleeXxG3DV+IwmQ7D#6L{2aA=S65%Vx0Ch!9zMd(*ki0AhbcCQh8XVNY- zgxh{-sZ?}1bollV{}oPL^jA~O68o>Vg$w@VE@}J3jM__*O#^LXk}c2;k~YtK`$Rb{ z#_gMzc%GkUZ?9r1w)glA6a`f<7B-fpq;8$Sb7sv7m0IWpS^mh|>Y*<98vYgwaLwcH zxU^e)oPBJoVbFy}OWv?(Gd!7&wd!GsxMUr6K5CD@lfgV?#mf?Z_$@fN;LPl;UyHkJ zz8|jVVE}Q|RDhMxnP&jwqO6nu$;JNx D?ClW< literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.ecobp b/bsp3/Designflow/ppr/sim/db/vga.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.hdb b/bsp3/Designflow/ppr/sim/db/vga.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..3a840e22a96fac2c8d637426437a582628f83841 GIT binary patch literal 16670 zcmajHWpEv_vIb~owqs^y$IQ&k5Od7Tj4>ZGGshHj%*@Bk%*^a#W^e9&Z+B~d?C#8{ z^-0sCR(Drvrm97G2 z%TI2?{6;-GVFnB2&s0cC997834BgDOPm0N$2py#irNMyG&770TZU z7BW|rSomrqiw?e^Cr3aq+j??$ch_FLtT^k=9A!L}^Qkz`xz6Epw>dpKJL?Ylcj!4? zsL*0@)#$d<{7z4t@DZtULPM!I9R^{zkr%r}CAX(&PbD$ceh?`)WkEKbl(|aNZ3T zd~9sjPpg5KhASk2;@a@$c>iH0F6&iJ>OrS z+e_X@FR_NGNZP&$KIRIjH~Nb5wPuB@e~S{8vM2Uy3u4O#7>(3)tB)x^b&4}DGtCnY zHB6I*=B%w-Y>EU(tk);r8&+%vN*8$zo!UqwY>6u$tQ+_vuPV+d1*|DVNfdENqN5ERyc2KPg~!DPDfsfI+$XgURj5m1#*2!pG2;LHXz$zT~H5* zR}2Mvy-Ajr{O@`$7lkP_9bP(3ePd5O({hKm!hDU0Hxv+Bs9eV%@fc@Quhb7(#;exzZoe=j1- zH4AB+W*m?y`;Wb{%yF+Wj4%wFT|m5BC{;b?Qh9lW+?Av&PM28K0B0 zD1gtc_>*W@@(}n>y@k3{>W0{)d_Ng zZw@5loL6sas#$)1d@i4ln_ANCpOba2R`cA*uS?+JTs``F|F_9T1QUFX&jothG6kBI z)R_fSh!b3oE_u_;N#uHt+W%wJ5y9^Z#LtIm&^oX?$F16o*&AH}FK0DP@}6+rvy+QK zWzMPQaqHw3#4m|&q>hl@1S9JrTQIj=e=pa2Ri>F;{Qt){{}Dv`)QfxH8y5)bX8H~z zpPHTX|8(E~u>4>Cr1aX!Nq^c>TS@Hkj5C0cU#>mNHTLxc>q(iq(P(uRDZcIb&(L-( z#9sK&$l>62q&{pUuu@fPuG{e$=hEgw1B=APhIkD(0wl;0Re)LJah27ASM5AYCQHs| zo6B@AErxu_nzhb~)Nzyb$8aL}e75Q0zfAtq@0s~AE!2+X6tv_6XleLpB^K45=pv^Q0A-enC{mH2Z1Iyhb zmN53eO9mw(B0N=hE|iQbgd3|skUY0L+oX968%p@N1l9-Dkvt2E z12qhJ_78yZDkS#2jW;p;9~!gnD5yLE6wv0?;160cAHo9dw?VWtl96GNwC5S<#%J>c zZ{Y1-jM@zumDLG&@tIr<0%*_Zbcy0ap>?Bn=LIZ;qx4BdxWS=?4p-bIuk!fs3Z?7K)zl?b@bk4h>D2eJ{MBF z$Rzf`#eh-%7GteSmmn#x-jG~Sj5#UpM5XpD{$wA?D1i!RKUm>g=V8-JUxD=Noew%O zZmEYksG(}QI(B&2izFUL?>v5%oTYYnjyRL}>=oa}e@@Bz$!U0rQH?pN;9EGP#iEYj1?ZGEGv`0OKke)w7Rtx< zCm5)E{gls8)WGNp*kdqJO3yQ4JSP)+D+>@VAWZ^^>6PTwiQ#C!*V*<=P7nDj8OHak z?hm1wmIO$88&+8I{@8bY;xKvy$9U+Kd`7^Kq%at2!_d?b&k7x+ zDit#$zD31IY+;0O3s8#3QUSh~f0Cg+LC^+p=xxoc3*HPDFsgaULeZFCqY(>FsUWGvDf?1J}EDAAje78t?G+(86@>6AhiH$eRgnW(@0!6)&`6n>wb;3RSP z)tccNlsxERiCa8pIWdSUdm`|GZGXkH&26&a7D4D6f^H6c6P@b$8(E-|@dFbTDXiaC zbbCfm`|9-SGRo!0c2|_*=7jwHUn~vMerfY@!H!4$nGlgav7lT= z6zoDiaT$OdYqW|#rwa6*p@X6Sor zHt9j_1{7J~AvN3!1GngiQ5(G2=a?dzi?#zg(Ksg{UvPYtt8>r!YLBhB1*6tWe~%I* zelg^T%fp`rjYMX;%5wp(4L+vkN|^~oTOJzns{i@+O{GaZ#D7L<-W{cpWQbzp(BPe)m z&!NZ~*N`r*$}ING-D)h&hGhc!6}=Gpl^-E*1MWj6NZJ)Shm9jV^2Uj*_-%&j*m#z^ zOl(tf%_BUO)8y*0wYmEMDw1Ovlf=wJeE(or+_hItsn+@5a+gzL+Wol!)uH^AH5gZR zM5#`ekX51l>t0kFtFiREQ+aZ&xCjR(EiGE^t^a?eLF-Apx`wv)8lTpdLABw=cskBKJL$=Ar{F}HM8dfv%%85X8101EYLwAvV@=e zUWujlq>a>vR8?=QxbpZckZ=!wra}>Cmc_zvs4NIXsx*B5Lu2n8snbhV1z$6=mixwp zAd*O!iXy;9>b)`M`4kVt&bDW10i3?wYr4`=?Rhn|UrLXATCV;7ES}3O`H`9^dFJXe zwPw)?)|p$$Okx%w^+U5)p45aNiRGaaOG$Dgc1iz$N50(1h5kQ)u8Xs`1xnyM`5E0uC(kIYDEYr>!@^>WBrmD&7e`+L*f=J)2J_3uqi*~YcW z506T#o=y5S$CjumiFOPT5$%&d;`RM2DRu&c5 z`Fg9*@!4?x*=rf)noFtH=)r!Ce-L0xs*_7N9Tx9ZRRq>65FHnQBaQ&y=4M$)dVLFa z5zjTsmF<Sdjh2u&mFQoW`2?wJdS~0| zGSXfgt@KGO5zQPeT$-(Yomh`Z_jr}W?U?mV`j%B_r<{G}kS1fBHFI~(o_Q}ElG?y3aOd+v zoXa8+d=|c918679oYKt|b`R!v!Y~Y$E1rfX)ob>Kd-Jk9@Z*fW!4u>zA-t}#9I#BJ zkhF$GLc#+_yelNx&8PuVUSZnLjX3Dp)*mE>2>sBSU3>QygU2Pf*0~tg^*Kqr@@1iU zR17~-)H;MF=I#`JjQ%bRt0_;IWuIarC1B`j&o+RpC;Ox41Qo@+)}q^|et%@Zm6rI> zDS2LVH&Q(6q5Eys$L!v2HK)ne!0Dqrbv}ddS`U^mVguoRs=!iNIjjZVy)TS}CGdHu z#y`nSKNE|rfEm3=!6XhqXX4rBSaGa|Gx2?qfKq%T)!i>KHD34r+;=V7?sp@@{(+Xj zqLtDVo#INAAe)c>kamegv|!%Rga`p6@R|O&vHKPu(fNnoZQ;mfS-&~N$G0tfX(mcV zy_y7Pb2SO-Gm04cwR-uDRL<;_r?GS!V~!gC(wME{IdfYD0?c7kc0F-w#pc^I6W>h=z|pL1n&;;xhxp2+I-vw7hSl_ z@9lmZX<)9_vyv@rGL17j4D^Thu@R^(S~w_ZsaZJ4oMzG(5l);&HI!0B*bY7}yBWoeSQ~S$)N(97!Y%ILWvrGi&9a+MS(;c%m~9XwuI4+88q#P8JPC`tN^Cqe z_{&`&rq)8%=D$dgj9L_Uk{Ln#G@_AQT}MEr*&H5|cWLG&Fz#R8Rx8#*x@l~MTU-fv zEfvDWXY(OTYT(q%tNWgiQ7tVst%a0#i$JF(_7NT6M{UB~<6|hBhF2bK{;&mYl9@<$ zm_@}}6l%|tm+5%KWflYOqu9xJAHV7xdpyS(wrK>xhM>jzWGYgb@k0B(B=Km!mxuz= z+hlUm4P&u!l8CtWQaC%JKpq?DlPvuL@ebFsM)<{TEJq4`=svDtDW=m_u+f4i&{qB<3QNp z=n%_ir#=7)75wS*58X)W1t*5-t|PsJs|nLZ@%yW){;Y7rO$VE;B?L4mL`Kfi6)LZ# z)3?!NXs9p8iJNtR;QPEk`p*=6+p!ieqM*H=!^8y@dptD}u>iJJ4Gx|i}8m^(Sl&Nu#W1Jol*F&jw4K{jfXtaotg(IaL-&CxK>+%e^R#p zNm=`k6iyQP@iysJK>33HoTo(+<%VWDH~yZV_xc?-2{ak;BtJcoOY{6Anou9$m{VST2bE~9?jE|JBzOf|RA#=KkO z>fAu71ry>M)3C1wK=qFD4)5gC-ZK@Z@)s$Ygci-=#h%q@PYjr2G_DsxGD zs#0}alt@)duNDM&53g+xa?{27^0{t}5lnxsY5Zx|`18s^d!Z})6Q_B$ShQr!`9}p| zy0>ew>EOsdYplqunSjWW6_2f|WA|hf#$S;l#35K3lS{*G*u5llZ3!-HchDjgnZ;tt zOx|=cCb}U!jTJNcXE3b*=9S(p#bFH^P7gY3J2Q}f&)IDYZp&!OdDUW)Q~=+GKFbPXIUca@=LN=%BZT1Q8t^kpZ!=d zsEIClXt`7Y|9?AL{S~KcX<6<#1Htt);W6_$(>CRLU;Y0Ue5D`iq@yqmtB_<(&m`r& zYu!6;-s&hkD?2dV0V0nl)((Hc;KzF*LJP8Ir@V|PUSo67-8t*=(RnufJv?;y#YN|- z-Xhz^pQ<>v>2I%g71U3CNcVa(=tux7X__s>{x}M%gnm79_%73yogz81`I}Mf3dS7WrG+Lsp@a8F=tlJ@`GJE1 zHkqehJzQIMQmcz57u+{ zcFUzEud8>?&yNFhCj2$p-ACE3@=_T0ucT7h?pr!gsm5FRRqA6po+!sN6v<+@H<$|9 z@(ZYV^ps1GK8_q@5+%A`s$7F4=RlDSiiC9P%4Be z-;GpD)xd)$UKMf35k6YynE(@xu8UD(;Ma5r;vx^Yd?P21)|I$+r#ApRzC zqD~YpJwogH!<&SpbZ^esd>DPi;l{OKco3}F9UGB74lb}akTxTk>($brC5QOHV8#Yu znsZNd>k37*cF2qhk!%-A%A>EtD=w3mo3BjB!SAy0i zWY*3({Uv*~wvUuAXGyB+piYqMfuGANuu#x z1BirbI{r*Xkq9rZS7|HjqpF@Op79zAI>$;}w$ckGdY=DwCY7B|3<|_(9)#T_cbQ`B z4gQsHPk_~9Kus40(G!}`+9WiC&R?n&MTxhkh2d*1_aJAIuHZ@s(o*$v2EdF~WGO6w z_IKGv*$sV5rNp}&r~CRX^>BVd>=Mei_7e-T&(Hj>`d$2P{X`_vTU&%s?^PFZJfZMT z?oi0F!Fso^XgS~C9`|bPS~mU1F$M%Dld+395a^BzzeO`rK*O=fO<{UK5IRRM5r`7bNc)Vd)cO=&c+d6z5`Ccm z+eN6@#iuv2aT7|Z*uHM;rDn_;!jc6ISMF(Vnw=CX(kIpR$TcM7-mZ5yd2SQvT?nke zi3LOOBKII76P=pEkn)r!e5?!HA#J$V%8?)}r`tg?4d$fr@v-7$H-n2JRg$(0&ep({ znwqIQ<=$;7yv-&Q4Aup_ib;m}t8v{TC@rvZph%}5Abj%h#LLNX+iO%Exx!$iA?@QN#K62c}O9^71x0uUTiA#;fKN&9@6-N#DIe(NKVQ5_T7&VVP zD<)*=k6Y+19i33pwXT0T-vwCfA@kH@raeN_-~;meu`X5UTnTwl@#zFH+zY z(uuq$7e?uzMY)_UTO+fwmsick7nbK~)Q=gGrvCC{N22*57<1JFk|)s~az_GNA6Ch% zFI#hpTVpDc{#Yp0HFWHya*UUdu$yB-#Rr@4g|ehEg1&*EbRZFWdUr5b=19efJQG%9 z3MuuD-Rbx3gldz&-eFFmON|=uwFYmt##EWcRM?Kh<*SX;Zx8=wde>-r_8*4=6)ufI z=d|A*alSp0^I2=OE*ra`wb?+J9Sqhtvhc)@OMy7d$fxS)rN{5RR5^bKn6)6$%OjvF ziZxG*WDBy!U|UsilDHWU0Ue*Ao1KYaNTT|(@4j!jK@o2J1wK)Qjz((9hJXl=XG0$Y z$wI)X;i%}nv}}t0c0M2;`Kb!2F@=TyRAP2ybzkJ5T7}s2mNlC}rjo|5w59mQr2ecZ zMh=ZD$3!TG8~kQ`|BEyQA6=3=Pj_;Z-z=-R#!nRZ^S>L1#*`2w)=1FD+Eh^ zGPUAR;CsyK!DmhEVf(1gL{1~9v&l2)!BSr&;FstVvd*9OZ=g{?Jmd$8!hSsP;$wiP z2Nsfzgwz%6f#^B}Md1&O|FFhMC-&=DZvY~Q4zRqJkwT8TcEkP-Ius2+cA`qLCN@h@ zK-vb25AmA=5v+Lgcy+rd%c@?rh%Lv?ETS+lhxJd&xc+ouoBImCKa|;ybAz{@;^!@S z!{2)Wyg4Zhv`wSjP7mjEbZ4UKBl@}0n-vJL+Ci|4vJ_v!My9DWW3pNj1oneS{hV>{ zKQTZcKwz*J-(D?QUi7mOz*F>H8|X_GKnVH-poYcy&R*5@hT*Z_YnYpRFPb_k!00?0 z?SJ?0&Fod%;pNu!_9XpaI`Agjdnyv%fbtcJgIq*cY$U~o+L}aJHW79=ZSKTVg*T^1 zHb*j80!zo4x;{5@1tEvP&Zi@x7G3Ni&5KXGq=&-SEc9{0$p`nOLkI4E>lE8%+i1cO zs#2;ZLHQm`ZYEwxih-q(jqXtZ$(;5GR1htk^g&CBam)UUX7U;0>rR->A3e-faV7hp zxSm*XJkYaP_)3}ckhsQr05B6b(WTZXm1wpr#!qN3^w6rRwK@2DkCza#A9nreO~yDP zhubyk$eRTypRp9pP8Iu%Ud9L}yjj{kbkwOBQE}83{=MUhSr#v(akvynE(~9O3bq$A z9(^()Fl2U+y}X@;Umm_Er8Nz6$c&Ic4d1JWM~z8!S-qIhH6#!!LquJ9H$G?K@>BAB zbIp0B@&1f|W0Uu`_geQ#)tvSkT(4Qi(R)4hdI+ihI5=d25_D*M8D4S-YXTiWSr*NG z&K^x~5YPUWs_3z_pB{)Mxq3`k{QTKMv)^e_ye6w9yfQQpya7oQA@`zAgDtG{uyR!< z73I*dZ4r;`ShRj0L;ZUA#n@TA7MNS7#C)y~G#MPIzxsJu_Tz@#Q!yaV<@hcnJNdpd z^x>{$fJZ1g8j^1GT>i#?hUK6epNxWo=CtK>^t29ksD7Jkm#l6wpvef}K~BrXm(P7J zs^aoG@*1Rv@<2*k6r0zQoM=ze_T~B&?QCN8k>H+F*Cd`z{Lcia_JVQLfevk!H|1LU zq7*$*4f{#`aWHs_K4Ra8$^5~o`6GUs4l(fvBMp=M4T|xlZbtqFwxIfupDJzpLXSoyxjXMPe3)Z*SAo|*c(CEZgNTCSyX9? zPfn9=+fPKG-{coDa2|a-?3ogHOn%fgLhiQ2^0R2e1ZX7T$G68qDg+5q1pE{e&C7>h zi!I0vdxim8Qgnx8f1y$LbJIZh(d^LyuEq4@pK$>3q7#A{z|G+MS()vKR>1R5;1~E- zt(ef0AX_Jy0tw=0P45RL2nrYiFoJNTG5!pC{szbw?d0C0K_>w`qXQ#I1HeGLfbuu| zF>(Qvmj)-L9@pza@9%-c_f*Dxd1FOrwUR%4RW0(0Dy*ai!PU^7E3lpKr!C1EP(Z1G zbh1y%y;uN?bSFMY8r=x+i~;Nd*sivsThTx|Qd`5?v)QLwTi-DluV@%2+aWGO^IZ=Z zU2WJ%2XIqtO95NREjAkrv(W>IKyCj_zY+e-07$3!gfeN)#6jyJ3a<{$lP^$yEJAet zBsP=EUPbZf`{(0}2)my8>rH^`TuomcrGTSkCwm;bNb<*nB@g%8KK{uU>wPe1D|;NK zlRio5HsG$yi9=-C6Kz`h9T`YSv2whQe&>r&Mq%;A4+}a*W0CY@GD$nO0otMk;)3{q z?C7rcr-UhQceLCeE`od96rY59Y$i+W3bZ44R0Xr1^5}sutmmK6KaF@{9s_Y!Fz!Fm zKyE;3vFCspqX;izD^g^`==&fU;V7>_V4#>Ex7Tun-=onU?iYXt?rk@RO(5N`n|7=> zp6l1Qm@)-==Jc$2~xGL1$As*_9-o-ix~%rJy>4e$nWMS{rQ^_corRDb}%%AO!w+hhgD4uAdc zp9Us$u)Tm@FE&05G*7Agdxn=35|?U{TWjG1_Fp?a15D$6inO;-S~9p=q)NYJxb~Ta zL;WXmCKKvycNo5i{W2Q&dAg1`QTY7zMv46C1`zLCX)?bXZ)L)4)n{aBdQusfk`=AP7B zn42-QYmQ5d7u)I8G5+bh-uV~~jhLl({0)aoPkMWpbg)uU+EV;HC;X9@M-eH$3@8Y` zQCaZwr?|BA-Cn7Qw7RcrNK?8X{5?aEgVn`-Nd8#y>nUT!SBmUIK6~L;(qMumTluFg z^?gI;OyGEE0E(4z?Q;joEvoQAe!xi2djbyl?)le=&NKaazhv$p@8x9ls^(Es3)5T= zd8J20`g?`Zqj)n|Qn{_`R)*{^aUJR)d^o3Dgb0q7Ig;GVNg88?UTycq+sfmdNV751 zhzoD0L~?ave)DP5<0|h9h@cC|OX7sv`-CpAOgJ3wmVbJ=O>|8;zO$LRaUz5h1g@>uM8UDlJ-`# zhzt=IC-Ri4eGo?h2O6YB22e%3k`04n=!ZKaHiI=ZNolNP*3(ZuKQrzuL&kiCo#>^P zHjno`xJ7%ukzIqtx6UJOVjbg|w4ceeU&%=RjZvEqVrZK}eIX~!*#IrI0~|}Tr_xVZ zz=sWu^ezlotS$W5zkkX;r;97rWWUrF_r41o3uuBgg+ptteH-7)NL&a=^?&tCAL(WB z&+scOkK8}Kw|8GhyvLLDPZN5LJ%|e*cnBY$2p=d3A216aNC%9>e+hBVz$`!CF)5&ym-sQFgT9X=+7_#-d@=rCra!yM~nC93noE>|BfH!G()o zer^TSeoWgt@>L5odGx(ED5#saPuJSzF8{uJmwN@@Jz3s}+6GuPZHLyt#!=`Q*w6la z08{z%{VmlF672a}XKo#?c{uvmH`NY;T&FY3*2t|33^$E1fpmw1^<7VHLr;B=84BeK zk-ciX&r!bolzc~^X6+(E|KeYU5Zb%)A5PxdZYaC$l;=6DjBz#NtxSl!ntw>$cqD$bhtO>80j2PPneYK} zzzE&1)@uJEBUwn&oGR&aZ60N$hL8567cxZf-SGSe!ROs4q%H{wFba7tw=OqEXkxZ!_zQ3Ya8Uj{}N&djOYZQ`l4^F9fK|v14df9Ui^k@4hZZO4>fyIxpH#wQZI@1xTOZ*AC}FcC5;i%8HDFJOqn`4U=U@y@IW4rjKY{1B9zzD&6f;!l4 zC~9(4_>$Bc<|=n7FjZ|2Uv1B#49HmuoCJ@1lAju$R-{Xvgl0Zr^fF7)nJ1l+56aAk zaHao#rPR_JZH0`k{xTxO+873)dx*3{!|_2c^LDjHMQ5*4Peoz*jS06!p@VFIRRIVb zUSOQtQyJ7qCYvvdpudRLwe&)_^g;Ji?Kfz!^hMt^1nPAHI1MFG(Ym7tbZgUuNChaq z_-d2>vs!#4c>3IVryGs>ek44-kX=8C3++Vs&7i)hz?C;B9|$1>IwTFRr;oQk68GqAA%VVeH~7p5&U|79pM(|jH7QIM15)A&R7R? z);@T8m+M9a)Jn9xEp8-=P3HEtUVfmXRgFR`x@O`0u+*7;oAmBOwH|&LWLI&2CM$#7 zW1hzgpt5`=vwR^t2HUF~b^W)vs(1zf%U7;8w8BV37e&o z)UTm>Ba%9lRe=~zLG&-BIL^^HeMVN!*<;&FH_x9`!Utexd$TT3qz>wFAO>$iQo=wb zhs*OzzQInRk$d=Zm1MO->N2s#QZZ1NSkJ>lxr(}4p%7w9nc*im+$VpYq0qHt=Z@qI zLigYL0=(Ms8_5zEy-NSPa|e-m>bJW*fAP?dEn{Jpy%n^dMo)sw5-a(h%iuv*KYLJL zF~K?q9PRsUB^4h%i9PyL`wWozebGC-&^sW&L-dtxJnqCjo{sJiSWHk^Oq5ynQVoHX zoq(=?R~Nv)6X4$k_~-hC zxlt(MBaHj0(ub_{W&Q83;+yxFWs`h$0Ty*DODn5f9pzm*!sic?0@WGN7A8CDAj(68 zXXu=NF@^o$EqB391{PZ8i);0jwNrUA?4Hf$8q1en=wzRz+aFdY&oqA~eDYZ7odpmF zdeAWITkBMlCY}$`_PnZ^8UpLLq2X-Ez5Y5Jk6J#}(oEtKN8-Xvx`A3BP!Kq98-)B}P@gs7)nugILUM~O zY=%s_;n(xG3!WfA_n2#%T`}>LxTn4;U?f@vC#JjXRGw)p?AXg{S1$?iRm<(c&8=3j zu+XxgGSC`?EA%0xm1R0qe~xZ;YnDY(Y-!d(pkv5bHH{tsL5;*X(Rj6fCWS3=a_99h zC_>y-wcABg-WW zPvHdGj`+3rSC!j;=)SyZ-U3MYae>;anMQV_0OyAb=RjuTsJk*ZLyNC0&%QO}*wkDg zT_63sF<(Pl*#32b z&b`56aZ#yq-Z783_bD4II;ZSCF7r$Zho`q448gIrZmhe5u?#ar3z&BQf)hgWfV9TE zZjX%5N^%Jk8leawEUkIRfRgI{-}K6k8!$(>mt0^M`WIEF7l>_CH8|f`94pM<>CrM| z2xm5*(`q9VThOtPiP7J?w#49aT;CQ^V=Tz8RT z736Eep^1T$gG_tlIc;$w62$qhLsS1c=D8D~fa47!kH&iPly6Yb8bk{dD0sjTh3%*X za~1oKB{s?ui_kpI%6P_u^ahS|Uh#6VAUvb{OPn{|_XSacZj{`6L2czyGR5q`7}o&A zJ7-`S+2r>65#yy65YX5Qzrg*?hkfoH`!|#`=;&N7i~aOVWzJqEP*XNP{9ZeS!!h-l zNm4>oC$#5YJA*MNE;&1eN)*>^{bC zTK%Yo7QDty)wDodb8iZ#ZFZ;F0{``bE{ytV{Q8ZiC#I00+@Nh%tBuKL|Bxa7B;oH? zIHcR2crSUVYaPgIJ~K7nt&W2{Qbo@pFCk&*TOuC5_aG{zmR=q!+a`|eGtG9(IadWJiCWD zWTRd{3GDQ_2iLRN4Z$nmbprn$+mq#PyQS$2!R|iu#(g03xzD4%;kp^#c_HsU*`Z4Y zapx%S|6x7pd1nx`cUVxPO_K4}nt^+bMk_}R^Z`^vjq zknhQHljEB(buC8`1#!$-d~GtrJ5A=V9n#Hjg}TerTi{AO>|;iTJKAf@zdqUx^TA2x zFB~%ULio`+{zyD~5{;Ei|ci+>L(;)@2`QyEK(pg;617)ONkN=D`% zEPDJ7S8B!g2pcQ3LkX{nWf;W1b}I+@g+3#Kf5CdPjeOdG{3=`UFIm&M+j^=`v;r<4 z#=M-&m&s}zX{}5|uVzLl@*aWe1HQN;<(^aKuHyy}?8Nz5qc%q(?bDR>i8N=`9#${* zhC8<@_v%nwMsH1tC_L21OFnJ>jILD5(AbfXS6F;4;ZvH_4QV|Uh7P^!@h+$;`-OIz zoN|wvAoN2vki>fJ6DDlPIm$lsGRi-4KUTofmq)sf94~BoKc>B&*iys@Kl7B%?TfsG zInLku_TYU68(SnIcGwou``l^AO&{9Oakb3LXoxjr*maC|UC{ahQhMK*Bp1iTLt=t8 zob}iyY07p6lV=Nw?|A7*;31@7^i3=WX4m!Em)We}OcMAezNXU$2hV_Rw7>H&TY(x@ z*gCKB=z*A$i|-)$XsY7#P4ZPxNn9IG+aTF=bI}%qJTKmLR5A|XEl?2%@D$kIKqGpcioXEdPnTzJ{~5OBs<;WFxflUcIuoj6h6(9P2CO!9-_Py zzcFYAP+(j;kK7TTcAAa=3XP{dypb+iEasxh0k~Lp+9^0pDlv}LqY;!p1Jv+%R&N^ zLwa!ES$LkKd$pG*6Bfj1)Qnt4Jbwi?glwaHrW5&1?UH!h@ID0s`;G-CNdC3Ih~00z zYu__Mrkj2Z6TL(B9X}AOn(%-v(EPh8p6_xK;>!&i$bPVWwKM-GljrK**a5Qipmzh} zQy-S(qMzG&!qxbb;Qa;Vle^c^P`no`v^-7w0HP8&eHKdtbk+M?>U;FL%{Y1>f-}yZGO1zMvkh<)ARPWIBfzucxJw-S%nf*1tuZ1KA_jet zA4Sv!#I<6Bx&Y_s+aVc71?>bN{~1@xdjY_+3J{e-2nu8hKoxt}1lj`*{WCj-Q=U|N zz`v|+uBH8W_O>bV0MGFNR4G3}6QQESC&}ASl`hmZa9lsi`3`sKv}C zzjbh>ol_5V%MwiM=`k+ssP!@-2$<3CnGH3XKd84`OrD)()wvAD;5C#kDdnzl{`*w? zF`lNB-)?uvXyTde3g$TtW6#|h%7}Q+Y}9N$SK1^v({JJp;r~I(G(>F_6PryXPzu|m zijRB`3&9^KAA)hg>m$!5*!A%Q`^o?!-zJ%ZhJVSMs41Uq*jYt94J0W=Ob32xQW;UanV6%`zk+wGTmhIU~`-4+yCW<40 zo}HUsH&@T5CeS zB!S?=!KrR{0ZCe-c;V37IMfnaG85}&dJCr9+Kn#Ruo)s-l7Ywq+@KE&?~2`(F7?=E z8?y^+JI*qE*9$hf$yFO@x;s3_?;YD0IiMp*UvC$ZRv5<)&kMqi+KA`@UM(;8q8~-v z&X_d^MI$O17!%p8oH}`~1Pubmm=fMou<5rsp8U5thV4m)SA0Nb^tfz-U=a?4ZDg$HKK}l_I zceY2E5_C7*$R~wA%y#46Rr777a4D=ydS%Z;^t#t3r^fOJnw(3=NS#bfW7j@a;A`$j zN`TRJmxnlNfR2Hmsm=q>wVGL^W~8~G{4#2ac8y*W7FhV7I0g7VY}_r#%%Er35Z|2S z7Dm=Q7C1iiJ{w2Uv6Qwc1t}%e4ff)Izb}EUr_HaES+$DOUM1`A6l44$$iOZr?UTY>A3sjHK2VWd^0ZgC?eD8z)^4~yC?s1}c{T16>6djzJ^#E5X!zI#G(1oc z`2V>;_X%E13k4O|f1%iS7fJuyKJ&ZV18&K45wxTgAN&~#J^hW^-w)f%S-I*ACqPK_ ziW5%fUQ6q1+#k-On@fq;RA9jw5+FP#7tN+TdpDVCxd&6zM=U zeWt7>I>+(}aXsEjJg|^J{(V3sf3Q<7@Ds&F03ocaiA$<2<2b4Epzg{mWBH>_BhdM+ zGs}SygbF#1cBiG?xS3Hl-6!??V!i!yuRea7OVz%E_&UHjmF1W!KE!m8WwH6iW;hQ- zs$D%j6qQqX8JEgd+>oqo|FM>@#Jv76y?8W#*kXSXV?Vo%YJ640wM(BLjdPq{?Z>QV zlAG$@SbeD99P=%2+;TmOTg$Jx`qFK2pt*T4HGfFGWjmiL0i0zwt968360Kmd>#oE)FO@fmLPsH>GBesI12NaHNsNsYlsWmT!1 z3GDL7Cz)rsYg%PjbK8x8|91H8Uhd7_&CnH?>z9<@a8?dP{5U-o*JRf7t}H2nqG~3B zNh{SAL}S{86RWYje-*ty&t-T`@8}^Jgh-Vrm#E!atJ4wwbRX2ASW6HJbZd&NGOa^) zwC!kicOVF;nzwh)Y|`fvUO)NqU^!?x$<453F|G14Um9q2S>+c%b$6%}kNRZyxsdk! z#!ZD`K0Jl#Bz2Xr0egbx&5t`MWK5!XRpv3(T%q0pO&u}d5E+QucncN!E5{Xr`(O)i z4m+s@*r^#dAivcR-e`Ha@4nS=o2Q9jkT7kt1Mmx-^zZ#lXbIVX_2w^t4R0jMcw*D# zCg=w?j}6}|>4&1%8C$Uv>Dqf}$KolaqKLjQ%1b-D;;{>jAe+0N-G#K`H(~##dL?T- zG6(UpjQ*j{`_*|!c4)3Ul$3`hk7SzaSgN_Tls7KWq7|P)f}+>3;zeIsRV=*66!;>L>nbu>#wh7r{{1d)?FirAgPI#t{?wfEY8&*`7M%Ng1z=!Yvi@yL zWzAP1W4iX+2fOH7Vv^QfHqoAAh~hg65YH=s@hyhs=&9kxb+;U(M$(fXajj-;8hLOC zx_O0mH%bsXYVkQ}W6?T%?&8>6^xz;oez#b4I+=7w3EZ@=j(@QyJ9BCpXS_`3k1%Mb zjCY(KcV$QE`FYkjnj)Kg^pvcSQ+q)jKu}VWD~i;B`1Nlu_1BjdxSxi+%r{kBp{y+3 zmy6xX_SBXdi}D0S*|9d)<1K!tP)(gcDbQsaEZ~xU!E3IxgcsHUe}tO>-Xcgp077$N9dwiiH2AUuGD+1)bq5{)s1<@ zbcWIj@AjX^Y_-(e%MBP1)jOCm28~hT4nvfFYq$DWUUXp$_NJRpo^T6GV0vXi| zyLsifS<>3%pZTA)=Dw2eI=_bJL@+_iyO?*=H17rG;8=yW5LUX==%&B>bz(mMQvDRU zhBvNB^`zxoWV0JzP*Cb|F`Sb_MrHowF`@$?fsw@U=|ZD_;WB%3^LaGt+Zn-&JS#(} zXH_Osd#fLBW7v{lK)5hMRF(XT0j-K%t7q}4d+w5*yo&z)&CJF^hJAZBmrimGtXUa) z&7_}Gunpa5@AOIM+s~GiQ>AK#rNP2cK(_jIv$T`QzhY8l;D_fcUQD@;rhoiN$b>1n zONg|CZw-wM9j=n2K;{!5N0s&ik${TfMD1E_TMYMyN>k>IfdNc0Pc*b<`qb`3~ zw{x6`>ww{qVAGf}iDE*tZ^WcGXh^P%YBppQR^2PRzeQo3^cfudDcu3 z-4%yQb!i(oxrU1mi*FcrXH-hSRa{*zJ;XhCUcJi9w7;O{#nPlTE5(zY{1GqUOu?l& zP8|#=pg6m~c7?)|3pdnQg0nV-N=d5tu{p(^k7t9yA8%EbO5Oh_ysK|~$)g z*=qq*CVy!5>+FXnEgkW7rG476=I7u>B5wUbr*;7rQ5r^~xdGH}z}ccKj$&m}ATLD0 zXa$-!W+xS(>hqDI&|ctFa3mhre>lz($wqI@B>;syau=ZGS4l+EYc|g>_(#sHDdg3) z{3w=vD*~>blE*tFr(_PljB$3bRRw;jqT}Y-ig&h3y0i?H>4gc4-mv`peZkk){{hAD B2}u9| literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.kpt b/bsp3/Designflow/ppr/sim/db/vga.cmp.kpt new file mode 100644 index 0000000..883e1d2 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.logdb b/bsp3/Designflow/ppr/sim/db/vga.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp.rdb b/bsp3/Designflow/ppr/sim/db/vga.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..16cbfd7fcef9a6986b7d9c0c1b12addb93429528 GIT binary patch literal 30872 zcmZ5{1yox>(>CtzP_$5>xDKo1VzA(TZzqz$GAS$$Rt;EsMZfQG|swT&7z;gEF~3IqJFfJ>*Oz#IK3NcQSyPS`;@!|{>J;$1#=Xa+ ziS=~95+6X+vDmj(d%k`Up1IcwJX)`4>Ctd0e>5sJzY6#r ze$}{s8ZfI`UA-Pwy5@$Y<+Zx|AvcqSZDPfu8n|}u+mjdcZQ;UixkdsS*(FAc5g=CM z=hmk0aTesCS1DATvMyNH=F&!w>e08h>}Gg-8&HjEaTF{uiye6)Q}EL~Q=?qJ#;~)+ z&7({I^mIPQ{FGbV&?E9!ewe+kbJ}^EOPcl1vm&%s+s=w zfIjUygH|d5`~umct?lF2I){oY7C3CQv9>|oUYb@Ug>E7OGw3%-l z`I?^o5t%e~n`5J2tUZ;MOHMw=dNVDcPO)~_qOjlUvZm5AE#gl;51V(Uxk_2ET@Ui` zsGI3^s~HTFBqlGnqL1l|j=1Pco8*oeoGn!-$C~WlSFD*WUAL^d_H&`RX8`Zd5koGu z-}p`e5Er4B#D+-qsgttDbE3}X&T0jeGYxYK6-O6WN-uI}cERYS( zKOp4byepSP1$Ud0Z2euv7C z5q_vq-Wo@(<)u-_9pm}Yj-Y&4o%)=F`VD^o-X8wUs?ZCy7qgqpAG@TmJ(bj;v`=YS zu&-8op6}fKmQ8DYUyR;#D^>h(3wi)@OuBss%|40UjI}o-F~x3>;1oo4d4=+f(!FIB z8CWvUZ|$I+Y!?z=+F@|aI$xdl%YA~%o!Xk}QQLbGn*A-sX30lQ`xivG@VN6v@oB<@ zWPlY=yHw-vf!^gwh86FucgoqbcJ|46>bjc zxz(Paj9~`xNP;BCBi0H%?Ly4{dW>k5U(S--UdSR&*Yz-uA5=*<5?uEmy?oQQ>-zKcH8=WgH|`h%(4n zd*M5`vpoNzZL&d$6{tIY`t8>L(|JLEJS%(Ec@!jGe}nYX((U)8l0AGTK;^`Vkd<7Y@NZ^LjEq&Eb;a7C888S zeef0IhI5ZdW8~p0JdO%4Lb)(h_q@L8{9|fA2fm z=Xjy}2<_k9t?ctg_~#S)_O07_p~Cu~n!uZAB(akek>y7Fc#SzbIh6gAsu}qyirQr{8iM+XX-P5-G0vIK(4snajKARD~p795#LUV6Rl- z)Pu4|m-|KQcNm@tNu{CbW7*@MR_Qm3loZL%^vC!|CaWT8|LCN)8K=a2?RR@p;x`6nWb`X6^;U;9 zkS9!%qg~o_xmN}KO`0<|&oKbHJoWFPH1@eRYMRsQ8hd6Ie=>D-tK|wGB9yFoR$75=|*5+1A)yoG$fT*cJSm>YNw}pxxFJn z{<)Ob0haWx^2$kua>yLJkkn*q)A)Qe4ib!au=SZVBh!&9U(0B6IAcYP!Tk>yD?HE<%E}8cA@W_Oc@7WBZ)}N(YN8ihZ;E`%reI;_oQT z;xNQ~_HcBCDQ9-($S{V2-;D3_apEubV__teVO$V1a3Io07W#WINf4sH*G7 zUyb2I072B&O73$S-lw&VRAqp@CPJ?0vEI4X6oLiB1N{0dAl}+$!JsC^T%83i}-Fc z1WRTE?la*jd5mA*c(Q+at^u5i&F_dqxO*g+?Y2^v<~zk;jBn$CjfSaf1P3&4MKwq* z!dG}#m&-DGdTEl}RvNaOUBrk8#$VvAN_#Gn)n4r+SE}+b;&>d--noP^a@3g7c8DX1 zRqY&o=oB5jg`0?phsfJFU5rXEvz0fr(D554?RtmL`AQ=y851|-k)NuLGl)tLTaH$8v z=lvCk@cBpkWDx>&9EL1-kTU}Pa;8Gh9$AW;wF80O`!y7&Mqr4S^#+f4d_3RPeYLor zll1Fvt3sc8KiFNnLt&T9ml``!@~f%d-yC*YAfc7eSKKvs*EK`(uOS9b4K{q4x-up^;ZEPxC_i2#n`#)=g~S8cc{`` zXrC2Z7pD}vVr9Z~6D(y%PLL$rvI_L-Sc!BX|jNU1uE}!co#Z3ik z>B;4};_EfX1z!FgxQwvN=X@dc0sAGn{365NUz@dr_tHcL9~^)GB;AP|MJ2pV_%E2` zhw&!+ZFFy$ok$F^p?^jNUediC#JY4{YLEPfCi#ES&_4#Yx?kyKWHrfgT^=cIfZT%H68oq34u?_=wHu5=rP$x;b>U@&>sI6ZEvaZ0=a|UTPB*u z=ATijbbKajR5+TM%s;e(|3Mpr(}geYzjWpPhc451dN>;Uf6$2k2Q3>;7yln!zxe;5 z8%?^i)aZr!4=wb6(XL#V{{P^jfBb)fEB;ZD1adxKj^zk$m^nVX>s)v4Ih%I+wtS z^>u@qHD0U`gE`=Ol;F8s$5$IH8rD0%9+SgFr>y<%g;by*^gSeIxzDsuKZ<5`ojhuZ z*`wR-q)dgjzrxU|IY*#{U3JPxyBc^pg%aUG|Kwh6>O`Fj!5nu+Zpl!~sYMRB)P$md%mt}n zcz*zZp8hVQmv@8>lEvX{-1~AC@oDD#QZw(oPihm*@N!cf?LV z2e7uY-vQOITh0uAn2w-mmQE))Jvlbq6vRr&nQP+F2l*4B*mvEb9yI;k=QtqHf(>{& zrf&Dx^UglhI-D0gd_pu2h0t*@awGlRHSihY@#*LdM$Ubs#Dgdtoz0hhUxL7YPaX|1 zqi2yuGiWG4KQ(iOZ;$x^J+TMc@D1&83!CE$TeHJT&0%CZnU9wPS+Hl&7|TXbRsZY! zn+J=!BL2_EEnHrH?>^NT=dTzIls_uLo-hjuX7UXS*u?3`Bg!nR1bEvJFqa`ibI%Qz{OaLCHI0U8ufx+rBah89e8YAA$rU@s z5%ViazbtXLzUc(>CyXR+wyqY2rVzc)V#7O_-x$}TYkSbilV-^qiensvffZwHnG`FJ z$q|hY1aTS*b?al?2jYA&uqlEHgcp356#Licj<(nIsm9X}+upQWkyHv^RA}A4#_|m` zjFXNff8t#Xeufe_gMGGMj1MdGrhHBhCkS(&yXyutiV9De3Q59?kE2--P9B1|H)FUrBIK`%K}GxwM%2N*;3> z-a5x1Wz?E>Wy)?gc{NNg(>cw#&r>;sT9Cxf$K3z2=<({(vT|r$S8y-_bZdd|o21Q9 z70KB443dsZFYXh@X2#`%Prt6GWL*`S#SxHoJHn&9CH0 zu?7QO0>XQF*V`#Y6KxgFyA7$N#CUm+@-8ekNqfEoe86qO4OQU`H<419Y8d0~CoLyA zX-39gk&qjI$6&?IupyU_f@FAcGPk(6bTNi$MXeuAosQ#2M9l*pz}}e`-}I?+R>&q~ z4xdBUF0htSktDnQ@k=sJqAcqDYO%U>+1gpqiW$j0LTO5G@e`@iqKJw6itaY z7J9Jep%%9_mHo1+Gc^~FzS?bu(;1nZArj|hPJKbqA-ukyuSOrfn**92w0*R^x;N-r1QvlfRRt6YTX3bNq-y4}fBwnB z^(hJdifsDAhH`BL#m24#Hv8kLW9e{WN#x~fuIai_S8u|_$WQxKqm5M~V`Duxi@vp0 zk2!z$;Of)QM-S{;N|ilTbg!0}e#Pw0SHJk$H2Y%q>lM3;>gvl$iLMH8tToR!kAAHqfS#b9 z|26D%Zyf94db9dBf1-~&X2T~ObBc4vaXz+5E{+jNmlI&5qEux?^{mSaY*}{)doTMM ztpHJXG8Sf*Le-2Xy+f|eSJ!|A?{Yd+R)b5-<2X^4L@+SlP1z=nMop%S7)5eN@HQ7~qMo7Um#W+- z_84DrwTZ5k4TZ*@XZn77b=?ZtxUMTDu} z?lw8IAPYFS-ZVpMc!zJQe2k8IxoB(}=V)Swf}(iq`%7cy3YiY*MLOmT;?Ta64ZrQ~ zb4l`NanzXd+?(kicGf>f@F&}GJ`~6}eVq3*+7Spu-x1NGlBZ)?#>^yK&Ug#x`-a z!s;jxblG5>E8I7~#9dI38EuQs_))m{n(g+K##d1XjplPg7i}2AWDrD%FY<7$hjZs% zO_?|Mvru3TXE)Zjc}w4I@~Qi|7gzD@xNSMq@9KpL1-LH|Z9ENLvW}EDyIaBXhi6T` zzOnV~rX9hE2ZmmU()OA+1^6QOjBX`|CY#u|Q_U81=g~R~zr(evXy3NtM9@!-WBVej zRT@y?)jo<)Ya>Nsk7$h=uDBgR5N$No49KpD&e^d3eaA!5L{N@sZL;jzayMo8P<{Pc zsZib;feph^=+?Pn=>Q6-*w9XPo%;L7e$-d%=~&(IEk z#en%+Ux)}%7xim+q*qC~85PbEF*7qyy92hXU(@rwXT z=*JfQ^cT~M;`7FU68>IJ=enS4tG@Za8(Qtqn;>eywNEM3os9(khzAg&Mu$;TZ>T zk@WC?>mR(v!w4K(+15?W1=?0%8xi;%HVsyfn4EsC%C9H(ZcnIWtECHM_3T-4S?KbZ z?tP77q%vSP*;jzPN%wT8H#VHk`GnEnH#p(qaNn*xjP3Rk3}{p!ym zDFwf{(0>y^c5n5X>}Ba4)oJb=u^&?&1XAnQ2(sx{k_P*Ti7merSMS<>Z%R5%?vvvHs;!m;2XxJr9-S4WqpIt}&}DQW2~{D29Q& z%~;I0oswNHiv3mo&qcHCl{#4d^M#Kj3MdKam_a`L?D1WxpSy(d1ENivs8F1ERHVeF zzO}lVZ@S$rQ-_0`&}903-OBQh6t+Z@Hi7-b)h+r#2>5W#-1XIzO!#Gm zW+vx}d$=1?YP7+9#wigQLF4ggPpI{GpwSmw5;-m>Nuzw@F9{`zr@S7xs88(<{GK&` zI0D`(Z-0r z2B{+9(_Xq!A5IETqQ8mp6bnbC-U>+o--NB~t^N+;G-~F6ApzU>dNP(%h*B;yWoF(c z3ZRdhYaM{BhG{%Pr;hj=pB4V0v`p<-Cfp5IM$%p9c5qI^3|*YGGv7;6P?%AdUTpnHW|%OJwv6tH{r zK=o<;K56obZtb&^uX$SRhp2>0{w5!wpd%SDXMp8^2B!f;9Iw9mzN)O(G~X;6TXJOZ zTcEpjAfnG__TN7FlBA%xK!R)E^4^v0WU04Gj_>WB}dzbClSK2D5G|?BAPj2>xtB-+?fKu5% z2A!EoER%WcVb-v7obby>)-Wc`S&nrr>P3ThD0v8+^Tu?G_^*GxH>gvt;ZvYyRu1^} zHvOCU9#z+=L0y89@m{x)%uEti>YT5i5oejFme!)vHa<#W(o3`7m7bkBlf>vgWl`&e z0oo}FFTV}m0E!CVG^%@eL~)X28+#WUbiPivYr<&Ew>yckM^UUvhz9Jj9IUo7he29?o$yu^BM#LVh+ zTns!`a7(w+8#Y-7In2ZIe7W1hd}PQ>CuOU(kZTh8!53}}4M&rYOcm34;c(?Vn-1(F zj@*@SgC#3FsYlM0R+w&h++5`J<<;UDg;OBuUXa{Uj^Ke}J(|v!y8wI-HOou7kJK3K zXCfg<`?QH3ORWXDhy8;pV`{u^l6B8XD~kd^p?XETwH3#pm6bR`A@ukmur^A3Fr-oBB%0pDPgz2OK$wbO1{z6*TR3wy1AF#c;QT_uIihgcx%RjYfGI~7Wv z^xCkh%%=wtq*Ob5-Nj3`k9O7o`P6scI~OPU@=H_3S1XG6T$!&ve6m(R{wzz6`qA3x zX#(8tGOMaK-?`|`nVMvXkfBS88=jR{Z9}c_B%QXHf3_;CIlDr=h|BPa^n9~pse=-K zxx14>=Kkj|3)xQ$yOsTTbGpTW_=dMQXGv}8+JE{h172~kzInL%S#zYTFnF8!WT(Py z<`qNX(+ZNRnJu0PshwTE=sUUk-CxLv8EI7ONM;)InUk-@iz{)ROlw?3k*+`p_TF3W zQ$^Gv%>=g+9UTqlgvO*r?of(d^P%&;fg_KxH%iX0nSbD z(=x4+c?_`_`Ml^1Ah9QPeHw%vDcz%UH?ab=$+Tf5P=)wmsVznuMN{Dx)?ZI<9DLC8 zC)NTn2W_@Gn30x=-R&&BeI&8#LyGKXT399B{^T>|hUB&s6FTG$yXdnfis_%r_C0#| zDTX%KhdUkqY>W}euSpJZLQ=LTSFfr7_wMmIWEaJ|^xC%89>bvReU;Lemn!&`2QW?) z*q1j7>p~3VXLP?kL9@WDZ7msh;J-0k8ESu8lC-0DbU&|kS`Fb@G&tKB7$w=8?`-&PxqJi zhwa!70kvU?V2!Z3#WSPnFRiN6x8$KotqjXNe)hKtmYmq=I*y9S87${o>VMu@#F$k{ z#Zcz+_{grXF14cj%Ettx93mc-=e@`+DzElIND>8rYCan{VS}I5^l@08q+WjG54`=; z6T?EmipCObXU6XZbEd`6`||=|!y5{EPcciUx(rd6W51dNtoL2=J~jTh_KQ1=V?uoT z(Tg(ouP=1S`T;9WS=abvKfFPXlUH+p-NTf8xJ2BoH2m-`raX_)s`Jp~F6w2ulxRt= zf!XnAYUWJHBZ}^2?}|^>^9gM=>aW=XYNFXmF|~7_tWV{47-c)5cb4cjIumN^{!zS# zQ>=j-_gP_oTF#_YHePEE$b7C_dd{rdrt__Nq>591RhyG-rhy;Ue-uD68?3N3w)=)6 zn$fJE#p@@-57lz4two-BROst*;?XSpm*lagnl|AI%3mP8&V252EYu zvn)<|o+`j4z4RSq-AmRFD_u2fPw`ednqR1AHAU6vyt2ZK;L5K?{)V6jFXNZ;ldks! zbUq?$PU;nKd?0JiV@Y_PYOR7ov9iLHctWkQvesW`38sY~mgfxt zM6LYCT0nJqLwzIGI-2EvU%JopZ)9E&7H7R#e|6q9kfq0;akplrc2w~3pMbaW(hliF z5~}a?6Ij~1yjU&(AfC#*d5T=>S$3MT{2$l4#hSl#y+d<+vixYuU>sR`8e_aQVrpV| z84oNedt;1)Kc&^Gvf&bL_3)z-3f(MYtuGbfr}CXpL*JUNBc7+Mw`RMa#9Qa6%dYTd zVXw1{gxE+d@)o5+JAS<9icCP(!{H^ig=fd1D+;lTNJR?M&ue|W+24#=!pw^1EWBlP zepwb|1J~jMZ@yB%pPgm=glgY!wm8R!vU(S+gOpK-kFR3}tBGtMg5nOt;tpBlvFECp z+CU!h110$y{0lo|;uIMV7ypEbsyFs6@7n%O$--8`kY%>f*2clkjsj1Q5l_!c-ZBsG zEJ>jC%!!ui7x5gxZ)vrh8>X~9FF5DibXF2cDX-%#9;f5EN9IXvG~1aIoIYv)E=EPH%wmA689zCvMD1G8C_N7WgRsi7nfpR+l`7@5`M#IrrwZzS?S4+hYPg zJj`z9-l0^<$`bz(zTzE{_gRul%4XzT(AI#fC@6&aCzDCdlL5;`n)vSp>^FwmF3Ppp z9(3`_yPwSX(QS2La1p8oI5W9JjtKZ74tU^(XA(RG6?rOC>6pCwp08c-X3xdcJjm6m zP{(+mVt-s~#3?t)rR0uXjr)tL^&RjWQphOTk8wieka;WDUbTS+vf#PL8NkDQ+VwAF zM*)9B_7t7bo?1$x>zx40%LpLJF!A(G!2emYF4QpucY{Wg>jIwHz7Mv-x(>wSJ~ z8?@S@q4YwuE!}K{yK_N-x03s9?RFHe)AJ{@5jadi_CEn9Jrb%`=Su|a1_2V`JTKG_ z>h@5vHMX?X`d(+@eGDK{gURvGFkLWZg{l5m{%Z@X6`V8G21YEe$q?OLMYf41D8Vs= z-)<@MIJt`a6Y~FBm4@(^R~DCWg3EJB)<;z+-CtFw3CX{7AM#iztv{-U@G#T(Fn@tq zsr7M+zxvDkUSo^ud@hY8O$YW%Sv*nM5S1xSCyKX{PLsAYM2#+_j0VxkqR_T7>U!)B zRSIMRuYb>n^r0$|%1;oPhfgROK^sxmuQ8cZFwhTmsmr81iGZxWM;=a`+vs{J>yVNZ zo!+5PHJGw^`%lo0ZKb7u=v^v?CV&wl2}8(-E<7zrs{uH(EYgw6`iRu()DUMavC0hL z^_3fCmb@hV@LS|zA!Py+5iH(H^qt~M%rYr*HFDi|YXz8PQ4UNW!PnLU@28UGsmr*1 zL~G}lr9waY0fh^F8N+8zJa;uuF%FVwm~XNR-oM2Ti}=w@IdIMEWbWP7B~0))8@gU3 zD{~5Wtl|DFAqKB#c!KKGMdT_W zkGhD6lLeUe;ywEA`{#Gt03Yu}o0*0=84+_LHPK&EtmUea$|Je(L1msp$XQ`77v8Kf zyt*;cnpwUv6DvFqQM24-q8%NK_4b7C~p(VFV2$Z#YP|g%#>A_ z6+iIr%Qbajl#MEp8pa;zuyFAs3b7ty7G5H!GS5?rl_+Z~)4(+l9$)yttR+02e>KHx zakw9u7Q8I^={!Yi8)E7nK%pOydF~r_VV%U$qmfivXme76VN2IbtKsUMHRg;4Z`IzpSh?G4C)`{+oZWxBDpFD(fl zMmpJa&dUzG{KF9`9%O_%!vYg=S1JDBEe`PEz#d8gJ7Bc$2=T(&mHNv z&&B;Nh)-!Y%a0BFvAf@XFsOgazm0pkOc*@=sHXn;WB>6-GW7(wn5$%#R0jEG7nKPq zgIX{OmDPv>%uz}!Rfq!AH3S%xk?MXkya#*GB51B!(u&I0{k@j>6P04_}R;_^`}%9ZEcSR!Cy z>E&g7Uf=@i!wnBIh#O`B;Kgj8<#TR*58!J*Aie+Yxng6TF1a6&(SM9`?!goeBa7G| zg2Fk*^dH-~QA5!s#l~UWn9wXx-+tQTJ?sw#)E^t_8vzK#f#OSwCBtAu0i2^;y=`pFrK|o9YIX3i% zyDo+lUHo95I>TdJq&I%Bk*MB^;)3u6*9tH?qbBx)9mG&E=!q(#+Z#Ju6 zg3k#c$`KwT{jgb#ZVhkmHul`4JcMT&9i09{Ib;xw#%~L|)lleqHU)~t3|t-J$uheN z26)q~sX5RDqdUhWj=y_yU2Khe&X1LZl6Scg=@Ur3n)rdI0J%$BrHz+_uHi559acd4 zFvMG{2Kw-ygQTPih$3Kt5lUC!a}OI3Y=Sb$@?JY&7`D7|FaqOd1TRY@0>sdsG12Rw zeddtB4DgjaQb6Y9Hu7e{Hkcj8MmG!_;e~ty!;~S~P%m)br4D<+etdDCB?--!3a!kr zCQC_eh!kN!9_m{pm}CU-K28{No&>&XL#lJ>Td;v!i~MsTz=3aGt!$f>rlW24#vce9kNo zhLDb=;c*D?REYOU#TKaISvU&o=rMJedh!`5)F%?wWee^b9B>Jq#DJnkz&a=(mfahdb;!ZE<8(w}%wb&xu=Dp| zQSd^& z#v1{s8-@AXLed5SS|Hd#s2jc7%-bu0|gjErX!lqXq&HU!|wZ*2H?)R zSamULcUV$}r~qnc26y9a?ZsWpOBgo+M7M9n;~X|U;9@O%Uxy9V!GX332XNw4_Q0t* z<3OeS!5&|p;Tt!AIcS3d8Fqtb!9w&2<`mIKi42>h?k3}sQeN(&2d7X#xZ!>sOy3Hk z^pYdP?C~tHXX(hEEg8Wc2@wEz0&YIaA@wnXHHZ2w;fhAE@P0U4Uus0(5yZO$%WXj1a5}E9p`ZKVH{>}6?ta*_^v;z@ z1i&8$TGunR{Edt&6Xq5n^%(qg3sHx=eTRBj7l#_gg7(Y_Z`CEj%K8DuI3Ykd1Ig?QALqkzB}>R`difAb@VxqL=y$5u#A9W>#r)PXSKN2qH3T=E z9f6tVc5MEev3V^&fzM~ynkKOK<0bI`mAF)nNCgSl0WM#O`2#Hpk(`3G(`Pcuf;1$% zW@qvkd@{;y&<4!_9Y;_v4;oC25j;?Q^u!Ck+e|5^hYcuy_qSHp{RH4fqRZWRTtfj5 zD7Q2Hy$D0d6(G~AkByMpjikTTtHG3o2L3=aI{76HUa6x_xdRlMN;@R5jxD4Fzk)rv5~K2Yym5LoDK7TFWq}= zqOVEOVXbe2qcYkbc~95U`q9ZNyE)Oq;9Sf~E`52yLU6JQY?gG$0LFh^DZJnoI8OR6 zp^<(=MzCn>yveV&p?(hqkni{Mq_@2SXuU)cz=rSzi(a@He)t@et5aUEM?9Riw9D5G zLgYSb_;?=|A0z?=EK0UW6s!hUvLir;m1YU!J!F7NE~WaTedY?Fub9iC;OveyN22{m zp3~rtDBtZfh2v{m(5$axgoeYV!~(NlfooH z2U`dXfh-K=QPm~1lNC(ML6LB4NMbQ^*~!X-*}cH^UfYT&pyu?TxSt6u`lTodX&VGn zD(M+e$iN?JzWd7&-ih(Iwu}6A^v9FIzC(G>u1TBr`THKFfHR{<0!M;0O}kJuUSqSm z?OZ_-QH9jTlK_5U4)rvOt?v7vI+Nidc`=Dk29U6~Cy48l{rI;BZ7!LL__G|*Epa{G zGOm#3j>(@iupPTx3X}8o+Z!M@aJCG^zn-~GJ^^k}Yn21~*& z$h5dcmFC*+0&mmW432GXxbTVv%Vjo0ik2+C3N1Jb2md3D*bUnsCDXQpp5f&h6S>qBEKqXrGfw`# zjPdO!y<9Y>hD|el4x^t5{%fh%A8D_43q+IxFQ@;|;;DV{DLz^*XZs1B{xgZpV|3UW zEZp2^KFiqX`3bz;A{ZM_qIlx;Q!gn;$aLlC^h_sO{6!Vxsfp3>q2DPL?VgyRwT)hb zK9qSR_I!>u3Kd+>f2b@jJvrvEsG0xlnD*LYsZL-Qqjg@fZhNhwxs9$me2pr#;FuEk zw0z{(4}o0Z;=9wWfF;4n_66Pa@d}wq9F7g!KEgN`{7AcJeudL9B`ntFFruKgg)B`$Gfz zsoCB+WkrP)iR!&!MLW30zS|8U&`{%)G5mQ|Vi8ysIh(}UsaV~Dk26<#p`EX~YnewE z{%y%Q6=zURLuFffo9i9B@WGmC&3jSC7ebzYpl7%q4K1d1K}J)3Q>z1kKkNN+m8lu) zX9EIA(Z>X+c+?y#yl{KTKQtXzuPcZYpW2jaI~l~?US9r+^-rj3SUz5*=wMa)X|mQ@ zAm6zh`6}6L?ask;;)`DoC2Ok(3fYIp^xuI=0vPMjEyxv?B23Ja*nnSXQctI zz4NsT;)-5&Tt($xJ7SlLkFHYMXiQF|sB8OGu5~M#*6By`??NDWF(K=XyiEl-xF%zB z;|*oU7{9jg9wW~EBeUGs$#jl|U*+#Ne*02YV@^vwITZrRm})H1CQa=8+pp*=SwvnIxr%bXC(s$QqC4l|C$d=#i(F0cV;A0t=C(T&`x7ro_3MU+c}(aE1TFQ-TvT@_t&wvR#j06Qie z31WgQicY+%NPIN<{M2$v^mH}~VmU-GzW!kD zf~c6(7}@rsAXi=&)VpJ&7A>pl^ElrF&-W|qw97|`A7ARuAXrGNucmKBb(EsGf~Lr0 zhdHoNsm>Lvld5Fo6nUPPPDld1zQ0wch9AY1X$goi)H5&970ju2{G9}f*l7?=t#rS% z@|bu~XU3#cl-(mdcJ>7EY0j4Q#YP1s;1fhO5y^-kI==YM3_m=Vqn|7T2RZK`B(Z(AqwzLeOiVOKfC~ z4Oc`*Lj5}V2TP!DyJ$DK!f)|&{;lcqr{X4W`3B~5b$jHKc zRPmAjoS7SYQz9?+2E+9(cwVL4L}pB3IxD}-Lm*tn-@LR-a^szTE3)258CJ~X)h4?f z9Zxa}s$5;=gIl0%AK%wpR_!M4TpIT23DF4$Wz9|Ua&Dwg&ZgbcQ%&2%#4>Lw>tkHe zBx2Bfa-;nrYX^=vofE4C`Dg@?-<~g81t*OWk28Tsr|$Sao4JLPr``>SYnyw_@T*M= zjxdjfd8wT8O^s2dW8&^yYeaK3q7sLRcg($Wiy*M&{fUOa#9P56$(dq~2{q%eL~y?1Zz?P$4g`=&PIrbfR;C@p@3w=H- zDop{R2D0D1W`~<51eJ~qoB|OzZ=8E0kHiJ|QQRug<$ujNg}S@>xvym;(;_=?RvC?F zAX?>AA}V@Y%6U%~t57qEJ#3~NszxxAhc?VoI4n5mMPAUKP%eaM9Uh)~G=UhmQqlLc ze5l5G0u?1X7HXYMY(j3bxsa#tfA7~ZC>Jp#_o5660OoMNFR%#_OPK6@+eLp&Z;npl7SOYHVd zH{QvcjM7*2Q1mbRXR{I&uPSx8c$k98{!d+B8P;aggo{($i#r4<65QS0p}2c+DbPZ3 zcemi~5InehaS0AB4uuvd1=^mx-#LHJkLS*;T)DEdyED6+o%_)>tvwbXW@CHnwsSET zu7p>UmEoqhEE{+1T_5J5pQ}_uQ=hAO*K~79Eg726f05%hl=_lnLK%Up@?M_@xVYX& z@L(n^>)GokRz{Zi*>w@IS7~aw+is6DYEV~au32lexl5!D@E#)Ylo877C37=#I>Wfp zH||PFnGm)FWESLp+pKn+tKe_o821O$Ot3Z|uZHe>_N3g0QU}C=7#=%vNhVukXEGAX zsPL9#pec`#IrDr~VS9S)-^E{zYR(NhALmSoz5(_m!DX|y1S=T~Rju6}sDp}u#2rvd zWyhdg5@{Uj2XQ@DiAY}E_5|fb-^3n)iw}Rsv0#mhV*aKCDil(a2l$)Y+KAf)N`I&t zP`}pkcM3^J>zq5rm+$@UJM2C4k#O6j9O`hEC#0rct>fSk{rHzW+%-{ta0#Z zY$`fA-#_LHj);psN5LY;<5J~X+(!a%gxh3B)PtmON+&x@oJ_4m>5tAvEVb-t;Q=Wn z+dNIM$fS%Nbo=&DYVlF|NDbhGDX-*2Z(#-q!?p@%!;SJlqIQQ_O1RCQr3d5K35ojq z{nf2tA^sOy*9D>~$`cS#B70WSUsHo{a~3~|hyz|9m5feWE|}tbb6#iC%-CMvbdBfm z^O)ad*6aE0zuW&<4HPL)ibe^x{H$h-!)HMC*aj4)x-Vwh7)hKn8eSRt&^aE5JbB5s z7n9#To+QniWc&&8IzSN${awH$#f8z)#0Q#Uc^{WMs6tETJoyXphVYDuJxybeYx~ST z(mY;%hiC_VZud;Rx?BS&Zt94cAX(dQr6(kW+0t)ilj}BuG5t|sv^ zYeyK-k^0NWp=|vVT&E$7X|#?ijU7gs_OLF)=V*Z(5W%=FB9^y4hwBIn6WUn&P_j!Cv8|Fo6{BV> zUee({u1l2$#;QL;KG7Az&j*ycRO(f6Dk*k8YESRqA0$_O5&G3d!?TfeiYDe zKmggu+(xx%B-r2Wjf3pW#dr&%644S-nQ9344rY_xibeOxZ>zdNYkxuw8}3c%Xvw3E z>R!_BCx?%E6L$sg|5dAVPFCSF`}~UB3|*Mq!JMC*!`>($V(*ro!~`zFl_S z6yQIBd&%C{45D_{2n&ffi?zOPcV9VVqjj$kr5`+eKqzn1p+EkCUb7hI z7KSt99$3Z`6dS4#$;(cD+;=V5&VJ7MP|-#a^bNXVm`a8WBV3gbT~gQH0P zP!F=}{9mexM{*r(w@-EJ7+!xzIs;qfH~rO&kGCZ0Y4kR^&R>93;pSZ(KLeVyVlxgO zNlZRubTKRJkzP|OA-^7DEN67;cwl~lWzRGYSw~MmZ;w&P?YEt}Jl$B%k9s8On+j)k z1!p)#j~t?p;9m*TAl(~oVxOPabjztacM*pu05v;+d$uEQV7T*I%E&k8uF^{bzdP*8 z?3tn|@H}`6t9wNWM+fI4a*liuY};AJCdY0)ST<+{3l+b5tX_Qm;gO)nhyH#DW2q#B z$RE=VP4^JDr8&6tgXTz~ywr=~=ccjkW)BjUjNp1nQaA9v=%RAkYozdE$nR~L)j32Q z;B`=)gwtnakonIeM6^$`X4;>TuYfKCcHvnDrOMb`MfyaYu6#7fmJH6aLvbAl>wM>C zG=fEZSJJc5e~2#sU2lgOl^o0+FkDfh2f^*w@w-ObnWuR2f1`%iNxe#rD_XIzm|tm! z6L=0E;Y)QdHwOpnap<~>Z|nDzn;CC6H}}0kTSc>-@`wU`4Nvs4^g%|VPWhfHRbk$v zptK?+FO3l!rodDH*@=dM!Vh^WkRGMNGTDdUmxRDN5yFgaqAEl0A1hSC0O$J~5?e-Ad zn`ul`&D*$XnZ;EUXlXJ{YD@f|9p8V;XCZQ9Q%SMioJ)b_z^mb{pck{98woS1gVm|J z!W*`&t{hBC7O|$^+p{!%K3D~!IHUwS(#eJa&Vh#2Gam}J4=sPAbS#HlWIUSQ`cRlC zn`Jy+V}5e94WmTILt(oR$O)XAlBC(WXH7EdbLWLt+4*; z`3a3W?;@GKNmZ2IswD7F(?UxMjeCQ^6~cS1Y^piL!K64GBCT&)wJO_c9RmajSBNP_ zJ=(>8r{2Jm?`r5@+m~w5sdOE|pW4TI8o)6n>)^FT%GLq^supvq?tZ-?8vf>#;{7!Z z6^n_pc^3nZw4^mUW@SXq$#}nf4%}voB*`h}y5wJ0TnRn~{Pv+<@XIajblB3?UW>l4 z^!7DNshaos3ve5om{<4wu6}|3hMe~Hho@=g?eGBaS$Won`&dcPt=^Y0qww|C;L3EM zyMxVYE_6ShUwmL0$YuW;&gN++Ga8WS1t>n;Q*NYI_t`4BQ+aQ1$RBFrq%N&&yaaqJ zwa^#>DLcH{!dJHRQ#-Jb7bpT>@J4B4sc(H$F9_jEE?8n4z?-GZHEcSJjbL)lCZpDq zw;-O>h))+qyl=tJ1^(ssL#q0ma6D0=$6_TO;I(5%UP}aYwppSuxj;$$#RU_`nBiAX zz)hAZ{iIDY9q>Kf+&K#s=eS>unU#bZ&1unkGjN>a$GaJ4n0+Ag4K_guD2LomnS4eX zF=xWdnsquYiU?Z?T#b-AXkiN{sg~m4=I0CP+ zS2cFvo~OK&o!CpBqt|OiOl>mRHbj|^^{$}ah?KOsDH=IBnp*6vqxkLv4#u(XOgW%8 zLjL(0m|P7q(sPs@3n=1cl;C6{VwiZyye1$q#&6BD4dfWj_RMh<&E(xrno>1mJ_gQ^ z&N&g#j<#O{0~0Q|&-H*+76S-z_Hx6yfm`di%O1$Uy39mIl{BO~O-p{5 z{#SYcG2uY<+LG#!ldjjuy)0Zs3?$du?0!g!De?Yt533lPC~NF4Xtf&(<;n{6b;gUp zrLrlBzqM*`X}HYpveJ05#kr32rAkfiwYaZF1DS0rinhx72iFIO-zg14Z`*eE*YB75 zt;(Bk3Jm&J+V=vYmQnJ-1{45Okg6?<%!2NckW}SCo^=_Ut4@~SNPFeH@!C+`8a8wb zP@?Db`_6@;w6yG8f-+0dnoYk9JH0l6UlOxr&WwqVVd7#&U1>QdLrs*vr6K=-1#C zN2lObmseurSRgZCw*VS~QE`ag#rt>Xqv9Ocb>%27Vd=v-oVw@uh>YVt=O4aEEaRJc zsC3aszlmg$p8+T!q^;oRZ=vkE^-dZz=2go47%1?xc2s(;ssaYOnq2V49Dl>>bKUE{bV3#$SA2zDmH9DH zNeUvX6vih2P)VK$I~hTT^1qqaxafKKJOdS=%jZ1&uo)G#E6r?p#$E+Tt7;}ZR!w0N zLK!jltaqZB$JSrYA%4>w{iV09GATT7YPtg3UTiiGgCK)1lO+_~BtgWmzS@HwE02G$ zGIOwMpQS#dU4&Ab$)@d5b(-pn7JQ_W#da1!(yAtz1X{*9P#tyBUCQ={lvoqjI>k`H z=T4A*A3P9aPuN8&GSMW;4zj@3KE@<6nt9I}wIp(@VWR-Gki?=#WO2?^TqUa1H~bsT-+A$C4Xl&-_I=aF3lgeCNk4HSiB?EXq>wOI7Y#)M>EPd5ztk zQTdu)jM2>^KC95n=i9}EFD9}dqaLYiR#~kD>^@UNLi52p*)$=(?}`qFXLvq6t4Iii zpW8Af*@O~g-XYsVTjc=qiHxi{^EI4A41%`p-&O5p#_SVq0g4W?W5SVb!RK(x1c2pS zkF=@B=6YIoqC(VTH^-J17~$oB398~ zP0shkXHP=@MTGkJbW3&?@LeOYt5$-#CVp+FMMDMU6C+r+H+FgHZ6FfROkwye>vU9f z$ir{lDgwWsij19oY?~cA{bS#7Z{?(jMsc++1T#|PO?x{h;YK?CDdIcSE?g+$Uh_#{ zYqx@0_fX7Dys}+cIrnRD8%W$0jVbYGQ9Hc^G9jR=pxvjKJT%F|G*-6l2Qsmfb05M%tpA}+5*!Dv$6>0`bU z1eUIx2W+((SqKZonEw*)q3YNH(lG|6*^D$5B4JIO=qdYUqG+S-TD1r}f*xYWMHFaN zka2?Y_%)IHhk2}xj>61fNW3?8BMT%EW6nHp3?f|WH?G!UDGm=aeep}eg?DVnd4K$? z(%!M-9D7Y%f9x)i3C8n~Dq4%jv>z5O_IeqS=fKKSg;nJpuV3(`wu7T+y1or?IFW7e zxbLsPEygGG7q?GyWAPkL2-V97JQL;cGHzb?{q-;5XxKt$j$2j7$uZ>3zn|gT!Dzy> z2Wyo>>$ftP{^bf8>w{%P(T7rYoSMpH%=zsRli12+)cI6$KI)no{_heoHDEol*e2FL zO`t`r$b^E;9rdStbnqmlCLi6Q?t8P`n<^W3kLS}BhcwBRid``QB7ami*awr?7`=@K z!7d#D=)y%#B)8ir_YExs?7V!m*OS+Rs_D5@EbSUuE zmi-0~@>Mr(^J?8)3Zbk{?QoT^o}!i4`scomXNCqsQL9HlifOrwoSyF@3^!*lKNf@H zSINf6xf{>yS6y5X9pAD4IAuVILS*2Sx3b9b4^wD26SB_BZ#ReXEOO|&_fAv)LK*cf z&9b?FMCE@PTWldYg)2;o*WGe>?3eY4UoM9ZXtoJLMa$Qj#ejGc`)Na|pOYFtUD#-D z!mpuOVy*$A4a_S&q}^X8Otlh<=XXXYdy>TdN3eWmT&|SSiN#B2z3Q~wB=99Pa@I@Z zvz_$Fx9)E3$}(J9dycmzoa}$D(zmZR;dlPzvlw17!#=wgT zOI2|BvGc5-Qc|V9Qd#5d$LB zXINrFVEZ<@X2LkutE(9VK%4sJX@FrEuQk;YJYmLjWFep5!pDCQg%BwV$`g!XB*)@E7@V^#x)Za zhY9)W^^WJghGAQH1TlsG_Mc41por9GR0lx@KO_JKc~?CneC@59>II#bjYr9~T#(CR zC9}n6a}52|7`K^(S(!wAA$K)lU6DkssBi@+SD}RJ6skO9rOTM=F1%d5sAoLRFJUWh zUag_g+AeMvm~J(okYq(QpEVBf&|%2Q*OIR{QNq2$s}zJoAW*ml^kT> z(cfw&WRBX8f}j+C74i^-t}YPO?Tp_S|9aPcM#76&b;OkREmpyzfl!4w7*K?6F<|E( zWE*s^=9&Hzw)4CL>*(2<{~z~8X?q?zOQqKpCwcBKB6Htp0;PBhW9LozoS*Oz8PX>} zme=LzVzIN(kbU=K3;tpWVhkyVT^&5iDr`5JC&7V2N?@TJXXS>)sIP;Mk*&(F%s%AA z)y(VyMIDLHT}VL%96I|c>9cwo!ss97H_^rG=Oxe>;~8tLuAESl6(B1w`XC7i;fW4--P-~iV?%B3CC;S`t<6TfVdT^L zJ)jsQU>vRD02muYK(&14u>_S5oVIi*kuIdz$~83so4?NkyV8(~n8g6y)ffPR`-41C zLK44>&!H3#N$`99kXueErKs8|CqIrpF~Qqi~x&m zdLDI`>~p1yG~Rxk&eFa1RYSYJCDPy{TL?$;IkvLayMzyj+DqBO9nqc6oX&I`f%B62^m}_B$6&SIKb2I<@cxij6TciG=^~M&#lLu zZ0ult8PB2B2p1bVPx4%vG*?CHzWL)%F{#huIIsS#@dhm%mOVXl;y_lJ&e!e?GIojF zzWY82qzz^6ag?8JYJacd%ZQI_`A6(S9{;q#cXsV5uJXLfB3#h+FHM)K{iqWolX=&U z%Sg)6U>;3_`N+`LpI(%`dZcM;FQFpe-Y%|5ftDqqL5N&`Xm)Tnh7&N}k(53&d>d$k zl$&w8V&f$-M~R=^L9jKfE^i-hB!6I>Vx&k@>qi9%GWW%l2FrW!`SWwlUtEnYzB$}W z%v)CUXj7ydwt)f;uZ{?MCjeO!o-6K!D(u&2w9=pPg4lUAiaaa75t!uY9Q_6oec_P( zF?yIJXD#H8BifYGj|Lt%vNpuZ`VbTjQbuqUJPNCIj386I!9vw9-0=dZ?^vH1XH2o` zecH^z5GkljD&eAL#=;o~7%1~)03ANK%2_V1Yot?iq2T3?N)>wGw+VVtL1MDQIV{~) z{HS02h`VZ08ZC@0#MIJSG+3|KKhYH$4lQR7j|B3#|9oD>7#fHQ1>&C3Mk6}15LnjBU7En5D{%~jh8{0SmS&z8gW0Xx{Fp1n zMl5VPOJ7~7M)v!Yvn3BQ(T8JK;RMZY`G0TRqIEI-q>L`Ai;OWpqoxD=pgEDwP)@&d zv3D5N@Sz1CGCLz!ghUAx*&gp(Td97F*8BoN6jV+;nqmHxvoI-mQMdI&BL(0l8GrV?me%pj`3z|9AXynY#<%fI9~_YRF4*g(kwA4m>Lx0QZ2A4dTzH z#rXR~MQ$UIjhG;+g|6m2`M0;F4lZGU=61yaqzBFfr^(f3c!{XX9%CkEs5Fbv0L+#?}@$c;AMfzJrFLqtA;cKQA3b;XDM-_)h~_ z9K5*-nxD}K9EU_-Wm08>bW@lr$XjTp)mLnHnJavW@l(GAqi#&%#<*y53HU)MliEtMo=kaf{-=SRT{#nI9 zC?yOfw_{*%976k8XE@Z-fpUFVy~g0%dmfP!15OvM(I$!e;x$w)wdFDVg}DSPsPn-8 z3mui~WDJ{s>bmaO9eUTfS`r$6T>BM4i_^Nq69w1MTfW(X>=H-Wy_&pz6>h9qAsv>e z!Yt**lc*sziBWW;`qITNV^=u!cn9``zU)Rt#G^3YVt=(|T^@6U8i2f=TRHrb$&Hc; zdh>)9)KOq@e|NvvZc;ybE}6#hY=#txLm@+9Pn|K#H2x%Zow+hQic2A5{QiAPcRcp{ z6sCmpR$Nm2d$_W0Y?78$QXZ6(a}h6F?ApWx(=_VZ?2LSpw{|0S7nrimdYvq*)xZd{ zrLj{qz#>t^Cn078qA5C3leX^>DO(EPYrBT368t?xi-){?=rJ9p-M@-B9GkalnePgFJlgmRn1#QzhU|FO(>Ip9NXpl6{*hU*2L9T zq((q}WH5E`HSRVU7h845DhH=VZ^*q(^3v1EHCKLg%X;$OtDJvy-jTu(5B*(ZEo&?6qF%D({VM})+~XaXbeCW zwVBgJRNxRGYhRY8Z8a+ELXSPHwl&IZ$05iNkY~EBQev_hs3=sF?8w(C>ZI`cA=5vs zW%n;OXw=NnFBVo28XUWh@Y33$XabaX)-AX87BpQ{G^+l#TY&*^gkb5wjjxYj`^YM} z-Z#neIgmhpUZr*ISSy9bly1sFy>ZsEVfo9k-B8e&!%9Zbm^Po41oB1`CXvb%uayM6 z{#w@Jm5sv`E9WKYR`=Z8fNzc{BHsxOBw<*OB@{?77a!q_K62bzo*B;uScIXlOE9#U z>rz5aLw}cvy6Y%WA`%(CY8yqTx8EUKwy-F_OWFb9u#ZW*ej$BCzVaHEZE#(r9Zn9Z z(AJ&6Z3w3hc5U%7!OY6OviM|-%(>j|G4-1>$hbSA9U{A8_lZkfY**;62Tg$R{s|k4 zgZh4vLB`{v)!E0RO#pf(=yne74wNHt)XXZ-rewg z66VXX#_aw`G6;7DesKX;1)y4@(BZn*Fp@YeNC7sJ-9<~3D>trNXC(&M%KP5d^C+(kUExE5}35K*ZzB*<&xo_&@6xY>M z_-7)jP5sfc6*SY~#M)&kpj=YFuIWRs{zAgj%X8+}uvOKm>&96B1EzTLtt08o73W+< z;^>kuRdh~Zvl%{wRTg=zc8zN=^s>h=VZK+|WhQtD-^O!yjj?i9INII1Kh4v)rimhM zHg+PA9(oq3igs9kprL{&c~tEo`#(X~V+j*cDoh^DJ$v^i$VMaaGbjN_g2Wm|x-b>x&D&7vycBNr<07J>mA6(@{3u%3gw^%>`YN$ zok{@her=?wCvtu)v^`Mi&70`Sa$cGw=tfzbNl+|3;tpx1H#^@f)p6E*+lYw~60S6sOVs30Zbf_#c&&7Wu7>)DA^vvjm96_IBhk)|G| zc_VN+yT(*7oA>*7+!I&)=)J=8RFC=f^;`3w;msrBherL^yl@9C1Nc5eWARGg7~W^v zV&uK~ZSlNeL1U~sUK|B=3ygv?l*sfyQc~J8^`E*h^34JH@CKG_8I(C6!;_7#@Mgn@ zq$X`e60K(OvvGTYF?_HNSl&_o(V`s0Kx8&GKec(sFBMVk zm}u}pHQ z%$njzD}_$8s~g3`b+%P!LY@f|&|a0^%aE*J*FOv`WS-%uUHT-HP{~uK0RD1$Nsef9 zOtB;>=22mtF3y+ArNnH%_nB^bgLbppG5y|m`X&{Ng7^=mq0u^B(Q)U{rq9+wxZM&` z`9J&YT=j{9-poYvlq6Y8-QY)Atb8b-i%n8v|srF zUmflI*e_>q_=|nme$@y6Mi5TBXz^b*#lmo2c4&Z1RYo9QyCb3pLWxtfydZ4(s516x&n7xnPtU28x27NXc; z9);4^k$_M_A!|XiZZjN?<|4Th+2YkM6@U4;>-uUGRI81ELNZ% zkk*4TIJEVwZC9P%4@tU)8oRz^xKjB55{kQq>CtcM>}~|xhCve=F`J4a_61$8`-33M zja~VBCXITNRi^VUjoN2ocZog(<2oLn2ebpwxN=O*J)R9K_ZH3VRnVGM6U~0UZJy_7 zY`EMRw+gU%2d4bh#Ei&M1WhFdA}XprFQcK&el2a_?2Wlf{P<4jv5&7l%fE7|Mu3Jt zx~28$2UE)@0!8K)QCm%Sp?TWSog5$ySzx0sln#mJ$Jl>Fp}N;W7;!)zgQEW2 zHc0aS3AmDLda=0`_E$Y`IbNhnqTXmYin6`)=^{xCcXO|jN_HKd1CD14EGL}&3*?8cC21+5`fl) z{W|J8;Oy>M>me6TvrFN_fh<_F-4km_C>E`*&UXEBy-xD4+}iT!fU2kj2JntKf9|UJ zmTwVAbMU2M!^J{sCn8D~yA$qNtP@5Mw-a6h6J(=4e*Z1$6C66UvGMN6Rh9nB*c8+C z%Kq&tmS>DRajV+M-nXDeb7v!uoyTm`ZNNQer~jpaN7cHMFD7c)`$Cz39fg2~4zHMj zkF}i}F8hyM>(FkQ{a$_xj>B|{R(^j}Bi~vzMmSFq{Hoa2gMmkr&US?1Aub==US1GY zAbUCbAThW20*ULRYv7SrlO)5;TF^>KkDD`^J5}JZ;OMoyWJ12y$6%eQ540 zY6$;h6+Dk{V0YuUJ5j9MTP@JvSW|s)a5Ej$Tlm?pIEocp*M_bWXLn(WPaDbdVd}!v z{o1fSc)YdjLlt(O&yKaw@%U7#%0j8+Q{OH%BA#wrhTdKtgSOs%`Cgum*^fv0X)!(C z4cn6e`5@H0-^XjVjgRxPhmvN2MM|AKA@2U$jT3TVm-7~oz2F3AeBfJ^curQ@QuZfE|`koxs(|BFgDfM4_b-cbK+u26nv zk6$4o`Z?pBnW|D4;M~M}s_!XsD94$IgDsa6tY@Lb;yWL%lTM{JP5fKfzpZ$oy50#M z=m-RxH-bLyW(%#jY>2nVle^u%jb<;t-ZybNdIdTDjT-T}%Mi!Fe&^loe=wQzV^Xm` zXr&;7h*Wt3A&+t$VGW8K`VeM8908mm&}&N~SGR7R@6OfZ7D|!t+}nA8Q^}Ka8?fjk+&y0Mm!}n4fI28W};QyfDKgjzJa{hy?{~)7? z(;rsr$M|lhO%tps)+;mV>saIR`()v_teb1D%i{tt+2wKXKe+i1{{9EJJaC}-54ipV z$^XDPUw6&rJNQwTQA95s;MX7wk*1NG5dEi`5$Hs!}-5wHljH1 zv6*5l&+Qp@_XU_T&Hyy+XGIge9Qb{o3OKk|D?{JS9)2n3z-U)P?{TR$x9w!D&+cyH zV^meghrdhAzhlB+c`wjoU>Wq#+bfulB_T8i`x15Hf9+-N)oXF--}=5y+bZ92tku}# zg2M7ku;($Y;-g-g*O>D|O z#l3LOKt2E@Vmi7x&2g}#+;^@xfg`*wS_U>z?wO&$X$p#Jxcpz0r3-m%=li6&1`_F%buni=tKTC*h#gSO!J&h0+0WL zS%H{83gDJuy5$5GcI8k#fVD!A_0L+zCm4e2Vn(PHm{T<*?d(H7)m=tAQi8r}aOqDc zuDo|vUWy(2l5Xb-0iAh-BSPr*b(CmB>2)We!0B2k{=#R^lmje;82~1_0W(&BF-z+J zn07&?S)`TRzvYdB;Y~J3MXacR^`~2n^UNIUvoUwY1C=QrP^dK^m-2&Xgl~+WYO@Fl z*9m{GQ`@&07)olahBP%9S5``NpMkhCIpHGC?|yu9*9WU_ExvdyNTycg?x^2*48pM+ z=yEZYhu-Bq9jf(XI+nC9D_q6@Si{B_C%`#3fZ3s7>#$-lzCR$WQbF!{}J-8xV}|ld`IjwmE=Af zgc*AqdJ~Ugt0WN}!saRN2KuST; z?1W2+RBpMXMK$bEhbCff-Zmy@z{{uP6GFAM0e{Njv33f5HC=T~@SGh}z*SVhv)a1Q zdX>;y3#iCoODj)TrwBw)=s#}kxZuD6I~k171w@mQ`MCL*DSCXWI_s)a+?U*?o>9r@ zPU43Qsrxr(WZ{g_uqG*fW7Ld{Roo zhkM(SLf$WOWg9Bw7O_kNBJRu&`*Ksx5l5>43^Afo1x`#;bw77yhoq>Zn|NVho zLVDh@^NW=2FUlv*1*-j>Ik*|hwEN5)=N0% zbaB*f#Nf}VJ;}Jc^cPGMc(UY~)ae-HLT$9ux>(`Gi}@es590d}gfV@wF43GY$gLz< zHEPknXj>FeQ*>3-%_^o9frGIeGGzT2W@{`PW}jK^9=s9N(xR;g_VrHLIqXYUE-|O% zepTfX6|nqMyv4=X*cj*tynGWpNk9>orJl#l?YXS>BiJmkplYXqk6RYABI!A7y`rl& zzO@U*8^*O=^}ErCxDx&Dbt5~v%Q5PXimMkrhG~+N>+huQXJMwLl6bm2)DZJ>M6)&C z)!ogU%XS&m<-USG#aNA}2XkC6;~=uvrY(=7bT;cZ@_MZZn|mrVR4Ll*p|W{z-wl2U zySyDG6F8yrsDG2c`I))+!W%p{^~dH_6ds2Akoa{p(z4H6mNcro|5t=j!2au(iQwjS zg#I*Zq$Y!$PYG!FyyWrYk1GOKZ%p^xkpD`&K4(cOkQ;k{g`o>e^bW1msd!-eR{7jj z-j1IlF+XvU1FG+7k3a_zA)?>s-{g9a0HGZHo#B=rIL2ov}$;q(rCOivSyt;??LJ;K{XVWn1!vJ5} z!!bf{3f00MUvT`4L8JU*c(#iGQ8)N60fl!$finq9|Ka_Vn(vcKl3Nl+vtWDb6z4%% zEz8Ug{q5z8nws?*pLD5p3ma5X2flUN#l#dw)z8RDanL*yPja;b)F2!F8=O=AU6g;e z=|`own537Smv>AaQ)0I7m1I1EK=h7-6uZ+@SZKehM>yY0HI)@d<^6n>dr z#G=2)Z{*+ke5tjedgK!U;dB43tzoHZ)lQE*!IAm!4Oo?5i{8u`w*TK}m#+$2{`4vEdRRZCDy6X@ah=M3*I+lDBSY!-`@^l-F-S?M?l`}>Djz?r%ifZtYZB~wT%j4bvfRom_*^$shF&>Fmee!e*Lh?svpP!GzshJ z;C+E!%evDoNb~m8tjjD{&zic`ppKxISm5(91|_m$>VVLLXe{TS)6m0DOAryqPg_(d zfAr&$`a=T-kbv~VI-t$$=;NO_pxYykmVa7?w2dSQoxR3*l@P9** zVGkEnKUWT|5WsTK5QG<*29il0Ywh!#S=#JyC$tgljAI{QLOzCE)>nP5{KqmVM$8pa zG@6PKKOt>ga?kG^5yUbz?T0T`gYxN;{77j*vW)EOW1&KV`%R|!FIF*h>nz-04jsfk t%Sc+&`p~PSEZ%};VjIjWzMneoCz}KTQ|KPjS4YnoF{4y5s!hoktx+XaO6^Z;6(zA(5>+i#vy{|K)ky7{5hI~g zsF50>X6zLaD-wzDOMk!LD}UVQx#vFTeb2e)oO{oCp70$zcC4J|__6=kKSlPnm8+k> z2gv7&hWy_3JDDe_-Y0arRTkj!C@x zzs)g|Q~$3GjsAaa$no_Sjs~$`^@rNr8yhLd+B6y_&Yl;08xDqFX$u6NXq$3~BDJY- z2Z8y_z`?;^D6}B!zB0!qx4lz-N6XWcyj%wh!ZT2d7vTWsiOmq;nU_&ajFQR`6yzZnWpN(NGWwe?Bf(d{hnE5 zRWFORSlT|a0i0f<{O4-$9PC-?H3x5PGe+n)=b`{mkOhn&+`xG zb}IZ2V)(O$Tk@({yb$F2fl3Gd+Avi;MBeKR<(mE5CuX7B6Q7E}Cl3NUo^1fGdb`fi z_=#zo*M5RFP1qM;=ueUjGr93@8^6MF$p4RkfGROv;WBy za6EKs>qA+~8$=S_@!j>F9qc7ZauL|(AZpk<^k?3?aiyAc7au8|x(LCIAU!FcBx&2B zI!0&vyHb9G$@ljD882R|r^|z(oB#8S+QbPE;hX3691L4-&M?9&WZu!THg8lgv%D4+ zK3bzEjFQ6O=tRb(p(ec0+jQK$U`lL|`wYW}!_0%Sb~2dP@nBGE4cXYy@KbS9X^zPA zx53RYe%&O<${??^D0_SHWrO{PIMPt-C5>pV#L#EQkg(#r>Et`Zaw(JRp-;k`Z}i~x z(283ZOFdqH?@zgm>$Z$cDrjUzD%Z^i(?MIAz_kpyYje<9ye)3@C+;J+erb|g~+?imlYX>4D<4w_mun7T`k$lK_${^1epkws{>&^Ef&_oOuNRm9W3 z@LT$&5%-*bx?RqGfiy89m|5OPpVp=46hFQVHd5+K3Am%UeHo;d+NPuKEx2HLo-pRP zE<7alSFcEE_=)iypYg%V*PYsqa-BA1>pJ2jcx zt-n4`HeY(9l*QX0lsSRWMG`Ys!NWo=k7u`DxXKPaR;P7%lxLPq)IJy@a6cSeGaHbN!tr{P!>;O6Q~1fF znBo&kY8GFMuR3`a*$m2x+*G)lFRfGj?wIYSn+J#R@vqi0t#ijDmqRW2Ny`%>^!NlGcxOtV|X zaV_zF+W5`e&9~F6e!9dKsVu82$<6n;St_Z>3|N*H*)cT?GfRr&i!Ki#*9OF|L*H!{ z73#dQ@~p5b>^Dl&GiW3izH2levis(cm9f0(=9j6e1Z*Gdn`EnEN_U8VSXypA`g3E6 zHt8kqM&Bb9@fVqwZcm0DO6+e8TP_x*9Sq4!P^wo4!@2UuN!pygcjC9q<(w^d9y(ae z53@hwq^#u#X(x>fKxDG-Hoeiv{UPay58J(8o(AV7&j@k_ z3uz*ZoYHwGBU~9ZN5kC4d$K0>AN>WMg}*(dOZ`P3ekXj1@Ulp)SoTfje}u=|Y`=8i zJ%)6*k)8wIJUV$m>9EjAH=l-no;b7aWx9DmCcKPm>Cw+Ku-9uI$=Wf1bRcqd03yH#MI4ys1SF16x~k-yfm6 ztL>HpWZk4>y5pX&ZU-WzVcX+mi%-3yN=KCs?&Mq~n+;l98j4rvqBlN2@IVhD7N1Hj zow)#wzW9~-WBkwQE`E}v%~oEWZSOPl!tTIFrRmYPO+(G!={dNEeJ-i1mb+-zS)_8E z;^=YuqEq`me}dSr>N5v`62RPe@yFqh4Z!CPHD>}`n|#{+CW^tzo@yIy1m+(hzCc3z z472zvE-W~zcoi!HM(6HJif`oVESn!p-`rw8ZcMOiHkEw2sV}f~dj;Afg}97r(f zzGr@MvVubH^tpFnr>d^V$CP!WRwT?n|R=#nu2c9&^*<|Z6VWH(b zrL;%eVawM>7G>nCKiP_A0#&5ylc>c-rFqBIMS*8hcX)^Fpwky_`KPWt%zeFbp>y_& z`!C>P;y3ip_0Ik8rO61R8qWZan@UU!VWalCMVI;+kCrlCU&g93awx63yDYqrCyqDn zGg)o)n^98gzSFJ4kl;JXb?*5wt~n1qrewIGq!Y($S0uY98*5!E_$O9EBl6aX1N#wX zrDna4&BblL>5SJB5P4I2lBEGtK2;CaolQ-Ksm^6b?<=T8IY%{w^1u^XeT_#J zVmt@d(Y=85BZ{4lqJMDZjhmyLyCXia*s;cL;}>s-&pn^Cga}E5xx6I}UK+?$3JsA+ z?I)CeW?R}p7(s)Ez2|0I9~H1(i%I-eEBdqC+xp(_ov(FMp?Te}Gt+%@TWfSsqN4=)@LwWRe*#iTv|hJV5@ z5R-OqyL!t4y*K@>#ey%*YI$MHXnqwZs(6CAit47uA%1~{jA~?E!ecVDk8*`RN`)1I=Tr?M*r5=)rh>f00lS>9qxc>=^jZ$uxA@if{y-&FwmbZDbI`zLjq& zV$e6;2WeCDs$!Y9*1ODPqAf5r>yo?K|oz^Vq<|rU8C+v>zMaO zb&wYlrP!vtBU^TKaEFH59->gMrt_xGdC zjg5u;7t&PPDwL%tU6u|UxvmLb(<@()KmO__c>GLwb~zU%*bNd^Nt}B(_PxTn4x+>u z;2eWT-IpIVMG*Whvm`i?IG`ZXUdDPas@g(3jNn@Gpl(v=kL8R`AS67+ZGT!QyVhgN zs^YHnutw?P1gw&9^S{&02ARJWf6cqsHH-WCrZL5dzwBkM2NSjvhEaTt9t|Nnr9_u} zSE)My4GgEjK4DvQWmH_A>d~WS(ZZ`CKc2J}n384uTc^aerJxY=mVQF=rKar0=Ywy4 zZ`)T}LgPp=tx>nKL_i6R3-kK-41yswO<^nE26U|iJ_7Og)cd_ZwJ1RLh&(pgZE>5o z%KjmR-_9PmfYEJoNB_0)1DsNdoELyEYH7!-y?txHwNig&drRIY*1E00MA|+Qc#g~G zDv~(65}Y+Vk!=v3>XmcqZ`k)Ai%rU#ax3(A=+?~y?hTu}&GDnLAmhPbrH9DZ|=k@A*#(3NNTNK(PE3k>%;qCn_ibo<21Y6AP=y=+boJ89%9sxog+vBu-PI-LoS1 z-j}7TOfDI0#PJ57_Gn+LE_GdM7`HD7MK}6@msmE=leALTHGA}=%h?K$=!I5ow$VNzKNP1N(&9}Tsl^>K14%NOP5Q2oAH?Jo4+JC2PMSDi#@uE!& z@9#W|AIA ztwk8Er=X7!;8@(m;b`lE-;pVHRCw-J(Fq^9LBa1m{iHm78El1)U8Pq)>YN|Jpg8bF zZWys7U4du5j|RxmPz&f?^ei8?cOkXu*$IP0uUb!FxSM{MI4%6{FQ(e7bcvI$K&oR$ zcx2!qhWV9NJ@9s9xq!`>+^1)SgEb)2UD(#Nn?NP;y`EZ0X9_Lu559BUmjrc-_o)S^5 zy=O<}a{VCf-?{$ChkmCKAlkLd3miRq+JXVpKd?i}iW=WR zN=}pKe&}xaIW$J1EGdU^$=}crh$NPn0mkd)SSvdjtOLl`Q1yR4b8jBGci+!F$Zu8h zy>IdUq3NKTuX>t*#m4p_Qin3?RQT}o!biTLfbkd)rzPLa2^`pupmyJkX3$8hVqJM} zF|`C%U!B_zKp-n+ZFE*=eHEgzdS;*cIi0}4%39$+J*Lb7)qWNYvoi34Qo4v5g!}>k8uj^b&qh>A)L*HrIADrroH7Pvm^(CD>Ow2>>;{xRj1&qcD?I#(8zgh_b* zXZg+65owB6<3MM|HQOsL%2!tI(J+WG^ctS_)^4EA@C-cj$PxMab4l3ihuRWRUR&>A z33a8mm>a|M?@#q_7f&hQY|4x&`tQZibLdGB`CHf@HPZYm4F>V9iw`_`;=4bFcbdb^ z6^Dc-5-1|{?|yJb%NZkv!wlOVf7!};*}u$86wii9Uu9b(wdrJUNg`0aRC4rsAu%)& zc#l@*Z`G4IT0k^20}%R1!J=OX;zY;5ie?P1u9$cu4{AZ%;v%raXwHlUb7O9o`QEFN zC|6Lvy6c>C=P%{EDmClY3cN81MXn0}15!g0FXjy^0%X&~GgCsOb|aP>lE`@bNT8+SKi0#$<9y%X(m!yFKkplbnIZ?%k4H^Ll^oKZN4QGd7DC`jB$%5(+G^>K6 zh8;=GG8Yq<&$G?ob|OWzLR!~sA^gq?DrrbT1x5|=xQ??9WNaC!Gyz7PWhNvDjgO6s zB>nK;pepE?KctV=81tAd18T-5>eYZLn(dnUCP z)|~G_22O038*6u#Rl7hD4)Hl^^@`hEu)ii6HsGvPn4GDc~fEoG!L{euqlX_%MQsVXyVgPRhgo z>VqIZZCGZlVDbub=WarqtC&;!O*CtRo8iG*OAhzb!}7GgCeh#3FmlFsxd z)vxI9^JZ2j1`Se_@3O>*g8eWGMV04?7tWUt2AZ7_!v_wbP?6={h~Z=`XW5hYjXsK z`sZcjV6iVyaRm6~Z-=@An=CTZ`wzty7c4tEE@O!CogKoMvAWjajQ~x~-or#-<*A3{ zVW1&s2o|9{08~X|`@7L3c?74bVu})DiYb~Ab`<4AR zauE`{P2H<%+>6byUT<+OEevVRzWQa|eeWy=^zv@gw0kQIv`#4@(Cnf{?%!AWOW$uCQf`6t-rz^bc$c^vQ_i@Nt78k8@$&8VKPBVh2S zSgJvglu)l0(~`x!O=z4wHey6gycs55a1IQ?4Ws>73{)|(Mi+Zd9N}V%PU2#1Jg67P zuF(Xgnc`=bz_?``7p;$NJ>6f4jKs>TN|g!}W7oZ*mJIefIr>*U@r7y$_g=vTU-Qto ziSr&Wf&osko-TVWkFeE`QHXcS3AJV2C^v^gU^?e}&CReWLzR5ws1^B9;@Hfn5=Jt6 zl8LVO3)hP-6-z)d#EvdtT1Ti*HE54sghi(9cCT$;;c)MIif1aqxPXA-v~Uj|5!lo z3ty)r^D+g(Ei&guK#W$Lm*QfDt;BJJOHd&Zd%Sq+fGIdgu~15s_;%zSZ6A^QJ(yo4 zuzSK=Xs|zkaqhdnal2<+nk8?wWX=rP$~lg`hd%Uhq{B>S$|le0L0K3F z;kc&DXrcB++$UW5CAuTn&uM|-oR8>t21=130kvdB`BAHi_4^VY08JeQ_(88c1|xwB zKfzGxklz>NVP(wkzlCMSl*9h2GtHOYizhDVY40A04Otd}8#sleUa95Sl|{#!@HcR( zN=n+jX&RnYhx0pC>4+{|3h798otiX(yR|q8{@fSVcPupyzfOHcgMY&7gPQ4;|Vymr!Uc183d$ zZ=Ras-O!@fsu3<%5-+1m{W*ql7hm&SrTRwMg zAJvEGlf3Ry(tfIOHq!lzb8b&0=OiVmv`x*eDH^s^pkck?jLMjt>EPGk#Rg;cp4!lV z%!?W6H+t1HZ;h$N@W$665TLKGIlI}(NL?jEa!zZ@Mr?T~!{KHiH6+vToOr2gg;!5% zP)<9yv+v)A+I#8|J|z6*SEKcN3R|oPeX%3*n$kb~B-`Y9uF-sAn%?gHP+vt|Q;*n~ zQm;u4EO8w#lVj~llrsosWP~pjG$tD>oP5)V(qR^- zSO+`zyR!?~;RxQaw2pNCkQ)9~3j}ASpHv&36`@>QpnB7?y{KOioj<&tax7wRvy-my zzo4rNy#5XTMs}#g^7Q@6ygDA^iILfnYjV}aP`+6`vT8!R%DCQ&X9ij$ywcJAv=v}c z^+#xv+{qcZ0H7Tv2vCht5cqckE_ePqJJY;mBqOpIt=ewC>^XY}l32IXA2J z$f4Z6k$G=$r6}6sKS1|mGR~=Tw9poFZAERko61Ny=>w!AC?{~)cB!y-F|(JiwOxdA z@`8UM{U2|IvN~w*ig9lCrAKsD9N20@s`^vPeyCCfb(X7=HFoe(=U7h*cbaBgcv)xNIZqFW4oYgCu;?{XFPReib+f-BPWhh6pwl&_fV6vL^D{ zp7q4NJb&#HRb@ircOB#zeYd$Mi7#p5A@|Gy%-TN49`j)`@DnjFTVg$LtO^raVLR;Frqgf6!f~O%V zz+j0O|>5k*T-ogPf!|{rQpBY}sVtjNP|bSn5;NZS6rltXMvfy@?U? zH?=<{n^;NVta_3-FxvbL?EYN7rz>W^Thd#{!aAtIS?mLM!iC+P<*=X6b;v;DlGhU) z#D+*pA-kU?xYp!w!kwCRceCY7tBsB}Dh1|11@wP58LvFoF>yFJld^jdJ~2niZB_X} zsNTru)kU?7Z@4aLvJd?LA*pF8Nm?ZJh+m^*S4)Dm7sP1U6{0#Hl~nv0NOR}{@z6LL zVrK2eSEWUPk2S{{JVX2c9QEJm8-Iu%N8G-+p`wF0K2O2_8ht@u;)b|O(JSsXL<}4~ zSCYXT#ZopK&y~8uGM*gOA%~!27YoJ?x6d$iGsueG+V5u7GS;XB%#JoV?#6C-L3lo9 z4G(;4ryLoct6c57zq2~fru`P>7YKY!9nui1xL4HPLR#C>V64KP_-8GK|JpJoyaVDD zN@cBg*({(zPjE|WT!z=@;y>6ke#C%=s$<cx*pTVsG%sV;hEQV zjBqr;dvZ=ShX){V%u~TSaA?Fd7bahf*jHeKabp2VRlkZcYY`Zt)5fmX2(ZrS2c&PD zY=9drLtr%dAzY$O$|PhMUzs?|$I}A4JU&c$Nw2lGDjkC{E-pd=X=Ei~aw)9w^eFHq z*s%4oZz(_ebbPL)oem+;fAD%DS~HwC-Ih45+CaVabw?;cw?{hHF5bS=Hlg5mAloD7 zqiS*+C*zK-PV3`+L)@}h{T9J6h3WypmrKFE>MNPt@lyapU#E`K4OO~H#0P+XXM|}9 z=fg9IN*o7R#bu|-(9-1^9mC;8(vsOB@VQO58rVQpaL=yvXnk z8RJyTZfJDmfL5h-WRjagZ|Y7v&S_Bsw@mUqR_5Ls{I1b+eD0sTbgf7FvY`m(lAbmupuu}~ytP+f zD4%j;lS~3LLR$;_=s8JyAtIAdZdSm}>)NjFBedTBaf%JApHr!8MNOQsYHvn4`&>*k zP7gbHAEx|8AbaxJ?08y$ahiP#cFW)`<$vIW`qK2eq-6U_#9hskT`M!pH(eZ?PHPJ= zo64x<1G6jQ6sf!H(hOA{)0y3y4Qp_G1@q@FA~_bf8NgaBKi=c$1Dxq z)HB95U~NAtmm>T`vk%Np?9FFDa5(PlAMD~EFn_A^n~%fR)EVMDc%N$O|J)@N7VIpP zFqOpbr;_v#y?iw|TXkWBe}O^GrdewVDnmZ>L)>C7|B-JMTnMW)8kfZ9{m-3^(0~xbp16|Axg&FX^R|x^p?IH z;`<%Sd#|B8a|NXXsds|L!II3)C}(VRdWni%o2&LVR4PM-kz4ycJ+ML+14{%w#R-u} zI9%9Da9zgeU!P%R9SQw<0=|a;eY3G;7N}0vFSOYxS;P$hy#}U3dXRN58$6u0a{iaO zF)OI>z6uYvZc7BTh|w^gZJzlDQx!=HogZq{Xn3Z?csTX6!I{gCDo> zEigQYp-K?Vb`IOqFgAO{>$v-gi+H6UWIB?mq`MUmRq9KA(}GZ>^LB1lS-g)e#fA+ zFtE=KNFOg4kQ1YC9DW8wogl0tX^3CJS@ZMIDLt&F^a?G0UMtbB9>cnlY6=2FL@mtN z@6re~!9v6cXQ?DJ`S)x3hl%^Ur5xf;`bEk6lwMDXuz{`B+=j?S+WosLli<~Z&fk~f zerXG>S7)S#r_2xe4>=h(jcoNTgV!ZJMOy<~+WR)xiC5*`-@)!QpPI7$ zo9=hkz(`zdNKxKMJ+s4!NAXY>&)%B=O)f3$&5YMmeq6B*x0&E`aV9I}!@~MQ#XW3k zvSy@ri+0yGbC&5M!irWs^V`KO(TK_b)f?jZ_T{CS?UbK(=w_NDJ-4e_SDr$=xTcs) z(m01$mqwRrPWsuGntq!^3NNz@d9&sAi)w36Na13-rLG&xkFc$E3GK#9LRhu69-2ut?IA0y18p7@ z4d)>e>P8Zdbk&*^v*ZT?$OIEwmzh28pKDk)dsoe}&Xqxe;Wn}r@caKE6>&wkqeqHoQ@r{8ml6vc`iS?D%&Z=-N<2rRb-9weU} z^p~Y@^Izyk2vj^8mkaFo+!ySuWc;BOOoWx;s8p)9QAGhY&<}caGJP!cVGJ|g zD+l#}H7s$*mILm)cuB3BNSD9jzM_wl^NAEGCS>34*3{R z6$`RiM}~IMN&`t>#E=y0t_{FH`}P!natlNIA^G_dIaW5272F2PwsBv6I^O;~yE9qb zQ0?a(ozOV1-a@o}|J7>RA<9oZ=g8qf=99rB7R;1MtP(f77fNgK5!&+~(-=I-I|_#> zOt=SZwwONfPjkWt0=_u!+Q^a6k?RHFo_2iQ1hI7I9m<7Q3890t+XwUvV#Ie|H7W3s zDy02(^_>Q$SpNiiOedbgA+)Be>5?20fk;F*9 zzqyZ8gHIsCBUkJ13U1$GFL#%~E3H_M@tqpHoK@FDtv>I&uR-q69;F>)$xHzu1h73RM1&9qF zUr~Ee@c`+c+&L-zKMdufkkETrVc<1nmv8UMu^pC*c!hb47?PE?VONW)VUS7mjSl&> zd|Q1vpNufcuKn*8#^E2x;%0uUCb~G!{Sd}+sXI|dHISE=UxL^BOyXyMTP0HXD`>dX zgwk~PMSqLm4rDMwlO-%#hi>a5fw3cKKa9|6iRT zNOy?1%*Wb0j=TT-N6qX1i1~DJ9m0zcAwMC`82sLq>Hc~NP58^6fhzcXc>fq5wK~WG zTMJ1D{(9ah{IM?J3s)~G5s0>`U=Xus99P+FiRjjrNe(lJf7DRT%Tmd14s>uy^}#-~ zE!yXfxg5#=zM_x$n|*pqFxXuMyJZgrC9zV+o~Kk<+_i@k@@3KgX>S~|nU zE|z0l%iGBw2}{*iXgiwlH)TAKJ+9PoLnHUR*V^yu7@V2>8wP;J}Q;KbRupp8dZpIfxMi;YcTV(Xo_kCu6QbOW3VWP$v^PU_iv^~6!8-l5LqyGQ=& zEwshOlD@wnp*3Gk4?#5!KlshQi9$&a7Y=JVH7`{D%xErvYl&QcesmfJ8dcO|U#fdx zBn!q($+Ny;yw0S&pnPEPnu2?(o3AHIN&rIC$Q~~j*Qv8BIr0E`F?ZBwp0X&@?S+gI#gCUmb>id;S%0mzTEC}mUM;% zQ>Q+WM0CxDV5ag^Vs{B zL93K6L6o%6F`sTe*w|i90i_h}72oUV{JNV zH~fgAuG3%axn@0{WrMLpkND4ap&rcaEbI6;!Agi}2cP|tv@lbbK@*oT`ZE^VwK2E5 z7Q?PZ4N;zX_=FB_d1#MlicrIId<&S@L0D0vQek_^GqOhGHHj3}!PiZzG_-PJhXBkUF@+G@E!&F@sVde-W_B&Sz0iC}2 zQ4LJ-Z8bT^TeHt&9+CW^QSB|i0-fU*h(zS?H1AC-`6Y@v4`QHAjmNLb0%sZ$7oOuM zEu1~Au%AQn7Dpf|cVV%efBF(BW{gYti+9W`MoiMCa9iDb;{p#S(>IH~>ndzn?`p{5 zH#n^FCv$jd$40B4Eo2Fzt9xJ}J-9>Zu8S!YZZ5RG7{U+#J?YayOBfa4Ypa8GvWVym zru$a-{wS>K@tFaO>kF_yRY*}_B`&ABi>6tN`xrNLWVE$H4IhvVp8TOaRdY&6dXm7G zBx=5VjZ23bP9V(J`;sd6VgXOB>Z^h>(!3^)YAKVVld-%gWsfL7jVs%MCP7<4oxJb& z-}t7prmyPi%9DWaYiER)P1i20S>eQu-mX`_op1U=GC*__otrsWx1J}Lwm4t8{ylw_ zGM4$R@p;pKl^=fU5|t=EL4xy`y) zQ76=Zn@yB5CB4}!!ON)~rc4{rM=J zM{@pKz%kcKFqNQl2e+aGz^(Ia_{C_Y)JBp1dWL%Zu_aO`+t$?JUVJ0irvNZgYjUR+ zhc$+?O9%;!jaKS=UUt^DCVBa6_Fn8b7oA2DWJkzlZvlwi)nFh_n*BjVoR$t$vMLJj ziifIQ=;Q41T#jNEz9R8^J~vPMU6(jMHFjm%k(M(8+a;c;;8O4NfJrvZyc)RqvKPCI z6?T;jW+cE#*Ul$W__j4}H-N-=cZ3$!V7AQfAaOI=f9y1Xa#BE4+`ezN*?!A6Xr#^5 zx(x=`sPjE>?{i=p?xbDo<20lVY36HkS-AbDWRln?(h9!-L+|3 zq`(Wto%P%SWImTto?D=e`54tDdvmLvtb6FP69&##_k$othYzt$w8p0E>_V4w0xD5dCbFuQ7rQcmm-Zg6Pey>epu=!n_F*D#W%(hKV>Jgro=w?q`1e5&Aef3ogv(^K>|ME;AKJIB}d(qQrFp_W5H$@;0qHMCdVGy zr+}ov?w5RxF*$8HHXTy?!|Co9*>?_Y9zJn<`EQLeQ%0lO@7kpH8If9bA56B%yc?gd zRebhL(r(R}K2VP-_0 z%~vhbjxFmpOVl30)m*bHqior1v+DA+-zH z3f?${^7{wGGx_KrkPleq-~7W{ss9+$-VQ;ViVagJI^Z55cK6kpbQyNHe%q?!uP)Q= zb0=|}_1^**n zn6&>#lmh$zn=!nt@1MpUk0Y7b3Pw-qjN8ANRz_yA&1a3K+2HtZ>^X4FKa>kIZvUhM zz0E3S^K0R#aR0|obTa;-{p~shn9$@|@0sb7YEaPzTAS$v=Y~Gm0u{*=p8V`Rqr;*0 z@B+LR(a(L8ZAN=cu}K#;V<3g`*p`isIi>b*NttIRJO06Wfi>euo{*Ktpr8NrBG<4L z*YLCi3*qybR0RXxiZ-2_#Lskko}}?KC{DDp&iKTug0Yc9mkX<$sxjCo(eiVXk~4=3 zliy`@ShQ^_4J%55(Zrlq7eqOEAC~TP%k7m6my8 z8f-pts0qXguT>&ms#sxtt^fnO|L&l7(pT)oytJ=&%%;#gLl`N%l=^G#v9;E~~Y!;;tAPurud`^SFFc2=so1S)xZDvEN% zuQ457SrAAaPYlO!BU_18elRM+Pldj1XC)E~g5Bk+Uv zo2=gEDls zHq|1&%-1N=q4{LDjPl6K{OSZg4T0`)2Zp`6T?KY{ZOb-SzIO&7l8x{E+xi+G^~8bO zADaQ}Tqm?fS%G>BW_YZHXZTM<~->%8N}@7A81nHGCvD20yW4t@cjC zf=nF%p=Ezba_=DL1Y*#7f%p9abij{@__93zj7FN0^NoJK>h>x(2|KA>>Il!XPg zJEqsMW#!&d+5=fPCKr=wN9U!9j216jDe~EKs2(>07qT+P8(HL%ysI-H>l!sa4b5b2x zc6B~F*JoRwITrK$aA)b&?$K!P!Jn4cL-^%`sm{Y8)q$h4QBLZAEm*VB#rv)M3_pP7 zv&K#>+Wm~Cr2Xjd0*1X>&=>c2`?q@Ks=Jk6I0zg$Xz#ajD^+;Fm3o`qml3r1Tp?&q zPl}IVO?ifB(&_q?vYp}MzRlMyTLJEjL7k$F9$`D1!_mIyA>I!vqDJPfKS;EA20u$; z@^-+ju9k^Tw%C@j2JHuIN| z3hfO%gGI=2R%Ud!zvvf>dujI`ZOyQk9Jk$4(Vr*=iABOK1QOR9=7U3(r2B>R?B^4S z0e$0ot5a{MlsER~&c|Mv(8@W#XSU|t*V!=TOu5X+9S^Jiilr}~_RVUw+ zQev#?N8aiL;Qf}Aco6UW!|K2HhDp@CDq~Q-DCM<_nRlbpD~qlBuIiEQ_dSKeLDeol zkf!iSu88ifIgP$rg=Oaqfu)lFBF5a?z^ZehK0IOL0kfk#t8<}33eq;v6WCuo?ON!Z zU2DeIo2xNu#UQ2Ji;f4*984qBK$L79GbxY!Stor!*Xhb9FCnM?*)frKzp0uPLc9+O z+9zJLh{n+e5lg~_l*{XtyQ1wOpC>Op7F~^y`rW$>2A0^d(9FDIT7hcIexLf6Y&FoHy{b#rI3%t1W#2*xBZ)DxFr?QHB*LWJ_s#3~>=e-xsXq1&$uMAkdDs2N9txZI&GQ2f4 zW<$*^aQ3N%OFI+(d5)e<4yKHzs};MbpBZ_3FnE$f$sH5FTpseb!+at)TmY8)AJ;D& zkMOThtK8Kq2mM6~G0R-*_Xi!TK5FQ=Z(# z2}|)2%cTE+}pTfy_$6Jg;X5C z;%&$t3=J;!i2;Y_q(QIiF9@?>jvWy`jM;_Get4E*HQ`I>i>*qLy=0LdwXCXUXltpw zzp&Q9h5k>LK~DMZl-Ao?mQlPVJvDiz?_Ji_w&b8hAS>`d)locgjfWKEH zkKlk&CwvSL7=sbPh3;5?0i_XG*VCQdkedpJ33`nInDH)$-U5KzINaSQLjZtZsjfed zKNhtLx?9Q-zsct&wWD+Sn@y8~!0$8pN26Dy`+eNR96;l*m3>iK(bJKci+1^F&x{Fp@|w8zs%Y88~#$2jkPm?qoOJVI?Vu9OPd{s09Zsni8Y1b6(Gd~t zM+!=a=Ktu+%!;=C>^qSHcmv(^6jc?dhg|!9ZZY$0(S{wDc)>F6MZD3J)>*ffCswOT znTJP_oY%}Wi=!-~w2fKCP7-{h(s?YXEX$Bs^ zJ@25o{yHF}tpNC94VGGLoqbe@j}6hNbN&^Ni_DXMhYwJ5#*@fq{zZ+j`_m(I;BF)>E@9B!vI<4S7akAWRXKK@(K zkw@oG{TJ(9_1Ngp$3b?=pVKBqf9_bV)_Bf*I;@PwkYU6MQ&LjuA-0`I-%xY!k^(BZk(3RS_yykQ3jf+%5a3#R|KuQ zF$TN#+6NvVeS&ECR-9+B|CQQ%|3{NY{&Z(F*O#rPFBx1v2jOIoyzaH{iqvl&xCGOw zc`fdryK3|vy(3G>tj@A|V5ZIwh>^8TQ$+nLKvbK^%e*y9%?J2-@=WJ+?OGC4%l20mJ@aK6CLvRc#gjz-lRcU8yk>>eisx3g`s{>$xbu17KJIET||IxkvfpbW+R z*4wPnCqjil54y)U#$Tdx$NSjTkASfoEYnn2LhM8}*Smn#&E^4bo1q|V7CrDHXXY4j zdxhrqbk!z{x+o&y%nhJB}-H`ePs_>0-~&nh=?d4AiJ$f*`pvJGAkQIsEF)Q z*;6)_z4xZ9wsd^`f9Le%w8>5K%gxPwZtioF2D!A4^mSt*uPdu|7IhRG{2Px%T)^*W zl+Fj+$;8F^sZ~n?d#ADud)_xaonK&ebA#p(`=o`6^KTDn>^*&2Dy4@3+)bm(s-Om@l7mh^k(@EAXW(%cdJ zp>+wnI`+31`5gNeKjG4Hgso1v9ak4ny&X!J_}XL;Z};6KTQ&VIApm|F@H0&@Kxip& z{Z7egaJ#dTnA>(N>Lq@b_vO&wm9SSo^e-$l>pFn}*d7-0=|?F34-mYB8mB#YSrwLg zS;Wf@<=)L4N>$l_Y~^_4+L<@md#Yc)bF^xr8Jym`m%DoVSZs)h(?X1Gr>Y5|ZsOvq zR8-Syg4rMrl?Zpi^-HZHzp1$I%|i<>X-~YHhkh!EXWvM?Na`XtArtgT>o7d@EATT} zE(;D!;za=9%;tDvd^+T2Ue;-r@|K<3ej22@O64^aQJR6ZG zaGPaiSTxYq-zmBtb7}2Gz0NLKRtUZS?%J}tW4RFO)`{Gm%9ZXV=bs2yRw5F1T(ydR z_IL?mUH=3zYm8P4d5Cs)2w}NO{dW7v5~-`(QoN@9;0%8yJE)ru`_u>!4~)H=a|R7} zF7IgKhP)j6KH>UUr^U3LyeT8dGgfpcU3e1OT+~2!Y592nj8=7$af~DVB9*L$p2q%FgEL$Lq`1~T7Qd}x<4Wr6 zOhak!OFK`30v?l-1#6(TU=kZx@6>*~d(M6sqh#;9gDGQeD zFE@j9w^IKBF-470;$sNkK0pN^&x%-$(`UJK>FW5`Cs|v+EhbzEWmio|Z!0t&PG~b7 zo|i5N`C;BVW9Is3xF1cAW4#70$;&nTqmdmpExo}iR*7k;O4-B43;@`$Ztlm>JuK_D z=DjLu0;eTO-G5B=1|NP>NEkFc3lSyz^?PdS5m}k>W`NUG4M!T{X_z&^GYN?&>jgWI ztAD~VcET38%TeHm)Y?eHgS(ZiqRlD0AGZRo6HQlNx`ok_0=g^qxO;|qw#tr+Le+^u z>)KN!hZhh3s6HariaVV(MOm(rj8;CAtw#=@j1^LD_4p2cDFHg4RK7Ot4H^?u*zo4S zY~BJ9E&V>op}cxQnPF$EqP=#&#C1u!b2ZIgJHfX&+lRq@Xxs-1hjC+2A*>t9+9{X> z*ZBfe#@(QGh7zCE^&=jVlfVWY0gq8?pCAK~=eA@^ava`M$Qswz%S#r2fGYV!tYpT? zihu~R*GONZqLXY0ZJi!ibAXA>Y8ug7!Jr4*?k7F6~2WlF`~g zs}dA{3w85smI-IC!dvJq-}$1M(HAZ1MBI_zDSCG#)d(@cQxzhvkV5xvg9Ak@h-Y^; zm?zyi)+%7=li(r%clUIB>dl7@p2p})A(ggEj`gTpcIzbl)|qXYpdxnxJNvqOEV;Vr zp~um(Ef#7G>^@S1JfS*g!cOJhyWr^K22zF()r%oxymEY3SM-V9jYKalklfX8@LIY)A>c3VC7TPZSO*Bg8M`=Y3ivgN}2UoG~xyaEB2DZV#rk#m@xD+J*SU zH2GQowpvCdt}-y7;&|dVA|Kzf)HshS1eFn4@-6@ZXQjQ~Ut(|WmpamFgf+sQ%e_kuIMFsckOT|ql{gSEprU9eP zH)VXGvRXjqg{AoZ^)8>Nyj2;JEp)mcr8&KCzLspe6Vv*+Brw={8Bf(dP1p0S8Go=A zw7-9vc=oc896z^B*JHr?*BX6IOIx%uL=??a%Ca6$qBiM}XxB5d2i|$eL~QU$wueb7h+uM*9QMn>*7wAUQ$p6e7ZNNUnMotdw+rJ`s;W66J$ z7Dd-mQfpRimTW2|pQMTQ&M9JSTjT9;Cw(c}nI%OQtSd4ljpcK4u>QjcU?kQNCR5_B zYXVeHZA$S>OJTMp|BYcRd9R0=puJZa&}}-z4l6BPd^9xdQU7JoPzwEkK(n%fqdYWo z`pk@G<96?a+1&F>ISH1CDJtu+X(j zCA4zHX%0P7!mP_euTQYIN$%)1$XVRUw^LT_R|2LB2&>=o=Rq^zpf%IYr*}=tq0NT0 z%NI{gF2XfZekr6tX}3@ES^ynt;Ac?izE$0@QtP?|-b^Zt?*>^36-@(DGn&fJ+&_%n8 zc)`ZJuI(1nzZM}pr6D=A&S#BmG>~tD_kU+qsziA+RMvRyQ5`UkO#*%&riAVHO{jbk zsXSl*6(9oW8I!+EmM97M(lR;pD^O#94gi@KtN{yTb4uBHjQ`LI!(0I<+G^ z(nBhAE!fe7TK4muzwiAK9}$UhrhV497U_$SK{3ZnLXi>L5qBTLhygBTz8dpb6xQC? zI2UWaic|i84!yAhZTuo2UyXZuDRqW=shW0~M3@5JgG{2{%Qcl9__1k!oU4mL{f8RG z*Q*_~5V$+vSG1so98qRC#`*)};0p0K!@th-JwJ@m|H4J01>SdNseR8TR@PU7nE2eJ zz`D(EPQ>#16&^Y^yS9^+(T%K+)s6W{(mDeg(E1IPZ#(AAjQ}?D-PC{H`z?}ggPlj5 zis}{!4Ii=*jm@GPpZ9BbFVA1^&zN? zoT=-J)jU1fhr-br$s2d`--pI`*X-Sl1jo$6-ZDT? z@V+6L$vO%7tn%Pbxi^PgLJ@2Bxqc?~c_ihWh7;%F7FMo-M1zFnH{`ymU9>4}l~;+^ zjMy3W^?o=1Kvgtv1Nut2q``6bk7`hbg^7*e8M!Xsyzw!x8eL)y4Aq)j_j~#W{^yUw zuPdFFhx^eNSA$^0XwL?0PQjFS3Db6q(${}ITk;QpH3(-DjVmBlwNTZ}wqmGxfse+J zb}FFbL^p&$7dnj&W)Wra(rVlFifI4Mu#I<)-(TLS#A&-{n(eiQ+rZ zp-m$x5iDLI`Gf>uT|U1Q^SXS3H-OuK4$mbH5EHA21x{(Ap=gi41HR-CuKn#$`7P`= z1TET>j{7`xA%cz?L-KrU9;&D(L>V_dNYz3}C>JW3N>lv+dzA)G=u5y)#p=3C&xxK3q-)j*jJ#X-b-k*n8; z&#~4c3X$Odu}q-9$0wZ9xF@BKI}=VROl7U-=o+R7%ET%PBC14sQ>azVDAcqO$6Otd z#f^2>dJ_u2HFR-&UX-4L-cfpPe1PIxU^|Uleklx$OphY)dG%ZsyX-j%>rSH#Z9g3R zJjnT{1(Pc((Pa;<Ga;7s!KAQrc~X#UQ4SFXbbd|Bh81Q@tj19fj6ULX_gS zmEw0FF{#jD`9h>ybaa^D44CIZ&nT?-ziqv&KR@?4S0$(Glbgu?x!&v7}Oe1?uDVbLl2qx zm^uM`oj*%}BQ?dW_Re;7)@fe{L3WV0_@F?BXzDuK7ylQiynTGBGq&uSqMgvDQhlOv zeQ|sVrL@gS23RaEZb4%_40Douw9EYF`A}28xBH7L+?Dr3e^pr!67%l7yjjTK!rh;;|H`vG7J+6>@h`_#4+TvR_$0I-hvwTe zS$abV=3yQQaH%n9p|EE$h1-GvX6`z?gSBO9eHv2zE=0}Is&1IMaKq3T8LA!(^V{zpr^*2YXSz?q?){ zPBd%L31eY?JS7BQsCA<8wE&)FIDj2F^L>9Sp$% z_lcdg=|gRzFS*B^)!k#aPcnW5ka;ffzZ!-(L?NLa)e+01Pi;H597Veo?rnq3Lh2;; zLmQLdzcf#&IUA6uRQ6EY=7EM9y|XFbeYX0hWOuuVU&A}CYE3gGGbGPfket_M;i~xG z4qxp|hG$XuWYm60%MZcofcQ7h-@GrV%6qHf=`deDU`#v9qaGf+ZI@Y_cp=n|MCktd zHJd>ycDMFzzwN#etRbYq1fdc2j2;bkN`X`u*PV2yNq}v`QGW|(A#S_%U)mfT-g=G= zD{BUjs(kn7%B$<2TtFf>ZBklGjDe$tvsGovOTE>Iwq2+5ua$u`u7rmvkZ5DTtFb_p zZBWL2@V`^pS%Uz_S+(V8$2b34L7Bg}IngKcrL+IB(AWRikPa4j6}2J0-bZUUTK(Ns zH87T5TL7;7{mh0d0M!U*&$v#DC|MQZi&_kMAyw9Umr8BXMOpG0-Z zl5Sn-$I*cOWXe?Er=_r1A7ohbsV&O2( zoZxUvdEKT$*Igz}i1Fg!Kjlln@ypGSZcJ)fe~)JbXJRs_WT%|$@|g(Wr0+0Bz$J!P z%Z34?wu^_B?tk3yg+5AV*r4m2$5}AHiRdbq??9&fZ(UPZAOE!UI0DiBba;IWF$+77 z#g0?HbCFLpc|SMXkejbo26>Cc?*%`KJ9m2VGPfX-8c}MYdH5*!u=pzK#S>Z)(lV>5y5*Z2Z)rrtS zMWAGC>Z7w9xvi7Do2W5&{^_xVo;$-X*5-JD_Bj~^!{Z;o-FetcuNyPIT4-ow)X8s~ z3tk!eN#vQ_iUR#*xyT(< z2>tx}x(MWg2Y(R>?NLPN6K>0417Qven8k@tpc8Vv7no2%LoOVw!F(ZeUH?!CGC4&I zEFJKl+qIXrd`VQGlWtQzL)1+%4h(eRS%?ywl4bd)TfImpI`Wk|A;H;v^56r9{sDbb zQ@hqJW9}kDNmAev)R5E4XAG*Baw)wHy!Jl?P(rRxu028XhSS=*h&BYFN`ZaAQrGO* z2}0Kce!Spc4kyg~KK<)8f3s{l$ioE@v#o*gO@=m0?28ZjYvKMHqk+&@qfm+B%Kv4L z@eutsa+@ty&Ns`Wa5AFr0K}~X;$hcn-V2MZyGj|^rWmuEpsC&HoYN2tL1?#~c zwv-vMSSB(#Ddc{IIzKze=>7|=V~BU1k@Cc&|}u_^Z#+7iHGREmw`Jd z-35Bqeuduu=H2f=3jWlrS%X!3_P7(bULfr5Q9zgl=5+v0~!g5&a%h~m&CGy{6;K(N^ zjemL_USXC7RYzg(%!Ob5x*VB*S)C#T-@(I-mnl=uc6Cb#MkfmYTcLd36fr&_IOp^n z&dZ&XZ??-(`mx*jv!O#59%V+qm zl@JH5mPwMu(>}IC-7f<-^$#)MZ24-PxZ`ZY4wSGgw0H73$)a-Sp^Nzxj{hd_%i)J@ z2fdH$jzvkwJgeov+VnaCpl*u%MxAjpwjJJE9)Aj z@!$$>c!f}LXtvZ;I&%`;yAXw>@LXzD{Cx*b3?f!KDwh!VyS%gnN1wOiIpisVk22UI zqs7lVOvlg*tOS_?QZ2ywU2PS2#J~A#0l=t|ftM`bO``vW&;IESypkqG0)-RRinmLt zb@fpC9OOEiPFB{l2KA5VlM(EYc_-@T`J$s;>dX0at>A^_)58wt7{x5HBRq;HR6Q(= z6(+)+R&9>*Xn=Qs_^)VQKd@QcSDe{x6Z+JreN6O?d@9aWLF@ey+P2KQmMgJ-*Q|_; z#ho|To#1_EpN`Ou*6jYAw=5x_YdzY-;l5)541yn4rg59TZMmu6r#`QsHAEn!32!?( z!y1O5qV2tVBoMXJfOhD$u>M>_zgGY3adNpLq7XZ&!t00i36pup4Ss^Ay5Blm>A&sg zJrcF4OcN>tLUHpg*2D)bJq0&ZO8spVZzIR&kH(Ofd4jY;Plo|&L*D&ljUe1sCt#7B z2eNw}!Zev)WbNPHGcXa9u9Y5rg@GOzoRog!uxB)|8z!Z`e9^s|`8=YJPhfJH zKj1rLaE8X(`nSLM&BrcU1J-efi!sDmsDv*&^xXY@=m!6WAGn?(kfc!`(%>)4zB}!- zxSH*!H&y)3aw_^D2KbU8cNULhO)y;}MN5GLBtMt7bV&+@cQ_ohVqj0hh*-B9tjJK@%yq;vZoxl1!M+yZ@Rs*x11{l*{h|;--r$d^^|CwjECQ(*5qe`LYf^pi z^v(W-q_X%1v?BXF$gTXOirtUFCxC~2kYOdn7z`xh}dfS(B7OoLU!kg&~>~#xN*c)R+{~O z_S41g=)KHdU%QxOKJ!0f+ZWXCDjRj?*nuh(6zU!I4fLHHZwMyhy(p(~k1c2xkcWY_ zrH9HBXyl=oK01bMdxwaJ1TvDwInLkI0M%HVL5o196TcnsPpZ>(UdPL!n==DQ(D#Xi zA7}>+Le~TG1mum}QHeU?+cUvhH$h>0*2wUS)uORAO~cpbLoJ!j*&C7x=?y0XYwr9% zn&*0&LDB?s7f5jqBRv}8wCE@`nbgBjkp*3?GHrpx) zv@#5&XttjMJ&?59&$T7=d5MVu{$rbiJ_+`MN?MV2N~rnG9SyfFZ&?ZKnOFN^!g1#0 z#pE}#DLturWRq8=)xHA7`;k1l?2v#wX|sreo1eYy0`l!mu%LWyXO|wzK4bMep|>{h zPveVOZd!u-2PLv*odeDUi3e}}$57m4x}y|T!t*L09cWA3ySnj`Nm7L*HcB9Owx<|x zaZUT+qA2pzy9+3Yr4}TM$en_265uS0uc56p*n=T$P3_m;$vc#zn%Bq@ORT=wvi2aO zeU9(AJrDZQ0Rjq(223>MFL41?1HRWD5%{0dBl`JxsMkBo55U z9igoj>9e&fut*wUYlp?ZuW*@!?`u`UTh%|tQB;STP!<|ge8=##4jDdL0{IqYbVhzm zKzx7?yBFmhrQBt(q3CVo*6L-J74msiESZY*jGB$SCwF>C1NqsJHDFG_FWyV%`+&7$ zSTqchR3cv#RD_YIwWR*O)itC%A<%7?CpZX2@QFh*OHoZ{2YY|IEh{Esbl2V<9oI3Z ziV@-T!DW{y)U4$Zt zBh!g8`Cbvo{$2G(FW~}`Tc_8XOw+lX)R*fAPPsHK_wTK&d*6aw^}u*@qG$c!<8k)} zvdta@FQ*$Q6E~^y?|}=5z)m%)^6i-R7eTpSUU*;Z%*JP2$EzCQBwl%+?Vp z1zYGXGwsl}cMt}7^PCdq^Qn*c?JVTj8}2dfCHv#q?fZ4_{?>WE7Bw12wy{?*-*R&P znlbv=`MAT#fj3)G!`kbOrdCt2x5R)fQAr2)Bt1f`^=&y=(Em%DG5r(pCv!|B$m<&7Hl$evr!H(M9Ar#Z&KRA;kv7?FP$((6qw zh_Ns%NpV2dI?Fq_>#R$Tk`$Q)dlZ1G($d*o;cOe?@zM&>EM{wNo$2hi6+~I`v%~HK zw$gK0XbV9WoFDNs+(BIyqGm+O)quLz)@iWe2Qw2v87uZ&Emtgl2grJCE{PvKYIi;2 zDD@8Lcc(VL3eUsYMLz6Q7@Hm{d>o6kXlx}_V1Mk9yE`>GRK>r>7)jcPhQ}B?f3-GB zu_G{!6*I@~DG0$l|IAZIX^z?}1g%A&?TpsbNaBrKyM>R=!+uiuFfMy=-1KewYddV3 zR|_qi|9QrLAkGT3lZvE1W3~vUiel^M{cyuGTW4Zr_eRFU{m|75*=o`|DSG^;;^tU| zhY9QVup9qb2N&%Ee)sLheYUe4=qgRa_f)J6FcyT*2L2BeTcYXFYk4qkg?7yCW6tu_ zbz9}Pr(@<$H}9B?8GtrZc?(DhdCin?lPhav;LgysH|5`FRu3he?@b8&+H*DoMkvx= zm9wUzy=B1dZf0yfA~3>46@Hs0N<`ql2g2hM*xkp!3IBBzGXeVYv zp(rpqMB~x6yUuWn_V6N+{LeXbzvg^lGaGy!dTxNbFrxY0%0U$Qv2E)gaZfFRE8(Nk z7q+P7*y}=Vlhe!R&b^W}-WJqv^>e9L#|!K9Ra24}2h9IOCMmppM)!&sv{#Ru_^1^B zO9!Xf!^Li9pdL%Y*Ar@~Ved<6gDnFi1Gk_~f!IHX<>f zCV<+PoVJ(nX;}0vmHH)L> z1?E zZ=FDnh@YP)jUwh2XCer@Tx{yQ5NDUT--Z(3&UQz+%_@1xT$<(;?KB^oz0RI7=5q}H z@!lL-nJCliWlcy?k^oUdtdBT7%Ea4P|2v?{*@-WHQ~Q$Bw}h^rwk?o}nCA16Rpc=V zeCl2FBN58$#5-2f5vaeA!V~e&I}*fbw+Od=?8Q4j6Z1h}OHOG?U<;baAh?BMZlDHV zfzCc+!EBC<9?>%U(>AHI_#anYSJ$$<9>S={7lXhu97f~B+|pW=sDIn_*S?~yQgO|t zO=uZjA5-J~Xzk(xRVQ+jrp~-!(l0xp#tH4y2U%MTl2_t>-u`yhVib|v;9{R@PaIis zgDmqD{WE3r@5r>glzt>UEIl&3g!_?6gGvoszB9BiA@c2Py0HD1R{>9gWNPTwh*)Lg zh}Z*f9%g+N1x9XEclVRL{G0Febw{00I$ezaD@)=AI8{yBHnrJ3Ib$qr|2|KUyz7Z+EicuyWRk-i(x5Md#TxLr1}!l`PN4D<@p+(E0JGii=ij}W`I6F z>lW#~=Bv?T9~H2a|HSYzER2c=w%Yc#q5XIyIn3%do@-c(VcqL3_iFtb?6Hum03%Mj z7uHOjUTT+=UgJkg1zw!T3%usqLABTO?!C2X0Id(QaG(6L6!Y_+bDoNAYmXIIB!T~ zkFMncz{1lH65-xyGJYxrq#KS{KpJS}2OXx|36$hL#5D!n-CsF(tsq?W6qS-*ypEeV zddaQ17scHI(vkUg7*FaKtn|XUA^=dt$_mv`9tA-Hl{ybbW*nm%+aw6>T@!L|TC%Zi;yTnH?T`i0Jc=Eci5 z3)I6++P@((=P>o%d*s6}yBvMz2JNR0Q;p6Imz;LX{}>goPOkqkIuK!S5tGxC{Ec;c z&4m#h<@V)`j0j`Nr4NQa5+-X~(c!EMy(Yd`h%K_R#&?FFUHc7DFSB%a6=4_WfLitD z=!<_QvIVhD-4)6BB)R%$EV=%@!>duWlJH?{Ws-t0H>T5$wzHFOkO7@E89*hL(nCn_gs_pKzeQsNKEVVmBCu zKWJORui@~u8u}vG=kXMdF-$eMBC$|1antLRgB}5$h_qhK8vi*fD+Iwb(YeDqpq&}G)hv2vwtj5E=1L=CX8V-&(qpCW4|GELofH&+Ho zbKYnFOMCqtKM1kD#AC*>HOE#jA;ELo)Iw^=!S8=&oT)8jjL*1$`$G-UY&`RG@GC^(%q zRnA9tsV6xS_-9$S|#WW2h(z8PRGg92efiigk1`MS_tl#(fLyB+RRzNpJ&+HH#z$+_Ha0(j}Mdht1fpnE50| zT;GJ~P!yCqj0yc_z@8Y$XqENg9qo@e!W9$?aO~N9f-F*tU-+?Dv8h zban;#!mfBobzCY`=UWM?D`M6U)z3}aqsT^yno#G4KD}NGg11qlodTzrKt$zU65~9 z`Rp$Z-ZgBP&9bQ+)&ayU#U9xLm=43De`7k*LF<%YAai!{P zEu#B^Yq*d7uD!lR?pNn5pc7Ax@T*5}0R5FhZnGng>S$0maKdd`uy%J;HJ`%>^f#OR zVIqkETVTe@>hTm&=^^q}_?87yn*9Jn#jaj`c=Q*lgr-7kip(q zb@$TerGaYv2Mkxi9TQaS65z~5^7THQKoMv3$S7g>++|3ud3-IqgU)Zr1*W#C*q-f2 zftwhAqr+eFqoBev z1VdcY^6uwYjir`XD$91tn=Zk(Etp?TyPvAhWwLzx;*?n+fwCX+t1?OQKLDX<1H9Z^ z@3%VH_}u9&DDQv1K|gimC__~-e2e>WtwdVP^H2ft6U*<#7RR)Je!~2unP~_|+R}%V zZbMK%`JrnZ&Ifp9;JW|h2}848kFAB|f4+8F7jy^THzCUz<+&G;bGE}d;Z4|kYW|LA zb8yVeyGcJP47_>ABWs@pQ~v|Qsh*ZrEs-m(Q-RXQmn`L`)W}(qSbo{bV&sz3-Q1gh zB#7yjs;1fW!X>#e9)wU}9yGiJ?P~nTl^MF_4&ge}8-B71MCPCybA-H!J-#&8Ocp!AYr4ss) zWY}6M4bl)G6nJ(NP{UYiM@)1MXghVZBbFivCjJp&+?a?t*D+YLATIy+M($`PljyR{+%C^wVYkqlk?w>D%C zx!LbtLzQDZ5KX&;__i{v7vc17E6?Y}t?iw*%@LC*eN&tp@oyd!u+7pIsGp0v@63A0 zjwdw+c^rj~Ix#M+b&TliTSRb@6l)my+j(#TatdqKN)l_UO1IW7QWVyjL^(0jQ*6Et z8V+-Ef;zbGh54#0+~^n}ETh$RBXlFgCohbRV%r(XtXU*8;PMJ}Al&A34JY!#P{E9! zvRWJFshe6uUnKRlpBQCG#riv`-C8Z|B`}m(Djhy@o6#Ttk`r>MGq@M~`PGY% z_yomjM*jSV(o#?E15=sVv^|IltX@*)ia$Mj^5wsGtp}UF3CcRi+8*`Q(f(wU>VR0- z7;Yo=Ys9EV#O5kUrXtLdanI+EwLUT}4~})L!(x#xPgA3tzn_RTNL=D}tlkd|S87*>19;U+;JLVqJTp$9T3S&36nBXx(H9n~3+P$5v zQ#wciKGlvy<8N+wFm<$R@{X49HlJ+>xM+axAV|FYH#C)LXLYwO|Hk_V}(A z&5cUBfBHXZT0~4x2d2s3m?!`33Vjv*y-yX8pW6KKbFhw62EjLD@_wgA-g(>ayd(vY z=fa4HhrPs1gI?q}o_9dP&EJ$i-3bvp`?gixvt($13Yj>$Ck*^bdLq0=`f)E3RkO`^ zgAJ_7t*z{Tpci0uB;QN^z*?D6p9zdQrmYBU3m^pqcxezk5_`SHMl>~$n)R;^I6OS_ z&#tsw{`7*a<`2goeR)MAswq`WG{D9!8DKSY`P0}B00qNzAjSsv;vR#qAcY%w4q$u1 zW&ftni9G9>XFQ`c7BHc~{OSmTBxREuTW{7LcpWu zNCY_F^7$sOju$i}$))_#PvPq8u<-|OHM$QZEAP29H5Pe2_-zKn+e&#GW-;KH{9&U* z?OAfqJ9Euun=;FXYWV`43?M9XAn*0+(|@(V@<*l3H_3rf;~Z8$pGuN<)~- zLQ`HY++_k8iQ}aII2`2(FJ8+D4Y$Y(y);1ki@Yc21tf+yy$~S_|MI@>t#fc~>H~rA z@qddK(rAMF;Fkj*Rps1i|iV;al~AQnEunx)7d7P zN*KseL6B*@`n?mwyNf$yMDz5;S|eL`;QcE4;P$8|E$Q;FALL?>-9o1!M`rEBID&AOqM}o6R5hXIGT+XIl`>)K zqWqcpZvu`^>3}_4i2`+3qVBoHkYDxG9N08U;T)0?bKpdKUoSk zJzGVGZna_-#&4GR!mI0YV_wiP+aSsfEsdlQW-@D#P1&{ZcY3{x42YjK^bHEfI1%#IMjhN$OoS%tW$NX-QYrM$ z@L_9l%ZCl6I@CGRw;jbU z-eSBLq^gq^IT;w==Y9A&vIrjUOnEe}$3Vxpu70W$#4?OAcvi4>KahK|KtCcjLB)Fh zzsCVEpn#n6^}L^H_bFZj=feD|g8(`j7$rO}6(zfF*V%tEUo!XZM;ZR%PTak`6)!$E zOxT08CJ&<^&odiaE6rzm|1{NYOI2Y^!bo#LOt;fUJj=8uu!iosXfO4n0Z)=W7qa#1 zy6+PYYl4(vPA>PErm>#i$M-@8!~0ib{R|ozX4(f`RHfJIl7ioJseio+z71=eQMzTP zw^tPwmBoVM*UgWK8-wasX8Y_&m)HyFc?biqiwiGi--sZ*ea(&$SnwStuwiU_d3}M` z*~A5M-@C}rfKsj;v;!XH zx%J;a5~Xgf!L2ir>cqJ*9h52Mcd~lSe7dmu1Q`?Or`vANJ*ZO^0%(Yv@n-R!`P?eR zeI60WgmZjR7cqCJF~oe3zMMr9m|rI$Rp%;uVSAGNO5OdgLpaDPxF+QwZyL5xCkmon z3s-Cm!&M9&9@(n99H5>?*9|lg4BLkndJz7_2MxC zh`V;XR_pt-;HhHFjjG!n>*n@l*|hHfe?{IDJKwFDx(T6iy7et#o?|EI?hmOBUjYNc zl7XsIFkgB5>~5~3-c@^4g3YTx)z9@C@^IQJF-x$F$<6GjPKtrYBWuDssJGXuT?5kyxCLk7|aRokB)>$~F~p<@p`I3s7I!)iw?R z&dm4c5+)LpUkV%+;|Jq<*%AiNu)0q$S09b`o?1S3*>Ee&zstq!xZ4A0ALOJr?k&Ht zvv|#&pwoiWEyYqZ(BWDsrs}G_enFCZ6@zj)@YCCb&z8C1d8yw#l;xyr$$?RzxZ{)y z^OXYoI%)@-xzVsN5sHn-16+>WmP?8WTtX+uKL0_@>@NeQW1No=7Dm7x_GT%G-K~|r zlUK!GnD6o?P=}qT-#nF7-?$h3Cu!tKKu&k$qpDYYv&_2fI(F7idf}qnm@`GOyXPJ+ zbX*G*#rg$*QM(L@=swvpy8|Ooz0hgZh=g@x&ZfnQ*-;O66~4)4(`p;bn26_;y|@ph zOQ`s;sY7DBBMgjYfTdwWOMiam9pxUuBa-N4pBl;BNV7>lKuGAmQVo6Fs?1F%JDnBg zB7PEM|KBdouO>|(>fv1(HBJjyH;>hm-jZa72HF`0*=fd%pPz&II7aYth3zJ-rZW9< z?sxv4z4gj#e>xrhg6{B>on@6&toDND%;VA^povb`t`!G!*TS4aM6BIsVVhTy?c9325i?~?bC!2DMS z*DgjDjnMD-Q*%xJ?oaiIi0l7uar0naJQN-_xpBSt(UVut?xn)6e|C_9UL-3>fbJH{ z$!9Pyzlru-xgQjm3X2fB1rbJ3GKuU6qEX={R3@x&EJ~sUK8RFU=n^Uua&Hzw?nh@r zo@M3LSFwCVG@)192~_U`-^8e}&@m_oc`gZ*LY^D;G#SE7KP?jS{8Gq;$441%!&mjOgrw3y0@?^#Sy+pRZBV#EY?Oc?qQpuF=*;Zf{_qm(F>W94twyBNTrcyRgI%rrKpV2nrF%L!Zdg{vjW<*(mPR7>3JqR0p z&ProM(&kVtRhN1Rb*FQ{MCgzRTh~n=e5N(|bSts@>2&PgjOF7-+d#*uNw|znTb9bB zZwp(*^T7% zD(C9E)XvV8PqsD?^)pw4uT|7SVN=C}#``DBy-tXia}apUf^v|Pt*Pnl6v=ljPsF+= z?w+44cC%SvaKyMO9gO*0NVr@dumAZnK=z|_Iu2(^eMJsm(Tl6UPPjmsati0mOq0Mi znu%*oitH{5mS_JRRUnjF8agt!iOAFcNsh#7a-1Jy`GEK;bF)8IE-ylbuqNC^W5?(S z(I8M5{v>O0uxmJxB5cHbA?HMp6?5mojb}x6074>1ec`dC{$XDl6Sr6T*kLf^sPG|U zm_RiM`F`zCyq=+)m$1EHsmb{E`K^vlb_onPL+_f1kgWG_P7idkYG(D#i}-~|#!gzP zXSA@BJp=+%<4=vL$9O6;?K91hQa`)g=`!PUp%M+ZtDSxKV>B$VuVBLJ{sI61|NnFR BDjom; literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp0.ddb b/bsp3/Designflow/ppr/sim/db/vga.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..e882450542b2651fbd858745aff85ef4c1a86501 GIT binary patch literal 70454 zcmeF2RaacWwzdO=V2xV?!QCB#yIUiT1oz;<-GU`Za0@PtG#1?5J-EBOyM65Qo!@Y- z_Lvv7))=*JYE{iq^L?L*{^7%iG8C8(M(@G?{;X;4MkelRWot&p&dEW> z#=*+L&C0>X#z&?uPbTeZYfJXO6IH$-y?^!L0}&?7|BUP(|7ZBXgZ=;CJz$Pok)D;o z*KgivhF5~)1PbzFo;Z-7!TD-PO33Cv<77j7u2S|IMz%YtCN2^?Q6zrL}Xf$F;Kt-Y349g5y`mC~BVvtOpZ| z#tn5IdRpIj-_Ryo4C)f2-m;i^1bY-dp<66D4Y(jJKu^tsT7!`r0O#4y*^mm9;+b5X!lt!i?;M$aphk(uCCAC^4y&cIW5(! zeUa3&E<~~vCQ6R!A9qEvbQa&5ob?{=1>Y(r@czxdsR_5)o7GqSgglqII~`b(hG`H? z3T0mCUSC{1q$?iGE#NFXj|=ZM*7OQ~B|-bz$>R&~-F}&D-?|zv8!yaFez;QINecIb zZu>RA&OfABz8>H4&mcdu-%#Jl%(ib0CrLmqWu}rBl4t!V`=^ro_txhgA^vL)jNnX- zGKHOkt*l2MYu(rQS59~^)HFPFO~%l|a7@F~hfnB5CwG0d(jQnDH3B8g?i$MoTEa|3qC_?CsvYd@?ZNweG#7BY74p0$^Hhq zBAfzftQF%!Me$cVDjXcFHpK#l1Q5wZ3&XUEjVAA{{ZZ&(-N)#LS{dYV4Q?*GZP5l@ zJ9@>Luf}<`itV@F_`x;GUsvWkf7JIP6GGAdf?E}5zCF01HL1adaif_#*UYEFC5+%6zLjhOk=;JVX?*&|wA>UPdCFh^%1t`5Q)=az>>$2pScH4=+e&kYsf#dZc|}gK;ofu z@g-E=IK#GbT!_=VLN}CEZw6xvkfoRy-Vv2p!wu%5Dn-61A1Rc_NiFgVUT3AZRR`;n zOtI9*pyO>Fw7w1a@vdcwG=0`cAVpiVf=+hR)^zaBfss@#s|6*f|#)dkkRczN^a zJO09Tw*&Y2w>-%#o>}s(u3E2wmAon+z7?*_=~xF#O-T}YR?^E#n@ekpu( zgXi8wZFvLSrn1Ll^V#!LU5w~}__;`ZTvPAKh`!5V=3X{^j|Et>uEkcrA5WwD-Fi53 zGL032TQ5i_QFoE9y^w|+povJPt1R-8Mu-HTrtrdAKxlE(Yz>&e9IBGM#0ot7?QK-V znAz3;w@}dZ%%n&LJ@eR)$1M2iheiejOX$i#S}N<1kCW#zXD?pgAPNY#{-<~dm%-*U zY#MaxQd{`cK&BGZwlK_q8x9#|!D^PXFG_WXX|vk=+~S%@)Zm=i(DkC5*&G0j{>fYE zy`iS5Cp0N4ahgJB__c+qP8Dr_Yi;>l%8yfT)Gj(JJ0{ z(7|PbBUKa(evHqtAKi596)n;1JW@w~oIVN+Kee0GI_m zm5Jsx!ZX{$<5;hK>R0|qTD043!wuhk@A@kk8pY5FX0ru!r?E753HkW)-MJaZewtAx zKNk)@%sHSou|gQ`|1_w7GUqa#i=yFBD!ildxLcFgh5_yW8*Bw~^q!q)pSe>rw%iEd31{Sw`Q!dX&S#{moiFjqPAe!F(I$q8D8hTIyxA2c>SSO`kKKG6 z9`Kp(@|;i~J`@Vt51JE9|9 zgSlaxg78<%eXU_3Z2jlyrLIpfYUPHvn$G=MOh=Qy>uxAUBXfrxZd!&`mdaYfa{#1} zRACnaxUYXJ)4ii}Qjd@XBuuOpIuabN1X-n$rcG7!-*5j0FN4zf%a6HP7YK+ z9y%Qnj_El&h4b=tI^yNF|KxCCGWLR&swEbdHT;mRup=Z}$aqajcRZ4?h%n@6qOJfj zupS7lee!pP&IxX>t4;r$-TX#Yf6k-$nd9gQKs1b?^6cJqICF^RE}3bw{4Z(T*z1zn zzSnRN|ER42bZokjy-EWkX4!|5*coq+$rCP!*294GR5t7fX5Y_;?pG|&6jg&W+m{(N zwJWJFOQCezw=Ib zUTcs_4wRB!yy2ijm^ck@W!~=!sO=mJvwF`y_Q*P#4HA|b&c;PuHbr9FM%-TPmbSOL zQYKpfiLzuumD+msVTI)qB_fG{3K6NsdDK&4T4>XfV*3bX(v08h&1t?ecm<=#Z03B7 zcDdY2=hcpd+E{<(|p3^IS= zB;|e_M!lR_FdRg%Gl3HgW(Ddxwf%L|=||DpuMmm^?ijQ9C*^kTFVKlj5k>QtZATnF zg93o#9(crtWVc33U6mW+*?=^PyJ0dBs4B4(EBSF715Km7;<;PX2E>5?b!w-0#=$~#SZ(hI_#w#`H$_r*^!=c?vu z@|+~`DJzBA$G7&qrcp||&17k^y37{G&St-kYLdpGJj0-f6>;;E_9sGO)&ia=wb?<0 zBPywOTlTxwamI$tB41K464INvxaR!L>had0Q=DZyKG>V|WUGx@W;) z6w*;2+@(i~JR{!D?QCNlQCj&+_low({Qca%oxCpQfG?ALz9NH0=ugpKmbbbD3A zm)T*c0su#aWx;-7y?AK;aC6t3P^q zaq_JV&edrd2x4%>daCt2XR17oMN5oy>bt$ytzafM-=5^cWnrofM(5r&q(k?L-_vkM z05hL$+D#)sh7s11?s}d28H5bK@F-=fn>XVlnO)%2Hx;0|9i4eAPk=Js6GH?YNt;_y zsB=k3^zY>B;VOeEzX1g2gS%e9%u?~zjQF8pdxK$VtJ%Wo(4Psz6(C;H%uB3o34L`65{pr}gieYeT z0W2_BWR-L25n>;Go4Rn?&2kaO357CYuTx+6bSpl(vsc0es{@D4hd$Rq6uzCXJ2%CTp(X; z29sz3EJf$gN&6VmIshJE9GysyS;(|$KiQF$v$!21EistWvgK~&^=zO8v_-vb>g~Op)+CSfCvf?vrl_)@yT6U;(x?-NGB6q?_VgHSQoBBw_f+We?{4 z%_`GGu^Iu-;_L2PcSu`$YFHZ>z7flNWGg!UEdnJgi0*X<$xQUj0?bt4S+RDK{xm&A zA^>#Sw7om|DP-DIr}Odi_Edua;x>MOPlg`6DHdE$=)ekq#Cz{HkT60s1`3jYV8ZvS zx9qJd|C8ZAobWNt(2eDYB6;;G-LEW1)ZDM7pk~;tFtPPOOM*?XuDz)*m#{&+?$3qV z<7kvkk%FfV5DHWRR>(}yVc+f}UR)zwA4xsl^nts%1l*G^V>X$K>~o8R>{23Z?3n>z zbO&jTgaN|UJjLU|AIW`-h+_Nz!(6GF$)>hdB^H<|VxJ8!qM+jdP<}57l)eoSPfs%Q zmiMxg=x=^tGqmE!kILO8F3$Dm&I}|IVH9G>PyQ@dv$R~!N*WjMAceU)meHUWON)p4 zHC~0an~dGQVfVTVplI*q&22(bA*g2>z%%*%kDzr%L0QYUX((e!&nKMrXaDdvqjDCA zK(>fftXJ`Q{0Co(kVg9i^omgZiROLjiL>Dbts!35MAU;g&D4Ygu2>NMlZ`l;;^&*c zIcl)X1MMbW=tzl=#c=yN+zn$xhTLvTb=HIQqP!fxhl212^UDf@`p$5QwL-s-ePjd1 zLX|ETc-!vSKQq-&8XGD;!9O!44`5H2+cokt?JjEXrO41szaXCJo}@rcS(;6 zk*C%hTal=7K9CnFi6+ue7m)>1Lxl;!;3>?go$AM^i)HxLe-RWbYuTMV@nCu3;DM5L zr*5cRta58(7X$IQ-!9KvD0pp3ckr&Sd+{$6Z@^s{tU4{7%&r^Ukro(U$LAcfWDDZE zqqfqfHDtdEp24kAq=OCh5)$XE@}z}PW~&VhMi%^Ofu~;MYn^b4jTv5K-($6FAC7(I zzguwj2*!sJh9~5d5#kglyBUetoyeYOd3)}1cQk(baf!oZjQC|wR+ph~>)eV4V2d4I zRJg%1Yj$E$RDDNS;8`bguQD^9MRL_pS^ows7$^5d$@t(5paYN1OOC6rNkj9O&MJAENgL# z(%6@lN|pg4DpGA_%cJXU169MPKcA49)-RB^$gK{{w!gEEG*G=p=$e>0;`%vPce1F5 z9EK`BAeE5xrhYazS<@@RN+bVxz^1V^H^%$NFRGc{fm`4F=zE>?eY6^NJ^`*l-3HYI zQunk1?eCoBhoG*W4`exLb;!Q=*!K&(v_eZ`vK~SMZL+#b49UNliPWgwqCv&>ifFh2 zOn67;goQBNrWJ4|dX5|Xqhl)+?lVh*QPd-$8>ch84f;HKW1H{c=tQIFYZu`o7RX_k}e8A`sg@+HN>Sn$+I>|v#-@)eL4+@c=XdrG38|00?|D*riNs>Q7WeC9= zsVja%QiRPJw+%^Dwzf#=>`!F~r^Cp%i&<>DvB~KSCsc)C( z+p_1Lka5v?E<9|Fk7?FP9kpe~%%v3dXE%dWC`VnXCQDtDMD4uu<=}|socAkIUP!#YsebJ=gtjbTMn^W{kPRnPQ0J| z$5R2=Cbk!D$3#e(7yhJz5Uoo#-{--P!qaHT`qPoLmr7$NpQ&#nW{Nx{_nq2a=ceD@dCvkqUCDZBM(#Az#)SaOA0Mb>{ zq~^9406uAjNllL78mx$YYE&WQ^6%m$m9$P~wM&BBcm1-p{CyJSw<$)$hVF8Mv){N- zF7=bQC#kogN;~ZGe|q7_S*0`~g9gW81!eZvC5(BBUBN%U{W6vn?-+)S5kkX>#tal< zj&z};MsipfS3qyMlF6;otYW~} zt{hlrd0ZQQT2*Z*(JrSNv8tK7c6?w=uO2MVY>r~L@vE%Qs-^y%pa`&$khM_@9DNv5Vr%Sp14vmLHqeEUJmIC>kuIc-?KhTKcnlTN#CRsq) z*X4DGdYvrw!3%kg7sH}S;{X#OX^zY;PzdP_#NkXCTI_ zG=oX`EceH_{9F+{Z{Dk;9$LWgQQnS1={`h*P9Mb=l#f+#{IQXR#o9Q)vcJy3-p09-^gMP{kaVp~Fs z?o6B=14(5Hh7X4*Ems>2WleMldho8K$OS$h!_R^K9tRJC%dXZ=*6m)b@S zTdJE?XJ28LA@`R7@^E!}0V6cVjUf}|-{Ob%Awyq?Na5#inc>45?6QU+C21HYM>Igq ziiH`w#x3?c8oRAraXdsce^UKvR$nUB^601OXcd}qCTb+Uq=pj>a`!|~F)$2lH~h%tqcDT9;lFR;!MJO55P+v9K^t7fI336mr)az>w=_?dD}? z(~YE_G!qdnrUkN`$HI|iVDpJro-2^__b8vpZHo|Z0Sox3%cOhEvyv&R1q+)Zi=ni# z=+Wnhv2rH0L^&f9U%-DGH>z?*xD8T24x7)H)Nv^7`Chx}PLtc~d#P|M$%cN@gZFvF z7*ApRPc|=)!-Lt8_%ea0iSo3p3mzy?2MFb~)R2!gj08oulerEA6%B&`Fj8SqO58Q}AjZ zXhP0+ZNtXvN$^`4ON&nQ3)|2KV{p={m&!kLDyC`U+C`^Jy5Br{>qB=L<~y1KN;^a- zrbl=!znC`R?h4T1IotQGm*XT&1-W=?v^eWUC^}Wc)v>kss@Ana|4fwxe}t!3W1Wdo z$5@sN+WnEUd+j1TlfV!^{Rg*EkBUm)92}h7Qgy`&cnjRfBOrhV@27G{TDZ5bE%P~fSA zc+Yho%gO2Mcd7CG;BKh{SLY3i)uHd7u=G0U|1kFaTaL+d;-ITot<|K~0C@j{*lL>} zLIAWKY(kXXfG0`IDq9z{xy5uBNjaWi3Iq{@_;lFte~Rqk6G14=l*qd-X@gS?eOX*r zGS<51s_af;Y*e@p=%|xVOA`+8Yn+a026t|orD6PM`ri!ILm1{-@b zd~djDZ2;8EBewDinMvaOXE(2-L(IgY(_8fWyqEsGwd$p`T+R1P3sEKAp7WwyC{EHc|Z(S4-0d-2sDx%=~rHZL>27(iyMQ@&BFwN{OtfhwTZDQD@%sz6jMbI};3QbxOm;KWA5O*u{`PXP*;;ql_h;VH$IX3W5u!{foO~%;MEgG>rWO8Y)^Za&a zDLoyvUV!73e$@gSpmfb0!SChCZPG)BTz9g0%6BWN)-{f4Di8u{bXu3E9*LoTwckh1 zP-hvdE@moVkTm_7Qu)@a5#qa9$BVV01eS%6y5(vXgcE31xIAWmt2VK@bQO*=V*hev zJ#hV!2xrsOH0PPIGPiB41ivPhEUCV!S>`Cxu*UV!Nxf8>JAm*DKv(Y7(){!-hoqb( z)0mEQQ^unas}VOstmc6<=t!5Q+!h;fIYijYm9&U zRoK=)lno3YC-JZRbEw-?LMx64WqLX=&U{@dY-P{uH8X$GNFJ_P?!dpnf9F}KktS}A zf$7L9Jeq~?IH}~-+i6oa;CKpWREES2zb!_*w^-7Kt2ZDdY-Q!FP@^^EoFdrLu=N?; zee-cG4zS}Wa$hU|>NIfTYSyla^tSG$B-=X0iZgw~F>>P-@5e0-!vVJ2g6TRO(U334 z7N=n-o0Q{LPn4v0JbKsNisj8GHs30t?eMjrvzOZ;@Y(Td+{s10Jyq}hS}Qcx<)#9} zTeHS&;H4tri-iImTlt$MjAK3??PTcbEQ?h{pM$A72(%0i1j1t@J@ zuf@qA6){g}em-8Onvp&|e2lD*fhrTGb5V>g40`e^6o>kAekr~Z-#+*;p37`-9Y^F> zaUFyw9qC`pVM0fmpDx;dZ}Il9LYK^W?SrH(|AH8~zh2PA?-(qdAe}x9Lph|ujaqIk znF@`QPAG=LC2^qWaDY3<+h5y@9`PyvxLJNmHrbAk=Y31ls=P@zZham=w;|>mS+~W2 zO!&@I5n2$U&R7swbLxNoo2hNo*z?P)Hffix12c2G_n&JrzAI8?LUM~++TXg|q@%Nw z94kC~#wnGFlzOtVCGVhWqZbPy#d3|o9}t2{x?xf7sX0}>GQ+jD1cAd@9~PN^H%+9j zb1IUCJqu?j;K|Q5^1qcDBe^yLHH8%0rO9a);OMLviKU$}%h63(3>p-JZZV>)Krh`c zW>9g5vT-g*9kbmEw{SQSb(Ygx`Nc^3#Tnd0s?%F^FF4!)R zI}57>vn!C3j^p~I($$a;tDd@~sryL1;Rm^|1iyZr%8$9zNWnP3QkXUM_}|i@?|qSm z!Z~n&aGH$mHUGwh4aR*P5i@rDVFrDFh@*@FQG$EcM^{hW(Tz|wp`XZgIoZS-2~YrV zb+IPDyowfCkzm@QOQqN3b7O-}5r^cir6EyHArvt#UO%+;=AiDxD;)_uYxBveohif) zI*+Li9W$C&4*!0Ff%b|IDueR`S$;7tkf6WH`iyHNsDtr-S1!>UHo@&Z428ISLW(l! zW`iTtUGrW$c-^%QE2F6$2^o07t|I$ng;%5jkQ?o9MIL}{p`k3ZYsK{bqtW$HG zo$yY6T(BH&hOKDP2S&~@0}=@Zf2BT{Us=kUa7pKV>7HOw*Fyy0~BSTBr1WnrNa|ZdQ@?g z^b8MI=J0{gf)3@QB>h_o)E{$I!x4`e4uk-0-Cdoy?B4eNEcd%0T$Hxk9NKj~A^oWV z%i0v$C8$fh{fb$;!8CiR?m!DShH7-p9#Xui4~#OZK#20fX=k=OyGR!M*d`4w&X)Z4 z9!Xe-qGo91IG5z&l;V_5XgO$-yBOk_d|?`N6kPAI7XyXEB*Y|C-NyLu#Q!eOe>cT{ zkHY^&rg(je>T7$@G;!7JG|IH2F6A1QpI?g_Iyu+6aXKC5UP{_zf4I6VuOvSErfE4F zzZ_??!pCYabSB4s*YBRO_vo|#yzQB(*?9h_h-~v8qTzwM+`Sum1DXqkN>1UOE_}jW zKBCb-FUDhJmRea=S`K$eaMHR)Ts-tL(0)VfmS0PEYZ1W{*wgx`vbV8zX7x}_&bR!h zSIF}GK7auM+`ls}eEFzc*pYZ9pkJN!tDe7~7+N#mR2t-Ly~$vCT~*T~?)8FF|CS(f zHna5l{qT)%&8k(h%`qc8)vchcLP7g!t?h*cJB7an_aC(w)Rb1G=FXf5u*tLoF# z*se}%m&p05=+@4>)5IQ;ZC>O>1XbstyM;I2f3Na#hMYSiym@6Y>t@zK^xe-L4n>*? zb!vk8Y>my4BGUO6+~@iQB3H!=5*`Uc+naC?)x&FM?L=~pEzB8=#@l3Tli7X{ew zzWdcitxm##SBSqf+_xK>xi10*x6bm>n`wGm`*x5kHMWH6->)ZBBXq0*b9Ok3b_Egu3x)rGxO}t9>C4H$ELpK!l+k{Tak2iQ`mQD$doBoMT4Byjierks&s(h#= zYE7B4d(vRJJFJEYg$LG8XsazAQ`o<|=NVbyj8W!g+iQM+?sp1mC5UuwAMK1-dxxl1+XhcW!}2NEOYy^4(30z<(w!+rAGnWgLrI3WS%mparLItegg zT=TYyD+%;8^zZ}2Jq)r@Q6B+Rl#ra$4%Zbnqh0>6<&n&R?YN!UHHKE;&xk2EY zM4v4?X$tcXocyIP90-qfa+ABf=Re%Qw>%=L%7T|cu2`XN5`DuU;*_o4nFAp}w?(94 z9V;Q<^RJp;&5nsmvCZiD?X@KI)!pCrUI#Tz*t>QDwS4Ktlbs4=cp6!g>GZq2K4-r> z)oP}1Cn7UIk5|POK7fDVhB68N+>ydf7$xo3U*>Gbu`EH8AuMSZ479stb8?TaK#ws0 z_}+tLfizA%X{nO7WSN$}$h}3%tqkj|sP9$f$VD{gXTpbRK0;#zPa9m8-~Nn`GmyP4 zMjdo6zO?i8Gg`W*MWO;@?>5luJNJO+yVEB;f+^Zr`voMKu2E$)F?{3|H(@yM_F>uaH{_|gEi_`PF zbh0;O{U@yKKLJrA9^CgwRe~LVKXwsghZ>9`gEJJ)ig&lYSw&4TMz2GTHjWHkhB8Ta z01*fp6&Hwgw(M3T!UFv|oOBplHi)yx#rm{ms(hnm0XPu?jYfoeRr(B&?n9+qQ*%6Jt#sVLn1bRu7T&seesE&m4NMr9$U6 zk#;HLi{n%;T@sozak=c12-7@HLP`2Fio>cN@|Z59yZ9s0udSxbw$eom5g%`K|A1Q1 z{S(SYQ+YG~6c}b0d!R)+>eu^&26M``2Ik=bS9t=Wx*m^)V@jlEH!E65#@`~Aeuo9c zwR->4#HacKPhXAEc~Y1cgj9($q$%||c7>ZcLbq+pcrStzh7c}il`$l_dc!U3zMx-3 z3~XOqIW7LnAezZ*#fo;`aSBSVq@&VR`;=Caq3iJ4)Znar4V z+b`5aHG{V&3IAPJ{YZ(2&|O03hHJ2W-2SA$*OCegWR2oIZkv@Dt8yyIu(j9(Mjf1V zTmxqKBtmdr&5Kx`;|V*hGjc9p(++z*#UfV{tTe7EF_B4GFjiIYkv_gOxGDrv*oSdI zmIvJtqOyLh^M!LWIyKg_uI(}n5xP9M9we8QyZEN5Wa!n8I5X@Z`ZzFp_AsJZe`I}^ z_hyS7`7yTCio61R(&7yTbWW44I*c09RGC;M39Df$?SOb@WApGJYJ`;|HjBDW5WKH6 z6`+_&Vg>=%EU6YSb5jV*F3ldkW&Ev4aQ0e(z`koiCG(+dt3_yu-V$h?$RP0o=Wf-W z$qqmWoKR&~i+G5jgH#wJ298ee5rk5sO6<5|cBhhl#Nv3-o#UX(vR^7MxZ6oyY%}+j z$apXsW;7gs!rcoXsgf`K zO4oeWR*oV6#Ojga=bG$iHP5Mk*7c_-J;l^Cwd3HIK~L-9$NC7vr-|4FL4t9SaZqMV z-SK~fI;`6UY$pVLKlo5cph)YJXCb)rM!BRg2+BObY!migJ(e!FB^gZC&3siHZ;Gba zGH<6Zuxu5Zupe_^@yGpP*)oi=r4t^R+?r;gjMT^Di0ZEnn|Ey?M#dW(YUxOjrsIQx`PF_db@*bp`)s z{T(5WF1W6Yc;F>HuF0U7&hbJx`ob2acB7>Y0kq&26a(HKZe=du6!gacxgQS zm(6{dd+q$RQGGS^3~Mh=TIczJ@%=)8oYJ9Ka=T%E=a#m$T(_-L7)Tr}^c9T8x^y0R zmO)#-dZhON!dq*z4-q{=?WPai@W%KC9!0KDs1aN~umWhFSzm;C4=ot%{N%iEz@Pha z2)-`;5~8Cy;EWX2W)PVB!9p3dOH91!LSXe^vfax7M&nEf*}FH#xbVy_?QF_Ypsh6$Pw!l{V zVeUd9skolNipHyTPHNEof$=W&P6KO&_=-xpD z=^qeo1`&WcO6~HQ)?SBLHC^U+p@I-!Kmjj_>*FiIm)zu>NgxbB3l(^%=a9Q&$lmIN zxMtEPycg2)l%AOP^be6h)ENVUMu|-GiG;*V?0|63VJr<@3j;k zq@)z-JHtfk%8wf)y`*E^dVm?SuEX=kcqGSnmkW*kWhQR&-=Biz-aPM8qP9lHw5L7? z7(!Ro4?ooZVPamayA2bBEW;+zPZWobGJvFAZDH*SZnzPXjv{WeEMiG%D4WtB_!Lprv7Lt@EmX39U0r^=p8$cAzEqT z`B${Jk(i-Mv-Xemj*Zs%*e0SVWZdZcku#cSE)7L|-Hi}~2Tm<}7m9$$sM=4t_Z%gn z>JZ$WYhOH{T%cnQDdV5div2#uDBWfBk*UOI?i1Gdob#<8jx2Dts47;eH)#gW2UGrM zI94@x1<)hlZr_O(p2Nr^E0ys{&Y?ARK>S1XyJ2{@tsV%>B|<7ee);2T9TB?5TZ*#i zfYwP8Kw*PIp@oiCG$abbntb}?Fhh1u&Z(swuRM?H`MVK|)_Xjqy2L`#LYg`yc^F6$co!T>#g18Iq^Pd;;@vK-CyZj>@T6O!>)k%%!J(bB8 zw?Ho=pm-8s^QNh#GpqgJ4e*6O5SZ*=qxI5fX8{_5Jrfai%9ztZFh5K(qItyiP?>g z$j9c_&c9}@l$Du`P-fmTN;Z$rVUP?`Y#}q$4OM&D_^yg_rnaAQQ-0;AwU=#d1k-Zh z*F%;tb)dvwocA2WM8p(Fk<%GI@Jg2zAnKkq@XNx}rDaM77D&7kluzlG%a+%o&8&;4 z9AJ!xsqQok;c{iwaaohuf$K&A#YSVv^X|=x!Wg{&)o66SFaguLrCjms5Blehy7|LA zkIF^`F!fyK;5deut17=8flov zU-lk`n?EDLt6UU+hoG|A0HyXnKnG_rdv64g&$@Yk7y{Vmww?*cu(xBJGzKV|k-00c zJRDSK{KXmkZ-@P|;svQ{eIU%AbG}hpT1Uy@TlV|D{41eZ|Jvp}NN<$)En&?(^+s

R1qrrgI;5Kp#_B-H1Y;pAb&IMJglu%3AOrik;LhfVnm9>AghuPO@I9XS;= z0A*_0+VGZ(STKb>VGgHeDqwqAy!}enXAE3bGOl~}BJ%f0ugnVTGZsC5)U$rh$sZPa zBIhc9DnTH=Wr9Qt7o~|w(2O)lfw#(@GQwqo{ubh%3rUIzM;D*SPoSd0qK6+WTxI_U zeqPn~m3NhBt`Yvs3P^&$PZjlreXR(&XswF7E^|U19eC9e|70HN6@b>qKr|U?{|CtB z^oI@XnURM;v)%%xn(ioNk-L2gDPc|njzy9m#p!4Eet4s-AsN2C)E7}Z0k^B7j@JS` zP`e5HOld=C5w}^d=LZ>*(rxizT`$Z1D4tqd7yFexbtsOalCE#@q#t4(-v0UK@@QdM z4%8x2lAqT8S~$<=jYP*WY6Iz5b*-ABDvZqfcz|X?>DSSaHF>CzNZA< zTK#b7>lB@gMX0fS^bKbb2%4VQp}NQnxjC@OFNYdjjK7gQ;%U@8dL!B>Zg0ZXSLQj! zpS0ddTvt2gpZP-Z17yayN#Yt@?FuYp7%Nq?A07<>kYaJEneinMcXkKSC=!DwNa#)OxuE;J`-0ZClfz69oRfN-HD32I*a8UX( zW54er1Ol<{h6<4C#tNoz7Fvm|e9q!w6PRu08>Dy=^>&O@ZA09X6}Ml3z|h~0UJMUd zOd;KX`MD)5QmEw-%a-w4$d44UVu&uC_@un_!c)i?LG+5Ih1ZUG4v{tNxDaorapBc2 z>yMlk9qZ#uL8#seu#Kb!j0z?G(IT_~Z5+#-BIWxP5yOgTVK-Tc@$d*5aaxAkc|bUM8qF;)Ae@~-Lpj_)fx1_FRk^e zSri)VDp=nwgp7F_vnLbtjpspJ@^C=u$tL|nI~qxfEA<^X=oaFf%Hs|Jw9dlnmZ%F? z(tSX-nyci>g^i9QJgF9Ch1_+zXq)^Xz)Em$gAOW=@=%*V_Vsh)jht4ba-Px zN2As>vxO7w)&cmZ!DS3NFR5~F2>%g}wRJDM;Dra_Zgq3b z@>`6O|L*W`6bW9}xVoKIg@6t|Vq+A^kP;u(r)Qe_WluAVF{?y8>3c56VdQDMk8~&h zin?og1l%?KhxurS-7;=YF$YS;V+f#2s4dYSravYq#aZzid{kKMiaL+e7R+gUN1w4j ztxElf1ru=cp0jY)>7@gkS@m>BhPMWC7-`cnvZ$#vXOBO|q1xI^rZjyR8ax&g?S!eY zd#}L!#l7D;uWoAnVyY?j(kVVkEX2!eWAW44YTBXjwC!)R16lfXobQJ@pN7dp;U&>i ztIS(qk*bkG5BF^Hez1~1m;0<8G6?9ijbJblKm{TZ_8EZ->Ogbxmv@$!2A`4=UZ;Wx zpyR&*Q;-reenZB%XB1y|?1uDXX=UHoFG1;98nr5&_s>NWoTU&9!*8nV;kxNmDu8Rk zp6I`zF2Il_E?kBoS|?Tj1*S%;z42ZKEu4o#&^k-(KgvqcvHBlqu{a!bNH!R~?|qL= z&UZU5?K~t#=<<_5Jcql=6;2%<{&66BhtiYSE{w8_5xoilI$MCn?c{n@oW4tiUEwcY zn#mELXGrWnPW4;$j=4|HIxeGH%1iyp0`)`P{B+4d8wUKAEky%66~^sSg(7C;!-|sE zV9g)Ms8T)r*t4H5Wj@gjZ`)ai+t0I3kzH^fw5|4$T1dc#e9Hd9swUPhSBVoU1Nkdg z2sa&r{-a4?V}?(uEmo0o)Tt2Z*Uhgvn4ytfeE{ey1kOxvC#(&tmi^t4EABY#}0VkEEHX+{wHc-0{Xyl6WoqYIDEA^%1X~QmfR3>KO zkI_Se5hs({TffBCRT=%Y03o7lboB!(3~%u4Gm(%QP zf3on0D1BA@0SRu6N&h(ft*-x+SA9wOpqV$^FBWVP{p!e>00-$im1sw-_?{TCIwRe~ z{uAMRyQd_53)`XlVEk6PviFF}Hr3uof&B5%xGw_R-GDlVcdNEDa?UyXf^uMrHMm`? zPhsl4UxV7teP+s=3qH*8=qGr?Z~;zkzDlNo-*7QMx*$N+JD68=$7^K|%O%jC>Umbm zadt8gVIH|c2tcT7s>nw;d2UNS;aP%gf;C?yUJCjGO2gay`K3@M)2@vL5-mhp6VmIk zGZl>UEj4Y;lcMNrc(6C!fO?!_iA{i$l<9M!wqwi-iA_KpUw5_Uza-4Is1K0JZ=V0Y zMWlGBj;+8Z_$1z=H@=B3%yV^i+7sZF61Q36Aawluz`d%d=F}rwhfh9(bXcr6mV*id zBF;JNGYQVK-}h0K^W7gzMWJY<6&T8_>rHy}=C!y`8T%}L?+sby0mx`c88oiur0TM1 z<3Y_J0wl;3+HWxO06f~}eR0u5>_(eh)#TM`Q8+7|KTrCv2%%L=EVs2gMVW46V2+|k zGzLBo@(Pcil#|%Z>Oo?~$Y6EM?oGwscW#ptA_0P!JTv9`vM(XBa`h@e<&$E6y*J)G9>Z`^L zya}uJU^U|APqqq=C_q1m3{N@!SuIN~R26%>9>K>G2;`pk7~n*@N0zB;qJgQG$BusC zb`omf%&F#kzEa)VX6?L8#}GKy73Z~JG~8vZJ>%t!3W4&4ls7(k;rnQHT652|Q|JdX zj9`0YvI;wm2IBE&Vl>6;{J>ekv0e&Ic-=i=R0f7wzC6>=eOi*g?qaRoqLg;|?8_RR$9DQM58F(Q>P3)*n*{IH(s)CohHkh1!f zJ9AEp?<0in?3wb${_%|2h-V?)GJ^I58yL9&p@&VytlL}(HsLCL3?>0L?zC5tDV^(y zDi*EsFl?G2YE8W9@k8o~+iDw3P0hB0tzk-5&aVH)IDFP0qNCaym+|L^)@58;*3arS+LMQvUlQ~tt^fGThTRZ|HxuBMGXm_F&Pv_ z&4O}F(+l~NBgjCbI(4GZg#}rxrJ~U{!R(qe4$T1YR?rtHVwG)1oqrVxZ#(?CII;A& z{#{#ss^W^7YG=ZLcHaEQfmsX?4>PT~4XQKYV#DS zjTtP;XAb20=}|FuOZ!*N0QN0Kbg&-VBI1!&h*@sFwz_gLcE`C*Y6=)E6B5Z4>X-(y zLsBsk&c`p=EoneA^Gd*;RPXoCQ!oxxQJW?GS%VW?^GTAMIar-H3N>1oL3#GSDpl0u z;?E58jt!A}A<}!s<4BhchAH#uwWPedLsJ>65(@0N)dAzEgHt}Z#s6s9j3X+N$q}!ROv?}^7qqDtJ znwS|!Sujzq)nG>sLze{8tzc9O1;>5HXC&*6XvhXGms-FfZS(iaK*1>z=p=YDS2)1N zDQmPsL1y}u)$@VAnu!^Z^HYWI+Oo*>PMwVDSJEjzMUzggY`**;gh1M{WB zN53#O-8179TI47c>60t*VoPi*S`8?E2RCsvF#ud>BP+Z7Q-*(f;((6W8x<$yc(u4d zTAM}dU6PXbIG(SN5@9NO2;7N@DN_wFdPozh7TPa!kH^s|{;CwkLOk!Du8j<%*Mmh2 zXpkADRFPo~6mQvvJ{(YOsU<{(DqhN*xF$O2ncam&Q8H;r!kMabMk22+Q&0w;k0?%r zH>_VP(iX=%=xG>Vb+ceGGtY;al5K>&0Cn4#7Vs5dcdRJw8(V3jhzR)zC8&ZozMqFX zHa#=gV1}lKpHrfGG4Bv1#a!>jy7{K?#PPz%CR3CV{XW;6Ra!?(PO>5_9V=ZFQ`I9f z=g1~FnkCHTgO}bh2#Cp9zpp| zXU5AgF`b9N))2BwphnhA*hboz!}%jiFv000 zNA_t-MASHG*^XvdR3du%<(u#s`xS%U6M23$dI`a*<9^N2TXRP)pTSZ%yclMbus;zc zD^_2S+?vNl1U4bFO(a!nO5|E595qOd>t})CE7c;&Bi$)^7Nb;6iYL_v+Xhhtc|ir$ zLC)yR#Jo9)O{&rnRM=1h^?ilvD?_sxCz>Q)wj=VcK0?2V6Lw<{sxo7rG_#~bQV3DT zm{qjR1w~9$j~(NpB!Z-k1O?Kxdn+(sw(u`-gR^LDBVIZpnU75ixd3fp-xJ-&N_(cM zm_iX&0-U6SxzUqf)D!Aoh&SDVm%#S-knJMzM{2G_f>;x{F1Mng^oYt$bZz>OP! zFXHVm=uFQ)2tLbyTfDVl2K_ii^^tzuBz|CU6zO5X4&l|F0!?nJOK$1d!yj<6KRD7@fReONK1?^?JmE$j>#c^s*GTwI+ z?Zzj8XG>-y1t&xOv-V6I>w-1PER)GN*B}l+U!X!8e>U+6AazslrVev^s+wZMw2dT-; zn{Ybnxt9?`#8?obHx%xAdMLDGt_(%6l&ysa()*;m!-e5T(^N8-A*qy-$%rhJ*D>~0 zqBNEfGq+hFBI3^G!#{lH z4Ft*|EfS1=TwBS}1ise@T~Yo-{jAtu6D)}*T`_H4$YzA#%cwjuwaX9-p~$9nEDq#o zMofER%otY}E&2=u+Fi5u3kP)Ug@Om$h}i<)uFNp=Y8OfvJPMS}`(qCYciz(mCu4Z39wNO-JIYSjP_ ze4)1ZJJdI-Y(In;6zA$R&Y1mZcIsjUKPQ3jV3e!&=jhowkz6XYhE01da`NGMbJC+q}Ou=kb3 zHE^%O=HUMF^G*xVd=x}CyhI&_dM2^3bia_{FNd^X6@qeryVA%m!JO2J8uGhZVI3v2 zla&W$1|e5BLZ03f3dSyVKbWe%!X}3L;eT2Ns2~|JJ2>N)cT1i}*@AsHc%?2bA5kSH zljZo4H3Fq&AHc@|k(5W@`C)>_t7#*@5TEgoGeXuwMFEw?U6AR#=n9>Vr{#l)f`OmJ z8=HGCNsZ8wSCX_X?@Eo6cH|?@!!UgK7yJa!Kb86KUC(OjJp#&=^A`_zB(MycBaN{a z?0wgwLv^2SalP^*lexXXHIx6#Wsp|Ng!Qp(DlbIwNsO=%(E?6qeKVn)%3 z4djBtKC@+|jW!wlxq$jeV;o3*%vyhH&Jj^zWdRhMHs$ z8`#i!&*Ea>Qt1^bSrxmve6ZWPvel2fz%z%N)usGIEQ@Z_VRA`i%TEcduUSNIW+S+Y zjy^}O@BIS8pSRowQ2x~V7`o|0Q8TB$ytT^yooPEm{ui<*!bI9zVpmQvEi!&NDXe}t zBgq-@pntgt7Vxim0h8M$zgult*!d=SsQGD}Ht|1HP1OW-bt12{zy!H7CLd^Yk_7+V zUPm5>XH1;|IOuI+-bpihy+r+s6uy?dOTZ+XOq8}wYDSrZ1=cSfEHf0FDkeZN9seMT zkWAj)iEAL{0~=d-lcse`!gG8oEsvWXiU#*!Ckm~4R1F`KPo40p#HNtvMzBj$SLIp3 zc=XmO23=?MGK{>*1lyUuBS()vlwo*IsjfeHli&&naL~vVHN2Fq?UKP5jv~+{nK8B? z8^rLGx4OMDE$Jcbqcl28V7sVi=3_k{A%Z<^oZbtNX5COtN8sHoJMJ2~%PUeuMDN>({)XA&#=b3DbNO}yEgS}t7d?S; z#9n|b#MH6D!}WTyYUmZ1^2tY-oVTXyCw;AVYWm75}7}k^!ws{N#yZYX@w+P;n`{SQ~23?oz zA24<+t?5vyGSTxf&hK6on=ZWa|KbBnuTp;g!1e!iYB@{yq5fw`x}brStO`C&dBwH3 z1DDIzM9e6Gm5mO+ediyJ?zkUDXvhV40b$ zEH<2LrRO)0UUKI3#}4cK18OQ6R9E1$qepE;Q)PjBdwOZ=mtsbY=!>KrGeiq4?g4Nr zKUo{as<(IlR5w)JubJ&FhYJuS`W*YOQWY>aS^{VGo@;mvVSpyL1I46@P`mArq)jL{ z1AP7k6>;=p^e({}(IZ?24pxy{Tp2_;8R)lUd#1(2)|w^4jOiFn8W+EVj9NP6U zePFdFQBHWYmIlPxQsmFAk`xsthZ|2JtI~7OBQwzy8=6Zxcg>)Tx)X~&m7)2d{YOJY z;h(M5s$!`>JMP=WSDZ1Z7g!^jPi=Vy0Z9dUUTeZzC0L`(i2+%hv(@d~8+yx^0fhNR6xJ=4IkPZp z0EbWWZ$uuuM6hrtg;E;=$$DUGJ+={)ek7X3HHA_K7j<`~F(1}*GzINR9y6?Q9I-=83TVBH72xK&yXyqu;NacqveKU`lUQa%6yABjuKg5w#bW-&+sA@{&P0b@D{9w8 z5pWYb5_jk27Mx4?19*c5bV~uHkM!3h2Iy%-0;+^cfRUI`QT^G3!bUYbs}+)Tslug6 z6fT8-L<%(zMkmFM2>F9Mz}gh&5}g9zc3dkYWaTg%@lhee3Jr3xx~GU=!yBFv96xhpYkxcr0N@m?DQm@igV;$qRGyeJzCj0Y z*&o`10xUZoU~f=G)VzT7jri$a4%!jDwkmV~5H-TRqhjhbS0qT60DJtq8^S$fOCueR zGO3JQDT`>JXc6~825p{-b4ueXYRK~es_x1V4YeGfKfM=mUQzxS2^wlG45mLA{R^rc zQ}v!RbLdQ_zLi@~N3;S`(^=ZbM1=s=3Gy*h5^o_ICVIp+88rv2V4o*>)I4-322qUA%ZV*?4}fD!)pgjIILVe_EwW&uI1E%7 z)qAP@qwtHAMdLvRoLXa4OpE!wBX`ou z=>8lQu*@nZu+4|w(aJl&xK%@=I^grwC=OBLec8i$58x$Vl)N!T{knh!^_5r#64QXZ zNz(odLFsl5$XUy$H)z0zZHr4ZnsJP)!V|M#(rF@oBq??t#1GN zlUs?cFboc3GB41qKEcDSkX;^gH2#1+L`-Q(I4y|eEd4TbZ6iFw@=dPX!%<{m}pfbm@=mL6$ z*Wr*QyCGl5f(cdwau_VLV^k{KT2>CHYEzjAjDgSevjJuQcZM4L|>;1 zqfn34DoSPkMY}=;ryj^9F z$V^y2U$`srpfA8BFAOYER4I$^Z=biVAf|5e#qb{xWXd9XerQ+fCQRBl3z6tD2FUg( zdR6Nz#!k9NP9k3=5I2v}JAnZ;6R0|)E5?URS!I4p6oK5iKyUiZEu$$f8~CxSJsV-6 z(1b5hb#a=L#kGM9J_iEhr?qMVLvuk#f z<`G0hqp)7?R~^mxucX|o2rVQH34OG$o2^ZH(D7M*zzRT1lP0wc35qT*NZz3m7~-jP ze~bIR;w`f3wdp#^!fuUJFr=2!)?%QT1=cHkFxdBD&38g>e_2U8VmHM;%2Y>jn6f-FxrvQDGM}Viqg*`cA$5}6vcG8#yjXi zikCrVAeM&E7n2i{daB)&*)U}AT45&kU0&8ZfLj9>J7#1|7O-fkM^Jb5YT2~l%xctV zV?TcdMoi0ZOarlx2&M9GERi6?Z#o|wyUUOaj? zmo!Xps@~r?Ex(Dpx84tGMr{7#g8CSyF2Lw>^zpo|0&A?zF|w2|7~c*6lX!HOmuYW* z^=#HZa}OM+V>Ol>m#9d(D-05UxEqDK6m@^+MehLxcT%cfiy~E7s3r)7#o2ftf@mmy2H3`MGHhv zAh@eT(d#Cxb?fTFDlyvy5Oc`{4Ot)A4L+uRt=hQdVFa5S|d8IUv*sd=Lk_|e}DFOW!^JeaUsy_NL!(*g8^ zVWRlPf`M=P8C4Whs40p!xlE?{OWs-v0;TuZu=d&#(o>RO@xWk_-*^nyblhWq&c{Hu zk@`X^Ss(5Po*NY!JZ0500h|Lm|6%iyu7p_}sDg{`i>9SG*nw6LUoFP_7pd-)Q1)tA>K z9^n~D+*aNxurP|n$R0vHIpmbJXJ+{41ujG_JJSIe#>60coEgFSYm-hamWq9ps3JCi z@Q!@eRAhm~cH872>Da{>=FRe$QD$xq$7lq{9&zW(UxySMa=eM2C7>H6o)gQ@V&gEQ zJz5DpL2_vA(M2J?aL)P23~Ifl2|R2sr6ie!p0J*1N|?_8d+(8 zJpwf=e#TX;_kjuo&iu_zzzjO@Q|}r3=VMo5^X%CdK8At;#sLPJ&f)^3-o3=bQ5wK{ zdzaX0qRL4s%S|TYRPs1gq4k1-UrkmZR8JH}o-EZOqRFDPDEpMUF9Zc%!Tjy~UcA^} z!bOVEA%`uPgq>K8+)KLCofeCpT(yeIIuc>lmv;g9W=nF}IKloNtVvAf>lkNR8$g5d)Ms0+1XAnQ(Ne^c()-WSMS|!v)zr{jsGb&uC0_P z&hQ6wS3*tqZFjW;l=E+ySG>3hXyxn&OAR zIT+?ZXj@d{@p03}k0|^|D~Yv5LJ=At97|ByGHV}t_bRA}6EZmXM#C`RI5a`Lr?PYVB96i7z)W!o-1w#6!ylZ!Q-~{jP+;UUT+mAgiI4RB~acI;i*? zPHzdefIHnS))~h}F3mpJD37sourxdSTRFwI5r2?%hBhKTBaXW0)vw_j8$=7;F#o;- zEuE}yoK#@ca-Y4@sDXsq)-dWY18=NgjLX9GxRKMjp+do(M(hjJrVva)U zKq+1WromwknggNdG}X?Eb^k8*mPQ$5)#IOQo=?8~2)RKu_4+A79;2_r8F(d> zc&gnGY?-nuSL&bn^|k~Dft)YUS)g19TYfclWqoT7}OA z8IXC~OQE1s^D*CH(dNR3z}_=@0Ir0~JuJ%~tX<-Bokqwt^}b@j0c*;#19C1ocpH7B zPLDlmvhQ4>DwEi}ucprItyzjaX#$EB4}H|r8Mzf?&B4Z}Gl$csi-bo<5#N+@hKU@N z9D1zBU8&Uvq#F){2BP!;qd0TQA{{S6+7fyEf;}O~3Rw{j+F*kh>o{T1(CvYM-N1H3 zp;Fzh(*c}(=804cn)@*imF}?&lxZ`ZZeFhvzt{frlLzUa_$6Ciz=K~5^Lw@e%7u8F zNMQndP(f&&1%2I(=UFDWS-~PE=*159h*d=RM1=4XNWf|i4XcHhBsUTUc3)Ie)C@XV zEW~EXCad-*8&dE(A~xO70-`&xX(vc^9&{S+7LrBJ>34G5wq!7LpUrHc3; z{79jS>r7IH7XlAkVZs5u=I%yU)*I)1Jj|TsAoh`@S6b1^7X6H6j$4-xNN#ZjJQ;VI zNi#)d>$O0@*CLvOt#`=bCcK8F?9|4es^*SEn*g?55mK-QtBU&|zGTnmbW$c+f04&Q z3UwKtzBy)qiz2Rk3#@^TQXlFJ;|UluXJ_mvB6yNSkY#FA17DtL4O>y~DpKLiW?rb^(o?5YypdYI0-~mN&o`7XphSU5 z`j%1Rf}xm&>na>X_UP={6y`-NE3L7LOUFY`d48hrYwN8q$M}OEo|?&AeM>x<1)qa) zYD;XIa-+@gJmm%|6p|5)72yLY{A?bZcH5j_M;iWFl%eoqQ)j^6_Wp7fI0GB@^t2We z{7PO}bIAm_>WJxge+H;hRUGpC%%8{zy5 zd)yJ9%5(cy*JP}_lGwtkD1`JMo6*6P_@&3!zv*QF90#*5{;L+1`rL<*7Ar#%!ufoF z{{?DAdu~SfF5i|!*!^PAc_Vr7F=2hjJ-KHvGeh((kgoz4-@v+R@#C7VRhnAXBfC z&yzQU%R2x~(d; zxC35joG)N}Z%4B&98KzXv-r*Tdxv8@Xt>dH_p)S0&YjMr4SIb8(n?d{)r7F#AObP# zG|HL~2ktqCI$M{;x%V(5Q%DEm{_cdSX$C!rKrJVkc(aoB{L{D#Vr*IloXHaL?7t}b z`1;vkp)Q8`JuO}ob#GIaFO|}xC^*%PyzIjAQb4Fq*ln?29Ap?F{24(50b^N6Jm%U9 zWz=~Ep7#;Bsmu% z@$Uxw%~(xwr-2z}0?NHkUI`}shQYOs>%psRdsRhk`whE95&K<&+|d!-nTbC0?u7_> z_$^O79Adv^=dSzfebrwEzfVTKr9q$1aGMV(3Ojba6QV3p=Ln*c_Xz=C)6BhC{SOe$ z?7w}kUCh>#_Byy+cJ&Pm0V^T&cW8DEYLG%6uv5C~v8g=JlBOcRAQ#@)`Rb^VSJ77v zbl?`!!134A0xYI9yI`>)21LYSmO%{u5b0VL=7}dv?HYi^qn#LBUi7(;%pK;{s#`fW zqE?@U_4Q-D&AF!6SCtQS!FE7kSIPfL@r!PnF&$nRvUvC||K5OW8mTi}ydRjn$lpodWTEmME)!mth&|NFvo2Hq{{!%wVLFe^9jKpe$fAB zbXb00Tl$P}CNXN3zn{m6LQPYj)(bM0wfPUVC%v?8oE|eS4dDV8BITGpYa|1$RnJi0YRWy2NOd~?`%E1>2(43NNt!`r1Fy$SGSvT7x&}B|MPR6?K^MDpcY}a_iF#id_%0}NJpMkerue+}URvp7e{}~M_`sU^;Yib7SYd(n+d>CI2Fp9k9 zuO?$#ir8S6cCrXn*ZU>isHway-ccu0Ny2*WH;s`Us zhkRKwm&G1W)0)&f1B5S(Op9UvB#Y(kc3%gU4BLy5!SZP*R~|Q@dhT$Tq%VFoTv%X? zlWd35530H<*MZMLe`h5Uf@U3yb5caR{eoY*yap13m~$a1F~8@nWDXi<-x0kO8VmDD(nCkCcY=Evs&|612lpusOw=AXr2+#+H>AnZ6K+Z~W(=9lXxqgac%W=>z$vC4oYN2OW2>qDpyNg&ag|(#DdIp@ z@ZS0+_?suEg_l=XRSF{qhXMyB@H0K~ zhgQLfFrgC(j($Z*n97lqwY1tkV+C?V;8*V)_{?t61*t-Sv)>g|wYlDv%pmBFn{BMyhJUVSU~o^x!o$Gry@Dd^W}^OqelX^(W1T~g_JtC`=4 zYpgqL#2z8s9RZKqPz?zGwKrPW`4#R>eWlnNt2!)r@eKz>&Ag(|?}{A($62XMJ=Bz? zp0UFTec(dE*G!5kJ6aXLwS=oH|L0(Ql(<7HdS=={k#;ajLC)TkkbfI0wOle4AB=6m zD++!-FDxbX!& zB1qc15L2GSev0E57r;40W+FX@6C{g}W71wWfUJ)kgCdD_3M@kX;evwtO0}LIPF~4a z@jH=TJ|HWgfD5!e*R-?OGQg9uq8Uey$+mxIJ`CnxxLjQWDa0L-rz7V8Qhy2OM2TVQf8(uwhspZr3#5H z6YtxpQTo{vrrFBKA+n17o0^jaW#YEfaoL}bHUa)U^_NZ2>|*L~NuO&(ajfkM$#&NY z$bJ#)l#frC-A2SL?3CEEiL$wL>IiQ|mjLoDjF1f!zHnD@Pp<^edNbN$=pI6E#dA;F znY}dFvI@OoOz(-GdHsGHxuuiqVTcD=(Fct7czWrGE3h)P~K$Iqg91pxz^^9b20X|RDkuxJ1yIEiFV zcQ<<*8*|BXH@Pyp)^A-#r?|T46M@amf(RFm;8sCU(1}b^Q}BM?P_W>+*Ejpx9 zB}kpp_HK7Zqhwah;A;$sRH#4Y0`OyiYBxw2&ACGJJ8-~C zc6?n|;G)2W(}Qchwai4BfX+%;mAHUz!x7vZn)n4a%f0G3oG}2fueqDBBKv94SQ6`; zn1Zym2f==@XM~lD8I|MjA9GjgS=K#{IN>^o6khbDl*1RSVG5m(AmA#2SsB2h{ z>SbrUC#cF2(I^ZW7tBP}PwOo33Z;B!PEmLx-}yMQp=~w801$>c{6_6sL>{z~tB+&P zgsiHvPVq-`l}WJ~r(=o=mW{XJ&Y3eUip4<@*7f62Uk|`3a1dM&0r@ZuqT^1=BGie5 z!5-6n`b&&wA2;_bVnPe*04!34!B;(tGdd{Y){(5jEWRD|CfGy?bHy5h`E4k|mdB<8$~J@{aXC$6;5>Vk z0ow;gneiEM+ZQWPJs+6*b2hoCo`*vlO(vJg?_Y@B`VDv(eE-9JJ8$LP*8>%G-8RFZ z76led+sl&8!U6%t4+Jj;(+3umXi&>>fE-R)4gjVvAO0L}*|npv{483g{=+1reLTm@ z;GQ*OdZ2L1k3SjEXn@gO+a2~e;Srayg-P^V@?Mvoa|y(!m_yt%daQ%S&ZU#p(8n-0 zeaQRR{_inVAFqx^Ee~irFJ?7oXv>+i-sj z;TqluFdU&fmN80sMMJ%D@cVZj0HcH(p-=L*L=$idhXPyA;WhywMGlPS@j)AC_kLEd zpv0Lp{QU4e8H&)spHc(pdUV052Ydxx9(Pl5*^xdh!)^~7Q1jD@vbwHpV+^=;0ae3# zZ-nlz_WS%yig|mHz_^0cS@xGYC!37;tdMf{=7-ZUc5A{7)U$|rku{hrSe{I+u(Fq1 z!H{E{JeiP?<_M5q@R)W${JVK!mELR*COEYTFg3@{qFBjb%-}}do5dn~vDnCGE4d?A z7iA_2wuvhywsHrc^jEb8WI`&9pCNA>sGePTWuKY@DlByL8qnQYKow3AIJ@=ZP>o`_vMOUR-yG+t=U_uBtsUGG_(YcWifCA<&&O4}DC2Wr3WHs2_Oq z8sauyb3x*7B26E#00y{nDI0l^EDtI;DufDdGgAeuG+_OzZdtwi9FdQ|Q~b);obHs0 zkm%*et5y8|X#yHpMcSR8XZ6t6r>Oz<6Kmc@;}Gz8$Suf<(Fe#y0~35B&bm9kTJ#-t z3j-^58Kr{gEl{;M2N69uKCaBM-*2)kI*#6Dmno(T=SGYYW@Y_j8h_QIVh_i#G1aB! zcw&e*8R0|7M~EqkPo_GBoB+ElFnuH_=13D(AObzi$MNn>qlH(}KgwU>qTzGR6!N9_ zJ|CR+s~4-BuNa$q0P~zte7@=2A&3O@S=gsWS~D|PI85>f982ltL+buTWYV0!GI+4(awS)GTvnYyy|XX7F#Dena5y7>gZqNw1vr2HJ(NKGFAB6)3Jvdp#{qPcTOqLxlN(Vg~R7Yrn;~E2YFTTM39Lmo&qXEq3@* zC6p^Wk)VOguJNIU+12V1^DtIThS!RzVTL|Z^&Fm_EYD8a+gXJLlk3(V~I<#oR22T2-i;WA9L$t~;lLBK{CmHbu4m&6 zX^2i~ZwALjlE;pUzD^GIuUuX`9ncJ8%ZAwoA^u7WppP4jBPPm<^S*Ax{ zOjhFs!p}HdUdyfc?$Ky$7YJ}X4&PfsM`ycVzw|$996OB;JPC?1 zk}N?)y%Il$C(W%0}|ahu4iXL3(+1ly-G8b@Q2hvb0n9 zoiUir@azDY*3IYfK_k*U`ZVm&l{NJfSXQT!k+%TK)OVw*M(`NCrdw?ahojOQcm9Nl zBR65Hp__3EpE3I_`~GXHS8R?$ro^q_8~mKKUH4UkzsrJO_1`0C`*{k;qk&s%_tHjB z!S{!w(K#$f{z0R%Sl#sg_4j|WZg@}f>I+A!n2f_1jY6&62wJtGTY%Z^j6$z?4W0r% zV=(zGmPn3(Y*u`c$(Y=yiWH1SaqFPe-RX?XeCmJ+@Qxp4qU>yAyN)HMUwx6={=|SR zfZsLq)?Eu*0|)fJv-unZO+ozBsvgC)l(piwKZs*G{nj7CBiuieT0Nn1H_MG#hY)V6 z60_}CRc5zr)yvW48Mc6~YM*0k2KU&7%)n6CHY)9c%+pX2?I0-8di~(x%P&ee-M_{n z5-8WTh?hbTG#cRL4QC|kGQ{=m9tJhdG2u?xrP3LCqX0@hj@nkjuD9I~!mj%PRbKs@ z(uLHuelCD}?&2lG#}(H@e@d~nW+f1LEoyjq+Er1U*j;tksLn<_HJ!HRXlXqbUe_ovz z%H-m(2URjUbJEiRgkrk@J{(v`^jirTYh{kCkb8SSLXsEQ!_)V=xvR6LM8}=>KA)*;EdhRNi!_Tk5uRA;^ zhhDXT{St3DThR2W*|fExGuC4df)Rs9=2qXGDIk;M4XC;=^wfVAfnks#UH^7`-I&uMz9q;8 zz#w<_LiicrIVJ9{+X5Gk(OCK>U?vITs|*yF72BeDjexZ-$;XpwRCtte)+y_u&UH%~p9Tg#}l#)SHb*P;Z4!&%%HCqrqU`JbUZWDV{ui#kp^f&<}EdNAD1 z7%W6T8$-l~UAO#1)G9B`sr2)CPq1As0ATV_>FA-bPFGqpkVM(z$k_CM1!VpZyy_Z< z6K*+*>u%X?o_(Ta%d&%giS;pZI=VqeFyaLT!?Q6q-M>9!_{T=+@AC#FZn{p3hz8{l$8HnpCf}oNFToN!*kO!nBu;nrg!!4BvI2@10>)BHPQvermyukI?fs?k! z^CEo<6mJZ;^#Suv1HSCYF{;{7>$CI$t=dr&$c>PT^8YJHm(s$Ngyc+wDIk-D2DNHd z+CA$(kWBqZRCyz+Xslw4S^)88bg>!7w_G~wZ&=%*)<#rGRN3M9vJQRQSn-T0|5S0d ztbew=nSta)T~(SCspe6{lLNAe2{e>mgs zBMkGd3v&3g#;H%3aHUwKMo_Ht5nM!dxw+-k);2N*868H3n6UNPSn86rtLfGBo~hnT z5B`5A3ii2sXvhBm_&^80NC1G+kSPT&)hUo+jq3nQxT~G%K+20Hu4BE$Vp)=Da{AL` zJ3hoU4NIj@!S`8F`V5#p>vhxxu%=5stF5`$Mw{;#kL=id+bwtNa_zFyGF~K&)k!5i zV&jc>;-f%D?6}SLU6N&Fvb(w+$2;z_&0brM+N zOUC=8p38%m8MobO$Gx`Mx?f_3Z16aFY_s*q!IFm|{nq3Wn-sJT_2OVxYp!*km(EeU zGhx8B`V=cH>Uqt^lvX{p6@?w@-(nVch>d?MO*z0Jt;#i<)1fY{m8Z7Qz;Zu@2GoHP z8fXo^&{|oK3%UKvdPE(dtVdeYDC^6yDqs=1UWuN%?0?9jzeN$iTdT!RA7bEWUW40t z;dSx1k{3qrE-#&|a;*OCyfD2k^U@kxAfx&W;Bs$daCs)|*6{mava`*=acYjj)JYx3 zggFxZmwYsWLp#yzd{9T{d_j|1ehE{|6GyI}*l?m&w9vcHPfaL&i3NorN{e2%g%qU$ zJ#1ljDT$c0@}o@@e!r~&)1t@QaTtxp8H0oY_SGIFvOUbLjwL%DkoKk#P|`)%kOCep zrcbBu5vU*2jV#eZ)K6Yzr+zkPS`_^Zmc|UmZl4o%1E?ia-xQR@V+Adh6JqGIMs;j~ zf$_ElXalelGlB1|M+0|eXrF;okBKziwhZ@~xMp@{$q%X`{{PDV$g~`?j3a0T@|(Nx zytsjnmqS9BJu7)&R`e(S%;WIE(Qt)Mk5E7BOgzsU>UY0pF?)D3Nt@OPzIdSxxt=Mv zA%lfg(IMRF$~WBn?+mqYV1?aS7I2;xagbMt~0>*IWwVos-` zCHP4C976PQzN#mOgm5eGfy^Nl&12#aooIOGp9{3Hh?Zs|IUS-OzHTAU%qm#O333s_ z>ZV1j=$8!5=|~fsLx{#ENdPKR#hF-n9R4|zayU;auSSM*@>NWE-uoxR5cYkeO^4_z zBg2Y*Xmlfl6-xIs(r|dR;}Cnpa-I>ltx*+y)<8nol$9aJ3gKd&@d53I%j5B4Y{jsDHx z5p^@icoSczoy*796r#CPjYB+0m1r-%6t<1^Ep~R!Ar;-8#*B&;!paT{spVKiTXWup z2OSs8IggF=q^s{sr!ohol=};Fw6Q9BACG`?${{@d-gX?K_Zbd(oNMMldgw93VF>4O z>Ul0IT7$><`UpQ8Vc|JFBE#+Hw5h+sMA~#NW{;&D62i?!4=Xyx*v#JWGdP}SX;t(k zBcF8Hcov7~F-76GaJZAhdc;9kHCiQD(PX17{k7pYnpzOTh3~Ox`I(A0w*Wn~u;Dp` zN1Z18*^HMf`Z=F~(k_din|YFozLI8gAWF!vH*C(IIaWn;8_QPFulTyKAdm9MVHinACZW(ahlSz1c>iI#Hg}Q9jl5Jh>e-b|Zuh&0L(PO!OTA#!o5b z4;acJ{Fosc4RI=(#aZ>QCJqq~aeBiBChrx&Zy=XXMR%Kgh45Q$9rO`?hO@4=A-_Mn zO^0Zez1xzP_<33$(y6C+y5WcDaA#YdGc98X7K=5LpLXhYhBJgSOj;FfVbUU6{D19y zg>bIXAEIL&*5QW3PH{Ykccj{l5J*0ROHDnk=mZYKs;aK6vhCe}{WvxqAwaqMe`X5=gH~y0%_(I)J3m1cXja> zjt&D_{1Cln+TIyAEzO%q<2AEh-5a)W7Uc>j!-ZU{^}LghrlXQ4y4C0g?+)U1_PpFf zSjM!gJ+z&n9Kw;wRw?Bw9;4Bwqqa)pl(gFNDKZp0CGAhq!$umVe&sc#*o|BoMK^M3 z6y3g-xH9eRG%(q}A}Vj_G4_>TaB}Z^8nm^xl79 z=Kt9H!}O?$ero1oD|(9Of$%6DqVv;SBcPz%8|F>n8mFS)nQ=iCW9GT&4dac(D%z3j zyFOw8eq`tnEn~*AygKdX5jQd;j*9;1q`HyGX>YjAluku=I;oDw}?a!Pc8p-l8gGiOP(f}8XHxr;Nx&?y||Wl>~U z^ibD_OHIkGRXM;+ssl^8r>-Ea;_VtrsUEfDm7*1uPHnNa-)gN3a z%H@dciiGeQ+zGu_V~__=qLA6jC_ zkn2vGk5Nc((0Ocy5Dk>CNdNt9ly52b4U9i5(XZL^x8tni{Bv(ftpnnL4*P(cx#fyp zFc6}Nrj@Dai>8$cVJR~kM)W&Ak3k>ddEuljfJ*A@I$1w}RP#*2tn6&&3;QLIRia4VY3%Ny3S?f4; zeMB1P^Us{a5GK2vW+oxhA^ISf1s>JjihgZ;LV0%r9~qld=6Oit7a78L-TKAfoP0DL zO)DmBcs@PxqH#jF-lRqJl`B5Kim3qn(-xP zFM=lpOXb6*!}vK?2){9N)O-SgNh^dMOj;qVYR-D8Xz4^pISk<}r(-`hkc!rOp`8m! zz0Kh&CSMh;&Q-S^=UlT6Qqhwsj`GtRK4NMv(Y=nJb)2PzG}>vsX1v|m7uaZK2ooGX zvlz-D>}dSxD}s#zzerC?`D4bC@F>7o686+K>&txXzw?Nn&Do8^j12i41;b%Qx1|=O z;pdQK6B@`T%`OMd7Y8C-SL4PR%3Qz9>JlVu zYr!d|lqHUSN?E{*zYV#}wT#=kmhouQei5x`Y8?9jo77pujXwYpn+;QQS29@2o4kc* z><>`5Kzg_qv?0CD&Ld7P=Ze;6lpI2Imw|+^yxS>)baJeUy!R~P=mQRA=RpqPlT`Y} z^S6zhL%707tfECy34%+JkEYx}4svy8Q6sV7_A8LvuOM`DX^`8&plQon`0;xh5Zb1L zT@G!Ck2CFN4?3MVvZrbFxGY?Mbv9#>DmvDbS8s=1af`V=(%|`c_`cs3#?G_K|s z`%h0;`}0}OJHqjZ&X3{xmG24RQNYkWzjpIW4{Xqe%Gr6dxAu=v+CS3XRl+ClZGO&C z%ia8|4RYylElp_yinOI-=W&F$93q_N5Wn-JBJ4%cM{@ODrVlJYMHcv$)8SK+41_JF zv0}WN)j%3!1X_~T6($brW%7 zk@vTnS|F+B5+mwc>y$%^XHN;P=IhE-msK-0RCF5os%U=(=4thYeGOJcUpH~&_}Cna z<1`gKN$el4-^oLy`Vq-*Q~y?;8L1_C_DnVWG$@*{l8aInsy{`eO6?Zg0)tfQMX491 zy~t}pX}eV)N)JROQTkEnbC&i(^r6&?QZJfyk-@AN_u&W=ej58TBaXYv>_MX~W%fWc zT6*0fOwAlfQwvTuyW?i;`9nxV+7z6Qu8%-wjJch5|9N?{cEY}N#w&#gUMV@2OpfJ{ z5WeVEB41(Q$u-{vu0(q4^5A+epjc%s> z8D5WX$FbfUym#8n;DxZTfe?L+>9jd~$*hQn;LnJAgOkp&LfG2W9o|iC{3k-#%B))P zP2xP4tB>S#Cti_iLryU34n*siIpK=tHRVpk6UnW7v4@9}s*E&x!z{)xg#9(0hTraTx~0*~5cV;;Q4!{H+oi+HYAp!Q zqC3bx%nB<}(FDI=FrcGFd+Y5dA(geHnf5x>5?VR4vas~v} zL~Q2#X1KzhS&E*EpV zoW5)*6Wzw#=lLRf-LyLuai^)pa|ph5;3fK29HQGzdGYzB90x*cbYmfd6@ow4_wyzW z)o0m&mT1)W_{xt`?x8ECBNw3(=YCf@ilJCBa{N#TpWb5DM)^)6)>VB($M6dnilw0q zKYTJX)5+t6@Hr=+eT#f*85a4dRzb%)>Cetie@gvo>R{2IqCe6)h&9e_Z?VrRfw$6r z75h`#_o6>Ae%Zll#v_K248^$d50Ie2RSD%J|})tUulKe~Nta`sK%` zMLtD7Z^iz+v*r);Q5(;GPiHgjPdsL4+ShjbZWeH-0 zh<-nvX@XyKImzumFPe~B{()L^dDP7b`#%3W%Vy^Dej#+zXL~2hXVP@$(XkkB&~Dqh zTb%dN;Q994X-@y)`$N+zU$fF@&ug1;*YWe3LiCqnN$S<)POER7&!KC}u$#TWII9jC zt{TN+&mQ!R*%!jC+D{)lnj4;xi#+ckyesJsG9$Sd-17lcXh@6CG;qDa*WAsm>lrUN z_Te-;zAO5@%NNqgb4qlv@em-|k^Ld{5q?(9id7(MMLs2#K;RwP#yXsH(N60%cOr&8 z`y+&lT+Id5Hl6PqZv;L+&c)%Yk`2}Yj`E>q{+Wo~QVbR!8rIT{s>9vZkU*Qm$qq8k zQ1*2AZdns2g!LR9j$$|jL?0=OeO*rXG;};=DdKi6Fa~K;zLrmC(?`;Jm$})N2=}M! zBXMp>9%Y~oNJT3feGcKOGztKwVBPIf*PGcjP6(ro=T$}L7~N>>Qs#+SU2aEnu{TPkD3q zZ{6+ACqn7xx`}q|C*U4q9Yug+tE1jqjIk39E& zQqfgRB!`4x`*lQTI4f~+nn?x+kYU4%YOfSuPMK&@Dld&gw7BU}3!NNGM3F-z*EuAF z&p7L6&z=rp9g|Z&JD;Hm9i&LC!(Ku70v%j%yiX(54PY&w~a<|Ea;2>p9y)TGT?~AgA<2|J~ zQ%-BfU4?1q%kGb>D40DOJZ^I%4!@7%cd2BwbSgT^oDj`gYtCrqlO7EgpVrORCg-rC zrMR4ONC=lXEbcIaWmnn)9-GR>YK7 zMb8^e2;o0$CE9eXr48W*lNNQje{^ZHXO{aCE?=mzd0IS@;y!;H;!lJ1bUN?AYSZy2 z#(Fx_7e7R+nccV{+~HO*y?naeznq^s%Xe?gf9Ym_KJ>!YS+snP{#|%p>;&ENjrZLh$;~BR*ZPS9L_Uf`PwxHCJhX#uBL-7_nh|yWIn!5VyPC%LC~cH`2#*Y!m3WrtepIWF zme;7>VE2e4ep?T_fq2UOwGtaMpOT{5jsK)EC7@DM-pf1Pp&JSbA)8oKyJ(s1+uG)v$+zfu?}@{u1P*S4L?tsT=a(R zj3+PA!fC!&v50mtSVTK;s%>e2oi^m7uBEndc=rRR1t=cL>HcnZcRdNt;;7%ZVugUc z4*Gx&-_hsjfV(^n-=XYw8@$(f8cuR?t}^SMd=sPdjrxl7dm7=e<~CC0yUuxSB9~!r zu&ePz>zUH&>2TusUdI2|-@w~LE1A=~iRLppAHo(~F>-!bew;6rqm&o3(~3iMkdbpm z2eH_5Iz({J&LJVJl8OdUQ0}3>8_IkOwviao6E3G;H9Qk-Y< zY?=wRtTG#yz>ojMq-R;_M#CTX!>L9qiFiQMDw^F^@-fy$a&^-?p=@Q(B!29 z)^yh6H@>c^c_Kb@#Hbly2q9VlHbQjN-4EC3Cj z1t_{IEtXj0fwHRuCDqbe4Tc3Mc6BhMT6A?Vq*`=!Fr->^HJ9o^@*^7seBO-$W;6RZ zI*qN0t`@0EKWgy$t3_7_qrbY0vj8~b4ZvpnUMxT^`EKT{21-{;Yc&{lwMex{bw+8e zimv9m`c9u+5n1S(!p&~2q^v47Ep=H{L=R4TaWn3!Vy^QcjMtz178_o4J}>36lAcSW ztfWhIk(x44t!J6-84Rh;s69P3$f9HCu%}8JQap8Yi&Q+}b7>S$_(mFidFr-%aaRwJ z|4(TP8p((7SvFa@oW+7oEkqo;a;*NIrd4*@Hl@?uPTR+vf1S2McuU(fGn@|@!oS(0 z6%X_b`Kss|GY`NkbP3YL!M#I*C(;OEasA0}kH^W|k|_r8U281s{3dD}wtB}W-ND7AYVvctIiWV?`%Fy<;WT6GDmuXJ1L}oY zPml2Y1k-6l{OJvga?q|W7)cwVNR!0+tdxu zKTrGYz_;*xpyT-f$McG=+?O|T$lcH-lF4}v;arD>Sa}?xAx6$bR~viCJ3yV?kksT; zEQvxuU35$#cuU?-;V^}^u{4f`%DWwBN|Y6^9VgFeZ#e9Qwr*6!S0%Kxh5MpRD97?= z>4Y%S#X;(AtgD?=FEDwp=r_)0X3CPScsw)}F8Y=I$3;}CHrbJmOwd3SD z4dFa<--MKK9;c!|8aao6u_qp-+(YM>T!gTH3fDM9Fqv)2>o}~Zon0Nv93m||lJ_&5 z#r~?HBeC_fEwP3UzUBOAe0dAjVQHs z`3~m?8%rU&dafCj@Li)^2yHq`yR*DjN#m5Jynbr1WFE3j=Oq(|=uggK+w%b`!t7;E zr#INqR;T^uzP!qrPEGxq9?o>i%QPO<&?;r3=Xs*79p@Y7{%WEXjrI=Q876Z%JO2hx zdglD_%w)QS3Q(zEd){m7*GOae+4IWPg4xYE6+C;8<|VX#^@io#OvTlvUJ(7qQGUYJ zuVqXef17Tnl}B#OP3f#<<_h|IK8e3g?ou}7xX8JP1@h^}D+ zlxs_W`I$N86M~fw*Pzs)yiNfSVKN-+Gf4w4&v9c=rXTe&qHI1V?+U zOCI<4?XEsz`&L|{55Dp8fscRdcP8%FZhmR#p1X`Oaldlh?tl3CU+yw-SHp9~o*N=H zR=p!Fo|Bzj8YvnBju&~5Ww=;CN-~61Tmb>{^t+>tYi=e4=`6lBIw?#H_^Bh<7 zEoLQ$=y!Qb-zbMo50J|G88Pe8ltW)L?8NlR_5Y8~xylJx#50rc8XhSEs`yGXu zoHDQe8p^!ZV%jaD;|*p0>2h(gnTugMrp6Ne-lesQp(Al3dHxWIz2B`a?CVw_u6J{$ zUv_hpD=FEUat26g&F#|iaXLeCsfKVPN6H};&Eur;OEZs2w5~hHYOvyjVAHBd(?b3r z`6F3=_b2Ja>L*zUz0|{g@>tSwbL-?d=zl!Zup^3zV1_moi=4UEnDhoX%osQd-~F! zy#LH+Oq(7x(TisON1Bf|mcJS3>t-FLxlaiA=sEDbmRaqnXuAQ#(q>M2DSdO;@MCWw zs_16+lW6DkKECS(kOs@%BUQzj({}1UUpKQqK|2oSMf0>muvisMa9#+Ykz;k5owIQy zuy;GJ9p=&?8si{#m4fJ)rG*MGh0c4QZ8(tBZ*ksux=$Fmh!esc zrWQ0*8_M=hrcR~2KBwN!1@>luT0>_SAs#;^_2&$vlMmm8i4LMlzA%@ohypoCf7WsI zZYKmtTA1(E2at+boeiB!8ItG1`=5nytt%bBD+cMb>5MmC5h2WKW@ULD*HGqr3(V?f z2qR2+iF)U0h42$&$NV}s`-CxK8*2_XC+u^9J-7OZM=LtdZf`z-v8q~ zp?}~?XK$8IJN19Kx%c@w7wtG_>Q8kG&KFCtlXn^p#yPM~SrPW91d`Exs zTOgwuQ-K2c1pmzCOmrg00R-_REnb2&TDPphYW!Lht2aPR^me$N9UHbFrd%2~Pi3^xH|}P_9z$4>(A%qEdgPRu(HNHbAtcSkXor z#frAui+4_6v{=#HLYBU0V-tu3rRTQAx;QO@vBW)X(*kF z?sX%?mCQJfZ?k^R(z%1FD=o?CSMf7FlKMURliz?)yh*ps&w7atqDq{-9M(_=d6cn0 zN3lY{&A$2wKO^-gzlHK;39HHbJqE(#Tq6x4+)UQy`5Xi3GB z*5lz;o|X(Mb4UnpeuE%O!_6Zd@3ao$$thR$?SKdoi9PQ|;;T8Y20s!%&yDC$cjNct zQt35mJ;L(AFFca^+-`*Uyc>z1AqW}PU);=q->LEip3TrFrKLalEfBwgFf}7_NLJ|Z z^lGb2dG95skxM>=Kc_fgLa^{HkJCd+N8|K{h4D2#z^aJFY-Gp+GpT1LDy6lklh{Z{ zXTdbTf#ih4wOpLtm6ST9_NuM<9N0q0c*4CPU{%CBko^^Y-Tbom1`;U0FQq%Ke?A^Y5H;_w~Kx zU5t>}pQ7`*G-lQ|uIPMT%0=gMY4lI$v&F8|lX*sn#?P6%Z&UJ~>(BI7?t|B#zufg9 z*L8i!nYBM(bbfl)`N3=7OSw-!P+Nb7u=sMww4brhQz!bcIs1m^in&blI5Mvc2ufZ?TV=gc zWF!`{tXDQVA3|BLL_N`(Qr0UQ-6-poq8X|igSTGUx!>DPy_@yQ!5izny?OWZNX28k zY2RmreK+5B^JB$hys@;MynTwtxY$4G!4{A4MjHL~7+=zj{$}PC@b;K!9pi&n&eCc3 zE~e!ND<|I5X-wW^FxuDRWYkyx-`04qTOu@0`-WTd*d% z6aPQqtly0pnqXbT^Ut~6;9IQzWg&YoRyz*7#d1gpHyLZwLmQZR>|Pg#?+-JSC6_tn zifmd$KR0O+y*Y=H@tiWzLN4!!mB;a^^V!)BYcnpL9E)!rHFSusHE}xKS=9~Jrf*7_ zXeAeCtVunDXAGo=E;CpmSS+FmCXU~49>O>iry_gK8=nzj1_Uy+m1458>-3t%_E2bof|xLs{pR8#+%qI?8hs=e_uvo<=?ZfNz07K6xCu zlQM@8?VB(ftSb$NA*^NM5Z!L#@X7cNqWMzF-O1TwJz^{*(Pv#+hd5hzB0EyIOYqI_ zfFwHA&7$`&t#0Vd@`~lqW8Lel=viH0+50B@x=Rw8(3H+ZUbfFA7Q$1UI^y7wc2IAi zR7ex9bb6>Wot!ecn=C0}#f0j#KFAvt+7SJPx9~GAmAgU&BTtL>u$Y}MM0c?M07H4M z=&Sgd9#9Tpdf%x;v<7pT=TvGXGSTE~7tIvEC11}Oh;N&SzRi?1n#g%@=VaPxeSzz{ zK9c&>%;^DBa{8E|)9EA@zuO|)V3c%Bd1<8jYLdLB)f*l)Wl_~PRB4D)*J0RIf~T7pV-{uDbgjZ^{%%v=@a63_Jq4!JN*-Cz7R+g2oBqEVHX_+G}rQ zxaRryNq1C+!cVSIyJ2yW4%wW; znMFP;CGADt#E#*{&|IpWV{}b&U15DLK8>N zBWiN`Nk!o|BsT3ep`9M;_?BG2 zlyXJSq#mQD-WztzOxuhrpHI15?9YN$d5ARrlWn;a$euh)(^)<$F`~zk{1g&`ZG}6H zJu!}JQ?{e*CR{1YL=%1~gl~d(bdY+UFHc89~@tx%c62fjN7Y!ZE zzLq|ccVEi5&oz$|Vyg#8lNR=Fx8tD4m_zth8g9|_k+d*Z(1zewo>QW; zQ>)jcbvk3=7vh3WZ@euPzwiLkN$U`nQ?BUNM5m!_l^;d(X{3s-ZyAyYa zD_BpZv=kz`p|phOqqD+j(gIbbT+vTWFSnf4kjs$gWXf3$Q6Qo}GtXHK?OL#s=`D9x z3l>fN@TN8$Yi7*&?pQM$#=Q$}bMw?gu=n^(e;y2 zs}0#bwWokeoRhghZO7T)^jnBdadEz3+7zO_9nW_-Ebn=>v?g8ibH0Nd?5y#pI8I)s zd~08_vZ@gpG z^buDJ$_ct6Rw;{ef^II2sc8#<2N{OIUD}rQt7uscYwMx*P8DmgPta|rzE8hu!4_ub zzoPY=oxj7ydD+ZMgm8$F#`JfN^k1DdevsEwP*!-PraYS&Ac0)##+5fcVX-E=wqUrk z*mt|sS95W`?An5Fr?vnk4Sp*6zQGFN2$wovR9!!ki}UK=n$rWBngS)xp8PY{C_d{f z={({gP6+=(E1s2|tcfS{Ac_Y<|)--d=-JRVx$D&9@(vy7cYvyf;u42mih@9VGt;;zK z;a&W*ol{`s5MFUM^;B}$j$`i?e!Jx}y`61n?}6^GdwC*ksq4S;S@sjdc5iYjrey|&{Z z+(X(}zf8RwK&9mD{plKC-V*0X*Z=GW%l9@xv(4eZ9b_JtFCXVz=BG`^QfB{H?pGrY zLXeBz@aFfqce<OT6~k*3`|FJ z%08kdUm;*z51_`WXqWU$AtCs^NJ~v)X@Hl8pLvoVDx{)oxlHj3IYF8BhRhv4LW;KJ zGMrK^P^lJefi~eOwxw^IS+u3JMBTTrMO%ut6m8L3Q2H&>zDf)GR(stl)zWXtrAk!B zMEU4O$9nG!ZOJtugp<+;2~g?NUg97>aHIaerggdo>&MRjYOKSW%gwi(sLa%my~Vzwj0a?oU^!Kzu1{c!Q-SsHyWQ z944)Xs>f;|DEBtc_HJ~!Umh5EghS1#LPGF&*+UcBlp)m|QgzUl=aNJF_ zI%!=ubo`y~Uw135UJrkiZGshPoM(L4m!u^={yjY;l=WHoE6#kwGFZNuuCXX$2ZB>*2eR#qVG6Aw~gHF zymn3*gN1SEu=GN8!$hfao|)Xs%HpNi;xu9RO$^P<3( zw6rO*?BSyh5g@oW&PkxxfL0wYkB5l>diZX|hlol&pONPpuyoJLY))yo*tBLaQ&Po(Z zYo&_v1Wd|n=CHJ0pS}M4mO;5b6BSF_)b2)JTHiCHT5C(Ov?3>|JH^sA(jY39HkSsz z7fUOBwqj}9?T+Zll>3Lp(l)gzgkotMX>_u*@Y}@GwB1joIX#8&y)#)SN!l;P0;cq_ z;aiShcm(n_2ieWgk=S0t+mOB7T*1|uONXSjIB#4LYMT1KZd_^aj&D-OH_6wmZua0O z^C?}W>}L<&!{a9K+|a@O@`?w_70sEsC<)KMVMnVx4$+csUgFR01b{VDI+~W8Z`P)B zw~M3U4HlAa%lTk8^0j;7LRf<-=U6>-r=i2XgeDIA1{+8Sdz-Ov2&X%VU0_E2?B#1p zr&Hcn?>G;T^IXvdZtmg}E-jT9Fr@?)f;S)`jLAB>Ql@7+86tL`I+4B0o=-b5c_;db z@%QudHWfW>b}%6&d8WkkqO4;%Kb{Wn_jj`g%3(tp9O@&|c;3}G7KYJqdqx3~PO3GO z*JrXhhanu75^Cbu-EBPo8EM6yWWGpF37js&Cx`S=0Db2c%M;$+jA3G$UJlF;#e zTD6%?E{dg za~_JN&Gn~P+O{-`r4@Pf)u&Z|fTmBYUIYqJc{X&4?}5rhq&mI%9%Pd=X>_Cf;w?Ov z6|tsGDZU4&>ssH7?}649sVVxasXOpJfV33Cr(T$Oq_RUT*Ql~XE!UQ^pHXX+)UT=8 zjjJ~5owP4twq%>t+7KO{?2k&LBAn=+>lmMlXgt#@vOZlz-|L8#mrh0SHq0SJ$C%Wq zkJNK4p#u&Zo;OJ|2$i0#LAG@WelB8lt-jE4bK}pslIu6`Lue&wkGv% z?ggu82U9vBWXnWp?4XvU;rXmIeh58oWiOTJSnLxyerSOW+2JMMGoss2l2kvAk*fs|M^mu8mYD^Lo@LJDmlGa0Pz!$ z5PU9v%utOcl&?)R02M5hP9BGQ1^P3^Ap+$#KZkJ`Ac!h-Ao)B_v%8^*)BjR#Q|?wb zJQjEX6g>0ZCHzc}gnq@ceDJ<6v6nYoPdP%SYS6rcCwzKBR}d_XgxW$EpY?pX(#?`GNBlK?wp2@en73 zCHU;tHXVfJ5Tf1r_JKA8cj2`mdKVs`k~(g_(?=lvzr!x4Q_;(rQ-x6ew(}=Z47?={ zZpTxoKn9AAQf<=uS?Z-UkPV$Q=HTlz6jS)Q!C_5nFRwN~dvRH|Ap^B%Ez4*s9Zd&s zkp|jaeFSo%RtyFUEJFIT#U>A~-N_1Q< zwsN}hy1QHLad+PSK90`YFO9ZMSe`T9hRo{jY`U7|(~dLLwJDdo`hLCJ@42^&^KDnZ z?sOJ%pd{wCSPD#9%@0#mG2*)=VP5Z#@; zUK^}k9M;%D)2P9d54Z~n!PKow%E!uN~R)U%` z-eSjmnf{jL+}3AYThxZ|klJYG$La{=)DkB8SnUFc8ue36xEKZ(Q6Qj)!;0och z5jaJS*;h{Q*9iO;ewH^_MEf|1Z*zZ@;%MqVr*aRwCMRk6I><&M^4z9_UkV8UUds3d zT9U8F(=UZo^Z|TLkEG=-z?vF?-xBAvl#ZrFG&aRiNJVF)v=l;ggrVc_7o&LhoJQ-5 zpU0TRcAU#izK9Oc2>cd0xF11*0-0Zb)^W6nQ=a{ul_4Mw!Zi+&o(BtZpd13MjXr=> z9q#$XjS1~I_MQczso@#4Bws5T%0w`1ZJrn4IBf{}kU6CInB}EYe9YR?cxU;T<@JIH z7P1W)yt;EW?<338;(aA%G*Qu~xDml&Ea!?Nc{WFoDDuRGcFVY1r(IJpnrK4? zukQSu+lxG{5WZ#BQ~16!9^>b6R7>;HdAFMxxW)N+tj!H-PN$-88%PMB?$}x(C18rJ z%hLy>oRp;!5oyff<|-F)GXohQwFO)hu_bEc{P84pg@ll8Bu!X1F&4tXfuwH7G8O4) zOXCpzCt)dNgftK6G{5joAd6%`f#~SCiPOzVS$?nU=3M1Kqv7YCOhA$^#cI;>rwXjf zo*@XwBOKa$oN*yS0Fu zU9WOYP76FYbd-idD!L=vP|xFW+(FWRys55nGfr*tBRJQlvg*UGrjE-*Bq!G z61|h_&h%C~Gf&-VTkNH{!l>p+-SPMCX$zv3zoO}_bRN#8sU;V10Xrl5BcwxOMQn6}WfAGLNw zdBj^}_4`y08i=k;sAUnHyq?H?djeNFo4VS5q^YGuxP4F`Nxj#_d9PaoRt&raYaYk5 zkMr*gBv=_B(K*+pjyUa{>V1TbG%j|dQ;h>`q!q%BCQd~M8wh&Fxq*~26`6^A zN}1-;7(DImpG_$Bbb2nGQl@$76x*9iqqL{FG)f;Sm&V|=GNq4{%h_w)JEuL(hOnt8 ze2MEn`AW0ZYe&M7VoQAL*Sgli~mhh}<-m z$KkV#-6+7{+SToSX>ES=ZZ_qxA}g_G^rIZIH%S_;H(33@n+;SOI=GWB=diz$_vdbp zaie}cHLS@OzUd>Sqw|S?3Pi&j$hz*d?)l7GJJI^a>x<~V%*j+JFUqbxN?jnI<)67U zi1u@m|6xK=87c*NgH8x5^1s4`@_qcXO&Q^Bi1kk*@;hb~3vWs5B;!?3(G9$A-KPB0 z@ z(|x|?bo#uetKE;dIGC@~N7A~(#nEuQ`{HME<}M*|ifs|Oi8P9BDYiv4q1cwZUdZ<; zLyB!_Ou};QH9=xzf6I0_Y>aTAh0-RJAPq;bF$tPePCdCbMZ&bK#9D|1m!l-X6x zVEOybi)|6PN?8=!lH0>#TXNkfwk4NFu`Nwcru19dZByyDz(6A zkuQRX6gcIq}-PCIa~+Za{dtKJ&!ZkcfhEqA$dtFhY)q6 zKb_^A=R$7iX;X$KwBy{N5%?{dfRp9h^6B6G9J`x2{EAj^lK1y>{5f$5w!ja21o1z9 z;Sq_!x3HZHz4b)ngaFF=2o~-X$st6TAaCasyO44Sk-za}ddx*TU-}DgDO23Np-_Q5 zq(AF8`|`K8R8@w6wq>XgqHmb82ti8+2>>euEgi+Gs59j}bvd~-hwz#8Zbd`OLMd~c zRPG9?;$1S}MIpj7Ab5mBUkhHB;5rVU^2TjSo1aya{1hS-Sb5%ZwCvi}_+gP@C zYpA>h%li_K%c4n~GaTfs)ShZCh^9B&;%^@6e1nl@4LNP}8Boc4-*!y%&Zn=s^3t?4 zPWN>O7>YHR^&K}n=_5JqKhHUx5PW?f?$Uxj=Q$-(eb&5pSKp^~F2Egf3yFnbB~}q^ zLM{!W!x+-esh7{O4ohihT73F*29ol+$YGu7Af4|kQc^aeD_vKT0-_D_GjoKMdRLp18`y=Ul&?D%lur<;42KEr3) z>LXa6cB4^$3)Fee*7+NrJY|1lnZN(0e|Pq14)B&-^zW{n@y1Vvh&S{YTep()-v7S4 zXK0~}A>n8JY-7Jb9(CSOKCN|K>0I`b<>vyI))!pqc*;XvPH%DhTs&pp5_#K_v6ITe zr@nX=Nu<#~I?uWu<6VxjzZ)Qnk~q|x5)jfoKNmaQ@RS#Kad57p#*?%-69!_>XC%th zCvliL7A44f2_KghN(3!(n!8V4{;DCCE{3A4l=`6Df&Qi@#qk z4X;1N-!GTXW3CQ%V>7$)_uIx%o)PQm3T%?{F-S!Ru-VEXe8Z*l%iSS6a2>TW1n)2U zy0c^6FZbTeAWH47+)PE_o>hBn^%*mxSp0I;3WyASS!g)k5(nSfr3{EZO`JAlp!{-& zniAxjo?UJ7ZTAf>PB-=R2+ab&C10QAZ|%I7J;fpXQ{Nvyu2Ry70@4B^Af6-p5 z95zn5;~@pCNt=--I9tl~j}rRE8hO@q%_<$@yZNn{tbo3iRI8^}M=6HCguTj-m4qUQkiXDbg5oh6U@b1J6~q$?}QCBd%^O=+By5e{H? zI2!IL;5xiKuINtIG1nZufy%lKZuh9ROM3<3&Z)bjL65T~lcUew|Mzjmg!AO(WCFy+ zJmfMI!xjdAXZ^)#m=DVC%~67+q}y}`^Hblkj%kL>k9GkQCQqxBET!ZO9{=LF-4N0% z%kgR-bDy0z8p!%ItaN_OOS}@k$&tSuHhk4vL)Y9gLwjlVW&E?`j{+&n8{Oy^0e9hX z>vQX~o?OTEX6nkQTui(m`Qe=Ruc`Xuufc;!{Gu6G0>Vp?)yI(qoW^*MwMaueb6~Nu z@>iL^_D;MfB1fEvFHt^=qpZ;TA9JZY6 zQYDYE-I`nUsg243#SoXjmdXiN=Fn2R38eV2mpPE3<#MO98_cR6x+*9KL~e;TXwZ`6 z|HVBdT>0nv{gBjek88P9RM6`bRA(_1+zBG3*2E%19L2H*IGS3~&I!J^W+PSJK z_z=GQeTPmv(Ct4h>GPw-Nohbv+3#|1m|ik>BFSuVnY#~aw%unNbPl)=PZa<_oupQ) z&3~h8X^*nfKxzF-34iS0R2*2CjV=WdkuRKDRaP=fQ{Lenv(N2rw)@RTe(G`2a!8AN zESL2AzYD4F$X*yE>rZf0s2{%5p&3zvdO;y|G~c{!Ku^2|>OKvlIdeM(6m)g=zAX=g zlk!z(n$yg~e|=RhwGB>>(1W6Khbx00DtFAmFMasOYWS)_YZlc~^h9Boa;hb7|EpD% zetP)&V?HH@i{gPd_QO)n<7K?u=USm6m&TjodBJZvzs<^CSf|c)AhcZIX4)r{e zzx4KYU9-~YJAp5#P;O3S7ft5ss8>`ffT5^AZh^dUX6Bn%=i4Y+C+BH$R`J~;7HY(a z@8$z@`LN5V+u{CY5>8vfJZ>%g&*qT~an zi-O6I(1C!6bIqH01uD9ZyrbV0b`=iX4KW1td(V=qRWhFw4P>k_0hn}wa zrI#;5chX*b`I=|zuBlCYxDK`-uydi{!VwKJP!epBi2N<}75n*JR3vUnqm|X+>WTWm zhv8E)aT4(9w%Gc~m$;EtAC^BmneRwEGQWEqQQrBtqm!$QrUb$wmb4ZMYdQOC5o{viH&f3hf}c%n+RRQaJ=|C{O9|EW z$YFfk*Y&C&*+@>7=e5)(08`NLu1|j4@HO)V!IzrD8m};^pXWUm!z#`jbk`-Rqmg3t zpGV*CCdhAmbJVpwd$=SvYpd1St$3U$OT@Gix}nAT0& zUJ*rp5icI|E=*1lTGOD-7WOONE9dOo3Uyv}YEhY&*kGTM65 zt6%bZywgzykx)Db`suW=BKLOT3sGG%`q=Uhecy3yK68+_liK}Csvk|cRTU|r(cNO# zL&{9xFn0jQ3cR6o-=O{5Kvkb!P>$fS$>ftPWtF$hZ$Vm?94{px^Mn#0pAHj5x}Vim z49j4sH~W{n``yGXip7o@3QwQEuDel4N9$Ifuq=hh;j%6YGHxtJ9TqDO(!>U3Wxb~U zzPnZgI~33SVjQnaLCd56-%s0WtL1u|L5S$}R3-3j zs?@9Ua?St#J$=#_mh~(A%lou?-hr3*4P0cCA98*N9F8CE-z*+pt<(%&&Ag%9uJ%E8 zoddDU4h6y31qTI1D#>VAwM(3Et>|+>1&jIO4S+Ctyx#Kybl$k3szod$;mqG?rWF3@ zkYqpXs=)l97}+)X49*g;fu_jh7}Qf{yxP9~3!&%~^hE?y;dQpe)^-E!(g?Rh`HpfZ|-g zx4aU5@?hBC!Sj;<1RAMXDJ z{8`Ow?0eH!gW*0E$VeFM{bbN6*pK=w;q=sBSzk_&muoaeq$tuCVc;&Od?nN2e~bN* zA$j``9uiE%K5*80Gh&{2^PZBYYLuA7jyz5(WY9TgfdHq}k!G1``l)5aDzKfWA^a5v zxonMXFPmHMYI-DCVx@{@@^qd40bOZnj+4D<&80rLX)UEQdiNUFh*OIVJPdG6cw&%` z-=9Gn2d*dwWTxCOkG90OC1ONnMG5M$U`B9+=>~!x#t=9iiXkwqDNrs7!>+PH5o1QX}ET|RPgja*Ma!>RN5QoIP#rEVY?H;zyeD-H~AlgRv-6`{zz>zrg$hEcr;8( zue;DoDCk}YG=(mWNBw?9q9AwSIS`-oTvu1La%}@R`^M|;;Fa!*%6i+E>?dg3wg|c! zpeSfuw3_ogwW(jD|7I`fWxmTn@_T{v_xfArw-F&LHAA-`8UYWzjufo@6>XX&9pxsP zO?4G~+64c0qBD75{}2hjd=p@lc9FG6Y#G4#<;B4uXKypxVgrYkOI4-1)yx?AJ28Fi zGjT=;>s#3~HVz!^(Wse&p-eyv8R}g}YteSv=itbn~~o_!rdhpX0P->W_?Y<`bwlPY_~-D^L~6Hu^T;or6_N;l8x*n3_! zCT0Btx-9kXwOB2b93!K=z^cw0kixj@IM*#XiaMzW9u}Sd@9|#yfa^-iK@Wn zcbu9!ODoA^9%EN_i;t1{AEPJrRu}JfAY~LVqE!oANn7I~g{t{=EcgemKC7a z)cszx?LYooZQ%j(0_{jG-~9WQ*m7q>>J<17eg5e+g>&VX-)+qt0KilnT2?=>TZg(c z>So)Ngx7|3sZoAxk>zUe=G8jrkqJ!U{S)1J;w zwZXRWKlA0#_xB?Xo|&gUlZFh{658?_RfhzX3x>V3p>sxG6!YcAW*IFx&0K@6;jsTE zkqSNYL%--fHX59RV^JRNS)DA7g5P7AM{;>GYHhL zlV9SiWVUk;_qcGx>m*!Yro74@fN0wA*PLfzJWWP;y37 zc{uDriSE78*M5_s1(r)@@^C=FRcYCUV0g&r#54hvK}zNY6pA9gM&}1PFi`?_!&>CE z(+VcIy&>-YQAK`aOq(i~&FNC2_8(tDi%DT^2k9+R{3RCFBh_DnmvOud%je><^G}12 zwZ#&_rFM$+`g8T;GzGZU3FS)h!%a1U_IBr$j^XUg8OXF-AYNnZJiDcO=7lgcy2{zg z{2AP-YiQUUK4W$|*$@CRBfZRUwpCJYwO^R=q)A&M?D7NzgR5d51h;^b7MP`Loq24U z{Ax6!2&$C;JuwjkX;815Keyr?TM4yHW6Yf&FxYbUfRjV;H)PSQD2I=0us-1oop1QQJiau@oxGccyowjgNVGiZ6} z7r07xZ|ZyK=9!CS(e7V=>(p5-8~kS9{UjTD(3NffLF_b~#HLBSM9g8Jw>As`y=`jS-M;UKz_6588aRE#OxPRuEW0V{YcatV38#rV5D7r8)q|DOHc`yJv zNOekQF624+G~jEJ`V_I!DyiUJOX^`(BAeu!2y3{cxjq*olm~8CD5tu33tkU|S%L#! z{Jh@_-*`2y?;m1mE7kr6xq)g^xsp(qZmK$tLWZ`4E(tgCPrNS5if>S3#27+0(`epm zTIBizS6@l!t9d}|sy~*ZlUSCsz{&_n*VIT&=^9PhvMRvM!(86lucB!9+)DjU$M(O2 z&kM6#j}=Y)mxamD9l2O*!r+#oJ29ozejk{nYx<+>72D6?_>WuJH-Z%RM}5}dx?{rd z^;`+@@5>4R28eKSE4xUkphD|-V`YD@g=|+QPmO(!`#)Sd9T|K)CT)=ULEzT2L~t;u z$eIRYs%|tG)Yf%FXH)v~J(6>!b1d9~QYWC$Bn7WPdh)5P%U?tLtBV7Dw3?`*vRSYOOA9%= zy{;!TBj&Bs2M6lhCwJx3z3m+m60-0&;6ydgMo`kr3$k{O#;ux!SltQ=)wV*2s+qbxmRkH%*87~ra+=7jf=P%W}xq{Y%oKT_?UMB+I#*9yE77lDB#1LOMhV&Fm~?HY$B0;<#xK)8MpY7(kWdSnT#ZlFQslW% z3pdi}2Ys5H#eRDO7otZX*i?v%-@!gp>)Fc&^@o^mj9E5=<5=>X#}kB6D(X8rJh;Hn zXrp18S;=RtsPCpK4Q`~ax!-GU1-^{rzZP*>tsiB670rWskhu@$(0Ukbe4zetm>h7m z?s%PELL|0vbEa?grN|`lt4|qK^*eoReVOlV@5J@lGpXxo1M?_NAX4kdE>}jnE`o{i zc7%qTXgY97G%0|qyH#`+E_D<=)>@mFH$A=4=UdUAEm9S8^Re$sGR5TVSzXQUXOk9C z)E8=JCx@GMXKH5?YK#gW`vTcoLi3|@47M}x5YU{UR3X_`IVUwfG1lVf@I?L}!4y|B zlG0)dS7QG~4)@vFJ|(9E|EMaXI(ecq9Be;eo9)AJ=}{Ni>y+z@R^5 z>3z=@#R@=`MhzJ2mc;(&5h^SCTRs3V;tUvf$5@8rOZ%!TANqP@??o}NgaF)@#v9_q zgjkliFsT_Gk@;vy=DfVP4>*)u9BK9DpOO|VOOXTfk~FvpB*NC$GOtt`cgf`cZ!ufK ze{n(RVB3@z`Ap}Qji6av0YgUa+6lR_&Pch|A%Hg^h_YtFc5{rvq4BP5aox49a4SL51g@RU0g)p~Js%Z*e4H3XpidqYAkaaX@ppHQFPp7nWg@H5B<7%HWE>rJ0qosM{E` zm)~v?bA^CiSA?bN*l5yxB!|KbT=lo~Xu0Y2^K4?;!%U}U85k|se>3!De6Bndocz7d z`g&9DDMwW^@y&0gW^f)F#Tq`~#wtK3HhUrP$lGt*y#*{QHT==dDM4Dr_LF6hYQUBA zUBq}B1gUa zsEugjQyzSYfv+zC?*7T(*CXvM6ArQ6Zes2~xp?{CDpS*8RMZ#tGFira)0(Pc8Vc#C zuE+zUioA#{QK$*kG)7d8;LUt4ou%Gu6q|2Y>D#arH?U(cc!QbO(M1nj)k%0`6|=(2 z>nv&Vu2Lc(Vj|^>&LZbJN%o$4K*#KaL+(2lT5 zj`X-in$E0VDTQd>ht2+z%NHhdClYfL9}CEt>MdUendxZp+VR-{JBq3(b-Z=*UOz7B zxB?xp*YzK;n`gz-F5ak4sh?9XD|+AkI6KDevghO1+3<8$9}6fKb*(I71-pTZurmUv zWtZr=5g$_TbYR2it^-i@gG&R~9Ar5!iD= zyJGS*W7nbkks~sj>$VqlMeI+(sDiyaM7Mot=dp2bfa*yQ@FT2}_xHutBcqo%m33Di zW?l%`a@}%$d7#6@F~0;z7i4q3-nF$a?$G=x(4r(EZ_0kRx_5=m&4-(KE`P;0ISlouc%8abPwv{qKI* zxjlO)tBpx-z~U>t!2if^B%Sj63V@GO8mz21+_effRB8?^{+w{{W^sQe?B>N{NhtMZTW0uj zF~(Ek+!iK|4l3IeJKgw?6y>e~L##GG(iYkVN?X zK=VS6-=bj4o;sGa0Jx{{b8dO8xtQl z)UYB%){+Y5lTu~Jw#eY@Ai+6Bj;TlTzYWs&->B;`PT+Y-(kPNX_U<4HuD~U(jEH!hKo2YwI#23g5;W`sYa!(OSc?u$R7Z2xFvZ~SPfa!pkL2UP0`Q}nHeUrYv~&xyMJ9AuTQ zQ#x8@)fwdyTjx54Q$w^#tkr~$M@Ya;xr-JW1Hmn))tei+X~q3xoUCg z0`+oAY#nW^VF#;E+Rn+mEu{?B7hVWd1T?(1+qkKxOFVn+y4Sn&8g))K=axaM@4`~; zrJ#XW7){*z`W{g0mzMGanhPZWWq+{gm~xb4pt`mvXHl?)3`ssWq6({F4M19JVZRkg zzlg$IdyJEaLYv_57P8*%S1!8J>?3ymJbW#uZ9N_F z_;{@-`K4atgPU+m@F1BWhr6j!!0(muwD_+4R2z#ez^s@?Q%nUBB9mfslhQJoOrSX` z!cgy9sR?m{*&InEmRc|p&Q3l|_Um6kkaFw6V}x1a?Wga))n#L|HM8tcxo4o+w3`FR zP7A~zn=u{E<{kgOBZu6YG!}qOm1cnR&~zDWZquOl;}xtr?}PTevhn0ti~+zwXS?4h zt65O4{|X`Rb?P*(^Hy4!0=-e;3;-cx36=+IHrx}60*D!*xqaeUs?&mz&p~rnr)^jr zRnnGBW!7(lI%&zPLm?@ww_uH*P!g$jQ+)x_TWPi)i+B(mp-c7pB-w@qKKXJ>H(rz3 zk?Sl*tt+dV3?@cQKsD`^kWnk-_5QSQTYn1Po}+3K0Atk}pmvE{>YScv|2r2D&&mU; z7|F>PeVU*h*E3dF4Z#oX^F4*i0jRy7@Vkh7GX%P`mwbGM%VsHr{tKmT(kSJ<52AFO z*dWrql2LZ9y-#`);`)Uz(NMs( z+y0t@3H+@Zd3H#Oq@3Wn1`R7CNich#p#uN)%a4fjY=$3#tQ-JC@Et-t)g&dnVe#g}gBUWk=`V zkdZ|U{H((seLpEDBQVdxI#KIW945PM4hy89h;AN>{dV>{WXA(O-Z4u|_v4%rn*_|^ zi-%w4XIsi)-UG+!m~%VFB+C%}H)5nE11#*g3K5LhU50G~Iz z|1pFaQo!5YwY+Xu8hs(Y@{qFPJu~#h#g@%xi=WQFsvSGIa5wx@$#@L!@Lr9;2jwxs z&!2qVI20gv?N%6H#QHX+9b?S`@b#XLSN=Cp8Qrono!lA*gtNVWI8>IMx;Aml!(2qjT4%nv){y zswGueq(gjpPs@yz9WnbL*-78UFQ~lq)aJ2DZN2cM;%)k%gPCn8hX?Ahg|7LUl7yP- zm6B0}F7j0k(XYK=73wSr09Sv7D7>!V-D?0!d0Shuk>*S9RdHwhSeT*tV@h*- zaa#OJPJ}vg#u^LJKC09cPgeA8;+m1%hU7eLje|r3(DY5sru5Bb1$L!WL!W9{steig| zH|K^DJsJ$wX$5yQ!VOM@q71~Dc1Sb zoV{vA_#X6Y+Z|F{6X8B8GyW!}(v%e^!A)z9w2s9}zbZ_H`WpcFJYsJD8mLv$+If?i ztBKH-Ac=of+*{QOjrZ-*#>+BTHnkmN>8wNMY9t;EWybG^O9ZGcF5<%$9Z{ukWTl6H zh7>9TlfaF+83CZ;geGD?vi69nS(f+F|G+_J=LwyE3v69FWCAO}9n$S@;LEICns9m6 zuC_O|4Bl>KGwGCffR}F@knEEu*cRO@6!A-2^aHyz$6*Y!xUCa^z^^y8C zJ6jYCW){b?&skDI`f0HxMV> z{SU`Vz|wb*N!q&{%pl{Mr#eXHy4Z!7g>hTY&h>^S!mYMYLCR(kgyTWUGewJ!)}FG-xHJT?{$c5Twm9Keo*2lKR@Dy?v+#%9jAf4Tazmvs<;0h#@zsqHL zL&4}I9=KE{WUp$E0*LaR9eyjEqeH!1ATE!Q0q<}){jqYxHmkWg+wT3E%jsGvwqr%_ z;!g#71-aGF<&3fghBod%&L?u%0=pJ4@GJb-t`(O+)XgaVYjkT)zO<-v9YO&M&RqCq z2j4MNHzj<)RXOkr29JjFb62em2yNLs?+qrwA5M*ZEqx4a+;zTX$j&$FKFzHiqevm? zE0w*EA22oitM>A6?{oGG8@=nvc=jhVyGAGHWUZTPB z?@oh*tEzWC>%=!rG%WVKkZ(P=1O?A=gp?iLciiMa% zEbyZAFP1Oc0m$tY?+?xns*Bqz782EdacrfF4WErwa-Gxmz{+jBZdJNp-JW%ZZN0>6 zR;?+A$_wOxb5kB9?gLoP5jlV zE(NM3L)x$`TS^IdPz5kiI+6#7({V6%XQiG9c~LErwJ%Kw$5MbgD7MLQ=IX}8SpFVQ z;Z8PmB56O$fLe0^ZACTEY?~{b7Vw!ZMrWU%co7USzv)@oJYD*u!#i&%AaHAS@ zExig1FVY$r(*tz#LEYRjoXQctG9OqGS-Mt)g4>QIY$~!HF>GIbigx(*}t1mNVs8UHLtpHoyB=5E7zZ;3fQE-8qAx9cK%Cf zPnR{&aQLe!-TSbx&OOl0@=0aTkX0d^-u zpAzKe1#5qdO;@`QVvZ!(`=sOt<(JmSDUH)1%E=pH-?mHr7Op_{f zv;07vnyQI{IVt2=5+&(uB_`eGK#GfW_9U4&^i;PNd9&(QaQc6ve}NDrI}L(CHn1CP zAxl=aLBne&G~wT@BAVEI;HTbZiuBCS(9nJzpv+H{uy*#iMD1SnR4I4m*k#2C90bC> zjb;b_4WN}mMHmg&9b{D1Acv=-NvtokIpqAC*Xn7_kEfcZ|LM=!ny&}BX~Fht&KzH= z?D=$6oV^wVh*hu!?Rs8sV|4-(jhL>#MK)W<>-nQR`d-pm!2wo4`r5^>DMJgV&l6V$1~V4YX6tBvlo z+7A9iUGPL8`93p9xGO0wp6wMc@_Ard(E>pvaY%e^U1GyTIOn7D{k`J>_VZk{s%)+t z(XSIMi<2{S_J##9ej-%;eE6+p^hqYypMY7%AE!P;hQN!&DNhyq0s75CAyx6}40@MG zjHO0GBcYeJ`F@{f@O#T-P#Z_KXItaq?)ouD;s#wO#=Nvi!}rL2=yT&a%y3bVAp%qk7HiO977d>K#8( zT4e6oMuNeI10Uw(TcwPue%=4;r>%LU(jy6x?easd?Eh*1oSAGSmxHdz# zZD|deqe2{XE|Ixs&%1wGY*@`PG~Ka24k*JCs*?+%y}#t(okC-8y^eM{^lO3}h0)u_ z(!*V(7_3%urs&3qq>To0DyL_9Ul+xPUD=Yqz&@j14p?eo3~U624Dj-Vj(mTK;*rA` z^+>BIT851HA6&R8@*&kwW!DylX@>RLac+7i@Tp<=53O!HaVBI)=e2~2-kJVQ28<0k zKoK#(3^}gel^*z~u$yaa@`%YWSjDi9_uN0r_?KxB6Vj=3q)Io_AV`mbB0zg$buPmf)uEap{V(C%crojOD;vkRWy^~nc~Cz=&6m7lL|REvKuqDEdL3eE z!dP||_FsJ9jU;O0(L6bh_fzM`q>wnlW&vaNJQ_rmz~p%?TkM?E4p(HZ3WMH!*A9!A zz=Pp8s&0`lhBG67l)mYeMY+_{Mcfw*;c#cJsTequ`nm{k_jJZH(p)c?QE*oqU(S*x(X@`T<=1^iqk!-XE6@bJ*HTB;>ud zT?5NU^v^4FWS7hEhXn|HHKRbhiTjBtSz2Wqi7qR~wgQ9a z*0WV7I$heIC?;2{H2$K-88C+1M>5LY=<>1C0(5yzIqp}HPwbu#X=VPJH0R;8b2~L1 zg4}Xtjw~?0=q$S>(D-68n&l{b&J;uxnsv*NrU=K#{tv|osDe$OpbS$>|!1ANBAjga1j{k4jo(ntFxBqlfd&3G%gETx_c-n%iA`$=d?Sc zY||q-yd|g1Acc$|>YAUJBgYAKYIdku1ZiT|E@sOVRYmbMslKn)+~+ zXY;)I=#|?e437|jZ*s+d&YW2XKUH=01^6B7J@h}k;3&S1a*dh=5s_uNMDKlhLV8m#qB(9?7K2_Ix{5(AFR6o^my-2XmG3m~mpRAOHx zBwFQ!Zp!gmhbs`c>x+K#+bus*aYnexJ|CCK zjcv1%*rSzY?QY!7817`gy;k^IEDHiz;Dh_fZLuxR~Qi@3U za>O$+^N8}0gpAnsBP#4ZZ2~+$^^>#gzAt~lwe8I48#P+D^3ln7!*1<8zo>nJRgP13WxPhECjtuTfGvB*yT9E>yK%UgfJ?sSV(<5Wbp*u|G?VZ2!gMC zER_;S@A|^Xd>Rn+cLn^$=CF4p@-Sh{q*{1*@j#`c&vAh~C5SOB(&dSK=lhG5wIKC+ zq-A8UtC8nZZr7%ggXi_j2lX?E3L{7}8+UW_ZZ^SoU+HXS2Sxl9H&X^qS~=;Q1z|3= zw88RW<*uQOI%7Xti?OG6&bRplCewL?*XD!d8C11rROueKJ9M|S%TM37G}G$fUF;W0 zUi=GcRfkq(%ewG?`d7#-m64sQdv|=Z=PXHR17%4s=z%Qbj?@(;a`5XAbhljC2|XQ9 z6~f~bo$ZG9o5uA_&6dcX=NrWJ{r#8uoX$XX)@N0b9L?S_rpthNqds%^hAq?pfINWi zju#rgCbOOiguZkNa9%VRdRG^={R`NG2v1~l){)J#@`Ad&kA^31y)AZ?p{Hxo$Q$$# ztUiNJr#Qmi0uAMrp|Q?;Q$caLdKP8ZD=v4fih1uC6SEpknA1Yk3IWV%Fx^5-gc9SB zM%wj*;ASwjbZmi!dC)^Ber{?nN{cMETtl7j=ko&hSKU*=K&k(Q)MSR`r3HLoNIG-h z?EhL4(ZrAhWA7%4mWSwrv6c)M2XdXEuU5gi;KkfbTZ62%{gxJwMd5T&aGC zoqnF5@!pn^(>1IVdB6WqDXxo~#N`pDBz$F`cZJLG7|);cp~hKtLe*T-cmYFu8{eYB z*M=Tb!rItrsg!e?9`mjv-NCHMEV|fj#TNJw3PhCf#O-NzamMhI?!f{i7oWn=L?{jB zCh?c=fsc17d}SS#7%t?6=?`ByM9qe)vDLCxgV56%*=#IvJ>;Jaisoybz(>j0aIeXoz88TQN80*}^*Y(o^DXA>GbwYPZRZ{nILQGZ+dl#JrZ~mD#rKDH9 zRjX)(K8d!T!*P0AX^$Yz)b?uFZkN$vA!;~Q+_Q@mxkn_5L&6K;4T^k@(zTRgx^cviYbxUAG|m3qyUaFBDEPzAF6LTpfy%mY_Ecvpszgd z%do;QI*=L9V>wl&iV4KyRcKpl8P7k;p;oWkcazvWQyr7wYT#6FdtLS`HHY$~NkhJw zd0|>zwF+|Dymct^xWZ@cTd?=J2om#O)q4a%BjfxS={JI;Aq(MCvqHG~Y<;J}pl6vt z$r!Hz_>|D{A8+NG6zpQ6$ly<2FAeukA6`yaZCO~cILj!@N}u;Hk|yp}6qNo6djZUH z3q;w$b~qMO=c&-|4;D%{T`kMEL)TI!==JoJfJ~%K*g?s#we%Fc_%7jc8~NYyQX!I3k!5Nfyy(DUUzaJu#cQ5xOys|2cL$Y&yV6g z3#y765BQ_Ocb+S}k?@-6z=!pR4ITVd&2RZZZGW52NT9s#D|?O#PzbSpBjf(PywCS5 zSoiN?c$Is-W~#rOIaqsB$#3Vp@FHaF5()nsJmVUixS@~n*dmX$e%8#=vR%C$6sUtS zIKP)fuhSq(j8LQ%!$mb!pXa*C?5%|K3ZCx2F8o-IBz1!xZw8I+LFf?fOX`ljRqfV_ zhCxsG36fWJ@M*OM)g3$CDjf;%fgo-4rUXoG<<&LrJ@!a!By{$8w&x)8q3daDkhUHXCe@en0IML45u=tjRxx`d@(%?m(*#sKR#;F?ekqMaBSWe- zSZtaf{Xzx%{Aag6QxyF&-T zqydl>C!`?%e;7pZH3!C%RNhVnl}?Mp!-F|3lwu%iAv&c&n_Fxx0UkxmbgTx5%apd6 zynM7BlrMjWZTTEmWyhW7w-&MfB0>^Qz!Pa@Z|dZJhsPG&-bhl2PWJb6aXhP(;btw) z@!khRMx6jVAv!^Kl0vfg@N|=LH_5w)Gni=kx1A;$og7~K@lu*9+p^ma<=?8P+MmRJ z)VIie+O7mkc+%ez_gu{Lm5OjC{Lk-)Gjg#QHI(>?>&CMaPfK11$Ul$^TJlhLeg?wU z9wFb-LESSwn*L*V77%Yy+QLRh$<&(q zTXtN2B7;HJZ|knrtuTH<%r-vcTg$RQ|Z);IXuzg}8KR)pIqG*==rIkh(LT ztiCO9_Ydf$J=xn&j-Tg!IJOIyQ1(8?Qc$JUEeU+^y^zNR*;H4{fAXj;%pmwTq zdHsFU0qYV8-RkDl9CobbH^RmO?+$ctZSKLnUEl!lZr>f2iKTN{PefsHj~1+ zn?a$^P%i43r0sb{EoAMLMSc(4i;6~wmS-mx3GHknpfmM?j}XhH8}6C#uZPfcGMOoZ zfy~A-`gH@Jmj{m!W=5W^mHNl@%u%JqQfLDv|dbxzdm%2dPiXW(1=F7u7io>hS)%4KfrVs&CnGH?5X zJbWIcx{v+sJN3Qy)2AL+a0xh z0kFWX>5nn4kW)Kjv#tLBmvEYO1XjX5Zy6e<9c62Z``e9(1=y*YZf5!aI$yEciJMh} zRNpkjl};1z9NE5v?LdptGTJ{*pQaS0eM4w@f?gr)=V;H{o_}c-wu2Nk`BE{M>#~LS z?h)N&6rFVzXB-?cRcX9qJeoKfWdgMvrJA*TJNU%l*J)V(mlMAl&|UtzB@3opqgpYSbngBo-S?t|1SiTO~*RAm0@9b z7q@S7eN9_P+x5^2bhQ)P<=Jzn(_0U~uBgYHoGxhtaYI6<|h^ zG&cCXPWRc%MOXpEvFtF)F%Sj(T>4V*3}@ZMy2S~g3khx|BX#pGE^3n?75gAiuT^`g zp#S0MH^=t2^0qY2Gn`oT8Ti;@e47l!mD`*sXrl9*W7g2}CCip9$RLCvo9;Uwl}KGoe*)zGXCi!V}0080K);#00{37g>KRxq4*3tzXtU#?i!TpYbC;y@*e^ zQcJn4S8|xh!I=ltA`LQ^%^q~~K>RQAo;XRb2dnyQS>M_8cBY%);~=({c2Tx0-qnJT zF=PEu$4tmHCJXv^`pCo8p~^$od`k&pY1AEH38YLA91cQjm)bb27VSRStIZp9Yo06V z5HfQz_?)_%&@=0NbU>|V4Jxt)1u+_72Sl}1xTj9>1<|GCv^K(BFR;fZ!r1)1Oy5D_7}BB zto?6oeYMtAhTC0++I!Zq)z-Izq~cEJtNOj$QC7&M{jxrnkL%df%Pn6C4zonE>zzZb zv)Xp*8ghZ8B3f7KHKN378zy8}yDc&GCF_DujdEOzuF4=1x+&f8eFNZe7)Wr}nh9JbACE0e!KJiti<*wj@G$Z_Zc*g(d~-!O;a5Gp}K~wwXS&_ZNyqK zb{}TWM~dqbP`A0X#D?{aqV+m$)3LMY-L8CIgiY2dPWvUlHnSlQ?$-@<#v6NUxPM~vcy=q^snz2zIRK*ODHCZnUo#_sRraGUe}fS}|pv87k$ zEyfhGmIjUSlI;0_M$d9fd(PN??tFFE))9_AU1%AZhZ8&Wk^`e@pwANYmyXu4>E6_s zf204MeD81$qvSk$?i&YA$h*>xVqnB<55Pgs9rPyS3%VEJ5GB7rmBag-;_oapY5&U6 z4+;7~NB>?>cAQUFhFhFAHHo|@m$5zI=r$5tq-{DWUVX9cYO;dk9fE$ZDbcGP%{3zfi*hvApMfrR^bis}w5SD+=ITdizvF1mB7$D)=*wU* zg}DTv+j4A9%?J;ey3@t_p_QF>KeWKovE}_m#pr0y5FG<_e{IE*Jp0ky{{ZU z<4Z=KxYw;9)-Yz9fLRh~-z+Gz8K61B$r~fG+;e1)H%9ij&$BD}GGYkI3`?RBTTffl zPS3Zdho{mezg*hy<&HArmiEhR99F$y*l#_CSD%l_>fqEvj4RI;lyjBQ&P+jLTzSLL zSM=zP;O@kU*7 ziG&_FA#{O+?mr=Pk%aC$A@p(yea(bWP0=eolsUrCMy5A7N2q=qoFnvFiTBmc8LnIx z4?>|u3kQ4X&i349C_$NX3Ae#8GC)lXe$JZx=L9245!V zwody)LAQ4FZb7$m^gcniaa3hsHW7L66xtmf&G~v#Jb85hXsixEOPRJvg{Cc1tTF_u zso36SVBRzNGCz&HxtakPVl@M^tVtVbyK9K@qb9BO*#WvJ;PW>318Yd*EsL-%sM z9-_|b^-%K~(MKDlKJI0xmmyl_J9yiZveeqNpp)0)g3=14-02>n*u7Nm{PFJooZUWz0XZ3Ej&xvHmJBKp`eW#=J z9WoX4D~L_k)X#G?*PV~o!#9M(8=ZE2+o7hmbBL!xcV@-zFx^oXJ7Y)DPHj87r!@HL zPQBPAS6d0a#>`E6lq&v;mMPf$y?@p!KA zFr(N*-HxjLgPu$fesYvHS6yQ3wr8D++H2G;ygIhJ)>FQ*OF8!qW8){7<#QjUuQz7& zt+eoEAA4=yqK~~cJz(S5MW4TDdZ@=7$4o=L49%D^NA%Fk(8gGX=sWG^UZbwNwbv0f zSzQ;7QuEHlQ4RZV(O%cHF5>i`QBG8=&o-!g615CjOQW6Ww~WHKjqm(_0RR91|NnRa zWRuTMQ$ZBQ4~0rAg#rZ;(1c(@0s%1u79?tN>7Bxa`!6?Bld@nE7qVev42b~~eE=5v z3IvuAb)gSnOmxB07jW&4gp~`=H}}rimYbYA?R>vEf4*sd7m1$|$1I1Eq23lPtzzwq zz-#HyOZ2LaVqfU`Rx{c@m^wK%Cy3tl;3VPO00)ky0taezp(i0x#k?~HpTUG)xYu;@gEbi8JZc27r;JmNci!&TNU(@DIvkQwhSV14Dx*|; zKm?y~L&%e?BzmKnO5xiDUY&n@wL3veU^u{cv2jxwQvu$ghdBtFEGVPygyW90L!dZw z?a9VyAx}2gmJB^TJ-VK$(j(qg9PkOHLRn8^*Gh@@u+M>?E%dbR#Ii9FA}$?b=AZI5 z_^#~OLyoc~I`v`N!D$X_3%8%J9Z8%j{F7{=ic4f|VNpg7wcLQ??6oo1S#!`KZmj=x UZr>0a4cEj7&F9_z0{{U3|Alr5#sB~S literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.cmp_merge.kpt b/bsp3/Designflow/ppr/sim/db/vga.cmp_merge.kpt new file mode 100644 index 0000000..e6722db --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.cmp_merge.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp3/Designflow/ppr/sim/db/vga.db_info b/bsp3/Designflow/ppr/sim/db/vga.db_info new file mode 100644 index 0000000..285ea14 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 132 02/25/2009 SJ Full Version +Version_Index = 167805952 +Creation_Time = Thu Oct 29 16:59:28 2009 diff --git a/bsp3/Designflow/ppr/sim/db/vga.eco.cdb b/bsp3/Designflow/ppr/sim/db/vga.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8c94386ba2d0f63249cb2651a7af5ca398532096 GIT binary patch literal 161 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u clk_pin_in.PADIO +reset_pin => reset_pin_in.PADIO +r0_pin <= r0_pin_out.PADIO +r1_pin <= r1_pin_out.PADIO +r2_pin <= r2_pin_out.PADIO +g0_pin <= g0_pin_out.PADIO +g1_pin <= g1_pin_out.PADIO +g2_pin <= g2_pin_out.PADIO +b0_pin <= b0_pin_out.PADIO +b1_pin <= b1_pin_out.PADIO +hsync_pin <= hsync_pin_out.PADIO +vsync_pin <= vsync_pin_out.PADIO +seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO +seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO +seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO +seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO +seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO +seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO +seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO +seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO +seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO +seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO +seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO +seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO +seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO +seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO +d_hsync <= d_hsync_out.PADIO +d_vsync <= d_vsync_out.PADIO +d_column_counter[0] <= d_column_counter_out_0_.PADIO +d_column_counter[1] <= d_column_counter_out_1_.PADIO +d_column_counter[2] <= d_column_counter_out_2_.PADIO +d_column_counter[3] <= d_column_counter_out_3_.PADIO +d_column_counter[4] <= d_column_counter_out_4_.PADIO +d_column_counter[5] <= d_column_counter_out_5_.PADIO +d_column_counter[6] <= d_column_counter_out_6_.PADIO +d_column_counter[7] <= d_column_counter_out_7_.PADIO +d_column_counter[8] <= d_column_counter_out_8_.PADIO +d_column_counter[9] <= d_column_counter_out_9_.PADIO +d_line_counter[0] <= d_line_counter_out_0_.PADIO +d_line_counter[1] <= d_line_counter_out_1_.PADIO +d_line_counter[2] <= d_line_counter_out_2_.PADIO +d_line_counter[3] <= d_line_counter_out_3_.PADIO +d_line_counter[4] <= d_line_counter_out_4_.PADIO +d_line_counter[5] <= d_line_counter_out_5_.PADIO +d_line_counter[6] <= d_line_counter_out_6_.PADIO +d_line_counter[7] <= d_line_counter_out_7_.PADIO +d_line_counter[8] <= d_line_counter_out_8_.PADIO +d_set_column_counter <= d_set_column_counter_out.PADIO +d_set_line_counter <= d_set_line_counter_out.PADIO +d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO +d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO +d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO +d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO +d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO +d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO +d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO +d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO +d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO +d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO +d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO +d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO +d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO +d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO +d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO +d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO +d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO +d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO +d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO +d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO +d_set_hsync_counter <= d_set_hsync_counter_out.PADIO +d_set_vsync_counter <= d_set_vsync_counter_out.PADIO +d_h_enable <= d_h_enable_out.PADIO +d_v_enable <= d_v_enable_out.PADIO +d_r <= d_r_out.PADIO +d_g <= d_g_out.PADIO +d_b <= d_b_out.PADIO +d_hsync_state[6] <= d_hsync_state_out_6_.PADIO +d_hsync_state[5] <= d_hsync_state_out_5_.PADIO +d_hsync_state[4] <= d_hsync_state_out_4_.PADIO +d_hsync_state[3] <= d_hsync_state_out_3_.PADIO +d_hsync_state[2] <= d_hsync_state_out_2_.PADIO +d_hsync_state[1] <= d_hsync_state_out_1_.PADIO +d_hsync_state[0] <= d_hsync_state_out_0_.PADIO +d_vsync_state[6] <= d_vsync_state_out_6_.PADIO +d_vsync_state[5] <= d_vsync_state_out_5_.PADIO +d_vsync_state[4] <= d_vsync_state_out_4_.PADIO +d_vsync_state[3] <= d_vsync_state_out_3_.PADIO +d_vsync_state[2] <= d_vsync_state_out_2_.PADIO +d_vsync_state[1] <= d_vsync_state_out_1_.PADIO +d_vsync_state[0] <= d_vsync_state_out_0_.PADIO +d_state_clk <= d_state_clk_out.PADIO + + +|vga|vga_driver:vga_driver_unit +line_counter_sig_0 <= line_counter_sig_0_.REGOUT +line_counter_sig_1 <= line_counter_sig_1_.REGOUT +line_counter_sig_2 <= line_counter_sig_2_.REGOUT +line_counter_sig_3 <= line_counter_sig_3_.REGOUT +line_counter_sig_4 <= line_counter_sig_4_.REGOUT +line_counter_sig_5 <= line_counter_sig_5_.REGOUT +line_counter_sig_6 <= line_counter_sig_6_.REGOUT +line_counter_sig_7 <= line_counter_sig_7_.REGOUT +line_counter_sig_8 <= line_counter_sig_8_.REGOUT +dly_counter_1 => vsync_state_6_.DATAC +dly_counter_1 => h_sync_Z.DATAC +dly_counter_1 => v_sync_Z.DATAC +dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_0 => vsync_state_6_.DATAB +dly_counter_0 => h_sync_Z.DATAB +dly_counter_0 => v_sync_Z.DATAB +dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB +vsync_state_2 <= vsync_state_2_.REGOUT +vsync_state_5 <= vsync_state_5_.REGOUT +vsync_state_3 <= vsync_state_3_.REGOUT +vsync_state_6 <= vsync_state_6_.REGOUT +vsync_state_4 <= vsync_state_4_.REGOUT +vsync_state_1 <= vsync_state_1_.REGOUT +vsync_state_0 <= vsync_state_0_.REGOUT +hsync_state_2 <= hsync_state_2_.REGOUT +hsync_state_4 <= hsync_state_4_.REGOUT +hsync_state_0 <= hsync_state_0_.REGOUT +hsync_state_5 <= hsync_state_5_.REGOUT +hsync_state_1 <= hsync_state_1_.REGOUT +hsync_state_3 <= hsync_state_3_.REGOUT +hsync_state_6 <= hsync_state_6_.REGOUT +column_counter_sig_0 <= column_counter_sig_0_.REGOUT +column_counter_sig_1 <= column_counter_sig_1_.REGOUT +column_counter_sig_2 <= column_counter_sig_2_.REGOUT +column_counter_sig_3 <= column_counter_sig_3_.REGOUT +column_counter_sig_4 <= column_counter_sig_4_.REGOUT +column_counter_sig_5 <= column_counter_sig_5_.REGOUT +column_counter_sig_6 <= column_counter_sig_6_.REGOUT +column_counter_sig_7 <= column_counter_sig_7_.REGOUT +column_counter_sig_8 <= column_counter_sig_8_.REGOUT +column_counter_sig_9 <= column_counter_sig_9_.REGOUT +vsync_counter_9 <= vsync_counter_9_.REGOUT +vsync_counter_8 <= vsync_counter_8_.REGOUT +vsync_counter_7 <= vsync_counter_7_.REGOUT +vsync_counter_6 <= vsync_counter_6_.REGOUT +vsync_counter_5 <= vsync_counter_5_.REGOUT +vsync_counter_4 <= vsync_counter_4_.REGOUT +vsync_counter_3 <= vsync_counter_3_.REGOUT +vsync_counter_2 <= vsync_counter_2_.REGOUT +vsync_counter_1 <= vsync_counter_1_.REGOUT +vsync_counter_0 <= vsync_counter_0_.REGOUT +hsync_counter_9 <= hsync_counter_9_.REGOUT +hsync_counter_8 <= hsync_counter_8_.REGOUT +hsync_counter_7 <= hsync_counter_7_.REGOUT +hsync_counter_6 <= hsync_counter_6_.REGOUT +hsync_counter_5 <= hsync_counter_5_.REGOUT +hsync_counter_4 <= hsync_counter_4_.REGOUT +hsync_counter_3 <= hsync_counter_3_.REGOUT +hsync_counter_2 <= hsync_counter_2_.REGOUT +hsync_counter_1 <= hsync_counter_1_.REGOUT +hsync_counter_0 <= hsync_counter_0_.REGOUT +d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT +un10_column_counter_siglt6_1 <= COLUMN_COUNT_next_un10_column_counter_siglt6_1.COMBOUT +un10_column_counter_siglt6_3 <= COLUMN_COUNT_next_un10_column_counter_siglt6_3.COMBOUT +v_sync <= v_sync_Z.REGOUT +h_sync <= h_sync_Z.REGOUT +h_enable_sig <= h_enable_sig_Z.REGOUT +v_enable_sig <= v_enable_sig_Z.REGOUT +reset_pin_c => vsync_state_6_.DATAA +reset_pin_c => h_sync_Z.DATAA +reset_pin_c => v_sync_Z.DATAA +reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA +un6_dly_counter_0_x <= vsync_state_6_.COMBOUT +d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT +clk_pin_c => hsync_counter_0_.CLK +clk_pin_c => hsync_counter_1_.CLK +clk_pin_c => hsync_counter_2_.CLK +clk_pin_c => hsync_counter_3_.CLK +clk_pin_c => hsync_counter_4_.CLK +clk_pin_c => hsync_counter_5_.CLK +clk_pin_c => hsync_counter_6_.CLK +clk_pin_c => hsync_counter_7_.CLK +clk_pin_c => hsync_counter_8_.CLK +clk_pin_c => hsync_counter_9_.CLK +clk_pin_c => vsync_counter_0_.CLK +clk_pin_c => vsync_counter_1_.CLK +clk_pin_c => vsync_counter_2_.CLK +clk_pin_c => vsync_counter_3_.CLK +clk_pin_c => vsync_counter_4_.CLK +clk_pin_c => vsync_counter_5_.CLK +clk_pin_c => vsync_counter_6_.CLK +clk_pin_c => vsync_counter_7_.CLK +clk_pin_c => vsync_counter_8_.CLK +clk_pin_c => vsync_counter_9_.CLK +clk_pin_c => column_counter_sig_9_.CLK +clk_pin_c => column_counter_sig_8_.CLK +clk_pin_c => column_counter_sig_7_.CLK +clk_pin_c => column_counter_sig_6_.CLK +clk_pin_c => column_counter_sig_5_.CLK +clk_pin_c => column_counter_sig_4_.CLK +clk_pin_c => column_counter_sig_3_.CLK +clk_pin_c => column_counter_sig_2_.CLK +clk_pin_c => column_counter_sig_1_.CLK +clk_pin_c => column_counter_sig_0_.CLK +clk_pin_c => hsync_state_6_.CLK +clk_pin_c => vsync_state_0_.CLK +clk_pin_c => vsync_state_1_.CLK +clk_pin_c => vsync_state_6_.CLK +clk_pin_c => line_counter_sig_8_.CLK +clk_pin_c => line_counter_sig_7_.CLK +clk_pin_c => line_counter_sig_6_.CLK +clk_pin_c => line_counter_sig_5_.CLK +clk_pin_c => line_counter_sig_4_.CLK +clk_pin_c => line_counter_sig_3_.CLK +clk_pin_c => line_counter_sig_2_.CLK +clk_pin_c => line_counter_sig_1_.CLK +clk_pin_c => line_counter_sig_0_.CLK +clk_pin_c => v_enable_sig_Z.CLK +clk_pin_c => h_enable_sig_Z.CLK +clk_pin_c => h_sync_Z.CLK +clk_pin_c => v_sync_Z.CLK +clk_pin_c => vsync_state_5_.CLK +clk_pin_c => vsync_state_4_.CLK +clk_pin_c => vsync_state_3_.CLK +clk_pin_c => vsync_state_2_.CLK +clk_pin_c => hsync_state_5_.CLK +clk_pin_c => hsync_state_4_.CLK +clk_pin_c => hsync_state_3_.CLK +clk_pin_c => hsync_state_2_.CLK +clk_pin_c => hsync_state_1_.CLK +clk_pin_c => hsync_state_0_.CLK + + +|vga|vga_control:vga_control_unit +column_counter_sig_1 => g_next_i_o3_cZ.DATAB +column_counter_sig_7 => r_next_i_o7_cZ.DATAA +column_counter_sig_2 => b_next_i_o3_0_cZ.DATAC +column_counter_sig_2 => g_next_i_o3_cZ.DATAA +column_counter_sig_0 => b_next_i_a7_1_cZ.DATAC +column_counter_sig_4 => N_23_i_0_g0_a_cZ.DATAB +column_counter_sig_4 => b_next_i_o3_0_cZ.DATAB +column_counter_sig_3 => N_23_i_0_g0_a_cZ.DATAA +column_counter_sig_3 => b_next_i_o3_0_cZ.DATAA +column_counter_sig_5 => g_Z.DATAB +column_counter_sig_5 => N_4_i_0_g0_1_cZ.DATAA +column_counter_sig_5 => N_6_i_0_g0_0_cZ.DATAA +column_counter_sig_5 => b_next_i_a7_1_cZ.DATAA +column_counter_sig_5 => b_next_i_o3_0_cZ.DATAD +column_counter_sig_6 => b_Z.DATAA +column_counter_sig_6 => r_Z.DATAA +column_counter_sig_6 => g_Z.DATAA +column_counter_sig_6 => N_4_i_0_g0_1_cZ.DATAB +column_counter_sig_6 => N_6_i_0_g0_0_cZ.DATAB +column_counter_sig_6 => b_next_i_a7_1_cZ.DATAB +h_enable_sig => r_next_i_o7_cZ.DATAC +v_enable_sig => r_next_i_o7_cZ.DATAB +un10_column_counter_siglt6_1 => N_23_i_0_g0_a_cZ.DATAD +g <= g_Z.REGOUT +un10_column_counter_siglt6_3 => r_Z.DATAB +un10_column_counter_siglt6_3 => N_6_i_0_g0_0_cZ.DATAC +r <= r_Z.REGOUT +un6_dly_counter_0_x => b_Z.ACLR +un6_dly_counter_0_x => r_Z.ACLR +un6_dly_counter_0_x => g_Z.ACLR +clk_pin_c => b_Z.CLK +clk_pin_c => r_Z.CLK +clk_pin_c => g_Z.CLK +b <= b_Z.REGOUT + + diff --git a/bsp3/Designflow/ppr/sim/db/vga.hif b/bsp3/Designflow/ppr/sim/db/vga.hif new file mode 100644 index 0000000..84411e2 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.hif @@ -0,0 +1,79 @@ +Version 9.0 Build 132 02/25/2009 SJ Full Version +45 +3235 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +synplcty.lmf +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +vga +# storage +db|vga.(0).cnf +db|vga.(0).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +75b23e99ee7fd7794044e77b9ba64bf9 +28 +# hierarchies { +| +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_driver +# storage +db|vga.(1).cnf +db|vga.(1).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +75b23e99ee7fd7794044e77b9ba64bf9 +28 +# hierarchies { +vga_driver:vga_driver_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_control +# storage +db|vga.(2).cnf +db|vga.(2).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +75b23e99ee7fd7794044e77b9ba64bf9 +28 +# hierarchies { +vga_control:vga_control_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/bsp3/Designflow/ppr/sim/db/vga.lpc.html b/bsp3/Designflow/ppr/sim/db/vga.lpc.html new file mode 100644 index 0000000..fa19f6d --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.lpc.html @@ -0,0 +1,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
vga_control_unit14000300000000
vga_driver_unit40006200000000
diff --git a/bsp3/Designflow/ppr/sim/db/vga.lpc.rdb b/bsp3/Designflow/ppr/sim/db/vga.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..beca4931e94fdbd973efe91fcd422feac0bbd99d GIT binary patch literal 456 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#xBkCZHxD2w{ZKDzotj^6u`n_9S0!F( zGJWE;GP6%kev_iUyV#EOwDYoh-HGXpna?LaSKpCv=-c-NA@lp=cdYi%?A-n#Vv^&f ziMEEn53VXP6I>Z3c26K--i?Nvs}^lOwPNL@1vb%BJUw3NS#f&JvW(#Pf63*Vhww@6 zcnkNda^1~+U#7mC|3q}!quM;P=zfu!jVlFq+=eP$5MFPq(ns^s=spOnM= zCbnbWqw>>XMzuaxzvcmb|Nnmlqfk;Z1CyG97}FyK@#Q}R+|}i;SA@%l$A|BqaK8TE zoD{Z|2@e=1^YC1Am?hoe==FE*o|w7LCg<1XB{QBr*RYCFlKs#mhaLkX3zZX>&&#H5 m%IvAM5N_rRVC5I!UbLcRhV=gf2f8OV3TkX->i`Ax|Nj6AEw*L= literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.lpc.txt b/bsp3/Designflow/ppr/sim/db/vga.lpc.txt new file mode 100644 index 0000000..9f94739 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.lpc.txt @@ -0,0 +1,8 @@ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; vga_control_unit ; 14 ; 0 ; 0 ; 0 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; vga_driver_unit ; 4 ; 0 ; 0 ; 0 ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/bsp3/Designflow/ppr/sim/db/vga.map.bpm b/bsp3/Designflow/ppr/sim/db/vga.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..140de78bdd1b16806221093dc32f3278468f253e GIT binary patch literal 1080 zcmV-81jqXi000233jqLN0AK(y00011WpZV>fD*ylh0002K0{{R30001^000000000u1ONa40001Z z0qj`GiW5;3jRWF<8;6CuZ~ze$ySnCbDYS?pvNYaY<0pcI{1Ac+M z64&BFLBXYh3Sv7TI3VIwzFWEXbP?i0=}qE&@TjWO=bk&%y)Q}Ud7dv;DwSX6s#|L? zEcn()@;o40 zAoJmypEK}Av%Nsj>x_hTiD<8-U=6JCB#)tzB`6B0t_=5<;Xqts>wBQ})_-LK|hC?!l_%Txg2uCavAaVM3 zNj+!7)k8*HSZ!x38&@P#QJjHILvG8g9|UY2Jh zNCwS*i**qmeMlDJp#eii92iOG1n_j2IW}I^J&6wyOS6Zt62w6cXk;Lcct;KoL>Uoo zWsVD9A2}_!dMQSDR|muhGGCb!KxQX%LZnXZUz7*o$mtazbviE#4!p|&;z36mH-OGA zw(@|y<9J*s(;-93E|k}?m5YL{VZ??GP7q{zOgKPpv$BKSMcF~cBrh9?G+~5zj-3UdlD50nrPzyUHWeW zHRr%OWg0*r`Vmg|Luf*gKgsnE>>c0poPHq<&`OUYSp1MG;dEPiRGJn<8wpd;ztMtd zLHZTY!$D_3XF+G7Ujdy3odunR|9lpH0{{U3|9AnCWMcpVW*}AuVy6J#cqji*KNrWK z$oK&NppbZ9M?Xh**PwV8#}G#+$6!~_`57vVAT10DV3L7>2}tt+F-UKKV^D}^h^N1w yOT1AQ$WR7`7BC4>CJAJNlzDi%xVZYE+Vt^TaRtaI1_m84$-r9yzzd#H{Qq?*~#Afth44?bIo;fPH1o3xKT<;bi?}kC2{@vm4k<; zlj{dASut@gRc|L}doBqnNiK0opyVTbvsuK(HiFq`Q5s2evxBL5CI zzTEt8tQP%m^ds8MCU4-^07o1wsHmuOy}L=;)qcDCD?Q!a-@mAN9zTq_eZRO*GKrj( zf%PlaO=WXV0EJxCa0Pbg$DU8y=GF#m2oyjD4}Aos|&T-q4@s zm{k4(lizR11|BoZp}*4ot@DsQ zYXw=d%d8ZQ9OSQhZlh2OUB+Yhl?_>UOWJ@9oadEONf)dCsz`Y5I9z>uDZ?W<9A=i zREcu8K2c5}wkJM1p4_e-EhcdNg=nxjeKTF0RP@01n_cI)DhjmMDsi6|4(NB{~h|j9~m9J z&tfsNnZ9ZJf5MC=$M-Ned?%m~_T1A;FvWMdFO-7Y}Ij?OD#A zr=?niXNUa8*D)tKeQAwAN7nwmx(B&`WZ`(q$5S0wjiD?Ut|Gv_M$+SYAqxoEeN>wi}5$T>Tkx8{}C#%R#??_f(etBBdjX z{>akvnWZ#cr58WlA5TRh^{jOGKJ>T2Pci+3ru@e)EG{mO;xZVB)YeBTFIvA=o=LIX zT%rwB3j75SwfwQI8gERMd)rXkRQ81cwJg2Qkl@OUU5r7LAGY~8 z&mJ`B7SF9f-dcyw*1wLk)|TzF7^Dvy#!lJczq-oN$6O>9F-TWnNnbGQh>PuNbUdA0;? z>GPCv9G$W(w}QU?9tGKox(|00)rs(YZIx^@a zkZX^_R`Xor!rBc@~GTg&2G+s0umnE3>u*4;^3K(&#c?W{5)q}9!d0s zB=1MhR06;t=BxR*C@MJZ;;!(gVN+$!?Ayk1jf*$vKdX_Fht3PwPFqmU26DKASV$h?>)h^e^dnvO3tXj`4^?W33U$StTvVN*2R(Jloq9gOQ zTYEztdidiYgA3g0^w|HIL*EUupre(Gi3__w-#XghGV-fYvK*$19#Y3Xyo06(yerS_ z;v;9Kw0_@dau3^~yMFu6CDPT~4Vyf+OuU_i!Va;{24$=X9~1dg@!toM1Z%BWq#}Ts zKCJ2`%UwUu`XtHN3bm}1gx{Yr05s%uE=9wtF$b;n!4fS&7rASzMdm(N_Iu(*e5K|L zfnRR&S-10Xip|a_tz~hVM9?BZnqXma&EPFm;5=}H4U;^~5h!!H+B1YCF0vGH;X)eR z8U~mJ9iRPC>t<7CmSE>8Y3qBSI^aO?o!5_vDqhfytY+m|p?O>?C?rGFW26-Ga6L86 zU3fGrR#X|)DFN@Q}+K=)|e( z3i1R>I&&)S(b;%$S90^b+)+~>>QU4ms(C5U-Zt+(INWnSGWI-cQiY^;M~3>t_7_RC zid03_5CTW-L|E0GLzp2)bs5$`losG-|$pUj-#p*OkE{pWl0nb(~4uppyqE_R> zBN};Z*%ROqQTLd=2n_DU##qk@=tH-6l%%RE78Gzt272Dlku14UY;7c`CD`G&J8rIKG%gNsc{P3>vOIZvj+Uc@JRkriB~cjtDFG zkmgAjOZptuvfh(1oa@AQ(f1v`Aet4gqo3BX!A#DX&hamh6K1Ae26C+ahy!2Nwvngm zzX27> z?FN;J^3Oz-M>D2G45?e`mrP{opuTbjPzOnfWT~P3+AD!x^v^UCmUX@>r~U_Z_Xh@Y z*O7L(hAYU=#9)S~ikAX;{YW|qft~4=v8T}o`hFi%Xf}#T$kP?ZwP!pAL%Jf_<+$vh zzs@$sBL?ur_I&2vlkIWYzOTb|+T$`bJ1qmKwKva6+!MzHsqRxAJbDy)Xlj1OfTA5- z@N~P){Z=-PM!y+188Y(13Ul3u!`*xq&Pl|clkFy~b%YOyyZFQkc!Ko#JLD3*xLvP6RVa3~qd4%p!Wd!hm>d3X(S^i?l8KpVBTtf#A_rxG_=K`)*y*)?Kcq23qS z$&rz_mP{vS+2HeYyFZ?k^eNkymurA-OM6Q4b#L#10iiIdA++5mMV`F<(weVB;K65_ zhB=y@+&SqWatN$LZRA-bNd=>xFkg*??`@4JebvByx8~|FQI(GX>2d0{ts@Gywq+8R z?9L2x9$DzsrEIhIUw#9HALcx2k6ieYBI64*ZdSfcx|!IX*VIUiaAY9Qa!k`cZMLb_ z-i#RL$=hL6SACMpW#aN~o3T@HeAu@OrOu#0F&Rf=!NVd^MTD#}*7FfMaksvo+g~C3 za^4}E;Cr>boJM;Z9Tt(s*=XZ=m6h!+#1)>EDMtRj*~;22v) zI6SX|K}%3Es$-tZhA8DL3ArIFIPp_bhhtRO7F{9}dG66etzPnE;>=zu8uIT$?4YGv zs(Lr|KPD;|;r4f7Fz^>fZE-&U-@<{Wje6(#j#`I#Vntnyj-NhP$Fd8|`U?j2+zobz zeEn@9K4*$8)$dpkX6>eJeUo47O`vyr`u(BEu%>CZ&~KcF^(#ZD(T5CmXcB&y^`SJ6 zO=sbVPP!&v&5}fpeCxkw5%p^2;Jb+3a7t+r?)P;pu&XK3SQpjmoIrOvXq!~{jC5>k zb*gtf4vNmY4@&1{5IeLL=VqW%f`18BEPqnU_m5p|ll|>%)M0w%F>3kdeW9n#au;!e zBL65)=dZLr`~lEQ?Hb20KY6Bp0!ip7kijSOzrxcRB^ltD3y%pyT?4r1ee;oY$e1mY zDOS=!oba~tvLh)j^v~yOX}YdeYFBV*rjC-iq@M_1h3$8B1W3Aow^ypN{b$&}ILtlV z^OzY<3vpoC`|oK+#@0ml7;x_Tme*1hNiv%=%Jb}|!}^`hkUWKxg7xLNu&raVtTfF| zo$(lw(ADl=t6|aPL!w{QwqG`ZcrT^m!W7p&_3K8FghswgukxTkwm;7~Pxd$zkzo+? z8brK(!i5C6JpoC)<2&|m{MBNo@MrkzKPd(aD}s{h(wNAhE96hPYy@5VArneA0*T9} znKhZ3A1yF+8P{;sZ1hZJ!`-eqMovB@I^b`+bY0;7{_Nj7+-)c5S9RYcN;ulBE0G@w z8r9W5vWkw@QsuNioOoRx6ZSYkLHZTew*&N+-Woi#<TFvQIUV#i zZ&NSJLRov-)gXBDTr)eg_(L#2ACbQgBOCdVaQl$Pe>(&hGQyjp2X?5txR+<2Z=xih zc*tm2pm3e(`o|wFJh(cN9G|tx%kwSpp5)8^JF9wh|E)yGYZ%DcQWny=#7aEyAGu?n z-D!#rYqYS$pC0r4L+iuMXp)`%!q3;)JiFjQj{NH+m(BRMM{9;#IvI~WG%lTevINQ|8gupdpUulEpc#)x=I-Lm$=l?n6yuO{SeMXouPdaS=pL6GTy%}BUwSX#e zQ}uqb%V)l3QrHXQOc}Q{G9H^*$W|hqkAV3X%}$}ke)8deFo-Af`=V%adg7X$_!PR5 zzx>lwxokvS6_I$)re7X$T5tYg<3Bd+X#HF_gWkPOZFC5#3iy%cvr1E_=olfqK85t^ zt2BMHb@upDg&_L=V7qmR4n>4<-Iq^3s9E_V-+cD)l^L@7y}RZ{;O0*JuKc)V4BljV zC3do`hlNoMJRNzw^(z_%!G8@bAZ?Vx^g~|H*V`-FV~4XXLwWVpngTZ zjhT5()5aSaOx_CO+LvFso4-9wyQ^NT?XXs+YH6xb6^rDz-`3cieZ87udSF1CZvmt2 zAMq~I76QJ%ii2Hk!}hs;CHX`TEAg&j*bU^g?PXp$5T?{n4J+S|uXty`!7%2RdtNJh z=4v?^VS(B9ImuxPUa$M&lI<5CWKbr}#XoT{5 zHz1W9{k;F8NX=i%A^)H`-aa6_*6LyG!#!rB+BD6rJ_R08Oj5rW~ zpFGQ>7@LeMgOtVqBNU5Gh84|Vpqf$srGO8YoV<*vp_+km(gUR>la#`a{9Ki>`HN~b zf8KWZp?i-J%B6{8?^P>D%7@?F7!U4pAIXz!^;fJ$9~VsL%Dwv7i~}2zOGwsax6=et z%rXWKew_zp*W^C0HLNcg5WTFenLp`E2Hg9(gF9bD8Ob-^Me*+{Jf71pdWW^D$d^)C zu+tHR^@;~f1D@xvT2nkpGVENM*m{`M!+V$c~vM|few&2-= zzfb^cRstFV!p+%jFypP0CP~Q|CyN{tmdDGVVDOCLBsN-;R$VmE$dM}{-t)LK>>Tu3+_`|pA=mqe zW)~aISYu6o#3+fy@^t?d5)?VH7VclT$ET*+Iux4Z(DcISR#5Ae!uwUtmv!GpOrp39g|&pevAc?oVOAWP(mAj$ohRs+TJCN$O9> z)bB-o?Nr>UeFkH{q`qD%*u&(FkWv9BCdB>k$m;JY{k{ujCo6bGtyLL&Kwg0eabpUM zDC2bMDQ%(E@=4Cxb{}Jrdpu`#wk=$~*DLGzC_U7Eb+OY0%EiHL$$?=7UK-bKL{XvF@ z!x_icT^X#th-OLx<9X%eZK2^2Sfe@BEm(*|dat~qk%x4Ni|F&r1nqpww=XpZ0+sV1 ziT3Z3{$K`cIZY(S6BzyV%LZzU<3L1x7myblraCB{N$u-9CSMj)5>Lk{^z$`WTY<)j zr*Ft;!EcezOi_BPG=}ywER8-SCZD+K%lseyus?JN*aGNfq-sdrt;9jlxjT|-iBD&6 z8ysWJAA;VS2x!X}e+G&`n8!FTuS;skMA*i> z>1R~#DPGr@#6aegB*X!ed>Qp8CB*#4UcqF#G1*!HYsTsI#kj!R>m|c>EOlpJyMma- z8+&ORauBN>+JBkd^`XUIt|EvZBn##aAn-PDm!b0+T z8*WPApAe4}4-#^NMkXnsa`KOC18%303=Z0@LT;H!GhJQyLjMjlVXuVGoMVzaXszM1Umh@nlC5RZ{iW~F23yuF zr^)p7zBqTZX7%wTwjD6_N&gjFGMYlA(F#T@1Do=8Jc{}z4zTm8(ZhdR%Rq{5kkt@Xq z>Nvbr@^emRihARl`zpAKA4D=`{_8p2Nb&|u_`DzyHr#3XR2zo9+iysgbRyDLLtKBB zr?H(QKoQrF86Kc{bKeI^5GKMJBAFg7R~&snE|#{~2VE88{us7iYJ@6WT>f0UG`$%= znc7a(_zi1=oYIEXle+K{75H{zEfa(BRmb}|!Oc$^nE996xEDsK6}nC;s}5wyK~c8O z(}E>6p`y~C5<)Yz(eBSK6^qrQGKBXLB>d;-g_a-mS_>qPh1D0u=0+G0(79kKs9~9u zd|z6@qX__d5t#KMczQ0a2rGcdZN6ggVlkb6C$CxOq-tI~y}c@=_d%Nry(_ncdctio zA~h49bdpBik|oM^!f+>F)xOd_5Kp?`n{~NU6c4N>&tvbPJPLGIljotaBBpC!2K=J4`{4nXGT0ySKQ=p=C4{``m0hIsfu42`ccWBml z9)-j6Z)_y4kb)(kC)V$MY6_HV7dbw2Hfe5p-gh{>8TqOy@80Lu_0K7kK|-d^L9$g1 z#bF8;D3c+p)?GQwXdG_PKc3^$!QlHYD=SL?;T&yCXfoPs8Wij;E-hA#bJ4isHhar6v!E(&6mYs7_Z$uooC03vSZ=4zo_hk0hAwUYo(|#1XSd4- zFpDOaD}pD3Cb4G;xIu+r*#R#w%gK2F+{+`fZp**s_iO^~k92t7Drg#nmV<(6G=MrI zM-pt37ZVY1_~*sAti_p=w}y`Jt$18OZnE8uY+=wuoJkX7Z025I?@9*V=@^@j{eyA^D|$_wug_L#Rl|MQtG)mxt{*(o#N^H6$WNajp1iDN31)!mDE{3UtBt5N6Sfb%x~sGMFFK&ak%2zt`;~b z&qh&;mf!Kr{~NyN^^lbx<{)zl2@?#GLf?zG3;I;*d%A|u@&c}l3MSO%{XYM#BNr4Z zy}F)eXlIvq!X4y$Vvk(h@S}&m=3Tkwfwa;^$f^VraMaU>J-wZimB!=j9?&(VEnxj% zjC283d(N<09t%h)Vf0v9f4cHxt1U)xqgsO)=<0ULSUkhkYPY^|&*-xg;K?!erRx~=mF~{(T&pwsWaKk*VZWYd(6{6oRqWP7>Ec7Is7+;ptT-qRiiv{&9c1LdfF^~h z#Z>JP_BmZkz(q$)fAQ)l3Oy6I_~Hx?!;FKXj@rgr$~bM}I+}-O|(9r+<$GWy?BnP`AB`3}3yr z`Q8gVv#m82Mw0kWsgo1$qm_g!TTFruVu==T#!HY+g%A|1jk2IWdY9gyr#FC1(DO!n zODhFR{nnlpS7`sd?uDs>A^ba9h8dHfFAF_Nso{}?nx*&Uwpy&7lM*Z+fc3MZBZRs z!t$R7#MvLs-?62H+7c`U*i15~w`G$g>I?>+ESWVPAXpDv#^Ri3>$&cXE_9(TyX713 zS(Rts*|{C11YIZ2@SoPir>k)9N|f|G{GIeS6M8tY&@KIG&ju67;n3{^zs2tzR%VVf zZ@wzeEdGwR7g!qy9BE|;LGi})YOjz{g&M+k^s*W}U0s_lh^plJ=S zZ1zrE52Z9tXzJ>ZSmOp}hD7<7eAgt7=mWrIGXeKlxhA&`svS#=66t$-Em?59{#gI( z(GRd6b zHEg0Ltw74Q1P?`JUC_DHar|re=Fg?tQ;zp(1>{}#e)l3O&mGK@#>y*4n#%nwx39&x z_))2|GE&T|Ast>L6(m*nvdp@IF_? zJ!9)WTTRcky<}Z29)I_t7j$He>ocy16Wz+?{wh? z10Hp!jI!gs-P=z}3zxpK|Iz=l&;(j$Dfr7S-J9F1-6)!)@%W7>&D)Jn!@KM>%&P}# z`wg?qU5YEmVm@FhM-S12BS*voPUF6}fG1;#)OR;ydhKwiv{3n1K1WtGz76M>rM<~3 zkO72~awvRQ(Y%kgKnC&pvUi)fWa%n=EsvQXowOLoy7D>Tl|J)GYqb2#Qsi!cNzS{n zS4Ygd#r&g$QvNqf0bzD!1%3m|q7lso_ktW<4$f(jzx&T{$P_BZ$Ag0s27n-|PB>7gE1H_Wpk3jq@5+Btfo zOSEXwS;s3~`Yw7b@JR)2=EaZ3?U&%TPn;rrJEShh_~o=h<=?N3_-PJLtY(rmMFQxJScPXO1gKw=fWx`ZIbVJ)%dVd4!PK0wtzmQ%!6B!`NQ9q{Sw(5Rtdwd!)X;gC4SNoA7h!VV7L zLcd;F+eT%uGti->pbO728IWhcmp@!K?(GjYW?Cg#i!Z|7?C)G+%xaNqEx7I2)_u$Y z+XVW9rvecqtydy!@V!!ujL`uuDIAW{%7#x=B5esAYc}n@D-^BfjLv~{+r$FVs-Xw{zP? z+07?n&1T8NCbe&=lpQL8Z(m$Ns-i0nLiIQ+RX_C*?S$2QhkBfuuzkh8q-7ot(Ehfl z|AgsEv?Uu;uXjMrA(oH*t={S=4^0y|!&BH$UvD{kc#JMboYMAq6Si6Ytzb|S| z2Bc!J-sFTFAyGLUiPjj#OAX+t+srv+$f~;dH|v4mD6C{6Xe`H~2(#L!t~`=xNw~!S ziU3(?p-1S-oLXr(+h?H~k$$gC+se>3$Wsr0I}GIf@6+(&o!lNZO}LL6F-r$Q=H+mi+s%1-yt*EQ!@o&qnQ_GwB6 zbY+{30Xl6sA#2dSgqSS21pW{^*}3<(opOhZnH<|l%7*?WGY+UuXbXDgLMsooJ*6KN zI&EF-53w`Q1!6Q&wWs*ariSx~NXq`?FH~iQZoWEG#x-@9g6<7SkbCNeYUv2}Jms zj^^nH!5zL0Pbx$fek;kbNe#3ckYA@`qrAM@FiV6=hVlGz}8caa~S7XGJGRl z_L(81BEB!MW6H|bzj5i}0n&1LH)d;+Rpa3O+~Uj7P{*<~g_xFZq^*AkJ22~{z}vr( z(>18ut?&C%1wkRKOQ}q2$jMnzl!>f+YdSn24{dU3zW~cJXEi7E4oL@WP8Mo8{h8MYD?YW=1ur0b1mBO>+tm&$DQ_GH^+b~ zThKA&d7aC)jUB;*dMyV%%_jYW89e%@H;Xj;`d64jLQVKJ1;@8=Qn%zO9IqMyUG5g^ zm)ea6kTACy1SFqRSjK$Fsw{bd_R&A49r|hXiUxR>W+JpCGc+njuZ)^ z2D%4>Vc?{STf*^b9Mju!Y^O)fl`_%HX39~sGGfl-=OJDW5%PjIZB6lBz-if;duV2S zgJZjbt8rv;^#KJfbCTofNtk@0W_f~q8)+m&VNivNVDS-R#qd9G*KDXZ+GgR<^TS5+Q#es1X|lu_g4Erht&!?8oZJK)he5rR(W+oEnQIVYsBEH zSJqW5ks8I8k^3owi?qxqWW|9p-;RgA>fKf2aDOhSk3gr}mKKvowtNOtT;?ifMh&GyYA`X3$8$|q*^omtYk$*7GIOSJ zutRZjfgKp2TtpPf)@vifw+YE{J1x1<->OmQ*%Cz0*s5 zWb&1`J!$cpiUE+s-mbw;Yt#@3v!S9AwN45l>Ru(bg&82|pi6%G$}(5pI=Rol9Te6B zqQNvbqk})=8Y8;#xaNl5R%q|7v4M8$8v-o%REn%4LSEt`8%S{+`&Z)>Xg=al5*mjb zE(d4T456FWwg zW}9)we!jm7LfFFWOJoV=HR|spw2@*87x_jBDx*vTjC7BV|fTT;U2-m(RmH z`zVm^=+1pS8B;|Hg$nr2Bd+;<KleCWhE~g!(HhEDgz|YF@+rO)i{$_Zyjtj>-8A nEgFytP-%F1!*-c0qL!vf0mLUFJ9#O~LK32*N_?O8-_ZXrN4ghL literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.map.ecobp b/bsp3/Designflow/ppr/sim/db/vga.map.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.map.hdb b/bsp3/Designflow/ppr/sim/db/vga.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..a3bbd4385b58f758e70412839521adc3639970c6 GIT binary patch literal 15623 zcmajGb95#__bwXSdSgs%Yhv4;*tTukHfCbm&cwEDJ8zOZ-}&zEu66#n=XCdeYS-?k zcdy#jRb9QR8W{uxq#7O+#Ngk~{7+Xmb#k_}wmy}SQVYr$)L;NmRH0i?J;jvDg8goOCB?&cK|w*K z+M+JiZMb`k1PSL}dvkMlv+3!{yoD0EgvAMbV3&OvxYPepDAziz< z&DdMio>c1^w0@J2>rWZ-sP=5&pM>E|q6W9Ry^nq>)q(8OWL^i{$A!9yUeI|SLE#%l zhSRIFn~N(7%Z-Glx>{>Bb0X@Ya29OlWo#C;)2j{yYVD(zXNX7Rb_fln`dbNV|675)m-+|Ip4yqp16*>No)KA?V!h-J=_dG4X z!4+_kCBhOtoCR=RjfHvIqgk8PJ;~p4$9F$0NY9ui(o@tgxFdU+=O>>hS;m|y>ZNvO zEiGHEig|ZtTQc9$jVlqe)lVe*P5n@+J&mgsvbS)y`25F!$!`*mz)9o^qQmx>HHEN_ z+OaMZn+b(`QyuE*6ug*6_z|D!-^r#-%u;OVtQcox8=3J}316HCi7xppnx@RYY-sZ> zZp;}j3kr+5VP!^1CM;wO4u-Uf&=GxYhJ z)9(sZM*Qh@Nm6cDVcX!3-?Jx4M|Jfc27lIr7io>(gHNn}z5l__LgdLg#ow`kzS>+w zYR;38UYmN(S^OdsPxI$0Nw!IN&NN+}J#(^YHk@LKaoLn)+>xwR(0*g-@cv48qkKRf z<%enst>S|bfQcXSUkSJ0-yF(R2V}3Pme)30bt%>X;eNk3~Fh z9{5iz%C`8StT$hpi`m{@T}*&-oz-@y@0_F4{c<}@1vTIZHP^D*|A|E=G|T@Uoc}Q} zJ?>=l`pf{~9!r3Av80=B%Bw zA6iT5WeSXk_w$rm+@Wu27ZJW;IFTY$pE>{IKyDa(rl_EwDF9K_e`X{QQT;0OhBM&vWA~FA-9BRm7gbguzN=xsl`4NS9-*(L@gL~5nkf(8b%u@3 zm=$vS^5RVo-FqGV2D8kJ+7iT2#Kqju|A~*L0O9NSADQ|X1c88mR!4wZfd0Rp2@7~&0?S{Gp#oY}lK>*;{wfg*0g?o`zvqM}6Fv!}5 zphUT#GeWg*z@;xbr*D+!?`(j`jAE#>%PkxTnRF6l0wi~apZBP?nBrJ?2CfAh!}Uk5XPoYl3%Q( zgRn@PlvlM1qOrhBFg5qtK2=&${U)1+1@su(EGsH<&6YA(QA3e5*N=c}S8r7@&3%Zn93DMsu9GeV>*@zrE38vr z5uSVao^UQ6{e8SxNuDIi6gUm+w}rNjpFTnwv?Z)vGe*@o8iC_PcH*z!YTtZif<~QK z>`1-XCqh8zB18&=Hm0f_@9yE4q@anEobXYN9{YRB@eS1ORZ!$*XZfTs10${F!+sIa z;){Y3Fap-qFWxv@>#MZ3)WB=5!={(x9C|P-8+i(l93ZMOWcs(?g*CXB99h5XrYX#u zdU=eVM9brTVp^*@*6LzArl7lPGgXM*Er~(e+XGL4 z0KUzg_Ot7oHEU|LS>Hy4jbp={E`ZK=1rUK8Ux2iCk$_~Jog4!c=1Cf$j3x77Ve|N5 z`M;DWj5tF~?HQKksoXFtqoXUMJrGO|D=K|{N4jT@d08;Xr*v5JcC>Qt`Yk1wo}a5$ z7`Mr=>$3E&F0^+Lt@D&&_?j({2>ZEFjF5vg@C;QSdekiyp!-XJ}AjpK$g@dLs`1^;+nC)Q~sEEws>@ZV~ufw77~K z?Yi;E9K*^QJAHz_0V683vcYV~teHlx(MokZNTpmcQp8HAnn2I^m8+xaC{M?i=2WP_ zfMHY~3p!dcmV`{SG(+r93tAy;DvVr}sD(1Qa561wmU85*YOSK>hZ4=plfh4=Ukb3o zU*mEp_ZoIV3B69}A;8pZ;=|fa2onDz3Ya%qPN6Z~c25_&6=J`8HuH_!sL}T5iG*$G zu6@VIp#FM!sY(w3f*Mi#AUBRNb;QKv#iJH+fSxFHa(ej9nX-xWOI&U0P-M2pBGWt8poup|})-ImE3mVqq zr$(`9sZ{P}UMRCC-HsVamuEn8DwW0ylD+cXrG&o6sOHX=K>KOaH0i}7UOsvijgyX}mc$|&V-)g5 z8U~N|&trFYEWC|wouvV9 z#KN5yR_QA)IHR6Zl6dOAH6m~R)JP$QK%p#fh~+MMd`N)-U7%DJxX5z1(B)ChleSp< zrJ4P;a`*MV^naA=_aoN&`Tj-=xU*CnR2KRL?*_3kI=_k>S)$))EVL}C32VQUVKe3a zqg<=NX6DkSCU6U12ycE6i@P|koISJ86?MZl>9XiQ{KmQ3uq}-JhtL~Lj$6Ng#!)~((_6y+f?qBPL+M;#wG*DQK9Hx&gAY9xL`jL*w&F!^rgyt z_ChRBJV_1er=7i>wXv*Q3kU9?+J1I+4$hRe{;mwSmlxa%iVpMvTel^0JO~*`SHLZI z>o1UQl6SY*`4=QhjkztHgThp2=vp4*6X7Tx&)W?d|gy?`GB^kSS6Y>clJwO%i`)#WDS31lR!5nr_)J^Q-lnGK^42BpG@{T} z5V(@dT+b3*UIFJ#QGHDH3H>kkSP2TbmSmc-Wzu5viQ_6=>flO^<{a@Qj~%aFu0!<# zcPKt@FPbj7a@aCNkGCOCx2p`YgK;usP}fLYQ#5B)S*6aXZgf7txItLub^*?QP1ONy zsn25Z4AQ(_5qhaE4rS|pq`0$_e8hnIW#ZfgYYz?iugg0&bEtI#k`WE=-_NNlnlN;& z}7=p0z&ZP~r#c=HsVwG`cM# zP0WtG9F6QI^CqC~of~t+I zd~~9nk^RN>6srUe0WsJGQ)y=rT0}&gQPio$Y=RXF3a#!(l zbZeeQk*WB(vUat5;4d>1$#yfTNh(9U1oLBUH$0XRkZuYiyhp5p9b46-77zFlaYOf< zxk@wl7hbv6sCFW~U&E8o8D4W$M#mzPQ^ihqLo{amoYK^0{DS`hssDiT{O~|(+`QLC z-&EkXs;kiU2cDuii$%T_Iy`=N0ooownmR!qIhAH3moWB3b>sNE@-SJ#S`;v8G{e$uqy&7=b zSM7Ro*M1%Vs)}1{H3KC8Uv~o{U))#S1OJq1CQO(PB8GTPdWZ#qbjx(h2cIe70J-F} zdyr7E2?*|$;$CgKpL-Ctm7QC3@)uIO=B@mdnJU-q5aaexa0ZzEj?oZ!s6QgXFV^X7 z#t$*>v6|{HOVxK$uk{ zk83Bgs5Y4-DrF(9O*sx`fP1AJh9l>uD60F$f0P|jRDbwoMh@w%-ZaG*8~w0=&SP|l z&8Ix#-I^x|Q1@KQfBt#@`QQ2He_bBMVTGN@Y@%JF?Xi4OXMV*oiEL9XoouhBlML4V zh+L}8no(*%*H;Sd`duc;LBk+P^o{-Wy6OkA?RnXvr?S0VlBCLs7op|3PWnHkLp1B> z3ipw!wlCE? zJAWwm%De2K@zKDf<6esO>TIG{t=VqXZZ&kZpIR-?#K#2I`jk80Y}aR#p0xJ79~CNv zR?3A|3k7v64kgCB$r#80*H~B| zK$MftLE-4TdK2FS1a-5heG;^vRkR|;5L8-JE1209&X&slqW!Ho#eoHXYHvb%;o(AH z9^JWGQi#`p`@~q2MFW_zwUftAKXuroO+iXQ^4!nlrAUoycFPi(SE3ijGAb7=O(vUf z*;tOpy>W4za|NWtGx1BGo2ipWR?1{?^|M(leQd`|?*40t*;si54($8rKLF~6OPTJN z&DN?Rs%D_!-~E;1T+e2m5S|L(5a`c59i_gP>Enk(z7NCL;xjy0s&tVo4E~bp@v&y7 zyp74-U~y1CIBNf-c5kdZI<9Ul3XY;c@|Gm?Oe?PZll5EdT5gXeo zq7%M`GDUT2rHoGKl7fcZ8P_)jRgt+URq!bi0k7)0q-k|$ zMQteh*Sl?^LIf*CSKn{=Gx5}844BLw>D1&6m9B+_iJ_cHU)2uRzbw~zDRc+d;-xH) zt)0l^6Ky<-4KbZBWD^;3B(Xc2^o4Bs1>{`X3grl&$9B-0+&|vo)q8z3G=s$Ar9h8= zgld*Olp`keH|XOY?i=7RW3p(H$%e}2dh4HWekh+Xq`BI<-MbTU8q?EX_w5inxEz*| z)ZpAv4x?a({S+tcpP6HuEVr!8Wi!4{S%3dq@|V~zk0rghe7vl4;Gpitk(Y!bx3G^~ z3J31<^+{_@3yv-c0Wy-Z(1GhKVst>VE_0%Ql5L5T#F#(RjEZg~-ycrl2rhpZmWY5E zgFi@MMrOL5<^(Qv0a3o>O5EXx?StyHf~q_?mrj5&6%fi_4}?T=u_6au9|wDPiE7tD zNfGG=@?Eut8q4DcVU`dc_kJWYnv468Cwh*CBg8s?OmYM%J7f-tY~8WNu;K~ptJp4U z8lv^n$XV;GZU0Qw--mH@r$?AyK8pZptB;#y3M^Lyxv*jxCrE#!9LiFT8uKy5T|wGG zV#+3;%g85FQ1d*N)8GoOiC{(|6SXiA!yVjA;?@i#0k zop~cFI*1eM%$e%l7}OY@Ju-(c8g7k1($SCstzBMHZXy-#^opG1MISr02Q4u9!@2Cf zNZy}vsh*Olp7G;vjpI0o)1dR`Q%pttbhedX5^ggC^1GrUj{;1d8w%qwkS9vi&YWDH zf4Q-8#)<`?DepHNcSZd=#Z$Ga$9>Rpf=gPyK~97+XWn*2TXdo1O|+5!6-*zJvZ0zN zXDsNVFOu#xV7y)H2*2EkP?a0HA_t&04Z3@4iybx~vpYr}G~iY-$&o=Nfz=g6;G8(= zw=2pL|0jo<=ETpT=w4Q%`BU>Kr@)E7+=)SvyHahMo#uqjp~%e_lS`?SUn{j;EET8L zDNmJqJ;ALG&RZ|EJ5nAO(@sGa?}tWgP)t`0mK)fKXAjJ73^9>(0GzB zo1~;%aPsVZJ!RM|m|XL5Fo$f_t8aEeNls0Fp^@f^i`+IWmV-X}P7eyji7_PN2q$W> z=gqeeRIwZi7$l?A5=NSPME@ewxI{&%VjpY?G(E|UsOu6N`5M^1r}=aNo^%|W`ieMB zR=Gn_h$tLW%`dJHCWzLFQzRj(MbfBih32f}*193gwj5Fspr5DgnzVaE~nkhu}}mH@r*;nyiG*azv51gTz_u}&1P#o*`b!9=jnmyr+XQ6?-7 z8T#PVu4910&o2lbWRMw+Ygp>>Ps7s=(2ie*!=8$5P?j~iaT4z$lKK&k1d@P+V~7;z zPJ7b4&^Ev*SL6RIkG-FBR2b?UebDMQ2`IcionQfHx`FCkc84D)u^0VW z_<|gPEaJns|2LvH)&pvv6U9FCRcwfd*bn!PA>t)CPK6}i(yPL$q3WC&HyI@D0~Fuv zjT`(FBoAFR^+l${KSZieD}qr(pbfR73kYC{NdKvMw ze_l18IL0gn7ieC}E{eSEg(;jf4$e*Q9k)&r?gdUFldd3#3gOn;jkfWpT!px;AA`5} z*m|@lK&Bd^V94&p5L4m7>vm5^1;I$G>+OMN`?GZ1223HhJR1+1yR-^$I(?hG@YH+| zZ2s0fU-8mU-UCNA`9gJ%)B5=PuEB+jXx5_TJ+>~gOxVQ3dh#UPlm-D5_seZgrDf*> ziobGW36w08uGoif_|{kJaPZiA;BA$ebImaV-LL7FzAk_lS0WvHe6AOVPe2}hJk8`L zyNBfsYtD~9%kG&dDP+99`J%s7#Q}t?DZn~hykzPo(ja8G$c=cA_;oBUaCcz~62 z6Mta8x-$Bia_HR4eQ?KxwU-flU^sg_ve-v7@M<;mJ5YX|)8TQi3kiBh^;g`%23JL5 z58aR=Xa3D?b-j!ueuVS&=)s#|1S;YsJf7VTf)jl)AGG}ol>D&QhX?@47n@N}%%{|l zZv>-o7w(;B#EkglOZ$vO7u+3UM7Gi@UcJ3N$378p4=5zKXTS#z^6=dRQ#bAO=XR$26%Q!kv5Hhw<16p+wkA*qKQG z4sM%2DPgDw2($l?HN1hI7!YZQk(e;{^(Mky1jx1Tiy{#83Np0l%WjIA7sfmISJHXr z#|vQ=wC%2tKPc8^Ausk_Z~?Qp+H6b`cnR_CCmrB=eb#&)Yx|Iy=-6`o$HIJ>JMlwg zAH@+77JXe{i<3DPItSgyh(zMukD(JV%8d^#u3l{6rbyRz%(!qNV}C=uWZy%moMbol zxP^fSvmxz$E>O0$+UwEwHTyK-@7e-DRUo}1e&E47(t9JZ*@Ur%38|9XOa5g%lam2jVDl6?~(Ed`1jRIU?mI&aVL-#&Z# z0L&O$SsDJ1bEE=i-XFQXsVk!*gKVbQ*bjNV{%iNJr621~CjsPxGF*GrTQSCF%Tl<; z9MGA5IFzd4H)fJaIK5zC_~{2QN!WhS*?)>nM`A?zBPc~ct8ER@r4e`n<%}uT-M%S- z(q9wE?Q_{PD}e^!O-ML9AOYy9$7#!Ju5zBh*ZrK4vW{nQ@0Z>Qdq6D}K7Hu?&^g#$ zo}$uJn-$)np1>i$pr&voQjhRK`O_#cVHDI+;|yK!5=P{>h5hhi{k|HJ^@L-o`53R- z_%In|l^!c&VV$M1+3xvrqq}LmCRG)(I3AWx}|SA_)j11ur9^4bHIdfuPWC2 zQNwI4$kiF<_q~YTlgQUqc-LNd7c9)ql*H(O{or)wMqd8*I#;}|shRb}rkORP$3*SB zt$+zVv8k)=G~NW-E{b}ZASMKtXQ&8n_F4S%@(3vtMH8o{FLT8vF9)qa{M5vharFJe zYQrXPOOW6j_?272{bK?F2u`rHh0WiYa_ju1w9$l|QIKse@9RsSkDRk0xB#rfRu%CN z)>TxL7d3NA;;!U76czoI^#ZLTGB;2&nt9e=zb+gBDbR#u04 zmba;D8QI%&gB#b$t5S)gaQ7h!l7 z;lB>QrMHQv&%YI6coN}9jOf(sJ=Ji^CAxPND1sCh&YFbOIAPKNIxdNM2q^UfxMwK=-e6zi(+hmytaQ5`yQ_ z(2jNXe|q|eY}LPUYnE^sUE^zKZ=j}i#BNPRuWm)J!ow&89~9&DWC;{E@3rj@ov$^@ zH)L*JXtZov&z<>^`vy2XTHDy!TiCYjgw%kGPq3JRZQvh*maWkPm$0VzR{DAg6ZBmyGOW zBfn1W^URtz4cPS;CQaBncIr)1cq7qxCDC{%$%hIdO>~XCX9d~ypY~g7gS!+7pwj*R zV?8}%JHn^wdk`}ccJ|gXk{OryVD;y&?fIdQ{uy%j0w}Eqmnz$U^_Ggl+#mIt4p0g$ z@{nfjN6tJ9mwgr~dnHl%Ot1_nSdDY@Ez$q$4NNEoCj1Mw1o(c-v#%eyYk)%oVYU7q zFEjqZ3i|?bCO8b|Le=TWOl(a~eg=BPC}@i>!l1oL&F(?nP~BMmMZ4b^CCD1uyS3G* z+)pZIA{0hB@OLu7YpXp#!D)!uwh0IOs#7OE6VRQHzGpmpWyy~`|3#z+1cu&Dz!3?+ zV}qrC!hRw{Qq=MY|FdwO(HnIIJn);Lck@*3UV^xd)B?&55EVQ1RE^k(m$h+`6-70CxXkHt7COo z^s|pBS7Z4RHm`%NwT1oYycOP`$UPRt_UAQqP9BdcE^j+@EH?Qn_s3raTk>Bi0LM^( zV=18D){Ssy`!pQ5nmuFdN8WoYa{cdU5;?3MtpzQ-v94;&+VMbr313@O*G}cd5XO&Q zLjE9$e<$(36CrFADbXNo73qT=K!3Hiq*Iis>0L;-{Z@!)1eHH4F8-Ge8J%}K^h{WBBjJ%N? zfZjDrU+=nk*No(&ww8J2@cesAkTDuH0GNmE#}-lM*;;kocv$nGU`C;BMl<HzcJ8q3`an z;+qb*6Jj(f2h1Uc-0as)R+a)_X2yCqD-T_IllTP=!R+~o9%$d%h@2vcoHB`=+KKL| z1rDQ7l#TPpd_hs%y*sz_XEcBbweKXHuO#{pB6eUQtoLPMo``bGs0Z4D^{WRX8&DxP zAB`P2DEdTihJQwsAX{Ha1fKDnd5Ev0fcm{j`C$9Ba|C|uy|RVJ@&=pF+lUa>PHuyb zBkjvL%hrC*dM_fs9DVN)RBipn?gH8-T!QrfM*59O`HfKryjtBaVq_qf^kIA+=cEbC zK{MIiOuhWT@<4?Tyj^tpP1G=ktaTYq_peKWiP)f)`IPSHmi9Xp+uRqs1*mM6-M=vK z_V`aAuVH3-G~xfFq=*2ek)T5kW|XG7`En&TYS1N0gkxnwar5ESa^cjH;ncF>hSSkM z^Ip*u^SnGU|BXC&yM3mjJ+`6?FntV7-AMJ)_oAhT)671OS8M~y!qd(ws09vEiX;H5;x|t2Cfwa5x3=fVzbJ_TiW|` znlbLLhvo&OnFGsVHYRlN{3uFljlSB0oak&@^Jlu7>t8Nre)9UY1IzL|aP_bB%|~1C z?=$!--7z!5=!3mNrpv6cN|6Uz*xQ1#V_olFQY;6pA`u7m%V}SK{V0k5MDF%?WCqJJ zf`z5?zQ9*Rs}!bz91+@$23_^`$wNdTIi>g|5wWZxXP$@4K8VEKi)>$n<6nhi027Qs z3{yE<**M2&I1apev(Ee^!HN6X+e*75e!nhE>6mm-lV5ZBr&aq&b`r77AajGFFl5{w z)rO&;;~h6WxZWD$W(R9wJ=5$uS{nQI6jHC2qxFPaZnZfS2@EC!6Oum@RDcO8pnHA^ zn4iA1fXCX)ic^_T&yy(L@s#Vsx)8?cMlF_rk2Y<8dW7rl~Fj`@w{lXF*k0>y(Ogck~;6{VSD z(z2ow5c|6v)&77}TYL84D4I9XCY%lZE?IAAK@fr`eE=B~<7Bb9g|nlFt{%?oWrVrf z%xbCx7Jc{6-vcWvO1ZqBg=XtbS?5yh?^6q;uTaTGi4Q3|7%E$*V9=TX!97HF^)+{m z>9dRi%zARZ2eaG3Y@rQP=t^PI4JI3YM4`CS-$j%q9|Q2 z>Yw>m_%on-w)9D9qQ@71qx23*Nw3B1+q@4S()w^07pM_0!KjVFk)&mGFKA9IdoC{($|RA9^tv zy}^9oC#}TdC81i9pMr(GL}xd&mecmVl_lAUW}c{!hDcvu@kw@K@7dBF1eSg$Y#;|S zy!iSm^OVF>H&oL6#x+^DoiQ}ACptN6I)$}jUMWdP_=P3y7q0a9Vp>qwHoh`a&bmj4 z`wDcy zBK<^&QgvdCQ-yj_nwFrhz-IYPW~ZTJ(K$qwxB%wncumfNJfj7jYkIyRf@1q28?z_nXMcTfS7+53Xe;R44G)?Q|WW~I|XoL);@ zREGxqQB$aDye3-2b!efF7$I%2^%I2kAG0+a7MtAg^L?LTd|e7;pK$nGu>+=Dq@OOq zU%3g}HnZG$U#O!(P`Mj5Lbx7_WA5$-Hv`NgeesBQ{%p6KVX}PR=Ckef87_W|*ylwC z*~kt{h(G#We}EmBup^zf!-Pwp&=Z~!ah`eye39aZmsb*I1{F#kqiog;3jkyHP9X+HY`b)~`e%yjw@^0@VnB=*Ni?uDUX z4!RTDW%|S7AQ0+P`QPWgrr~Ta=KKlvW3k|OyU;;WAUCuCS`!b#rdoj`e<&4P{@@x~ z@Uzxz2gbU2!dF4kv5b*S>SL;;JM9^5f?ipPK&VT6QV*_W9BMAyl~aj#>Z7eBQE^G) zC76Tyi%Q{_+GDrxb6`lrJz1;=3i=;Z-&yEVwKY(xfj8FdI!vnk%Q*I zt|KmopG9D`tt-18;HC!|{RfywIli%M&zsaN0qVc*!0mVEgGxwYPXuk{egG z4&K(O74mO%F6L3Kzs8gGZ8L`Y1g`>)xACAmUE;wQkb0w9;i&ywnQ=|rL>eSM%8r#H zeo(KiT(34N0xq|$P~Wc5M3=i0nf^}(@}SpV$P|{-@}E|#$dM9!URYoc`Gk{}eLi!+ z+;|zz1R3!G{&~UvSg$8MuS8Ja0{B4WK>xsCe~Q;*Ud$3Ihikt=kuQW>MyFeiK>zyS zUNj%)FJxtmvfWFDaNb%mcb?bezVFmv0aPD7Z4~k_*n;hg-IXB!{9t|XuUZ12)vf=* z?gI|+6N!J+!ub=tglckhtr^KP?m^$_Rsrmr7U?T;*MF&B02GLa4?O7ao$Jqs{#wBY zw(t6H_1i;#<01Lsy>fEDR`2>R^q=5=F+=;?-x9gsdLloB1kb$fBqMzh?g||3-XMJ~ zAbr{GK6u4bF<-)+?-2M*i+u0x-XMH6B7NcB3Y_l-+v>`YDTHk}Dm$FEL;AS(FdQwe z<_uQy=4Cs3CHu3JGKAb))j0B-{QtAfALZ!hqH8-1KNwt`W@^S<&!Ly?{{#rGc5 z-YFCkUpt`wEy4ENB1*q;{i25Ekltp3YqvMb8+cE2p6SDRPX=-x(6TXVz#Qv?zqM{V z@qr4#y^H<5NWh}tZ^&C7e4ptj0N1O5Im!RN$hhvWcfbtOEvhgBI3wM^_4s=2RnT+e zgqLQ=4JkNni<74AQ1So~$C{o4^g@ph(?Er+B!nood{U`1%uj;P+?Jg7`pD?gs7oI3 zwdAd}@>EYoc zT|N%;J8Jx3qq{H3qK0Vrj}CR$XH1P}m#0Cn=ILnK4y+A~acyWZlACL}(9{;N?K8>? zr=J@90V-AFlora`5tRw5g9U$NXiQ3NDasS5e@Z&Fn@P0>CFe0iTL606+7SK&j6@OOdVf5PCMQ& z;~2u7mG%lvR>J34ZP)h(pBlJyFumx*rwCzBd=-kR+T2-g+h679Vk-&VpHId_~cmeOjg`POa+W9=R`g278a=hWkCTK4zV zlxL3KXViO~o=iNLw|)~rO#q7QR)w7)zYHCkVYPLmdM3kHI|#LjTIXnK0XOVVK3iT~ z3$o#@vGg1r^!nL5Hm_vwRM>J}?YtWqdllx|pR1=*97?^2`ZWSJ9(cNoGlbyYHZ?1a z1q;b%r$suY|CyKA32U7bYnOg#p0o9upIwRa4ierZ{8D^60oC&cBZ71poPoTL_*Mz1 zOsZWMb-~-VKt|PDm5TkLFe1D5xO&RL$I($p`RXG=+k={5f2D)(N4!hjWy6P6R>$Je zh1*#fKo6Vt$+#_9?8{qAVLjSQf)o?Yv6c{z3^PpFd=Q7b00#E5#srQ>@iI? zeQFNF0=9xqvRhAynF2+jWHwU z@M$1Z!)L)WB-=OG2h$)GzS&l`=CdOde=bY?MHa`yKK4MuNR5FQq$dA_js{Oybk`a3 z8`Iq-s_Mwz{>5ZmBHyv?<&$+BWDXCr!&hUCDXXh9!$=+fh{yC3cH4@9?gYuv)V%}J z-#+W~p^{iR-JD<+hp!Cv(Xf$v1RsJ5XA-wRu12J|Ep54L3%wA(X*IDzf;JhPsL=4% zw-b{DKBxVpduvaBwKU)f=+O7Fh7UICIIdreJD$b)Fi85gWklf zDBl(i@y?6u-LWU|LGq$qV`C}p)3So%zX4Dy$Q<9u>|5%+N5|F+tjcE`-x2rnh7VM? z$Re9JuR#U!t#6}cy*eFo-=yFvtuT!~*@X zHN64>FGHZrCGY}7BrmuSbo&!ey_?}6bHW7>{yJVZ9((!9AEA8x{5EpEeLG`xq(4ig z0A1+q?%D@R>9Z2>tc1CO`R%}gXVUfAhY-MPc%Tz=?P5N%P@Dt<=PzsHlxRDW*}tAZb$(2Mz`w(`82aUMT(vA~M^+KT(;Q=2*k|@!(;mv`e`)a5v`%IL=e_rS@Wap;ND>Z=O zp@Pe3l@mr_IY5vsu=;!wzdxmse@<-eAe#lk6{!|!I9_R15eX==EJtvZ%n<3?7H!tA zhP5{9Zv1RT?5$t0b=9(KxAAM4n^@9k=-Kp{7~Z(Vq4QsVM6Ss#ovAhH!N0iM%VS@u zB51c)#L|@HRy2j>B6XHL26u@-T|!zdOWtVCZS3(eXze?6$e_7J4pz?#KuKVg-wm7O z30@a&NXuxhaPau+wOsIAWz#k7rM}z z@e8xy3{CyFjP1ziIw3mjO<8D->@77s#NWc<1wLt|#cl02Z2V&grmp7?!ELzBSViRT z#7&R3cifDB|Bw-eb{&x%nf@9{%EORBFiy2EQ`_#yo8Vnhi%%hf)1IimgIYX-l;)FM z>yNo>kv3FTt8rk=`$jC=-mAG_EA3h-0tQ>!gLe4C<;Il1Jg2-@27XrujYM*t5WTCd#4iyr0iJ2Qjs*b|UU<-D-*yU)1yJZxD&7SBmGhMG}i-eRO)q@As8 zF36?RmQ{Fm7@99IQf@ERqk&cLqsQs)(Ch0@X&>%O?bE`>!T3 z6}&()t&DT0WLe>~m|9W%*4JV*BoBv5>(Q^m5Pc6s77tMu8WIST*^Y}LWCU!ifT4UP z_mv!lTm-UjtcNF`z~=hC;VnJv=Nk+|5!lhJ?ad zmWtn-lz@FM6^hz5wt7S|N?q+n*)|vR*Lwru2xz z&s7+@xQ`@s0nzbM=nZKFkwG|i>l42!eq0__;7lp1e^7M#4ATyy?$G=p?<*|pt!W+o zq!}-`B?*#l-z0f-2aO*_cBVz0(`63&+rvRWN;P8IVP@`LN9{yakuW36ik77k!|O`R zp*1lA8yZnSdj5Fh41psJm9Mc3V+op;RDrWKEjEM55tSR>v>=Oas5-cPXnMiVr?0hd z99i&4nllp4@2_Kqi2(Ierja@#*{v#v;QraP<*H{GDp8-a-z3hWKX&XiTTgGsZ{E~` z!LlaS9#w<#y&|N31ctnN%GHbhbH#{+nSYbQqgXs+3^hK$zSctMZ$`DrB1!2C{)FMA*qX@y_axwetE*GY$e2ApC!t-v0wo C{`H~& literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.map.kpt b/bsp3/Designflow/ppr/sim/db/vga.map.kpt new file mode 100644 index 0000000..3200b61 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.map.kpt @@ -0,0 +1,1250 @@ + + + + vga_control_unit/r_Z + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/b_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + vga_driver_unit/h_sync_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + vga_control_unit/g_Z + stratix_lcell + le + + + vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + dly_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + dly_counter_1_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/v_sync_Z + stratix_lcell + le + + + vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + + + + + vga_driver:vga_driver_unit|vsync_counter_8 + + + vga_driver:vga_driver_unit|vsync_state_3 + + + vga_driver:vga_driver_unit|vsync_counter_9 + + + vga_driver:vga_driver_unit|vsync_state_2 + + + vga_driver:vga_driver_unit|vsync_state_1 + + + vga_driver:vga_driver_unit|vsync_state_0 + + + vga_driver:vga_driver_unit|vsync_state_6 + + + vga_driver:vga_driver_unit|vsync_state_5 + + + vga_driver:vga_driver_unit|vsync_state_4 + + + vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga_driver:vga_driver_unit|line_counter_sig_6 + + + vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga_driver:vga_driver_unit|v_enable_sig + + + vga_driver:vga_driver_unit|hsync_counter_8 + + + vga_driver:vga_driver_unit|hsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_9 + + + dly_counter[0] + + + vga_control:vga_control_unit|r + + + vga_driver:vga_driver_unit|v_sync + + + dly_counter[1] + + + vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga_driver:vga_driver_unit|hsync_state_2 + + + vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga_driver:vga_driver_unit|hsync_state_3 + + + vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga_driver:vga_driver_unit|hsync_state_0 + + + vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga_driver:vga_driver_unit|hsync_state_1 + + + vga_driver:vga_driver_unit|hsync_state_6 + + + vga_driver:vga_driver_unit|hsync_state_4 + + + vga_control:vga_control_unit|b + + + vga_driver:vga_driver_unit|hsync_state_5 + + + vga_control:vga_control_unit|g + + + vga_driver:vga_driver_unit|h_enable_sig + + + vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga_driver:vga_driver_unit|hsync_counter_4 + + + vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga_driver:vga_driver_unit|hsync_counter_3 + + + vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga_driver:vga_driver_unit|hsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_counter_0 + + + vga_driver:vga_driver_unit|hsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_0 + + + vga_driver:vga_driver_unit|h_sync + + + vga_driver:vga_driver_unit|vsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_2 + + + vga_driver:vga_driver_unit|vsync_counter_3 + + + vga_driver:vga_driver_unit|vsync_counter_4 + + + vga_driver:vga_driver_unit|vsync_counter_5 + + + vga_driver:vga_driver_unit|vsync_counter_6 + + + vga_driver:vga_driver_unit|vsync_counter_7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp3/Designflow/ppr/sim/db/vga.map.logdb b/bsp3/Designflow/ppr/sim/db/vga.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp3/Designflow/ppr/sim/db/vga.map.qmsg b/bsp3/Designflow/ppr/sim/db/vga.map.qmsg new file mode 100644 index 0000000..4dcf2d7 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.map.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 29 16:59:47 2009 " "Info: Processing started: Thu Oct 29 16:59:47 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga -c vga " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 25 18 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3149 19 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3424 11 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "vga " "Info: Elaborating entity \"vga\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga_driver:vga_driver_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 4836 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga_control:vga_control_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 4856 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "234 " "Info: Implemented 234 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "89 " "Info: Implemented 89 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "143 " "Info: Implemented 143 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "185 " "Info: Peak virtual memory: 185 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 29 16:59:53 2009 " "Info: Processing ended: Thu Oct 29 16:59:53 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Info: Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Info: Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp3/Designflow/ppr/sim/db/vga.map_bb.cdb b/bsp3/Designflow/ppr/sim/db/vga.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..d7b39c1c8bc311d82cd168b25894ef600b40d2f9 GIT binary patch literal 1234 zcmV;@1TFgy000233jqLN0AK(y00011WpZV?18vpZ-r~stIOUmL2mv?@wO;rkcPn1m1B3 z{H%FD`5mL8zKe*;%L@f^gEch&rBHAFIL+pu2Q$kS|uvPQh?LZDn@egMJ z5b9DMk!JKAoXR7_-%wZOa5dmP$g=y`71{xaZg%EtUZa|F7S$V~QGO?0Rbj3GWQ|r4 zgYYTfVZ66_o7kdq2k&v^Oy8m0I3SnDxrsd5SFOBX;=8xd{{!-_qyCiFR}p_k zO!K&nn7_5CzJ@$)4#eJ|aNiTi!;Mk2N$WFUxR9Lhd=WX^w_L;XQ_}ksN_V~UbSE{D zPtj?x-rzBX_@uYh>0U8aZ+EcwB<=Ke_tJ4P+*prQ?CUttaZSf{9XE6w>bR-nmX6!8 zU2LE3_pQ!$CrLNEgM`FDYqX#CF3&gv<)`wah?+H4WA^*wCOlrKXnI%1t!HJpkK` zk};MbPmMh?#EqqRfz~dB<+5kV6Y?J?pSNSvE_HBDtzRdOUroLp)ai;{)hPSb&1Jv3 zl>O>b_Nz{rRyuabo^-JJd64(*#e z)UbfA(6e}J_d361hehhJNF5fb!-QObR62d5WRj$CQJ(kR7bKQ;^kF(hMzg2Bf`jS7OmyStTGGH5Ja*e;{Q*jeX%+lMsvi1 z<=6ha@~6;nbv>6?zRDEBAJJ31EvIPW)gAJpI&nhP)%C2-k&yFMEDz(+-UjP6A|NnRa5@TZk0!|<10zs=0f>MCejp7}4^`^v8k`fYTmVs^09C^T zq+#ZIc)A8T2042~!gNH=)mAWM2CL*iQZEE#W4L2S&r>guVGIl`V3L6W#Nz{EkW$AG zf8TgdKR5q%NuN!*z%E5r#tT*E=Nc04=kMYg_x>3dH`o{hFo|jyNR@wxYmo2Z{y(ie zUQ#W&o840x{f|Kx=(GgF|?38th{OtH=S$3L~q4hw}@& w?;lveY7~I-u;>C?1oq#>ry2a543R)N9dvoH$0|A1{W-wltO1k<00030|MY)&>24Tm0BKOVq-%(wMnFJRx^oy(dI0HW02xy0 z?l}I>`PO%J&U!B1-(Gv~o9A86d$Bhe78X_o5jK|PKfU_L1`y8=@7-LP#Q6l6XVO(+QuK0mX8L~z#l*4y-NM4+rTg!K^#}idY7*oBRA21f z9KVlrN;&;X8Yt{gx{;t2W;1b@ca1=v2!UGR6#MTy6DTAWv$2h-Q3l<+*H4C0%xjZ` zLX)u$u!lT>)zv@wcEdNYhxjfstE+EoZZcTs)p4G%h0?LHv&{r|ESc+gY3koKZ`1&J z^fkZlS6)w51)r6H^$iU#PJfMzjO=%BRh#i4?9qp>=AMQpxKoZwqqOJ zLsK_`0|J67#_~@`4-xGsGVc$&tJK7y83VDIB?BIc(n4|1bDpDhGp(T+ z1mAnkVx|5ZMfx)I(Pc_<`-riX9Ez9-yuhp-oV9cnp_A;i0SI*kjh=l_c z4Tr3O5S>1uCdw$Bwdv^E_XuecrIiQNehCco#Cr4>{QyZ9wf7#tuVG zhDlrL+_3+@LW}YqF_Z^X^ZAH%_(x2KV*a{NiV2#8f`Qgej70d0hDpzEY5G+$l$=`+ zenh_ir|8IuzT`;0NP4C~^q8_+lE>@X$+9WqFl(TMKFYAAn5~`m<$c^U>9+yW&^U|g za@#wu7QpX}6&-woS4|sECbWb=g}rF)*ax+BNsH~KlNYu!*J2D9R(u1z^&r%b%Rd$3 zW=7ileJXKQe68bA;>C*tYDq-e{6Aus5%Np`imKXtfj~lRnrAd_DL?2K%2vC4{u(K~ znO>piTwHd)jq{&5w5V9kx9k%vz9=}H79$QVs4j5FZ;+OdQlsm5e_qnv_Z^sS8Q|A5 zyhY5KM#or1EbR8Q?-yf9n+*QmWexITzW8#(QPUe`wm((vf7xNS{o=eoAlGisceB>1 zzgq6ZqU5~mylrTr-7!%8`ed~~`fevswFTG?%4aDxo0ic?It}2iEvl_u09nO$@IMVv zVN=SP)sxW7CsxromMTtUOj>{Gm+l5myWf>vXzP5q3ZZSb7w?Sydic^q4Wt{N-TysW z{^=2KU}C>g0Bm_|Kei?l+-6wJd(Ii~o7w6M07{y`Hl&+!JZDv)bhO-cv?R$pHmojK~L>KE6VZ-&=hgI$dM`!0fN5Euh~$h+iq?3 znw$Ho2jwYWUWK2ypOs77l1bTw-ovxp+z#}~47M|@@uZy6v4EV|gCR$PVU@dL`n zoBr*Qx}jF~4=|YyuEE_1j%XM9M&5_Kh|xb|A0d6OI8-*Da4`I$^tS32=FWUc=l8jH zy&zxWW5<;M7{!o#o03Q$b_6uTkvA7pBe809kOT`l`Qj|6UgOdIv84PQ{qqpdSzjc} zz=BwC8fjcDmxp zDo?V5(9|*q7j*FMuk5)s6uOr|U*LD3k)dG5El5YwN$u}`zxji;R`kKV=4xv|PxFetDbwKwsZ9ahw5#nRsP5F>9W}s zG6tkwX{~*+70Jve!vmz<7H0~Bf;m@;OE-Fkb?!Kkc(9G}p1#CfQHV zzVL)sfh0Afn#@i(#6z1Bz(*dcRhAD{w`?GFv5f7`Q@5}-DpO}@zItkRhBYo1vc7ZY z2;&Fth0G_)V`iBjhMRxCF~~Zc3$K&0H7350RcwleJWP6CwRDHCgftn@rvOz|yIjrp zi`(jW+)BA+*3)mVq`X2C&hF_7Bf(lv7(zZr<6a@Dy)-8f*5mav?LpB&OboH#$I`tH zNMTx(ZImOu@}M9AzQMu}&W>h_KRaVvZ63^Ggl=54LvI}&Or>=>0o$}^HAmMmG%7?J zV|iYG^Wl;0U}`eSv4gVJr`qP=Iqb8b4|ILnVw?IWR#09G#!fjHa|xN9f2(>TSMF

y#K)V=oR&lIp5rU%ba%JdO5I!f7m}uDzCjO9 z>9p`hiv8=`A2>;iqmLp~4~xd2g8q^cz7B+j@>nj*Xd4yCq6(Yt{7k23Rf70asMZ)! zXcN)(3u6?4?YbLeJzVgZnd$PwX9$swy8evBX7Qu(aw8awUQm#?*+m!kRN%Ue;PJbfFPfoWVddeYMcD4lWkraNmIj{RGw3D5 zZAV`CF7Chuq)m(jL(EB4nkm{CV9Hi(91-bfMU3TXV6A$`q%-wk#H|-hZsOlT zrjFiOzFztI9nn$o*==uo5Uy(88+yA@a9kLuNGxnqG3`s_60&4h<>ZR@17P10XF$4&BRH#-L)^xw6WetuCbQ>j?~pIr zDoIGZArt4@m$$&fN1u-1PFl!Rv?#0o;Q|7MGKH+W2zq{pF0!$@!C^kQ9*CW2TV}=# zm?k#H5f?4;Tbr9Bp(;^^B(bd>B=f3bH3dET2}Up(0%b!M`aGnCT>)u3c|jbk4?xyD zIIIdAvtbZY(@-Y-X&EM*AaUOe+<75*tPZ%!fCic>wzIPSPKO-~pe`Wm1cJx%0HnI2 zD;&m%?Qt>kdr)p44x`kHY5Ah7u&M|16=TUN7fMxPwXR6N3|F4YuOqzks(?DD!88E# z+^lZNPx+)huD<7ccuf-NlWDsC&c*j9V4@xKIO~VJwg^6TPus86C|!O+98yVico7F_ z)1)~L23#?bJDRoECe=OK1$0Nh^#V~mO)#SoiRz$?O$z&&H^i4m6?ywCrjF?cE<9Gg zAh+?}+NY*yaX}<))nvT`@+cTTqG+{!IrKPrO)oGHxXpK^vg*p@3U496bNft8YUvM3 zKH&Ez^=>%dQk{9531-I4JA(?Io?UpKBfO(2FH^jbTeGNMN3t{z-pPgEiRLys3c5)6Tm;zc9};mv=H+QK*5J3ZUmI_fiLn{)2k!lh>{ z4u1fzxd8J7Y`U|XF5lGvzVF~%^7Mj-7)jb6Q}O|6H>%I9)i}}oXNI=CYt_3Dy4(h+ zy)FM*OgV%umUy0E!6sSt4|70hD{ApEruo-K?~Lk6kl2H{*c`zK?a0sfZ#lP|nObwE z$HA@64(34@g=Nqba&ayq^dr2G#DPhX+GH*!DWY`$!QOj@n=9+*bX6WNwogba>}5OC z&P5WIunxDz{K!%s@w6*adGbECXz*dOv>~1iwHh7}pk-EQfBo`eiwij$+6}IpH(3S$ zNIUg+4=bsJ!#dJn9XMe!@?|B|GonnH_&&U@i^*YEJ5;}3=nA;0bhe5=I?15=W%_S{ zo7y+;sy48cmJ$%4vQNK)XV?sQd{3ln%@&w)xaN5A|G#*!(n!S zc_vm~*{?O-hI}cJtqO{K88G-D>ZR=BLc1Ex1Yv|~{)b7?-52tVvXx|NNo&~_ElN;g z7Jl5mEh1KD2WRUn(ZmFdP7ls#1Ka-O`wM#{sg<0t-_qPxcreKhzoR3v*h3!l8*Q+^ zWVAaf+Gv*SR$k>czB0EzEhb2te8*47-=EPd>3V&rnu{Yy9Pzr+ti{RG(avGYQHuR> zZKGmsqZ=S=QM%3vG2LW#zJ-@#c#&h?_QHeA`>PS(S_%ZKrcWTsDBrj6#Ida1OrATkCW)HHOCxE~SW;o)eZ=3Fj>=_VLY9;F*m_ zFuqEjsAsW{+KkvnVEW>QRGzuO^*{hpR*^3aHZ53-AG4l*X1T~W%k-??KyfB^LpZj_ zALfujJx|VT9uAq_OF`2xefePZs3WMFMAf4=SR)RKVKH7*wOzLc_?1A-a3WZrZ?acN zyPQXM!wc34xsWqyi+yQkrYe>6i%*NIPC|>VRjlY&!bZZPX`#Fcs1d4Pg;c)^EEjVs zP1*cetmzq9grb&XjL;5hine*mX6zXgj8BJW=6gRWr@e94u+jf0KrwC^bn5?s%{r%| z60XFu`)t-QrYD`nBVE~ym%@hS$PqTjOtHrFBeh#Ydywt1l}?>1m1hFbRz-1{GvkEa z7?mLCSqE*^Rdj{HOj&<)f>dvq$S-7%J&<2I;4n=TN(P1MK%t(6DqCC?C_}sXz#0Fh zyhrx_4vf5Ce_ZsF@^-Ki&B+p#bvr>{#Q~Y@;j)@fO`EVoPPG(YS)HNc^(!-?yWoGG z60BBEQr9N)K-Rede&XaMFTt=dKM0|nvcZ-H3D#l``Y zH^5JX9HH%vlIwfR45o^Og7s(U)o zT83w_Z7d$b&G@P{GJlbR|N8ZcBd!Pe6lSD5V{+OWQ!TT8X3WD$e3ju1v>4(I4OQgr!D@O@k^nRbkbZTy7_q zg-~3dO@lIa67qJ0Jo3v+ic#uVeHIu2^W1LI9{~l4J%m(lO!Bac%bZY@40DFgYcNg6 z#F&PTip_UhGcy3^g1;O$#1-0{kA4gLnj`g&G2ee(jgU z&FIuD?bVjQ0{AsRcd@#nLvF6)FE`aRl}dyPqrC zSP9JZk>Z6vTi&>r?`*rYOf5?XV{aR2>87Uo>SGS4e$D-wTgRggC)LNmVZTC`-vp}X z4qWm*ETY+|f;l+7hojDhcV?$WManMM&mVpQw!h}s?&EY81zyJonOC@2;d-SXZhU5N z;#ta(9+I|`7WN8xNpTVOIQLOpyQuKg>;jk%xlE8BE4sh@cF3_&Ku+8CXEPS>o@`xU z9G^e$yNsnR{7P9LhsVKcEFWcOI!JR-0ePWH%jwXS?56GW&TG*B{e<*CJ9M-}?#R4@ zatsUivp|iQ^NzSkK;^rTzG}IcKXiE)hGi1Qjf`tynsKc~g_f7i7s9m@?IU?kPL1xM z)0%=i9*X2vpnP>#eAdzQ+TcteN$bKxVEyQ{d*xX$O+SB61~L4h`blHQ*y=$KDCC=5 z2vux5oER9+GfsT1Q<^|B@DT`J8m*H20UJ8j3(^C;rY{zfe~vW%xY7nL=zcY~741UV zeu@0~+sno*9M--FE#lfYp2B!p)^J^Z~)B+eXu{^2KY z(I4(;R_(zxF!EsZ^T@OX2m-m%PSi(A2os~t8>GEI_yuNVD?Xn!Ffrjy->mpPJj}bZ zJzG&GESgjgC9VRC){0zmpN;QvgkYEA-4A%Ud}O<3g&)yP0H*O9xm$<4F1#Hm2I2761>uG3mwMs|a_ z%f`ZOUmt&iZ}w6!$aHDTdGF7$lHr2ff_T}T^Vtx0{|P2A-n%%Rx3)1M0dclbe$-HE zSg}6WM`PMrVV1q*+{%@E_xZ?p(%B}e>|nNZ$=S1Zp}P;g+I^0+qTv_h`sw_}GRS4m zE?#tg0fI0YsF@z3$y`7UYiS zMCK0CB8zQCQ+0CgXp(EcY(&lP*=ZWPzOJ6_NB3cI zUrR~`P&7I|e00extK`lduE9#N+senhIsvd%}5H+653Pks0C)sKH&+FRXg4Hr?H?k>^4!f10 zaJH6?{()LCSAien@oR**AB8F7ggWHNSL^hC>Kq~(lk{Wv3V zTCuHpFq#AM;l8(;)<$Z}hq#rfwc||dD)kK03Jcxh>;19B4)ItX_<3bx0wBn?p}(9` zgiu{v@l4vF*`p30on-FK<=B~%6K=nY{@~$WeP+(LF}c56RDW|iH?p*L2{=3q$ZqcS z>;2|fzC{aQ%*_QXG&`XMu%eK!Cq4*-CIy|Ywd7yj4>lHKsbvzj;#mu*=h2Q16&bfJlIu#AXNMrVQ@=8su&WdX)mi(yMmAbFEOYM3m}>^sOTjgp zwzpIy$(x&Oo44JWj*+$u-0%6adA%3%t?PPcCZ|c`E8@$f;JKB;a5dBCGj!h$aA!Td znm=_j3FJavR^6B#0lRz9t1idtvj@$&S{3A+u^~`Hsq=&**$n;GTKn2|Zjdq9RBBY* zc!8&kE<4b)HKn8DOviI#;ms;M3)D~whufS#m_RvrEX^wE(KAUe^HduXT*`kvcjG}A z_%E#g3+i;=J4yTLI)n33lgb3dUtOMM_J79J4~&}dXVVoFxu|KraGO**`~HLO1%D1v z>izpvn|Q>0Cr#Cm-{ar3NXYM7Nozv?f?I66^kt@H{N4OzvW!ZSx!0TLHAO&!>;;kH z{yE7auT!~joT;wSgG(y6@bC?S2LN9I)t?yTbTh|wn%M~YC;Ah8_f04w^dcNbedQ+X zKk(;1Rfhla=x0)FWVk59Tooce6_Wlh&hh$*=7{ibZ(N8fDui1~NHfz;@duv1Z41-- z84?_(CC?Ep#qaWdo@tZ0Cj8lslL$e_MrA1Bnr5Wx7nM<<>7oUHanfK>;Z!g@R*qJo|(T$|IotTLE`aHNx!qSK?daTX+?(%G%C&{QHCrMgBUG!UC9!&7}^nbVB1)5`3(ELTK(@ z=HGAL!-Fq&VA_(Z-W%FJrAd>Dk9C5g!>@Hshjo~l-woE;+xw91UafqY*NV~jXutV( zBLUz$*>C=lk{S>4^l_vDIW05Zo24oB5ZT#xRAJy_a0shzwOdIbf9?Dw(>8cBCJ4gJy)9c@hX7Y68xNk$ zYQC3q%KX!Ykk>JlYfLfd4KPMiw-;nC7O`R;Mpxja27hH3j*Xr>bUi!=)f@(LyPHsd zb2oqX?LDi~Q(aDI@4N+mYL_e`>a+cpxr#bMy}z;PYvh#X8=#z>~TuKdJEvja2kZQG{l8N}z0!R{jY zV)+)VXHjc}D(k;Ici2g=#HHXt@MJuaMVeRi>X$v7(zD7iMphSh#Pw=wzVt+8N+`d+cM`#Ifvd%kOiRO?utDY&habin4PV8O zEA({@$@Z_@>M0}f8N3Io@q8w;Mz%&Pi9cfV#q4mJ6BSd`(|R%Oro&a!8)_A{NiA`^ z^v7PlZj2KYC=|vk+b$>(Z_nXdmRH6i@EM}#4vL7i$g=1goo{B}SpRG6>NpfG)JE`< z4)$A?n-h1%T0O*kPh~eF!jq8x8+^OVq|vF8gbghzib!vG8h%V8w`rHs;xw=(x+-+0 z#=)&YDUnQad6Dxbn3|QJ#jDotlYYf%IcD9)Uh#Rs2D5S2`>q66i5)59AdXE|YHU>( zZMpD>oO{<;`W6;trk!gx6)#Tr{KA(tZ?2M(z0ku7gcTDwYiZ0 zdKg7GTP^rf`Gq76%eHrFtLpBJamYO2T{gpjHMxg(PH8-6`eFAD!XFXvl@*l)EnV9g tn4eAf(7_0H%@Aizf9PgdDa3&$$_|7j#dz**xoV8`*@}{{fd4yT{{=wn_4EJ$ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.map_bb.logdb b/bsp3/Designflow/ppr/sim/db/vga.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp3/Designflow/ppr/sim/db/vga.pre_map.cdb b/bsp3/Designflow/ppr/sim/db/vga.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8b4851c9fe539e66c788ea757af482141d1bdec2 GIT binary patch literal 17009 zcmX`T1yo$k?>`KsxVuZCxVuxdOOay5-HSUcUfdUVha$y_yDsj<-QC^oU7qjn|DHW( zZ}ORBCdtjr&CET!=ul8l<;c)b29OUsgjO?kbh5CsrQl~_qY!tour{G!=j5PZ<6!0B zX60aG*_BNjzK)7Ac3F z(ESREkBZKilBb@xPtB!|s&hYXobNoZnyren*3v~vN}FHT)ZctxN}EfXJ32aiB>{l` zbfY)8TT&|Ejthy45o^O-59wxx*xyYo-+sFO%d|DXd@WW;NZ0Vr%gb;T^0VDOI}p}1 zy(779O?GqnjLJHElfr?SsAJ_KMgKuA^-k?HmB+Vw8MQw7j<;ry)8x4;i?%yrnRRP- z;6eQB6a(zydC`|50jKifJG0}{p)qSo+R|nE9`L<@@!{EN7=$e70WH{*i-T& z?e6WSv~^_0vh}y~$H#l-W6kNQWVzo|>Xb)pu~n8xasmoGV4UV@oEXtpoaS_S!|&qZ zlc5J^Wa(<2s;R2Wj1Pgq@Wd&o+>tB*J3m- z;_Rf$fD^o*)yj@pVf4!6&F3Uqs7GP$+~p(_B!AY0e|BJDnia%waDC~4y}}zm>$P>T z(;o-ZL?*E}Z5|WGGmd4mHyNSC(HJ}SqNYGFo{5wKp@0-$PWT(L7)`0j6jZhca5?6dYLpQ z9Z-W%-LP3GH!;XsUmSDuw|xwEU4+tv|>kf^-&Z zV8m3hPrP+Fx9JpKWGg{WmTU01c~XM$T|_vK_OCrhy~CRA#wtiBht&`F%S>~-fkSp0 ztMq^%S*&we=ivJFA9@r%+lD};vk0S)^v1%IoT>a`CZ)Yz(B0p*sp#roU$f>|M3um@^PUIse*!5J4+v=bSeHKsHX~mat^6|_`?YvJNwzU_+18*U` zI3p0dSGK0m!o1x zgs_vbU$tokNzYU#@y~zELmh7GP$L62=Pz|osUvx(asT}BBa?(L<_E1+{e%LlApXrK zPuJfWjX4c=8~*M}3Np`@Wtn7%*|>&W{jian4beLWwf`Ule(Omeofkkc+3pV`+>ERE z4wiTFW{`*X#PTGP+Q&2!igl=;4r;O=Z5U$+qnIKehkFT%!*lOgBw{(YiQIy;TW0E@ zjf~bKIVoryb@4*AH@j-$C4vwPyk%59;~vhzXeor!NWFb2k%LN_ z0+VNdFfjE<_KAlyJtp5uLsxQmr@NVbsLWU$MSmB}x=H7R*7&~mc#Q+ac%`=&3{kr> z+xrLMIH3*~Aspw&Ln`)PVPBQ%v?$kVpi%}d9d>FZzrT0I94pHKQI`Ep`)+__dn#lU zEy^ops4_X(?b1{zrXuQUy8kuB{MS_UK4j8B(|=8lW=OvhEyBtMJ{s^d$No>tM3V+; z*dok}{XZ?dcSDl~r0Aj43aKwy|I@lGClK{siRNijk7M<3Bp=eGCE=>#_L)F#+xDmL zR-9%QSDJy10{5AkE`9}w(#oA_WApbBA8id;km(gX^=#l&_pTrKQRH zF08KCwYR|m*7zP_y2VAnIA!L}BN(|;t5q)@P{nbQL|fCX@qO5|<-N((UFf|@Z#5Cl z*mRK<-87^s18-!^f=#Uu^-9#0VA!J98Rlz61vM=gMJEH*uRy+j2L-!rr~3C8D>u9P z^7?JPO&x~_Bbb5cPR+aQI|D9bJNGv)!s68id)u~BW^NWTQagzk7KfaSjIXn*sSL4a zf@@K0B2Q%3e#VC(C!Y81fN4+9q+7$&$DZb9@WK3}GNM+?&5*yL_Mrql=N)xoV*L+| zlZ1F#YHF7b8x_W3{2JyJwVjBCB-;YVUX!4iB-`xEUTfI~xd)^Af~DVH>>-))rWJ~d zo5qR5X8W@B#^S&xqsd7$LDrvC21LwG{%6qW-MK*ttd_{=3J>!{q3sI7D1*pB$Y0ik z<$@yGZAEk-bUNglc&yjakhcTvi(INUUq-|Z*cDgSd1&j3FTi&pK-hEYeClc7PGmcW z3O!3{?J0)Jyhqnt*SOBE+wq{)-5dL8D@L@jYFuFjX#1Fj@R#>xn&sg)Ow4lMeQo@5 zV~Jjt0^-5lrIXlWQ6LaByit@{-x(5pU#odze9jXuq1@x5dw^-MYs-9$~bwWtbDpo*mGu!Z;!HkboC{uotF|lbrXE;I%WlSBfWO z>cR6moBYeFQM$?I!ulEYR!D%_QN^9^Q7AQuIa|t|j_8a#Dg>V0HRaUx?UJrHx8k?m z2a2*KELo|rtkrDqNV+TH-;&6L$lPqd&b)`y1AJu@9e(bz!tIeS*e9e+_t}T{*S5YO%5KIP>z;y__vhqA$$E8*=iM5g+m(ETRD6*tb>LQa;K%;n<C^o#^N|8=rKg>rt;)6=g~rf)Ub?MttdGh8$$KMl%~UKqEv?&LvEz4k`_xG8b{FU zb<7~tkA<|LF{QR5x7{*#;S3jys5dfN8nVWzObme)nC(!e6j`3gzu5T&ev~vU>-P56 z-)IClTw4+igXqO-F%nW_F?QFe5wGDSel)S(12~&?gWz<2{I#>R?o?g<>R?*sF^v&b za((7a!=UkPbbtYXdmn0fmG84>)TAuPNBIJ5ZXgsHs{CP?nVZ0vOODUg9YU~1Z>{I4 z=e+NT@B;MZ^hziow`>Z(?v~G%Nu+XgPHvx;Yhozfl1rqzbQs^lu(Iwwwk_K;GT|Gp z5RvB^=YMjXEKK0=5`3OU>#Qs-(r$N+G82tj#pE@Vl}Vf#w``bo@*pixUf8f^d3CtW z&zP%Q^GO(_{&Sz?gU-j~A;+?LbxwkN@x8wr4thlBr@ci+V$fYV#9&w=4_4 z_U%}qWfRAJo;p};YdVj8%{DmE^(lzU|Js$UAC#J`&CaO)b@vZL$x;Frw6dzm)0lL% zkz=CL@5!CG!gBuhp%^P#lZWE&=+r8=YOOTo=;Qk^WW2lE8>XIX)Nt4HGfL=)3AL{d z%eaJN8@xeyRB=_sZW?f5);gpZagA#m@WZfURY;z{L;WSf>&ViAmX|;MV_IzV%X9G> zNYbUJl2|t>@yhP{TT@_^0q~1UFQmLgYe@Gp{#MEWLSaU&OKL z=Y@YIqqU%M7t295iMQKF2lglZ;P5E%U>vUsWTX;ELgKAu_h_)7md)qE#|}* z@qKAY!dZT+weurEd9UAQg=AZeDq?!s}eZ2 zSb{$EpQEdQy3i>2 zfD|Fu{s`evhnLAX{v|H9;j-Gv1F#F%Y}9Q3$%$&rxxBoFGjRBx%*X2oXU4`fiRdkK zs_I{DtH6*tux58p#XeXbi-vlc+d^GU(xNWEN&qf;=n7o2+S{5rL4-Y3s3+5&#hXsB z!jAk+C?3nmDz1kvw%(-(#rBKD?s84`gg?02g|-M~z%W2T7GJ@CVr?UMN&%JFFE0qg zb@2xtKTp(7Tooy<>CaKF;2t9cg;ErL<`|8BMzc`4cVf`$p6xTQ9=X_Z;kHp#eU{Zr@4Ey%sa3Q{}2SS z9%kc~M~C+@miRtig_biR`$BgLtzL}2?t}=9p1kOtFKNaauwFCY?ykdjK! zV5|kO9&cO+D2((34xwVH; z)H7m@od4k7`4Vv$_L3`OMj*zII{h)>h|q3}IP~RctEhNB3dWTY*7b>n zP`qrj >nwIOYCXInaz>TAIkTzoyr140U6i2YOK=7JZ+kPjVr6iabvYNCp3aYFIq zCfhRo4c>Uj_e?uS?A01vpgB|v;H%`l#d-C%JtOL>=bC->wU4sj9iQicFs*ol5RlcS z=9)!qUCK@tis0espUl~wJF2!t!JU;Yc&W6rWghKJozi(Y0N*7rLUoA*cD3gC+$Y}} zsNjaZ8qIL-Gl6zCRK4*Mn0|g4KoSW0dXw%7{L4hVioFte#|EosK;Sicobm!#IA1+3 zG00gD4_h7P3C~y6m4JdPtZnhotR-x(*RQLSrScYFxCgyi%DcDNRK)l+$9C)V%yGnd=?$c-uymP@`J)=K= z1*4r!n05;;$OBoG)^$xo@R>pO-7GQ8Jf};umP-)0<4#P1=SmE{qn#!DL*#_!B&D~BHQ_(LVf|O{58+? z^z$*b^-SB4yCu_Z&$je)*Ko&T{NZ|em0ki~e0^xoPFb_KpE^0bCDBcA<)UCmzD@O}@VEB2}lF7T|n z`zaZccKbA~>5S(h1|fHUAf7=ywf)`sM6kXh?5{z7{_M4IE^@eQ|KfrJ%!aOQN!#d6 z&$REZ$WTkqKff#;{0M4ngZ>Ff-8jL?L?c_ROb7yo<(s%;_bLXr`4`*+<(6mrCF4g& z&MWpd5<{gtVf#c;l`5_-Ymi#CKdjb`p0}@bm-?qPU5otbd}8T*lDU4=`MX$ueU9gU z=K#A3LEJc7mpp;UT@h}DRVORR=XvgUY@cjQz%9b9LF?Y4es`js5t8>)8!H9Yz`vd= zgDjbA4CiDvA#OSg=RR}tzyd0<{xbOU*8v?5*yh}~J}`4beH)4g_(ii58Tt&J5$TM4 zC2&rS>7~?3Y3z5F3^)GXWrEh5CeHBi2#X);YQ4pa-O6!k)y8gwsYlgygV4$b^eD99sLRZ<$5@*eFUu( zu20mer+}N|Sh?$%y|3&@PmY#h7 zx)J(wbh>XxOnH*nH^f`rQYz8h4F&R&PsmtyD%=qb3`U7I=XY?)UyZBKU-2H3Mu`~^V z;IM_n9XX|=T5}%3Jw@q^Og&qhTsxbyUPQ%TT4qd{qeLlhTQDJ@+Fqr?st9^~hsPwr zI+S*#I68UL`OoI_l&S-bj6B*J&JhPZD8C~6&rXdxu~vxzKgPd@g7)QN42T%KJ<^8XL1# zzh`sbyEJy81k4d)SCmLNYGqQWLeKgjvlsD$+eO7rJ8!wLFwz*;iJK0VTEO7TP^7Z@ z$&^3qXY%WLC4sh!`y7Y)2_+QjbRDhCqUtxS?PjJLofYnNj5La&x&fBC@L)Evt;$hH zZ{`}EEz4otrm@;#7gvdt%WZ)#5X36`$M$2MZiQ0`#hgXzPMV^2;lK@Zi%uoBBl0%% zoz2YO2MDSWFcW@r^yJzkGKWF)lcZj=!o#eIW(JSm@uf(Y#p_bX)=y}?z1+*2uSO@{ z>K@HwWRCUN(YxuB+&@0+8XZscgb4T8Iyf|#tYP`mf2J$p>!Pb*@1^1<;&U=S#g76E zvT~rIZ%Eu7bt+dk{~27YgH@nRnkdYXKdsuGyu0<`Ifk^r*GhEiLxM>xl}uEipa7i1 z57$v9b+?oJa2^urGCK)d&%#hW-DW@aQ`}Afrd{u+Stmty%K*PP_p`H`xHL>JF z?J2NV=T7XJt4|uY*A8@=FmB~KL<6-j!fsbovY|-w;lX|zGj#od4+=p@ZY)Q(GOE9| zny4gFciB*!M!x$|jFrqI`8QLgq@KGIoMhXg{N)!yhBG*H3#V@#ZP%}z`D4GhQy6*6ODyZ-keV!c?;Mp z|97-iXj#Gob($aKS?;n)_g$GnnDR97Xwjn6sR=dpN^&8uYbVw^YE?F4|r* z37w7rz=Rlf0q@RrM)d*guBPkX@;gu)FbNx4fbOfTffV45%)8Uyl$?8(AZ=JKFpSCG@0Gk8P7F{rg(Q&@jvJDy~-raZ1?@1{E{C`-H6$Lu=iFjWmTy!%9|w?1%fP zBv`gJ(v7woPso!$a@)iG>CC?h8c7B!ZXJuSAm@^#gIVhs*}FBTDx7k4k6H?JEqu;C z){*G#WHm+89T6qhhTdG1XtXfc5s@mfewq#Bs%p&g*TL_^torx!SemOHY&N8^06Uqe zW4|kOerx{&(6Z@mjRc)M-R&Ntn|EjV9lUp+b>N8h@Z1CS)oI**f{?EGQ z*)9EPQe~12={3Tzx|dP$T=9riSpfkq`r9#$dB*2e4dj`cz+%`wdp`a{(kGvYoM9R7a!-XE{;vRJoPtm28ujc44tM zpiqjG3EjevoaT8d5-BeI@*a6^*N%7AYl4dF*M8oMihq2Y&98s|IE=|V-Nuk&K|@`D zdDfx#A1SdUxxd{;Y&cocWc&EGo8&#E*@$^G(cr21{6c-P{o%7IbCqAa+b& z7x3>B<7d>{ehq69c%iw^t?lvn-OLzI_Ouay8X+~UE%D_M{i$5xq zc`L@gV#)a#te#KFo->cie5tyHmU?t|J+M(A`fxxyeJ>s~IT@y7k^DMqR*f?&-5`~XW8&tzu64NQ~G)o%&HO>Nv~P)9@W$CB>OnidGr2L7#T-g z%anyGoNmU2x~=gvyF)>PBExT>wI_hAG(^2f)_$!)Pq~RI%x zHZ<^@|7XCKTd%@{LS_vOhi!Q_7VLLoC=t+gzt~c3cwU5Oz}C(qKnzzOf{F^aWhrRZ zy&em}D(WS8P^{63iBOuY@*#3Rk=gbuqDKCwE2eJqWKAHn`T?cc60))BysdmDsGN-D z57EYixbToUuN0c5brn@^Q!ZVsR+AQSrJhR9tkC;e=_N>6w=QF5hAUwTO`p zu`OJj*DJnS?!z@K^L&>yUhQi&8BI@Hh*b0d*M-(V`oY`i)>UR5Y`{Wl!JjltNS``OZc+bkgu<>b6h%D zsG%2=AM%3=Op#pnFz?~CfWRRjD_|WB;nt9hvrQ32jplw-L>O&6JuEq?5S=)br^<(M zOL;o0Es_1I+EZ8=6Ii+w<9ZZeSyR}tYTz%_N^v+nRh4{V=Kwfvxe$4tW)`IqDvOXe zv=1paw4`Lcp;bnmuAF5eytW#}7`_T%zaa^C8N^bi}{u*Nj< zXEuVYG*rg4b`j6AiQf0XPgz9Vm^>JuUnVnG5%6D%Iyo*ya{O?E=MrOz%TzT9e7piM-fIIrsg?F;g+T} zySgZquzQFSO2B8FMZf0V+~D`~!n>p={%V@6l@GG?$_HeK{{J6)OQOYM;GxEoW z8uG5t0SqIWFH>Ds085c>;t97hLADRRP5ml)Nz+uMrGAloV;1S3SCZu(dM>7%G+Kv++Y?cS2pLRLRkyvtxT}v3+-OP6uW=cIuiZ&gx-}q()`V{-@D6(^b-Hx zi;n>8xPrTo)0VpjQdTxLaP^miU%L-_?oJ1V2-t6RwN-!!nq)Qaj+K-gwfblt{3Oc| z=;x8Q^$>s~6pal$UBCG8Nv`**g&sJD1zg>^7>V4=zyp(zrN)R=Bo2Lg^d2! z`-RLurO10a(|_+wIIHQx%MK~4^qt6v_1{x?Czfep#|4Gn31QgrH4lhM{5-JZZx_Jb zKBpoaA@ZwtVhKkm`|_Qb!V%K{k66JG0!T(U23b7bnjyfv!CqjZUB4Favac!zAHBHP zT>*ptZCluhWc%;!zWZAt)8*v<9)S)Bk@ikB{9fl@*-9lIKTEzx;OY=$Uec2PrV!Z`~woQTZlDR(>OwF0k1KdA5_-<|JaGZ+Y*7J zG46mPM!%KW(N8!Mv zH~)JC_~tJidPrHMMl0$Srdt=0N^-q5`kI#dXZ<>BTZHd-;#wRj>+Jm9_(2?@hP(He zxjG1t3&kDhB}N^lCd zHqN+(AI+M-7tQcL!6^7dTgXmpx1;pM(40>XQ=B5rrQRL6_W&;_6vu_k*ohEzCcTKG zHILYyavIFpQ~0D4#3cA5&Td>pQG)vAME%aFYE4!i2{cLW9r5xpdjNdr&4l@}oz!-1 z?)Y9>`tUs(mlS?QxfwwsCz2hz*+`29DZO_do_G?m;S2gX$??4nn!;uaY})9}Eq?#> zqcwR(3iBg%qg_yWrQXu}nTX9A8DD*}-ihhaI>oX2n;j;&$Q^0nst2O>H#sSKVzbB% z7tTKB&}jRdWX{7~&i%F1%jQ4egm6OJYwsnfPj;RvK5nx|W;)YYJ956XGo@wbxc!~b z9WzBfvdVAmX9QJhH=g#{uP5^^_E8q>sF2mPv%#_34$6fHynW|QC>C85-1hs{|5N5& z_#XS{9CkLf{NiQ9;+=Ok?5uCUo#(pI<~}=Sp>bP%rxVJp2f>z3NOoAhZ}<_)6#pWw zMY$jO)h0isc&v{!2xz;Ba1Y zIU?M7XX72!$ZzBE4S|zP`pBCJ8_E%<&#?-JGGw6bhJDR1`;Vfa$-@%z0Qgx z3*)-VbtNw>d_O^B0l66QATWz655v}PKBPs+W161NKr$14!IdNEj}3*eUJByHxD(EQ zc`?w9d4hHHxo@s2sl9bJN5}$Fua*Br1lFf(1$^3r-wWNH^)-7F zX{7CRg~EcB@K(gjy!6#5e>L`k@D^)wTSMDj{!|y~NNqMI?qM&B!;9FPlN|rd^`zGH7;SaH4m8U#XveQ?R$h-q{ujV2%j-x?Agl?@u*r$Z|CC z6?b#L3AK-w)5IM~nJnP_pGwYaGOPD8I2!?4LjEU$iF9w*AJ=W!Ot|W+dx?}ac_d}0WYv-nS8U|V-hJO$vGkH%uTp^3WXS_ zFgGX>hK@nPT>}CqW zsMFYi#eQLxLLQ%APzuXwLZ{X}#xV^Ef5`%so~_^kha?u6`BuV!PuK!1C%e*P2RlwT ztvWmWB_9Eq>wbi(w)?b?XvZtO|L}Y4Gr#D?YinsRdc)Nce-LqMd_*}C7l}V(cGwj` zJrXiZM_ygHWgd%7s|h7`H|480%$6jcofTOWU4P&^pLSmt9Qht`_F@|NZR8p_sqO`K z`Yn#VCAI9_Vf%?sOWUCQj&lTyL^#}Y`eUqI<);dEVI6V|SDwk5peQqf$+iiIIFZq} zk;VfOrD(JU`&v$WlZ-PWV9bd&HlVc+SjeWAoZjGq6&Ad*ftyKHL2VD^L*-Xm0k~cv z=nuT%^oPeB%Up)MU=`jh(ay=j*(=tUr{UAyjz3~Uh0hi{!}djRv|&D!~O12e*6^Ty+9-cRpZCCK7%K|=V_{Jz!z zx+d&>-jWLeZe2bD%~F5W$zWKnAK*%zu7MoFr`oFI%;F;3k9FNMe`{_Uvf#)r4s9A%NMZ~^+_WIleatG|SHHhvoS;`PoUCw1N?3loK$XP7Yf^QfKWlz(V?Vo5->hPYq>9;t zcboHJ^>{cvymF~(&fVZGfEndZYsrnw8)9@x5Yp)}BKb;{9MtBfKcS&Oh0SxmNWh++lmk zP$y+9`?0mQa+BoKTom)VXGLl3Tx-28;bxm3&`PYGVM(A}JMQF5n?lCb{NL^~APl;W zpu74&!%*iMKE_vsvwpq3eE_93gAxk&)aspx8raiEa@-d8PUxE``s9Yn z=fqsepH6`xs-L$nWS%c)0ktj_ zM-y1~k{R+ZC=E!U&Mqj>ZFk*wgTDIYvDi!IgrtRLKSVrcLWurme;t89d>XMLOVfe3*mkIQBFf*SaSA7r#oQbRo^xUy^G|QY;vk#zDgH;A zior&Ylw)l3MzY)D&OpOn8&czbZAd)^Rj>YDNbE_YIwey1Xn!BdHO{=%jfd%idFpQcInw>X%+uf!>mUEw|FHI~qW~0WvRJnV2(H;{wWwzQ}k_`84%7CMME< zRT&wm9g2+L04G4CmEIYJ1f?<{8~;MikT-&@@Q9xhX#+3RyK%UC;^||SKF@x5txKsx zbOqz3pal(RzMtu8i$6}9i)|xOh(s6RdC$c?zlQ{$ zl}``_*?o$Uf$m>_IW$=0lC98Mf1bJ_!3?rT?h#qu+1LmCgFTG>V<%X?mVOpJ-|$i^ z)_?z6jBGf1<5`MDeIoh32=I`2pLOQ|?7&}I*8b`DKNz=sI+mB1UkGgo?)|Is>DuG} zO2K@<7g2X#0-fdH>c4Z0)A?J~d^GDfzvi@UtP^fYvtP%O?<^F`xx-c_6OWl`1LW8KRG>-guw$4+=12A+X_jlcN+J#2Z-e;>zmP|8KD zqSRZyw09=C-tOq^wt>?Qxg+Qjt8_^w1hZf~pSxRrwGVfIiA7@{Ir@L4Hl!TSxGF;R z_*}(v1FOrxCjg(ZPcr!W1EhLE(mR5)yKlPx55#JWlC&Y1rlWs{c5mZJ1ok<+q5Ifz z-)zC|Rx5${IxFKv)Ii0DS#N7xcHo?C*hah9{0E_B1;f<_2@v1IS>!IPEHCFDB2;fH zZ10Q?1desW@Gs&SzHtTWW`i;NP0rT6;SK?^e9bENv*rcUfN7~4!+(>%uKJ408w~%3 zoK&3e9Z}`s{9k2X3(uDpEO6ksUSj<6-QIy8Y{wa>`}_R;!M^!_5B}|qeL8!4;b(sU z>aDf0y)!-djMM&_bX*C+UC=9d%`YT72er1mYjz^!mD70y2W|z?+Au?~p54dkU&W;d zj+Fvr5>Z5xk;0cn!=pbDUrSe*KWF5Q8TvAI99esu)yC%;XXU4)f=OOjhXnakjP_*> zh;Q%KH(uagZAItJroRM`Mmhz-j4MTcH3ZfFiV}nek|VVJJMvjVv+ zID?d;tqu36#-!F?jIAJtazOf#3H4ufCoeGkl=xntG4j7l;O}Cj{Y_&_9v$C<%Gm(d z$hZFbZh>8_jpT;g{o8XLuIvd@$Q+kRD+qZ(T3LFNIGm{(wc5J~2TvM-2b0D@~j5f~aK;ypihYtL!^aiZ(! z69!N$kmL>sGBQJQ>Zyx#gP)BqGI()?@jX^)!||5(Bv@sR?NCJ^4r3cvx*~Bv!yJC* zha$uX0oFQlxmE=prBPsS+l!jAT_Y|D3{|!OMT5Ec4g^_SQ zks&!CWv|#BG>_*k2y7hags~y*F!gEigMV~^r(8v6zOY1S!7G@PTa-Jy$mKA1yy6^~4j4Pj^zZ z(!K6jkY^$aKd^Z5s$@!ZoYL%v(?|NObmX$$ew65FAR0gVFD#)qE9<$#ihCGGukX(2$_lie>}j)zqe zSubWVTFrcy6_cSuh5h>fo8A-ofX;5;oV7l#EWeYCi*>)P?a5Dvp&0{<~j_c7OkoLcX)QGB51JV;Qp4Qq2NCNJ}{XfBo6g=My{u70WvAlF=I zqPj>uvN?0|Xoeg?7C`lWnn`a{@NC#tt6!dx~);ys258iV@s zhUUq$kOS~~>*qd{JDPI`QGQ%30F3{NxPTL;1av@$6jp9@#x`etPqZPu`KkLX=R`9& zL35u94u%0#%nQsyHa2b=*ZcH=>F)^3!Gz2-K$hud!d!_w6)Xh6{BTkn{TN6ljIJ+# zH~#a8r)M08hlJ0ucXkCC?W?$YJ|L2eMN$q6YD9aogaKR=T3;Ee8bYS8Nb)Ar<#h*CX1&M3K^7>-hPrpT~33@|>c6T3IMR2p5a6kK9eNcfZ`kcem3+NVus@;B?7>BD zsLvkAKNK5pqX9zB({E^#iYBWGe2;zjEv!x5*ZjuLJ*0ZE%xd^qu)4zzdv}(k?$wqH z(TR;adbb9-1MAX>Rt(k5Ey}=Vu3o`vyvhLi$m*QfyD<4dt#-BfL;# zBiuA)TAS#{TllES`X!ex-K}L(n6q`JAis7>nf#2dlMcpn{c?z=P0+z?iR{TloyDAe zytl>sP2R%s1jR=JvL_%6u6Y%XZXb`x=DqqC&_pk&aqZ`V+L3DnKDIuuS@honcDodc zt!nTL#?z0&09U}|H_=uNX`85p#!@a*X5+z!?mWUq3vEnNu44xr8{noVa)k-1N6$jw zbcl+|=EC*a7tClM%Bf{V1r7c+*#778p3g2@aKEZf0k`DX2isq)2bOb)kz9O-N1T;q<{{^HtImE}pAv`-E zUU$mf>Y!$Yp;GjL4*m7PhXPd@UG?yQCenf0TIaicL{T!l5p5Cw(FAkGiECx9N+)eXfV>dp!E;sOQjk5~RG7)fn9 z;5W{$RG`h`K@=_(r~$YIG^IWAO``3VZ@#?9yxk}UqN$>|Umfxo zuRqe)E;J9MM08~(`-wr9#9;sQBbe?vA21j{m%an)=d7$7ylDPR8}2?wqGSG`ik8>t zH@~pLzPdIzJi~9IJ87;GGo|!`dYSbZgNg)+Q)p6{ZgndA4ZNpMf-)hBn?nJW3Q|Oj z4lZ)Kvo(Y6FF{j;wp0|4T4L0ykIu{`r^|%t5%v9)x^>`N>Ul=WQ^^c1N;nPWdVA-R Wwox7W|E+a$qFBH=_6|mHFarSoYKAoc literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.pre_map.hdb b/bsp3/Designflow/ppr/sim/db/vga.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..0acabdee800f512840c63a14acd62d709c4a7f11 GIT binary patch literal 28267 zcmce+W0WObvo2cIr7pXw%eHN!%eHOX=(26ww!3WGUAFDJ-uL~!eZPIqK4aW*f1Hsc zXU-Y(i6>&lj2tU+Wx@ji0aZZ*1L*+{20&lM*ul}<)&`%0mL6Zw+1$zqpMi-HpPrG9 zk%f+to}L3=Q37Ao*~$w4UqIFzFn|^i(1pd{7obn@f3B7`|6JXG&$}S~aDO_}zDl|o z4ySrU2CQ14d73nW`0FN%*8gHg(tv3O;Uh99mgY`pD2S^?MtTcACxA5a4om@Zw*z4# zfNYc0>64~a$0sH@^QOZBO$cP+?~7(=cAj2dTx@b$ESul_5-UivILW!Jew`{SzsoAG zF0a1i^?W>EeLH#b)2VSid|nVTcg4$M{fJY$y15TX2YcO=buu`BbqRYO{77)-YvmTPT4pZR4$8{15JeC-Vo5^v&WNqf-8X!||M-R@QjzmMXcx z3T)4Gzf+@kT3@#?-}xQgI{w@5(>s4Uh0PY7AZEF77J%mPOX_-uLvMA)NmBd>nL*U-5cEMBAa`NwR-t8Su*f zYuWsd{z-=*X4?imNh(WP*;>90@J4teys_T+Z-A+DkW~nFyj%{TcdA2RcCBcvZoI)E z;GAAop7COxfw`(Kao(Qj-UY`(fzgq`m>Dv(lHoV+b)4d3#jx?BA&8k|4~zUpD? z;i-M`iZu9Z4&pY&f_uQAb)JPp4{>xeLj$avCWCv6JI>+ zsuL9PK;cRWtp`gcfP-OmT-!WoFA|(!S{e1WOu5KyM_fi+$kT)J^(QX`tKr*tEB9aR zwxi#st4YFVWz`hrsy^3PwrP6G5bNzO_zpDh#E*9cieBbw=z&1Ji3joJ64mM`kQbbe2Uf; zQxdjoK0X>uTasgs(BHbzYPlDh4JW$C*|Zi@%GJ<4+AbGJ+ES`fn-`s1b-4(4l5kOV z^UCeCBB(!>zYUQSRD_oqk-LfT|ZP>VW zM6ZATY1X?;t7|3R$QANAvap+uECh5NIcrn4BDE(Fckk1-aw=Za=NZsi-036951A#d z8a5+I>NoZLH*{)D_~@Gio;(xzjvLfG=a{uh3ZAGwKJ94nERrrrg5AYiMbEsOP4%bB zdyF+%*XPxU-XiM!2IwLWw7%vv?s=E_mU$UE2KxXz!n@A2KHmZ~)#Us9c23vb)EH#6 zgIV>on$6gO5#w~T7VVL9ak55c(xTSdT(D*8Y2x{c_Pgy7-Z$rCuqC-UmRhR-z@ccu zwiidMvo3$tz@z;(aE=DO?6UCYXe{g4!(U}M>pE!gVue{fUhq_`<&Hb|^dl3CD~*Ut zE9A7R?x;;8q_NS*;Jt3rJA$Q)<%zOlEg5FDMw7GIrC(U)=X!UPcY75)GxaeV^%;{@ zrYG+?N=m&BOvaOA(v@StCLtJwAFqn%}* zqQcS9B>Mc3R3&>6>l5~=O{rqIjq##bh4aT1{ZDik_pT#rrkHX8H3pYfxo7RE2g^I- z*`t{NpE)wTxeE{-C9|pXf& zII5)W#omJIDD=ndElIm0I*O3Xu7Z`b2K^@AHQpnO&zZs!)pOd(PItw(W3Q90w2Yp#(nCofo=Fq(_aw@>X$aVBojYhT)15A9{RT0 z-Tbuwn*2fV7GUjv4&Th;@D}_3Yp~Pz0O7byP?O<@cQ&~)h)pn+nMNeuDxj-&5j6S~ zbb$B5+j?u%pTiOVTyb&2x@Fc@`_BF*^a!yY)4*rsJM#HQ<2MfzjdzM&0f{bM`wD|@ zOcWVS5Ke=4gExtfab@B0@j$ z>!;Z-@$08K(-!1syW7MAi@W`a!py2T#|B&SlFxeK;lQBK}=IRn50o{kn?rw!l8;nhKBf!4dOV# zl^+Ae)}o<;S5dh5%_1A=AR7t;MfZ!U0*fosi>S85E|xPznC(IlakqR*{PX`TIG&%F znvjPmJZB}rEE`s}UXOcOohLggbcmtYe@_JOloSbciwXaJ1BZ&Z`$0iC(4i za3zIEu$4k6*hy7JrMgLgbRne_`4nFI;|g7#VT&o>pwm`((CsQT{N@u8b&rRba_CJA z0EiD!!$}gRD#$Gtk>ua0Eh(Osnue8;#-jt*j(}zgVA#@eCXg_uAJU{E99X9$9MFU+ zplFdA!QIY-9=~Rxpzc>KXooqUT+XY7(e>|R^tyl?zpj=`@vm~1{XTvj4d`vU@wxqY z@y8U@TO*K11X5uU1sWnA+^NfNCtg4sk*BzQZv8D*OlwfY=Tp5@e46;!FeRlMo4ZZvPB?9s_KGCH*0?azypv zox3JT)w^`we7iMsj<+sbPQp)lnM`?(_f*%>-0xSh0&!>psh+BvOgq>L6 zB5r5_gx}a^6&G@ENOzakPT78!LtYSL));UxklTdRYWQ;z{eF=dLq02Tm+@wnEr~fy z16`{=Jn>pTcIviv;@oC!SMPQ|x|1Az+f3+fb1t#6d1ZykmFarjKUpsZ|EcEdU#T7L z%uLwV1MK|z6S-cNXm#Gv5JaIduORb+JF~r)_6>p7+W2O0NX1`Y;4tNZe zkq#0&>&DvoyD{aYGV3r&Gf% z3LRCo_At`wpQ2$d@fu07J>wTH2t%y4IsJiVNBSuNxxiLK@oF zxz@q1EcT8O&iOkragb@F3uls(_U@6HXb?|IbUZps9rq(Y`lZ8mMYUeewr{Dz2fxcq zG__zM6PU|zuqx|k@-#C`6hjF|nuj@4a(Z76DOs82feSbv+Di)y+HbH5Q0cua{VB?B z4R}-U=zDnM3e7R@KS*1QcbKr7-#n(xMuVjnCz>&?*B)3! z={yyZPKZTok|}d*RK&w0;TlLPY}d5sDVO90msDz)ol#$Jt5$#ff@;?83BM(?5Cf-i z*kv($M+N6VY`O($wob0V5KKsJ6z@{L&uAS>6NCspcaXT30eqcVy=A`TaFO$b-4qYPghd+us32HtGpYHp&GRZtf=*XC%F%sDl zi(yUB&>b|d?kF=DlEbe$T`!!E0T!9Wr+NNw#TDRzipervav8ZEHrKF*pq9n9nWmdjI z@LkAC*OvuDGn9P1k zM~upe-o!R7inO*<#!62L$HgEu$2^JGF3u_N(s;ORkOB!#y|h8O*mSiqBYZOQfi-GM z5;l$1ri1f8KVaS9&VN)X(UvAf&o-(N6|4$66s!W=IQ{UPf;!e!D*%QIkJpq-i^=mn zfGmm(3dcs!mqv{f#~j01vnvY8qh^K(%A+pbkjsu8kOPh@%=^YDFBB!GUY(o6RwicI zXANB`uWN9w_=TuF@(j=Ll*!}yv!=xzHfnEr&b*E%>=XQmfI<6D5g1O_R>e|c+5Ll+ z3!;?o*o~mK%-zWQXn!|j(#=OX{?3!6v;)}tFyVjqyGo357LOJq{bTdIaDwgT$tBXae;+0k7q#(E^ zK}+4>M{CvRA8qIs2AhtyxZYqv=vbhhJj&s_v7f?$L)-);=?Iv%M2*!^Gq0?ISZm*{ zt%w@SqGk>Y$zVnlb+4IaYNLLs`LgI#5%C$aaaLbd$0x(6~NC`_#^PwR~M`sXp6=)Ab?PMYl(-v3@Nt;#rNU&aa z__KNz?i+q}3dC5D;^0!+wn@N5xCV0Zz>0gC#=k>bCjH8usu=YK)=hpde5zp3?^-kI zt@-_{bLvn5^W#PiT$G%imoZ<$==CLUf#I{X%CoFEascUkvPuv0+J?6a^(+k0Bfo-LPM(m0kRbb z5u+6(A!B92PotH-pGIo&M2ytlh-s|NCYq&UoK{$drgjD%x)G%IMifi$P@n`;fY# zwHHcokr@2HboHopq2)|6lS@66Q$tIv$8ArggH`o zB!b1!Jv@@pI_qoOdxtPFt*mCI^n$bu1CkUfdryrqZPkizl8q_%F;mJCE!2vgVaFS* zjnkmohKwnTFq6%_`x&cj)r$qI?b9U6f{oW^YNfC=lFMQ(wTczW_0w2cspp6OLtPr6 zUiKgAj{S_*HvgeMS1Y9{Y+PQ$M!r8sWw?3fPQe_0*1Wo5Ugfc!mnebR*X5sax%%vO z62ys5hR;Smm@NKeg)Q#E1G;V8>w(hAB|O(;&pfZltrY|5Er7Q@WiVGQjo%~$p&p^F zGj7;!xmQehwFbJ6>FM7H=qFvSJwLl}i+8a4FJRs!Uaqy4jdZXAw+;Ozve7uHj*77z zPH4157OP^A1&lJnJ1vo8Ehhk?|4+&(*MZg2{Ir`*kWeHYtO68|`N1&Ym#x=J&&jE= z1H^8(oT-G6cP_n{C#}Sm*gA<#2r3?KWlP&;15*@+QMX3i`vJjz1hk_BIld4LMaXC@c#pXUmBQ?Y8b$-+#_zp&@iMgyss+D#^ zHP^~ftPX_`r)-4=J^LsO2-dsjh6at{DndhxziJ@jQHrcTBW!a;tBEa%M5~pa-~3x) z^E?#(#xOrL$jGr9V5Xn|4J|&hf{<4!y!nQ}hZwsq)`}gwPL8A9T0c*rJ_=qucmWY_ z_lS=WEq-%5OszB%(p;Zl6kB2-L}HeecVN0qgqoQ$T_4~Sg3aO)HOcz39L1Q%_n6x9 zQR$CrFPqy5DGQ6nLcD0rUK`9&IQHaQP+>JHVWap#RUr&z{4FLqFLW9jAL;NXFccE} zcxoU1V)>hw;(ogB%1NL%mu}FgAYCtUD#SQ*C9m$7Gj!dhwucD1auPXvTUFo;3IcA(kYd`5_J-L5A_u;n(Cv zUkpKjOI#FwfD2+IUcg{NBrZqqq07$CXn~HAp(ufl@1jw?yW&HUnR<>L*L#Dx+C~L{ z2Gnp+?}nI2M5eY)`?*?YhPM6_(EO6ot#ymf#v@zVpnd<2Z-%})LT`rN+e)v-Jz5$I z@az{u-Up||CyTd8%gW0_QX!j~Q-n7~H<6l?{TZPZ9z9_Kb@#{Nl+%rNW8*VRnbQpj z;pi@e(aNsjPSj`T4>okWwx9$QjF?{YuD~N`O)1I5ZCa||Rt%QMM!*lQvkG7f?B>8U zUhRHx?CSgwlW4W2Jxf1-KUWv>RVF@m9T49cc@hT z^W%Vd`(=ljxKPOMa^dqKoCsuSZIq5MV6W$$x2KXiFP96`QFS$*Kt`DxZ1MX8O=ydPuE&J0SCV-CM$k3fxW4Z9eN2w7Bq*SDD+#zBzl z)i4vnitnu_L2iTB^<<5&A`HaUeVl^Ad)oVN}?|L z6rp(sbF5y9l;g0ThBEszFiS}~aF8UEOD=+?!IX!YTmkN(_Dc_Mr$qW_^=UR2L|%#A zHx0C#dYU2dJj@Zl)MA-+E@c;*c*GIHn%a7DvF<#r#x#Tz`&kah)V(pTnXWwx_OXcL z`JI!9vO=NP$J3cb^fF<-ZCdPzXf#ajCj{YfR}D_6p03LPvVS0$axhuGTzolMCn6Q9 z)d9lkF+XUx=}Na~EuH3W7=K?@7m$1Nd3S245Fxa4Xu0o0xNs{`|ydwf@EDaSc z_lXbsq5nzgYz+rP?wQz=6O*2n0HjdWhelZ~@=#;ql|(?Nk9RM$@qSLP`u1i}^NjR$m7enq#%))M#)d zeNJw$s*~%7#sZ?kAnU6>r@)0;r$4_6GXrj|bz>J6>sP_b!eB7f<@>%F*a^g+NW=wH zglZv6$}uTZi%S=8udvZO&f8Yv*OO)aS;N<>9KBx;-Z%cvJ>r;Rb&_6TxZ2+tc6zsp zp6hIrV*9%rO7r1)2y--52Q#D6kGe7E*EeGGUs#e4waOJ?`DhDPW&+YgY4j{Cs8wZU zmJ&^#`Vqkojk%<_02CAJy)Y-GivRjFQoQ0=mKpSkI1usZUg zQKtxHZcwmdU`FjgQCe^o>OII11&YX%zXu5`nhfdD zDAnHA1|=CqaR{1oPV*=g;S@cEP1TUG?M(z0DP^*gL2vIH8RP0q$gWPeFF?n3GNRl$ zf5WMCl}xI2O7%2;_5Qg0pv{JLtf3~KjPu{%O5nFB)!00?a5KeE<^3+b2t1QE0-;nZ z_1(EvIM7r2tV@!~bGr4Mg(V(RH{FsFv`VgZS!p?ukl4!{?o40ZJU_H(Na}33Gt$v% z0ns$~n3I!CW5Mpo5Yy)X<@(#O!CcA`qCZqJEK?O8lYzXlI-y+RzUGe?G-$e5v9dqp z0^Z`Ij5!wmgha7iHA9u%OgXR9s%D zUJCp>1I)fJ)SkH#{;f(p?%fBQZVTBo5wb>Y=~%)a2rDZr<{11s62GW~A*t5t_-C^KyY?Z!QZmY@ z&$+BvkYBNtc9EsY^03cC&7&`g@vr1_7H>o_|4pkf>pYsq&az z2=&@D*#aXIv4zGoSs>GZ0tgl)I)ByC+j?qh6nAb~?7*&pJ1!^-B&-ZbP+2n^xK(Mi z^{vLSAqUJ8`cM~EwpCC2IUO?~TN5oPLA`?Bpq-^D^7~R=YH^eE{@T?^(#tJ@Kui@; z(aGXG`3CWY+eJ@3UY38hRn3B)(fqb@$j@8L+|bba+a8;DtRa2!zP`|%7wH=$jdT6^ z2&vzSgq#YtZ+Jiwq$>u%$i^tCpAl-w7FFdD`KUrG8@kHEFU+2+-lgRK%njnUjfFDE zGx26~1rcf%i)hj5#tK)~KQ!9!645{9Gx&Mfjt1q32elKgUrPN#&v{wVu!RE^Nr&@A zL=&4F1XYaGShDhAxM*1`$w(L~$>t_%f%VJuiSh~iMU4`Ic{tfwx{e=I++%{?f{H*F z@h;I3lhwiyr8UChjH?z}Yx<%iu%48Oh4zQMlkP9dhCaf7|8|$Qo$MNLZE9K=tq)L9 zWjF)8H+`+8TO0|o>~p|_3y1P%Cm+{1u{R=N-kh5M4)~)M{yE0baMVm#N{?*w5CTcs zCFy~MmULW$l4N5_>D_fazc}Akv}E~KM4IGz!nv_A9$vd7a6K*j3q^fF>E61wu`Hh> z*-M?8WTU$E9epT&ut?U&nY?;GK!0{Ox$h!;yyu2TL+ce2Q20o6A0W`!Q2YhN+A0_< zVykrp06#AN4V(o4J@z~Rz^!H6e*-uE0#^PFJpT)5Wj{_fbhhlt{c9A~|N7nJIvif0 z-Quc^wvO_ntnydmq^O$vea(ubuSRB*W@FBPCe10SQG-)lft2KyVGfc>M1>7j6ujWH zwclem9{hhk$DwRa@aOd91B9NB8!K zy3B&}em(6857fRUde)VYg9y4aQCp>?NBp;!C6S}I1g%*SZ3)`5c%35tZs*yTZW2`| z`KS2t_QDW~SX*tU?wnCn?S;j^D6IdXaQusc>o1Dze<5$)kE?^#)YC;+N9-_i9PL3A0iGM&ZaIh?-L zl3CPm;R%X^rHigykq};{8Z6%uH}-QC`(x~xFJmExHPX3aOk4otFr|QEz~K`io}JT^%YEvk zZSVx_=h2$rdJU?t;cf2O!hPCFTW{IPUVx_QAvSEN_IyXtbA>he=CA^Fcc#6Ug`JcB z;lzF4pZkr8{G%t3^i0?KyooP;c~*l_gG#p{$)&aw1hJcdEQNuKZoBY0j~mU|dxIhm z3w+p)ubg=I)H2Lvnt16MAnCetv+x!NS~SV;!)y2;JU5=m{F!Q`VvFG34!~ z)dvR7-thyHwdeOc`VnFLj{EDNSeKMdB}tV_y9OO)XB-h_M-mCeTpn%9IH2rW2Mc8f zhmE}N%|lW1Q5ab*86@c==4`|w*-_MjA|MwjZ!k<;R z@7G#;${RX4>jsyXK#l~E+3m5Q)7oOc$mocB8_?tboIs0TzXuV!eG@{O#Mq~*kmx@_ zQ_l>suoM&QYs&}@bW{agsR(v9l49*HCB)cWNr*E$kdUUdA+sG>{8Wj;^L>3ACZ`zp?P$tcbZ zo#r_d7s3u(7e@VTievp;7hP-QRWR?kVD#D+N}9)04XiYgWHX&ewwX$$+010nZ09g+ zc5)oDGS99nQILjQu5&oOGI8|w;FoVhxf&$+5FgK=GAQMd8PBk^<8oL0bh#aRz1W9+ zy4a68YD!@9*_PQ_Xm`0So{~IBdRqE`Pl)u%K)l6M?QZ*OyPf=)PO`o9t@d*Kay-a* z%c0ud_|keg{5%?Cd*V~ge&XX!Icf3zlzN&R3ZjK>%@qT?5+OwGBnnfy?j}~~?MMI! zZJ~_46jNVbmU|;rGT>%j7=cQ<=A~5X^DL8tyebhS=_~;V zy8jYX00cDv!EnAa7o%r{cMt2nOsj1>eUvG77gopTAckuWx~NDg1EPi2FHTyCxbAtv zkq>+M@DLFST$$qU{pAs=gqA?%adzLni;kNcyool-CjLUjs0t6>e({5>pcsFL z`q4NkL9T@Xe@AP?m~f2J-x%5_4zH40v70kfu{!qE`3Uqfb^vAk+D~{)j$p zo`L&NZfXyvT+l)8R-)vtdeH8yEK?Sd7k{4KM9S`Vqi(B$cA&N%ZX55yTZEI){ussTX%A6N-d&CXJ(4 zf}Iu)N!dr%|nH$lRYdW4%}YmX>+ zZX08R(5t^e*qd`Rp_{a7ER-ScO3>RRfR+m@c6JwbnKAW$lgK(I$u4sloOt*tyo8Oe zKuLs?gG@IuD|YH5vEdUF+Y%GgnAVyRjFeNf!jqq+O{m%Nu}UqS5Hb zD}@^7qK~<6MlvaB__QM48FDTy?qDi}(Tmi{^eu;3ORDv0LF~OFa0pA@<*QInCzJ-Jed9_g zN3v8z_7gOdbiQ_Kup2~ik{ed>cwh%TEUI?eA)YKOlQy^NTD70Yw8ri>1wl*e(9{gd8n(NMp%n}yHu-WR&aQ=l%%LS z@BG4%?IiPPvoWSn6r7Y$C&;`+C+xg&A7GjPcMwn<^A^%mI}6%k&hzzt;?LAeLNF-Y z(s>%41v89G*pP_wr<1hCm}MQZr-$ZXqS>HA1kNSRiSbN+^?KIV|N3_HK?c!9J9_uL zHL~Fw>5)`#Q6_~G@{~jvc&0Lvm$yQu@*mO6Bn2D}!xT-5DKw)@RC*3mDB1(5ybL=P z3FbeQ@v(L){#f=Z63+XP+9D+y3|+)@B3TanSTy=_RX%owbHWCTP@8MCs;Gp9eHGeb za>ABv`@P}}i{ZQ0Tt2iE4C;pEXl|_{iH=bix?k=Q5-Cr=NPYd&4L$;um29*_3^ql_ z)m;kLfv>MqBb4K`6Phdhd?dOg}E${$5=$~Cb+h=O94`33?g zDUP3#$5RE%pxV3LFfeF-K}n2r2Q1<{Ad0vW!fL4yX z2iOy`z)+%xmQ-UCDFa&=OlFqSX4@!7LN63$aLV%w36Vir{K3NB6Bf|dA2-Y-&o%xb zvtN3US$h8Sug_INeHaL_#jbnty4EHgxZ>km<=o5Q3MtHjuV3fH<`^M%Q9|E`yL-=2 zvx9Fs-~PlEt6dIC$KDDYmBq$4kQ(0nxO=xe8P8UT>ex-X9(`M9I2564j6wX_x0xF9 zOJ;%!>>`y8Ipsmoa6%}ob2XGS*eQ6>>X9UkMJFtTR9xN+W6>5z^mvk%2 zo&02qn{OG@F#GTmv};N&i|oY7mtcsy7-!UO6UE5@m&0s*jY=JbpMDm-;!bWZ%l*?w zBGNs5`|3BhcncX^3mWIY`4(RoZ)_%n#9a?xJc}6)PjGc04#nGf?pnQ9!raM^2RapI zqW@orGa>-O>-`Tzjd13FfHcW69GcU}{0joU@IOEvq5zOC?SDZ|m;VO{nTX-U_!bhv z*?NML=i%UV#1J@y9Kc*rF3z3tE+B02#S>hLKD!*9v5B2i5Jg235J4FWFSWnGCx%hP zQe#H*fp+D!)d0&PaddlVG)EKPSc529XNrNW1h4X@e;{?{Ee2509A5Wf98Yx`!PR85 zP7*rnWf%U9k(m$@DB-L@X*BGX>eS2%iNBnXJiI=gn5S0w$LrMJrvu!8Nb69T4SS`z zu^r|Wa^1yqbaLJ?M=bce$J^mqv(zypqM`XmmAc)C@?&bs_rySTcL@>IcR4oHtb*GTt_IkgoJ>=)OQJtYR{I_!6YMO97^GK&>_=Sn zwMJVEI;Gl?_hx3(?0w={``9OunoTnUHJPGMMrk93x}o5Ix=dyl;L{CNDB{U!o znY+~T{Nu8ui$3jpb{ z_}HqlNDrVe*K44g^088gL@KO#JhBK6zq#MbUx4AL>pjpvSO!6W%YGzzvA60{sCfUH5bsn0TufguZQY5+pY- z1A-iuh9_65y8wcJ9D&;}=ODrZXp+tO<{#J3PY;1-W+p)rgOhRPNVjJ}a*e;^{+@mn z;qg1nX?OcO8d5&acR*&xhrkj-lJMjSSI59JjG*v;Oo0n<`S0Ylx%~#X+<-{?+uebf zo$i2&@{0Zyt2j>}UV<9k)f6{ADqaFx0TQVS*YOJ1mmQXBbC-*j76HK_Giiwd!tO2` z^w8F$w1%EuEu-l8xH@Cs$ky0Iroj4_D+L~=-&9a-Y`T;>i{<7Lu>q7iRHd1!M1%*6 zV$3^>@fN=;h)8x85zPiFNLHhkk;QfDiZB1$lQH<;9|?9ZN1u%6#s*qemO(?8s1K*N z)>cOPit6k`mb%2~y?p62HZUj0sQ4~1*XS->cH<^HkfdEz`IndcH|xx*T1x!N45 zdP{@Rvj3uzJJm|EmVP4+_lJsm*)5cel%kV3kdDfzX-evj?V?|5e$zl;5{^l_ z-a2ha+CshyjtTY#PKxS=RVoE?oYFZJQ1fb(yky+z7oSwySr&^o)6BPGZ2o8I2n{GM zgUloMpEItVi<6|diX~S8%K6r5OS?2_th>@_tnpQvwpD-Cypxag^G+!(%2}e>e-pKB z)XSt$v{cQD0!qvgwl=kO&MM@qF=k&yo(bm7N$Y>=P_{TOdVo{T|0-4gvX^A;gGmd3 zH2oVA@i*jOe!J=e3V!-1c{IKc6;qATM#J_*>wYJSwPbUet$1^ytweL8)%J&_za9!? z(}L2HCsTuds^>#CT+@ql>!P6pD)sbRi~h#=^8schCw0%&5 z-0B>L@Wp}fF{o?>$fz)90x$}Fs7q#QNap!+AvC}klHIYP`Qu%Q3M^1L(anycVIv-O zsol+BSd2`RCdGo`X$(k$CXxIgCe#EROwkCsj!-7pUdSfYy(SabcqxpAu?i;CkQhwK zewi4WViS>6i6%pXY37AB4ftiwHGckUO{f-QBORkK5kpdND3S!&He^9wGQB+;$pK>mU?Ndfk|XwEC$hxABLpZR3s6x-cT=_{t%MYh?Mer{Dji~ ziGM83ok5%D9d#M-?Hg~SsY9*xRoTlnq*JN+?7svv9@p7Q=%xm;gM4j&e%u1 zlIxgxHTa#C*VMWhP2WQ-)9L(}=&Byki(jFWNyn~=6TZi(S51aKW6^0v zsS@iQR@Q7Q3}55XX}PIm_`V_xy177W53hSJ6H&Sxo@k$bRlW=#?t1oOWY?h5#`;-r zjo${e^7ZZFDGxJH6ftf(jtj$Q)A3bItCd&_Q$3wTEyGUzil%z)#vRSeF5eF@I|-4G z=pg!=%&@H6S*We#7LhLGlbkiV5^VuGV5}Ulxg|tBLT|%<(_nda;jHV z3KQYsNI5Cx?2hx)D3=LOa&Xtd+J_j0iIPUxElCDhdBmRG0xFxYCX_o2 zoI3z@%sKPhFa%n|6I5}G#~pxHDS_Zmoc_s6-5ahW(kxns^cgP19L$#L3Rl8daa z_@E*$Zb$J-ZjX^&WSlNe?P#3HQJ4sgc83J$eh`J1oj)r4)j&5-ST|3|%1tmeHeZ#b zmh(LGhL_zwf&&`XpMYihq#2ZV(kMtJ1+>Tm8r3z19^+x|?dX?UoI5A3!w8p&d4M4? zuP}rA>#{Ed%|rhbb<Wty}S1u2p{c`lO#oV#Td#U#^?stebP)Rc7wKKL+CTGqqtVVq=ZVSkJ-n{+HG4LEbyPX=V2s2DPZv$VL%aj>v+yP+=+ z(8BUH#lV%bsGXoIrm)*}0;!vM^%lcG`d1oHb-%udg{q|MP(h|GN<+hbK?NsJ;zZV! z8q+oN8k7s9fV>I)QeGgU3LR8&!lbh1Oi-5cpRJuDTYUFVh)$3v19zK<(Q{-9{{c&5 z02LV=H%}$O3|a>ksc|AERRmOC=76f{i*->x+p!1XAqJ=Q^-5mj7V+C zyZ7Zx_lHroSdJFC?dz+P-C*1&yjhX}3nzG0xULY~%ss}JZ+%^C{_ec`+0t$bI1B!~ zx3Ze{c}~2-)-cJCM6?f{-h_NVOi!5avwxK{Ry{u3*FVsaKD&Xfp81l}(-BXIziJcx zf#H5<`Iz-O<_R;^8DTB8r05YoSaO;7T=${N_h?O^{|;^4lN9?iMEz{h^LW;}*CFPo z?1{NCM(o#$t+ox{%Hxeo{T>%`cWuo3uCtNe1(}EK+qi22?gqoWy@#z~_D`~HaYRm; zE9(jP*lc*>`_53%nUk4Y58Jc02pJzH|5brh_g8Cj`lTt{txIbaO5d15!7JKvU-~~0KS27g&hdhyT}jqj5$n&kN$FLQ z52uk+9|B({K~1>yFU9p}Nhi>eQ$r9B=OHIh1Ka8wRV(>Cm{vpDe@9PRz1a_^Bq$6h zvX=9dAum7mkXWI=6xq<(J^dQr{!Q63w0ba{kAPGC3TxWW&B3YMIJGDj&_&xgE!>vF>;k`y)A3g z;IZ>}f7VTevg}plv-ptXUN5%}DLSQv@FU)0!`K7KTY!a117D)c$VC97uzk?v1;{pU z>B^krg3OC-;kI+0maD-5Qb^5-win&%-c%^gfXCRGv(tu0_t0jY!{9A)|8Sq7N3!BG zythz80r!{n$s6=3<=z7NVnWRQ5!McyE?T$mO>a$37g6C?^LN};=$#bK_qT43FP!az z6>u_2;zYb>L2_&b4lQ*(mR0|Ejxo>5tgygsjJowKx6Ap>@Yv$#(gjn;wgBBw4IuLvTuX_wc@gmM(&Hk1_sEx_I9^81Xy!5YXbYh_>D6FcKD)o;|4s^`mw>#8V!rx`yBCeK-(&K!SQ` z5I!$ZJ`eey^`XAf_@5!6zQXv~pI>(Pp9zC{Xc0cMP@-#2j=j!4vQT_T5k6g@atgzb zmj_)HNH&qbfB7istS!Y=yT&_Uzj5zo=>hI&U+|z0d(f{^pwBRr;Hw)m_wyT4eJ}c1 zxc-j#>vkzFm~At_E2oRv4ssYD!;s$X?1P}4!TINzxTrTOD6G4_&&WPj4}HAcT68!4 z8AqZZ!$gZS7#v;kM^dxNY+2GCFJ8!JMqlBbvu`_BCD%9n&$iC?wR#NH|rS-X0QObToj z*hzm;jD5sq*SzRE`A`J;5+HoaL4CFGKck|20xaxNychUim&iSDgZ16WRGyody0Uye%MUZ-(Q_d$a38`TzR~GSUs_S^hCfgx6loN$0p0gm|LbYF=a6qN?v}(n6UZUAh|piie&%=U6ShP= zBc|lE#n9VFP&;2)->k8Y_iW8mRqr{v1^F7o%yME)IV;yR7DS#0h>lCm6o`M)!EIV<&oIOCi{TS;Ec9&cfcp-otO@Wj=!QbXH^m!-L>8 z4v9bR{|V_S7S;okP&j_9%1AhVJQ2Sx5x+hWzabI7(ThKkD4!qim(P#)%jd`Y<@4kH z^7-+8`TTfOK1?7S9UQhPRYAw=K?`46!+h*px&V)5TIT#*ZNkeKCY_fEdEKPz+(5ForPIR3U06 zui3PY@NHU0_%^L0e4Ex0zD?^0-==khZ__%$w`m>W+q91GZ3=InotdMCvuvI%XU7+@ zRDC!yKYRM*TnK}YOC7Cg7#Ooh0LJPOfH8UmU~C=%7?Vc;#^MoxF?a-E>>U9ZbE}r4 zZb-|AqYz`wD8$$^3NaRqLX1tL5M$LS#Mm_oF_w)&jBTS3V_gh7bfar|unJbSzzPOg zr>4(L&rxH4yy#3UF3b$ZOup*?k{*niTt@&V&k=yhF?tqm9*~5&NQC2!CxqjT8`R@b zM&kqFc;f)!c*A};-k7i+kMbD{hU1L^>+#6HvEReUe(ExP>&%>eeMf|1+>1cx@$gtd zx8(~(=x8YP_;@fhsNr}}!$MHQLQum(O#?n&=QiM@RoA&5H#X26?s}ZDdOglCR*y4m z*W-+*)Z>ho)#HqZ*5izK*W*mhK%Cn_8y(R2pco+3<6OJAa;{z6IM*(2oNE_1&b5mh z=i0@MbM4~Bxpr~mj9t*=c-NOxGsme((Z-1YjP?k?WGw==`rFJrVlinKYMFYsmP2u2$+g3$@(hKQiF zp&=M;NC-w73WCwbBEe|SlzMyyliWmhs%8wTk((2P8H*ExVjNtd5q8E4^T{v<@neiF z{1{^fKgL)9F}4f2>DV!DI(CekjvW(E#~9hqfw3>{a@nprH+>tf%%@&`^7L&}gCmvw zfU{FwN0(px8_?Bm)WSWDaHr?S1~#_PIi_`n(6t-{(YS-WZSU?wdnO0l_8yu%I4BfT zYNXN~l#n~jF3xWueBmm{l%2%7!OR&e_fsg0DeAKcy?fW>L@g1&dQuXbbrMhUl5opB zW~t|q<6`7G3yFEUorAHBIyabA+c_B5r${nRh@WH}X%_?2hBq9zadMk$rY-Jl$LwN7 z!t+R=0ee+ht|YnZi-dL@*i%tHUV2zLR3%KobQruY3`P-@X2+ejxM-^gO*~_R&Jylp zC_~x#U+3Csu%3r+h`~`{$1e-V8`YIq&*G6&^)Ox}-~K z8jkf4g%5|d>n2rOr6Ig(3$Cj2o^bj>A_cEfnbrm=h+2k0RmbHb4Xc$?hI%dZtCa(< zQ#R_h3@L_vc%}V0WFg@dX1%d%xFU^v6{)hR48Do7Qh439v~SWp55}})*k673s1gdp zeOp!9G2QETDBSC{M%Y*Qap#H-L})VKG*XRAUvX)4yRDYdjVUXXz-gw@z-+08=~0Lo z07M~X5D6}I(rk5IpnC|KmVs@FLrdN+sqk2r|7K@Qi&rvVN?E@Cq z0%KwhWvtAhjG;M{u{DP>=H^hw;vC8tokJPBb0}kY4rPW97m@7`n=u(q7u-{8#D)o( z$-y}FzNvQ7xWOJ@;J)qTV7-pAFU%@xqmHt>>d<&t^$BMNQ=6R5juSWUHW?TXEoiy< zO;*1KF~_SI-N#0`7Ej*#0orlfmnafl@g3Lg+-l=+J6vyHrUR(kxT&}#>tK8T6*_@P z(UjW}`uKm-^d5BV-LXRl`TLtm0q*GU#S)v~b_GQvvs(`x+#3W?;G+nWNaz^^;S>75 z(@K6J4f(}1f1ZZ?1wl~4s1AHOKEc<&rXe3E2v6xJ2=PeD$e{xhBU=xQ?4Q_qX!q9rBfFw) z^XV>J;k$tf|{Myfy8MD^zzss8sy=>KSvkuNk-{l!M; zFEv7cxe@v+gih3oucjeiOGCbqhTM~ee3KwpNkSEC@1cYH4jmlXzJJ#Zs46@6(~NGk z7TlXweP0@KKS3H*ZQMp|B!DKYk-!=fz#R}W$gWA);pvNK*{wr$-%;pD_Rc{E`*)A^3Q`?t~cYxnMvgS&Q5 z92j}ou7lT)?AW@CW}S9Ykh(e*Wj(le~{n6q~3PKb(gANE-5^X~^r-kRMA!emo8N8G`V^f-K-8uYK!lBlWj?A5MBCL~l6DHjW|Fu#RH<RdlW>doq#?hVhWxiQz$QXSMyhN9Nex;>)M%y>?6o!r2o|H z(ZPw+hl43m;7bXH=Z8z!U}o+VZifZ8W9d}CHx2nQf?PuS$HuSO@Qh$O6!_y3&`%JE zr{xWVfDtA!$lN^V+QAqaAO@Wy?Z?1<&~ZOQEXQi&KZ z)`Nxg{69&=+X+GcIlH_ospRhnfwj>)Xt*%gHMwp7#GZ-CgIjmgs@UW$xW7+l;KKyL zMSkDj0|y7pOu}ckv#OdLE&Q>zDR>dJsO&>nN22e$4hZY%CNw3{Y0ZrsMyT0-+i{>dcb zr;>=DP9lDW5D9y{D-HSGWIDf>R`UKd-65r17KGd>zs z@{tn4OjiF>8gf?}^074JU*iyMm5;|E6jN-UpuZ7k(ON!5kc;WZ%~XCau7+ZY4R7kg zmHh^~&%JvuJ?k(!8lCIDh_IMwxZ(QkySJ=U^7X$@BvdM6Pa;gDd|Kg?3BuP~ycCB= zJSCmX2tknB4Wn`YdTOa=Jl=o5GMSgBrStOiGA|sZ&rBwS_r&pL!JFq2*1tNb1;?MHYO39(iz!YLUtdD-dfp0 z5VQ}p9B2EXZFFCKV*egpMg2YAjEJH$>RynByf6*HtI`q)y@(*_@KL8?>*Tih9oZKP zaeX0fs}Ogc5VuW;+fF!)m)P6S6GCM>2v<}Vx@F{(tj~7}FxLw(FCh$H>GmWMlOIAyOBIlSwV}gh;gfw(h`oq#d0@GY8VQBCk^@CbY|X15T3~2 zPb>KYf}~3HfwY=G7O43kVfg(1DIvh*o{8zyh@;EiZHzO4kr;ul8B>(NH9H}h8#;nj;A3f z(va^U2yc{I(n@BNN`5;s8JDWOoSR2q1K5|zeK16FJB`F{b%l8em#k}JBdIp@W9HR zUG%sN9#k6aos2JjeITKcr}+JzD@sIsZ1AWg;_4C+pZ9%siHP6t9wP)*kN7y~^8~;M ze{zBzE1HNu^Kda;8F(5lNg^&yA}%8YWr({|^T0IZLCJI;oJ2e%iFjxd@vtP~;Yq|J zl8DQbh({(7S0oXSN+KSeL_CHN33eZwRPwka;_*qu6Ve%ZVp7SIlZbCgBA${&j1VGW zvzJhX0c4B!$fI=|6%-&i*t(h>7CHx}SnRKSmf_{P_n|kBd+z2*o$I z&!*^fS7SY)U?8US8`6-CX~^a@WJ?knqq&yEv;sI z8ZtqU;u^g7;DGMpZ}(Ht=evctm!^~4pN1SvLvBbzUQQ59nBd{BiI;Di*mn?*gI~96 zvgl>eS_QgYx@+6W#O`9HO8FdSbm78{=>%^|LvBt(zC8_j1wpVLLC)1E`lDeYxeW^RuVqJKiLt5~Z z1{k~df$KUI!2aq49&(T6ATDA`n78i@?eS|-!NXlSu zb9CL-3?%`C+mG*4h~7>U0`2hbz1vsBIrD zbspGzh#s6oG+sdD!#+kRG#g_ZH*H)$x?z(auh0L5aP=}2mMJ0sN(d|=+CQ;x_ttI7 zd3Nujr|~DF9`y0%BA*E7LuZrrpG+b?O^9OUQu(5S!M?5g5ANdLEOCbPK8b!?#x{>_ zShtQ0wegy<@eLa{uN&L4Wo&fAm^*m-D19gfIl_aG+b3?=Mb_A{b52exh9PBh6xiW`~-Z`?fr?YN@t*m|ILr&{NKVsh(syGix#mkj8Q9TSt=ih`5X zLtO|6!K+W5J~@~@y?BIHw9;BOB!e`MPAyIyp?$Hz0v{tdPS8f-eYhtEC#hKg9Qx;v z1$%<3nxT_@7$z&PTkYu}M z-^q0$*9BZTvmay|WIs?H&RiFAUC4DI*9AQQ&Fw*M4|02u+k@O5xRYSZWBx!ezi3Yh z)hF99{jH|k^-PuCho%=dY?zwT@%Dabb8X1r)WXc-iIdZdGu0HSom6;=5!0kcW{TSe z01vgyQ&Yj)B1$HDDLr_;QaAt&hERuQho@ zrR|yPSFT^$7xX^YuiWEeZ&A1lGHbBT=~t<<(FbDTML9|~E0E!QU6j2D=r z72F3ob}al}vK3t9b)$#R=gjo^=xL6wzMkf$W1Ehd8f=aNj*WLM;E}eexub(4^CyGD zkno889CWaoU6+s2X3A=g0;NVrW?4SSmrHDT3-vZv0>`Uxq@3M)<-2v}ICH$(2T{|s z880wLE4K@>5=z|gf_zNgyI!{a%vD(AX4j{c;MdJcOfT-c;P1#ZEAbR6<(i5S6v&n8po1jqv1~!uHc)~Zd|q|^FzS-5x-!$0 z!RnhcScQGh)yVT|EHky+t8gs2`r7T)IHylt&sFE!4W8LwL30MHkLT6cZm&k)&h7T< zm?vrQfYFL+w{w|Shi^A{Cs_7v;}X@;@LrsR2Wi9~>uUJa&Bh}ek5pN<4$jpbcRVD2 z4nNh^&^jBY#BVNMk~*-wt+Vln_%*&Qt+VW2eBIc8vV}~e5_+ds_90`RUN2;!4O7{N zjEzDT%06W5vyy%25-R4=sl}h=vV2p1!|uq~;Z;}U(e&#rtSm=CUvvPbTof6rD zqYE=za zvRbH+ZG9$GNP!30g+!${BtDYP9E-!H7Go6JrE~QlW2fiF2ELsJQ>?;okoDoDQ0yvv zQcNLUlgwmMXT7fR@o|mu64O4FHf*%J(hmgTyrh`r#AZ9h3PYcv1=)R*PMCv z$*1%lK$iksi}dvf0weU>wBhjhy6G)jrl&U@JGyDpmi43S*H3TSba>0*sg3IoAKL=l z1Ghiszaur+tGI+5F7zHbeA_j*ot%A2?|xe;1OgjmKZYFlp4fZF7tY?HAtoG0z5a3t zzpKdSKO#ec{5N!kggNk}&MAIB?T$8wV{ReX;OV zI{!rZ!>`w?=MOdu*^Sc)Eg>0%-xWxQ3lHTB_*nT>y3Zg)ua7_2VtB3$h~vs0i$hvX z%O1jW>2qm>baD8Y9h-(XO}8npvHZmRDTZHe;W4{?BvMa8c+OY2xLCayW_T#S!_>dr z_*}~TfJ^8fRvL;MXzlg!2OGytj7wFQuYq_Uf3QJMtd7UR+m#DrO*dU$4|euf#h9RP>iyrY`7rreX`>?CvvVJD!2e@`Tho)`J^!in zsC+_W!Alh$OE(rC(-RB#^z<;W+&@3RII@5G_{{tq@`EAMvuO`9@cWuESD#MtE2b9@ z&fkhd+WOB?`(ngLW$GiW>;8U9_BAT$Lc3PDQch5geo+`4l-APcjbj`I&IwfZwcaI+ zaa0fYWVl5T<}IG6P{AsN#qAc4Sv{u8Ht-rdhx)j6;xUebb8><{mfc=2+mq+em3$dx zl(JIvn;uPjef$LL7<$5&X#n6bx52z@vG z!!)5_4R0xZEnO*a-T;zRw=$nb(vx3UFTx^~5 zv9jB|3!PuTEkNH18~Q(8%jWAwUkuy1Xyeh>!-i!6UCW{6{qmp>i4E5-jgjtsUFd^i zL;q2#<9y>#hDT9;r;pMKwP{+2MoZjopIbaZho?{$+WLCA$klXmzVo|M(udtqPhs~{ zGbc~a(wbB?MQd9eqZD0YTjKES)Tzb6v0IN%5dd4okuRa56$WDXc3A64GsONV{s zC3d~TLyxk06w}`{JZAs9BK0~J-Zb49KBoVCg~#$atnl+yt_vmIVcB_DdSZ5q)uUMW zu=1ny6tgpd_N0DY9&WnJM}N%DimwM0^TBp|8{<)he5Yw+s9Szh3V@V8%ZFV1vy}oLkQjhxI9HjmqVYkGXN2Ts>|j@MrwaHlL>K_4L~6TnTb3-7Z}Dava*^dVRQ1?9#UWaqY`W zk85kQ3q_9e-3z4*vV7vI?Oyw3a`pPo$#M08lQVJWCZ8rf_jv-O&iMpaJ}%Cr*Q|V8 zUX0EL(uO*5kF*2pGAqJ9XcUD^_Ml()$V{r}}VTqUSSy?tb%LpT3>Jd_qiSgJc^} zWz2rCjBS8A8m_1Dm~+KxJO_RZ<;Yw(obu!tSRh+xsceuvQMniFP#l$is*_wBy%rV* z%GSv?$bJ9|WIxC@DBK{oiMcKmj?h=`kguAsL+(9g8=Nyg@W(Qa^9}LjT&3gJA5(<$ zl7rzUI$UjWQ(Z7IEe%rCF8sk}JS3m2JcBqM5p>&<e!CTd-i&3ViwIb7K)+acQ_*O%OGwF}K{^|X!g{%3uh+S_t? z2S4!kJlRql<30FGp*QPXdhWW~81Jiw4$d!|_%EJJ;&kaaT+{Tizc0Uw!{*7Ck(^&0 zNHbM-iQXl^XxkKf*oxjq9h?vU{H48q`POSf5vb`2GT&6jNykx;Pn26%7R?6920^{d zesG>_fCj#*jZVWQJaL#4%EVz=8`-7>CE0H0$!^*3=gII+Ey*mLCl)#zJ}dAp{ElA> zPqGoS5zzo-Kgc%7et;JPWk1L^$bL|~m@C%>RE2zP%r?k=fEV>;Kgc%7eo)PM=P^^h zHs&@lUl(#;+1v-rZQ>BxL~2xqXwj^#=(QP(ItCG*boOf}on@x2b9Oo_SkBhTc_Rzh zEmE74W#%EBvvd9zzjQ7_RxpH1_4zmAr^@ap<)nBfq1VIH8pYPfUxXu-%n%d`lwZl1G<+m$! zyp#FO{MzkO>^h#?E9ED#aaOKJxgO+IS4wIe#=YkC(2@hf*7dv2d|`)uk(ucxbpTVE6cHOLQN+WMQ*Rqa);m+h?T zX>PA_dzIU(dOM7sO|kXy7iY>(a=W4IklPJqhrCWk*&+9HlpW}=+WOexOoiumL)js> z8_Ev3-B5P8(A$kz{@0@VW9#D&m9=aKmYVmRALUoE_BPum+sCetsF}sq$6r%VFBJb- zZP(LWe{=n{>*NrbP4pXgE?L0pG)0u&vwpswy$fk>q67( z-MR6rKc$A_vG<+5qijFWhV5q8%`=D1IRsT$xhJF4_FMU6M=lc8;UiqKk3Cx5C2f_ z{9Knel^%Wn-6`K6c;y+kKlu8-=B1a)@41ej^6ZmJ|30rgmo=1srzgLoq5Ojr_-}gp zQ{{P}r|-+2{DZvsls-THQcs@R)ZR4x{xhWmEM3X^_tPGJgSOWXTT5Yx%fF?!!C`tm zEfL|g7e1d&&)-7a5#80Vsl1QB($WRJetgsOM|u9osUV?ht&L1Nd=R$Ba%huppod%Q7hd%t$A+i%$A-8kg@4ZD9a?DA%P zeEXj5-3k|EaYQtDxcJYww z|FG}BzjDa!=diEu!(I-)PQ*Eebddhc1=>-`O_V*uBZ z^~wYfu4BHC!((f+FXS>I@1gvM%9_K%>+`c+vRz`&Ze-uczL9++{%q5QSU+Od%nK!4 zYV!-FJh6O+%6XLfvi|d~UB@rO?!OOP-)DzB9=LMIR9#3EQ4~H}`O}YX+Mi`*rL~!*LPC<1v$M0i13N#= z+zo|bqPH?1DqpOimww)&m#84x4 z^0PMMGfvW<|)wqXn2<%5)y9_*j~ajHfJ-Uod2B>0QKqhq+_ z&Cu|^Wzu{h0^v^q(}r(yjs@EkUy}3hk`l7N1X#~|cywIJ-w{I}OPs!oa6>2ff&!J7 zOdff4m|q{PgOxVOH_)#Hea%X>Ql_!uT8YNX-3{yFx z1e~i-dl@w^j;kg#9UL3zMf`I4veqqbFKr+$cO2a>P)QQz8cK zY#Zgu{yTXvCWR1bZpzUZQEwY=%|A?=6(0x-*@gg=bl^jSN&DD zW{rulo}oGCS~ZrCKtMpM;XpwQfQ1FvS2z9PWNB|l%+1J5EaGBmV?xZr#!Af0%EZdS z#LCRfO{^kKEbd}sL;NowXD$R#3Is&g>hA{R1M;7C)Apa%9rU6b#-C8ah4Dqk-4M$7 zfdbyF@%u&kJR-R7cieH<1xX8*HN>~5qIkX=i;*bt0eQ(OgmqEWu@`72(A!-|I}y|$ zsa-*tIt@aSA}gkEZl7e)W);PL9i3;qr4=)76&)2F z9bT4}mZt(QziL;{v-!F2kvD$Hl*;*$uXy3V=a~igKE@$#+yewQNnZP(YoX4=gY1>F zhhh;UJ+;)IJ86Ckqkn7mbFlHXb2RkD6h@*CjA5F}%GMKtzAlDu#&&aOJuhqNWQA^T za{JsCBQI^OO(e`xF@hdA4O}jl*M_pbr}ZJQIxpZnLzKZvfcq`tpo=AvkH*6|60q?C zO{INLqaSRycKK2-b9xm&j-~L&@08pD>4HDvBcPR!L*=wCG znBbdtPUzW6aFRy0-{E>oA>ypzO$*zm+0m=)RIO9nDOK>>*G;NTiB|}3lGnlK#(-nt zD`TDC?%np0fTIwfn73l*5a#^4q#VQ1b3P&a#T_f$(!++K(QLW*0t7-|i_g44f!6mW zq}3B1U7tT!qCB>;F}0iC&Fc~aH&(-IIxnLMtgoyO9x3|oE~Cerf-`UCA3nKtvrOQh zafeDj>nAUXM18S&yt^MfR&vh^E|ETNnBTy79C|+*woTm_eg%+i4UeM6_?4#3E+W0Y zO>&M200VD+2H9v`vu{r3NTpicxd2;-YCtj}`s)z!tIz}J43q^T$Y+EH2x96%$dyDr zixe%N6N@)vgIuFr<9`AM{995pT)a93V_zf2o2 zJ5Rt~h!u&|LiT_(Mp!mM~;&pO$sT-8~xkwvOzDRYlVysTwG>?T<=aXVbe5l_d z{1A|Q1y(VFcy)CmsWuOae@F7q9P~Zk1?=5BH#9k8&}z{o$ao4L~s=Q#)}f}~DIT#`AiB>YCQYA6taw)CH$S!3Hw$Kvk7J)$tcl;NOon6eoCPxJ zwNNKK-1ow}{Gp|wipjz;?4)H zYLFiPbl6++D@FMZbo{isU#)qzdzOoi3%35G*~w54!NU64k+`U;5ARpA$a0#05GI!_ zlRJF7&aP8h_*hXGamU!7Td=8xugcKkXGV@7oJuP)dAC*L~Q)v1WW(g!m!GT7W_-FE_D@r_*c0_$2bVr#=s0#xR=#Bgzv6xW$j_b|a|k zc6I(fx-Ja+IY2vv?H^45FtXxT{)wx*?^VC7aBCLlUzdITzNRWHOAoeki$rm=Nw~Hl zF2CB$+9>RNS=ToRILg+ax}VkTd*JGXNDQ)O@XXz0)0}Q8sE;DoDZCfhY-BdS?Xc3` zYH4gK+&1?z^LoJ?`}hKPc+PNw)$XITGJaWlMR7dw6Whrw=E8xX;&DA^J*Nkvp||hL zq!&Lcpn{gbM`Nm{pA$)L(B*x_OJx?oM}EP>=T(C!$nvpv{4v(C-Qks_X6(x0TgKll z$J63kIB&KXbnMJd*5z~iG7*<;qtF?5K{e~v`l3;5{_E|x&e5w*F`Lb+iO;V$>*7tO zqg0;H$(f3&vN9+xd!MST7f$ z@_q5)lvBa-WW?fI$bEXR{vQvg#0J(}c|pvYgZu5nSjP4y1TP;)dZK!VM5blNdrw;Z z7kWy!o&hJ{Xoy|G<0*U>7%r_QC=)+_ep{JN^AV#2hrpSKrP;EQ?npa z*S5rlU#kt-I-4}iU8?H@_eT@<6cK*aQI`vwkz4e}vFF?Oj4_{K=_Xh5v)GC*G z7yAk~ekW7h&7i7O9GJE>E)w@SQ#?UK?2DC-&SvqrbOth#oK=vl?82whld`DJpX7=&)baXhM+A=VUS$rdo;N$d)1bKE4AtcJu%hJjs6f*jl zA}xJVf_S?)-8_j&79nTeWg|@4lS50vF-Jg8S)UUzGlol-V=&=_GqJNXwAL3l`adb8 zm7}CM1}UawOTS-!!T^p&b6yL62C?L%BxXi_a*hjbK345MLkmvryGvwJ*^apEx&rkB zcIEfFZ;+dsP_a_BwiM09$>>*@_4v1l*ophP{FK8Q6=~@DKE}%4q9}>`nSK;%PE_&s zOU2|pN~sA?;=0oNcrG;I|1%>%VEO{M?Sv-mR0`rfb(UKQGIRNztmJ7Qe~Zj0SoU?Q zehYgn3q!tAL?PMEB^Bx76$^5=kAS|JK}Xs5p&=dWOz8NrOh7t6^KIC@HZ0=C7X{;h zpNw|oRa`adF{TXrib#oNTeQHaD;5Y~@~B%@l4@dwtWuWxScu%( ztL_GFaBrhVFw3hABxizIjSQZg+B6qF{pWM{o`CX$XYs0-6TAtY9Q&vMx6uz5-#rY@ zUPgiG+DHY!`TpWt#}oViG0Zu^(r~Hm^zuA5*@q=S*>}Q3*^eYb*|)_<*$SXQ3u_K;sufz_lm0tFHD1tIC*@FSwy?$%God zL{_JBFo*cMBjsV_!#-OcdwhB~&zC5)xy+YskC#2u8ZCdaDb6*?b>;0# z(zM)82_JX2c&q@_Wjgf#&XnR|`}Z-qnc)N?LOhnvqeN%6Yw+^J3xU@X0L93Bm9*fe;e)?ATB zxS=DE-RPxI_7`XX{DBKSA9DzaygIn8?X76$Sl`V(8EGtc)2q5`h;>&na5h{l^pExr zOsDCt@R3J0blhO+)`&Gg63g(vF56^Qk{BjXHXA3ZVe&Xf0@z`dz}Fwvc5m6%)^vtT zC}|B;OZBjlomIJfjhnuN*fTIwsOeRWM!1?eJFrr)iLlDI<|p72Ou-*>Q6{i61orG4 zw$OWr47%?8#$i~mE6-Tx1k>x>9{FvmP{Eo#^m|zu;%=E%Dt_Lu#pGVD(^_j7QhIFT zEV-mcM8AgvPgJqL)(GFk6ji0i zc%{~4`-AcxSWc{2O0%HuvS_~1JxJ><>pD$eQ`#2Il%iy-b!CbwI=?U#!`7L#}&9_G~esI4Oi4Jf6rz2aH!SuCUhvpRR7Td z)8>D@n5o3#YeY>uqJSti`~*`_hAl`L8*vi|0CuE-ox%IjQTI$~dh3{yQKY9_#UhD9 zT(>E$J6m^+J#r6wAcX=c7f7u@ng!AckUsawH@!sMal>><+aR>I00r84qsJ$hSjXmp zk3u(xT|H2qCp5h6F$$~Nx+T;^=S|pJywTSwZUfg zl>5eldt>jC>L70>1DAe-$yx}!z_-Nxb+uQ4OP|hyCse72I-#lJFFCABD~_JAv?Gji zY;cb`A%~KrHb;Ovg3J1`CC<&(>R}*=&Cb&njpjid{`0UxSggv$!N z*L?KDXZ4TZkF=R8x%+(=5W8w>>R8ba4m(x2%I?ZJ$QZ}I1|0e1KrJgvZgh5C#Aulm zQLx0LJZrHEeDL@bQQ^g-xi%AS_BaM8MwkzXXgYND5N>zGD8eGJ61uTR{H(R?>lumA zA&Z(67fX06D@)<9W);;4j*Y>{rmRXHb4d1LS1SL(3V7}c2+YCZC3#R+c^ujA>vA-f zwP|sEI`Xw4YyqsP0Zy8xjDgAAX{@Pq6l@y0Audd&H66&-jH*(-8l&qVY76~N2C7m} z^o`iC%4jm_@Q2{Rnwet7hvIadEm;Kkg`l$m1ck{H5X}oL8JN~4?Anrc?Aj3=THSuL z-$CEutl9g7m43j{0$A>9`SO$M730B3tIA1Pr9|6B@oMgvlY)=)n3Euq@g~p`uxW`C z*j0rnt;$enCy(v`lo*zBZMFd}XEISfXMzQAIG-fPe~OEFJ-e&VE%1B4l@33Ad%?>J z9dZsx#dN;&tkuydSy*jc7h`^>8HKy&o;C)Q#=K0Zjvyt}DC^!VfZT0e?z}tOFx3D4 zro*tAiuuedGQO5^@5mR7lEBM`mE#$i`dhQ$+j${{@^NJGiDQ6yjaN5Xyhy&oc>zp+ z^%7raPpd{_x{-M-@Y1maTkV6h(W<*=e5h9z?lRkLd3S`aXM=okuSydk`htdn3kXWG z5V7cro(tg=!P|tkwIXY3kDg266wyu;arvwt>#npgIOBr|S~!IKzmoe8i83PyCTP<{ zw?)nsu7rI`7Z;o#-Q+ZO()g%;%6tHGOncS_@WN`tg^>JXDZF)cDZE&#oThl&I6=X7LNt-7PWz;A)&M~+}4((yLrriMzE>+J# zRc#oU2)|*FB0OaTnW9j46g5^y5jIvQPZ)0qM-*=;6*E?MCuXv-mSLMudRk|z`ppb) zwT8dT%I9uMQ}kIuG^JLr+^Av_^*Ty;PTDAMcca5ug&{AwB>ARZ*Q?a&W!Z@_5 za2RQ^t2ZT9{vsE%nh)e7gAh6#O5NY5-?gqM2F@VytS##5g?R%pencavOV>kIrdoCx zrjKZ+l*d`?lqgpirgO5>FNUO;(jMZbmM2+hmP8{=Hr1P^!?ur@(iY>USgH)N+FE@t z5vg%Zmo5)6-Po#=&HPW|EkN;<|0G@w6mR}d;@UuQwB+)h?Yuad+9;RWlj1q*noTpO z(&jUtP-R+6=toGq4)cS9VyrX&EdQ-MbZyFCjgI7}_sHI<5a&wQe~_hCN9JV}ZXEb2 z?;w144)&~chH{_Qa;L6rhD!@?* z>D31KAua&OYO^fv?1^(^|4 zq?{>g#bMEt8Nv_^oUMWQEUGl?BO?iC*!9ReuHy9MczELUsx1X69={b$NTgozSnOyf zJPn6L-Z0pVNY+i+jPh@3*9Jt2P4I{kbUev0_g|$@;Kq*wNHJ->WEtqmPp!qg%g}8P zM7^)R8j*a*;x@`}7~TArfO8|6z));BDQ3d-Gtf*-jFzS(YfZ$HBF)A~=u^DIkVwNG zusZtP5*#c&&M82Sqb%Vamirl|De3A*Wt9JevM4y1+=&{6h*oU#30bfdvwp73B80^# zU!+Yfw=v1)K(v_JnuKqlf|BdL^R|7QjjgD=sm3;rXnZ3O zB=&?mZ^@?ebWIN?^K>B!C-XeWjb-HHnyu#;y|dvq#MEzox}q%g7~GJn#i7~QVfnJ- zG)3Cb11ke^9Qqs+{Bao0Rye^B_zf}6pTLUQ7amiA)${Mc;M93d z=AWl)LN=JE3w|&FbfHiL=o#Ed(8D?P^XeVOFQXF`jf8E3d4`ALrx=6z;p)gbpT02J zhNp*2-o;_J`Gx(}qJ?3kF!D&EIK#-;V6GQ{(Uwl1DW<%#2_IzXJ!%e<4GV*kZ)X)q z<8W1eBE-^;m<)#EK@mjL_30O$T@z3OcJ01`4=p0lgU*DHI`x%E`m@?Z@dOpWFxpdI zaT8pO+$)xs;ZzsQ_kFmRa9<^I-Jvkq!hHaNC~%ns^7Xxi-R77gim0&TEmggHK2Nap zSMC9kOuz4+#p0cxNLk1CH_=K@JFW^S5C|glfw#51=oG{QoSrDawN_a5;nle9&`-2d z_qP0nM7~(|blPEGN?iNOQEoPzy7Df>8Xb{a^XdxwUqNrkNOHN^KW&^(R(<;WW@<+h zSakp1B=K4L-s{9OOg#o~$5>*l&XK@PGI~();FDssu<>$7x4Jy6$U-{_BM^<2)E#{v zkrc6{2|9UPkS;-(=ij{$#!en>uR-aJH}v7kXfBE^2!swiDG9gVe8)gNpu!-J&Ry!m z)$b;e@#|LnHOA1j^X$xQK915rt~YOXGPu|mE=b3~Sa=|vUVu)cF}_^4#6CwxTBa_8 zhc;vphddOLbMM?b#ra0nRf~NW=4vo@sIn?)h}X;IW$bnZ`4a*D>{xOt5dwm+yvH6_;-5b2C<@@H zn5sBAVIoo$IoIM+$aka7ox+Y#h^%O3FuLBLgNq_c2PI!9HnDd~+E_?*jz5lr9tYth%+V zo|dMCv4(J|*YgP4*7G?5-Mx{KNtmUE5yxc&dtxeBJV}GKY*}T+`5c;s#0rzNa=8=A z^|$fmraWU&V;)?|pwnygrjo(c#h92l%$9DrMHJ=1g#fsOABYpJKElcxlV(^&l&lse zVlZ%F{SZYerTOE~O4Di%H(91@bYhr{O2vkclzb3Ham!V4@+|us zcyfUzDLSfLI!<7-V*kP*rg{R$F?8`M-=ku(Ytl9qPjCEI6dPKKvg3Xhy?0__vWGj1 zpsC=wGLz8lgyPgHjC0*KgWAA?;h*Hw$NSF5PIu0!?uI;e3CJH;vR}&cty~H#_p$>F zUWnP%poGjxi4^-;NtXIiQT}k)-Z4&J@EF zH$2p)?-C*{{#giqPeH-d8I#u|$u4yksfsj-46IRP&^GdK9S6=fs85-eO}>&wSYe#q z0q^4EE;&s5H?~r_Fe^Pp3usgdS5+p9YJ{q6ze}y8ktLM?hU`t19sR=5PGqA#P^stu zfc<^y-{*=(E61$r&Y0jlnutZxnmlvhn+vtf>))>SmBNb zm6w-W$jRxdbZVjfy#oqJ6bEZ|!WI7#ji-Nv;j-wVSYjozYOI>>9tpCR)0{;vQ^GMS zXKC#kt8VjB18X_nb?n$ZIoG7ws#m=9Vs#XLN7;GDEz0ELLm`>QIU|!%S23kG+?xq- zi~Z5J64}7WkpQz=oB<0ex7VS%x>S=6cW!tJqcQQ<=3Ane7bybmloVT~Fdu;?k~Jz; zObrT$lSE~*#Vxz1N@{Cvk0#vG&tOy1wJNZY465h)Q5aOO{9}Ne%kL% z^_D3#9dNc2v<|3dfb(T3n5#HB6<21-pNl+$EQ4S}t^?8>e`RlM#Chv)CW0CndE|k- zM9%&d0lj2{2fHqxr)AtaKlX@uOCS5u&b{INtf6-fxLcJf%8{=z{xB_Z6oI$B)FsQCgXR`5te$>>R;*(Y98XlQdM9Bgr-h8B`n+9TowP7cYnV z@C1C8T(GKr#Em!}UP}5<{E&$EX)c**doI6Ag5v=}A45Nr=VPaa-aYX_Awwhto4V`;3{3Rmm z2>h2=&0zvzp-@lr(UUKkIk(bk{A5SbBXyj;u5%C4IEL<0^kDmmsUDi)@ zK%pAwUOntH#k3I_3AQ#lk9KUg($5<<^Jm4xbe^MvS$5?pcKHsahV=}nOWhG#6)SDk z{7`OdntNZ_x2H}{TM5O`x`a`ZxCDa@u8pi%mKt878!X$DJSwz_@il$Rl{-_w8|hFx zD$0WgOwOYlar-e#;pcW|^PT+l^66)xlTZHTYD6!K$DGT5ya(y8W^;;x9I840rTn(c zne@k)22+3LD>uj29%d(3PeTVYJF|JfE2!KI@4DjgXB{!Q@=dT zzN`M%Va@KRY75VLWqyjd5M3Fo5><{P`vMqCS7Vrvsk92N-c(tH@)2eD^!Qq_UKBZ| zq><5-l$5y_p61<>`BW9fdf}2)Q81Gh9^a`!IvNJ0(O9k_dyBB0HRRrM5??3Lx0H~l zYJ{6jO+LM&qg&o%vb_^u#x`GsQe?*8V;(uymE4Fp~ya#!_W>WAs7a;Bf5F+n>M}of2 z0;(JV`@**a3Yv524>HT4H+*^D&T#w(mKiHyw;WFDdMlXR{Z<5(&x-^mrzZ}4R$uZb z6*KvKmT*r)1$&lV{IEw^O9(l6R;Q)pS2qe^i;6m<3j=df6BOj6Au`ZTN3yq-yvwZt z=FU+-{qo3_uDpd;cI47kkJfL!ddsuTOfra9W9oh&$J%wHfwO(rx9>jeZ$B#&!5Fh? ztnyHQgX*>69?oyuHI&1tXQY6~R9_l>a`}BKFD?9MW|XU`#A=2>#%i>7--jeuR^!h$ zqq5SNYc7iE6Lt#QDneRw=?#Z1@eOxXJj;V#+akGhvgDRc#=*y5s@(66Vq;b<&Q2pX zr^iw23)47_#hGkoGF;|UDaSZou**pf1azezcC4$VuNcWPH+uLBxlLW|q#+vzzy`84 zk?Y8w%D!qxe%k>^?s~i)2alJBa@E#U_GewHdLH(_KAFdVx8jg|Iv!=d=F;tKed;_P zf1FHkJql{{w12kWPQTA4+yC*a@pk%jI`a4&bV~PqJ(+dhG12+`0g8oQzS}KX^wW(z z9(FtR8?Cz=YWXg|G>}0HWYhu~tF+s+=f7}o)gcxLXwF}7t#*Q>qe4S)zvrWFO9V^1 zGhxu~y{nc5J*om38bF5qUko)ML$k?l)XcvAYBgjF=1~r-%kwuKp8X# zrAV}lB9$1;f}0k2yC9A9O&RrY;W)aXrpt}A34#y@sys-)1-G2dPS``Za-9en)S&U7 zvwopgf&-H!bn>j>o~j?HXPl7aS#a&L-@a4S+4M8r1}2$KXoNaVU?}(g$r9C5{q3wS z^E6Hy)`&;~&pkn*NhCgM2#Nxto)Yw0H;wASqC>o z#%gThV(m0q>U;ByVeETjS~>K#p9%YO2af!HeyN@|1wR+| zhA~l!TT8SmVB&T*`EfF|eaR(zA!~vjE#omRH|NAc;)cZ8uroMf`>jx}Z=z5a6o;xO zE(}GsNc;O{`aW2!cDp+Xo;%1WW|C8W%DGzgnE~+iwg${@hBJo_@O8I18GPHJWzFrW zrv$w&k|M1j3y!w16pHC?dx}{Em+U@dP|#s?P|*=Qrku(1F@hL;yhts_p2fFIe!{qT zhj4K+>P$-2m(9irT-`J?-wze>>BlP?wl{X^;e0tVp3zG4tKQfwP8YR!g*M=pL z92eu}%!9I#m~)}!r1OljqFrE%(pkNvA?b{0DY`g=vZ8-MPxuF9v5>5qOtkKjB-1QW zF9+C%c$uq*HkoxPw}LIpeowG}DypQN?pqe6$VL(d!?MX0=%$6ZLzN`E|Sn&Ah@JLP2)u z+h~`tED<}opa8wXrE50Sl5#b|rR`v4z%hNcQOfP*SR~&}p@rw*VMe$?l%>1jludNcPqrMVo;1&Rd8l`F{1FMiMsum6l{ z{6cvm+h3eTV9S)hL2E5SII*`psm&at)SN>L|D@i>pE>l6YD5QX|SVoFb&5*3p z%GcgJst_@eb#I1)iLhWu<@-ugqNUVjJ3322L1`_!`~|5s>_-jD!MUKl(&??<+#!>q zO4W`HMzZ#5zGmzEj}-zMn)8^rDonZ+-G0cb*Pq_m>Q%3-(VA|-o%MRB(sg=_jTJsh zp=X#9pOSkA_eeK+(y%YxN311^6+dg3^u)y@^L{R{&Khup>3o|f7#FPwcBj+;aa3`n zC>8s|uNk)AiUWH7W7{B05+QH`V!xbjR8q}9Lz9iFBC=E)rHYIQggRRBE`JF`;w^+w5%fNLp#Tpl~gl`iT_JFa0owl5Tda24r!!q9h_y3()1)p2IYpanu`pHtG0Fe2Xnz@EQ}}O&!aa)f z2mq%0ztTbz{!GanU!P4a(knw9OLg!A()!MZ`2K6)|F2vrnKCx5e3#x^(vs)!!b?bC zBT7nPB|^6w@YWOtJ2r+TpBgn$0=dE0(+tmg9#uPc{Dq}h+BT{ovJ|n`krnigA}=uA z>zPOhOC+gx4B7=7kCX1kZY1&)%K{06i+ ziGw`L739c|w^zbi4N8yEVk>x|G-*} zx@0@h4(8_59eo!#2fj|@wwPxHX|u(gPB2D^_P`xT_h1~DE@Wee#>_+k5}Q#*EL-aM z56HJN9A#Bp4e@Vvu{Cb1v6&M841INWHp|K_ie{E?47$Xj+FOY-_H2MVh`SnSORyhy zPj{vo$uDF(cssNSa?BvGnf=G*1YPV*7IZ@Q7mB3>3mf^3``vhW+X+{-v%d%0oRc%*2nzoQoXrF*jsH=kY4D@JX-*7!S6rB>kdL$`mNe;2`n#P8!wN&>CT_^Iff8~LG&8LH-+&GlwE&m7)-J& z5XzvTA`?nTlnY4_SIbi<-CF|5KZV2{M9>=R9wbBXY#)?-yC00%V|f~=DHDnsfq_3y zwxg*VFZf+VZDI|qZk#c7qEYB30uy6KEjNkt_ zwZk1G-0d1f*3Jb0V)6SJR9aL9i8}G>H|QKIIMS~9a{-|cKu(wMAJ;oOJV4l;0U*Ws z#ZXD(Zg(ISI6tAT8-10K@}5f>|DFwyMEzfUAh;bK{tI&pU=xSDo*+%|{a{bu`^uo^ zyj8J~h;I-H{m1L<2tg2j@d;U4oc?RB4hj7g#?Cb!D7I%K6vng_VHVGR-Ja?Cx<^}Q z=)PXs#>KH{C8E}c+1GADkpA&FzOZM;N-s1qu~{b~V01MOM9{$Ch5U}D(Bu@gnNA^Ddp}0j(cMz<$7>`OkS(0;`U$ej01;*)BCY^ ztVi!m6(t8aR+fT;7psirbTrjR1j(3g!BsmYU&=dTI9!yJ^4$#NbiajeDV#;A_z*_j zbl>qD6f5$MG&|spG}~y803WPGY2SL&DVlGg+D^L{i_UyyZE!NWdccE(qC%9zC!CVo zX_3rjUFZ8M;N^9XdobT`t5ZmLgWF?Hbc1`Q0_*8=?^;031Jn9|>ue^*-{HxdznF4= za&{R+u`^*E=i+#kw=J(aKG{t<@O39KmRa6s?4NUY-sAGG^PvgtpEI-J!yw4356<0xYcfXkV`}#cPZlYrY}0M07pWfmDnJ zE%TD+%m>4gQ@i>+GhqG+O!y8co6Gb<)BktIYnse61x!|}7vH9znHQZk+Wt-*Ew`fn z^!>Ngd4OH~$D7;}&!Th*!D6uuUWv?ni>&ociY(qui7ejuN_DF`FunXR^GdVqnB1(8 zCz}Q4pq5)YcHc`UlQvf@$+kl*4>NVDY_nIw-Aprj%L&ag{v5ZMWteQTMrV!@0MqbL zp8t}n3nE|vAshdO4F3iBFPVDU2z6wxZzWr`$p(w=M2leuy6t2Wru}#miv2`Wyv;99 z>%X%3(y0O2NwcYeLi+ij@9t^jIZTm}z?4_c<3I9d=4fkvK{a)At?{NxU}%{*zx+nJS*_68##vVKsyw5$6Q2kA&-7+-vopV)MaLG4Y!HG@=cB!x;7s_+qho9=plH?~LI1^n3=ce;_QN(-(vATJUDrZqzr;E6C_?iFFOuxgVlV$mzw#Y z7~RlMVe?VTmD$hmL~APE+=A^dE9^$+`f{nqNVRjMU)u#fsXm1?ptrvCulNb*-hYT~ zUNT*#>AFr4sla368J{aCPf0XQ)o!v-U8JolO-Ygnv)UJ>#agCoth3s;n(9fhsBM4n z4y$@}&aXV^X>SVf9tXNkr4axed5=jW`h7rc-Y3g!E3{H)m1a)@XbV<*BaABOUNmUT zzKupH%Btn?UZzdEPI*y7x((Oe$Er+~HX&@wuqddbbbmyn17>-S<^?cr0XPHz zpz6O?V&k-HRsO^*qMZK44p?{)$sx0dg|AadH zdr#%Fzhw&SkNwduoqnrHjtEQgl*DBkgX@&O3NYsEmX@7nV?DFcw;%!lnCq0S>lD)( zOKpDIMD5NumSp`MlgYOj2B&BOfE4eDB}1;^;KyKyPa>_QTHC0~V-cw}|M+hiqt71^ z&rPm9v+=SRPRRrZIGhG|Vwn@i_hVL}^%i@mHflgg0KS@O%GEgm2~?R)^=S%qOLUrNx%7aAqDUNa;5WACyE{+ynsHezoIqhZDs zm#?LsM;^jtwkjrLN&Zq+GNESkPS8{-eicLXn}plp{V{RFs;fIxq~hk`GY^|NrutL) zMde5it17IWSwTQJrqEjYQ<~M)rTl}J*Q}8MK;=3m&wJdB4nWroZ21t5Xje(Rx8prd zcAe6uK9;6fIG(HH`^0gk5gm-ER#2zaIwY*=)zJ4rcrR@&)oEn*ab$ zy^{dsKa%HokZk7F6u+S+Yj4V3*}itio$c8T5YsoktBVj4m{n_BsY@$6U!i4S?Q8uEqy6=l<@#WZIGQbQqN#J?)P6V9fTW68rtNyOl*y z$WtJ04j_UmR4O`o-d%kn&+|MDZiZ!eeA-$*B#iXv#UXaqbeA(!$&*;IXwC1dG2pu+ zZo3f~4z|YL^ebi8skrrf`5XUF*zQ*P&pm%8BY61UMn7zx?R1L+@v$1e%sw5lG(iOe zPSj&Aw6yG?cHV&YG-iES148LE1uEuLwBv{cz50*eawbG)UwAniFGmUV#idac*2^A> z0f4W(0ob@h{lTs#J zzcO_A0LTvE`?^9#vfUK1V^lU%p+h@DcjzhZNN+o}gBt8f(!qknK16!;^PeCBYGP3! zEql`ze1kz0tWtfGeLJD?q_GTV3wJtEU80DWL$vixIGyD}dyZi{#`T4ya&K3Nj&q#F zxMaWddiw6AtbR1yQhQ%T-!j|f*xVCNSFvJt&F(> z%ROl;Qaiy{^UFSCudBt6kdMx@O~tX&RK23Xc&v<&d|$oeqpTYdnDvL*Q(8C^UK8F! z&-l%~EyP1t;#RPBg0ag!SbM13r=QSTt6tTnP|OeEKU;MC;Ml6>9EVA^P?leQpz%i|VBtta31Bsx4 zV2-hN$rWa{wLKHo@~oAGA4|H;=a8lBtdu;(pB%I&@`^a6T3}oN-!QQLN zM+To%*5Z4O;GkPDedu5&H-Sc9vrNGURJF2{F+Gpt9TfW`l-P?I88ac9Y{#AH8M3_k z=21Oj5O>%uk?~T)GxpK+{Ri=zC#;_~@!KZN+jPKnA^Hbfz;!0g8`YP+-IGwTy-4(r z4xuME<*z*@g@EeE+T!cRfa_M3CvvrKy^KAHw5L5nPmhJS3oQZ8-HAyDYbPjI;EShc z?~61Sv1CsYkk<-%%-*pQlg6=JFb9F*uGrhjL93=4hEL*X+cLJHzoZPW@p$1s9%Px| znQ}%#V{EqcmDbX*9?a2O*5O`3g86sg0(0=F@e5V8&3`|^HgE}BKX{`)9|0u$QlH6S zcaWj|1cPIt20jyjf5z`qDe8%w?()~V;`)g_nM2;~^81hlT=UYr^+HZO_mZ9NAuO$V ze+D3k?jaTQQ7v431TTGl35fiR^u1Lic73 z2ogg3;RpM%BY&8{es&6d-L|}cgZ|7dVl@C!vpk(HrNxC3id+FeOKy04Pf8{FSwtO^Uo>`ybl!b zMXw_Ewt{_Vci=$JFE}r{U^x#%`x550jgx9SP)5XQeVXQ;*YrAI=rfmc_n}-xu z)k39#gTTc|mB>Y~p_$z8OG>e{1v3l4|1^_9BJk6K25yYi#0PE;!k-YrpQ6K`n#Z=Y{`pWobjy?wj%1q8R5a*3`|XJfgJ2Em7K`js-3F`OF+n+%{ZdN{uFrsZVv z@bK!!wsRu~qJTc5cx3;;zN}9X#0i^l0{MC6fnRHlZBr>3Nzy`zqMZP3+@VQ3^28F9 z&lJLph%AbY6+se(HiBG|LHlj`kRx*irAO`@dZ)xC$bOM$pw*IKZ?h@p+Ez>AiL;u_ z`|rbhmyK*rOAaa1jYk*%tERUAYUBIfhby!|acQAgfTE>nDK3RjC{FRVNGa}G9MTpm zuEnLey9A08Ai*gP2_Br_1VWI1KHvBE&g`9=b7tpelfCEM*}c#6oJ-c^GBW6<^;l*) zk=c=J>G~UzhvsO4E<@=D0*bNsqDEfaGe5zZQV#?k?LfoJki#+ln*maHb>9jKuNuJb20UyUU&#b~Eptoz3rv+wE&U%L@PRRZi-F z7vtNBAi=~vf-a=^0|9Zxv7V8K2?8|XE^WubE^V$szEXoW{>%){47fH&2BoK(S^pqo z-tE#R_(hrtBZ~Ipn%6Wga?VPtuRi>x73D{tG|_J(^w zjNph4i-k0=bg02Q$TB(xs;>W*>{C|Bw8wgfK zF`6fwT1GV~C5RNCKI$N5?6v_a3P|K4$SIOUgz@r82yqTMfdxZ0T2z{L?AVtC`T>pU zD7iOo?q3DWT~Ghe;v3imXPx)>3q{FY)0z{>_NR8o3O;)qg_3uY@QlicVO%dVhxSei zDx%%L>_@g5HI1XvOwzDCGVn6QsAe(7hy5+xSJK-3c^`j(K(>TWFId?)7)f(q> zrG>t(hSl>hr&Pphx^0FeT(H$J{>X^ZrprVxndkk%U)EgXFtlD$YzmV;sUD$@0OMn4 zf}jt0h4Ze(j(iI*<*CNz3hINgw1Zlnx1!CSbPmYXjIS=#bH_tH$BN%9>GQeu*XOsD zQleK3SMTzJ56 zx_u`^rXv(QHkOYzjg{0S&CY(E>n$* zN_M8#r%IS6Tl&5h;8H@QEB1R|V^?Y_@Hvk=m$?n8rNc;WREv00tyq|l;+9tb0mC3| zHZW}!^3DRAZ>1JG`RHPbuGUQ6%1uC{-MK7F*r^6e)9m~o*)o5hs6Jw$B7eV1LYPS} zpeL<+>REC9dhbZ9`s02e(I=VNHBd53=|~sQN@8#evX6sUqQJl6f!_8ikm zf~!0zGVXSmQjHz;6f zMsqZ@B`~*PK(8v1jJB}Wgq`arEGystajY8dRd1e{<9Mg0P%o7LXiyl0ezRoEXic^J-fdl(0wVicntar;r#*@YgMV$gXUgJuG)ZPbM-K0_XH{ zl5I{T4T2YkG>?&!&Q?8ylQAy1-}J(A!-M>qlyX(c`90!M9IxsT$YHZDF$$an1!SUd1fSYy|oVT73&3-eEP~q7X^CD8KDTJI7K~rFaMtxJ zUzs-wX0#3fl6OSKvMbcf46f6wO63l7y%zHQN_WEc^T^W2?bCqHM4UB6K{N3rxdY&Q z#*^Gk)X+g9Y|QCFAnA`Ckn`{dv#e|KK8~_JvSJq5gwSgnx|1tmBy=JUpa~2NWtOUT zR_F2gOn1VAC$~ik+>1B%BmL~@MGE@uQgWXE!o4_)U=O(%tnAeTs+{U2*#sGX$B^`} zA=adAVa`P?cf2G%0J)>_p6+mz-vvY7g4xGD@#~w0D%tnITLBVGzA*B}7KT3&C#wOJ zNe#VLraLLNh2<2o091gtQY09npGS?S^|tIes=xzQ0;ikDS4O)Mn2B%{Ka=>|MCvhV zw=P%VJtRevj!b>5GAmPtg47T4@ZDdtFTO0URRvM;KX+hQ^fIosfDGDx6U))}v=>sU zR^j=`Oz^2wIx&osRHGk1x0o<2+0nrxc;K8h_gBs3?|tI?+p@0kS4>$&M zaa4QuaT+^w602y$ea(lgptIx=UWBgIEDSe?j^P^kAh6ct1v#HtndC&=EbeEAGoQ(J zr5_l8_}>-(?X9Nz(xLesbN~J({-aa?<(ELi?-+{vn@WT)T$^TzTxB_WZ<@(3sDf3S zC+G>}?;dLWDIcMqR0$L!!ITOkiznl9SO`AlZBuWIQGUO@STl$g{UAIeF|{Szq#47I zRvPAHq!1 z$D7UYb?ga8=rNp$zj9Pq10}*QpUboDA6MaIl@fQZO+F1^C*#NpSO8JLv+;F)!Uyo! zcYbd`zZI^(r43)&=ng2U5WN0)qiGAv!lm4aI8ZdOK~IJ$OiQgc;ODJk<~w@~wH#j& zKvW0Q(o7e@Ez(v>WPsk=k-1p9Ijewd+jvxAS$n?btzPYU9xOJ=4b0xj{Lm_ml8zUM zKd}wbWF;_lKGtSnI!1a3y}*-m5{>S^+2bxuKlZ-6iLfxqP9Ghb9Bgw*l6jFvzC;Dh zc;uX|YIs*xkYHW-TS}6|M}zJJA&lG=M$-K(Xmd*vXxr$YAY7d`CR}$?E2`%XpUYHm zP`0+PC!F_V^R>66mm8NEcgxID@*EF14-dk6JUzege$5&&TH+T-ck)vhuUbWw?M(jX zABa*`1S(N-&C9}+t@k{n86}Tslt+-VIB~8Dn0$4X)g<_hVm12kaXUF)vrcB2x66pL zt1VT)zYw0HpqBhAy4ezdK&Sj7S?{)aA{D2w!VZPmO8pLE|Ek(h?#2}^rbZA&k@s9$ zyG%=yJ2b*Qp!BOb7o{0LuU;=_qe-&D%I_2@XHgCcQFe;g%DrA}6ks-r`r!A*3>xf> z!&I#QPL|VkPs$n+LWGg{xJC8Lr-9famTFDlQe0@8APHsw7rQDj!?qU}&wd`sV9_2D zcj6?N4PhkiCW4fKOX}ojunP?%*;>(suWeykTLckvp#V1k6Q7oEmsW?Yw9 zxH&SJhRdSftRUG+BpE@%%YXP2cDIIqHiLp!PqD?p_Y$!jo(JNTD6;_RtgP0FxFC-J zWnta0iMSNXVE)OW2^FXJKqoa|M;0?ThbdoIoBa3Z1@)&YKhn8-j%Fm&6&O@mW2jiW z&phbdSV_dwLJ^sv{`hj<`G^F4p$y#j8&C5Bj2jC6>dFtzl)IscpJS zjmL*nZv3|Gi(8=6RY(?d|MA{Kf@rC}!wVx5);04WB@POM-@h)~4(nAEt^+S< zSxS6+p>jE#_Y^M^sm=`lDO{(8MeYoCO}Z#ky4q5@g5TgeX(j#1u6KbSNt|ij~ za+BBDKlCb?-d6*15NI0(T>zNIjjyu;UtalFlV2owB;ZfRL2O~?wy+_BpM+FW*KdyN z7^(0{*Ike7FVvp3zxq`E7OZ-)Pn$OPxk8sa2NK0+)Xb8#5^W1pw}pX=S@<~#W`Z(J zjKtg>j)!|1iUyXKGiTl;hWabfxe>|LT;6ucweh;R&1NqK>Yrg8KF#Bnw2Xo(n*h@_ zjYdP7Z0p2lQtU0xx0h%8xoJ9Mi!!RF3#oK1lwO_H6Z6Lxe|PUAp*!vkapB1*U~pd_ zt1n=6j5|SS4o+tbegjm#w~AiJQs;qR2fvkU^SXd)CU1sljMKszbng_zV$@C?VyOb_q!R2vHsyxFM-HwtkE?= zLdKf+m1u?_@)0|1?)IT~_PJuh0?0)Rp%~2ERrenRdU~37f6_WmOH^ zq{&pu|33KMNuxP7ml5tosV)@17P`4gkJflAS!L7O1Snf9ox$X!A_7q++U*y84}EdZ z)X12;6^nB%ng{YnyOY)$BvxaunDU=iHYAe_FpsS3MCw3oOdV>vpad~g4IjJ#2O~i` zQ?Krk-kfs&l$P@r+hNK~mk5aD>zbPR4?u6IQ(0Ut4D|nDQYb4c>LBpVw1`-^*qK=!Z|Y1&zMiHo&C>ObpqMOx zT1CJg-y>@r*3s#Pvu)K3|M{sRD(3EcCARQYO>eTjaV4P9A;$rrd*5&976Rs;mzkF- z;a{bHy<@M9&e@kOp96{TI_HqRCO-Z=xVWc}iopu_g=L638!yox?96apT)M@QRmQe| ztA697P>4#~GpI6MpgEJ7twyW|@gpgK8SjF3WJaD;o3a#{FokPrnm}oEFmH4L8mh~W< ze9TWs+|jQa29KHyKkfRyJB!b)MO|>6&JhD*v!0cnU-4J zv9jFf`SncUXfLL`WjJ34S^z;#Lr%k8ZLQs>lYF8w;U489|LoAH$3$>_k}(o11%36j z*x2ki(i8*rw4KIW`zjK6-D963zJYXm@a)$#=myo7@)8m%&8y0Ep`1TN4Lbp8`O^rp z&yki+0?KuE{uwe3e>bnpJRZ7{nB*wU8qqgBgC>~guWC|PZQAPvu@9CPEy{TAH+%T{ zO(&&=>e)M28FX9+P9GswW=6`mPd_<8X1=Dmx3-J)kLBCf0Yo}B`xAuyP26t;b`vUE zgeH@^7cyQO1_g{Y!cITEdff=OcX`!A!gz1f13WY3$vbAN0fyVW@7RQ+yw z6op<$<+MHe{;$jy?4C9o>MZKbX^3`Qr=0$GWRe+-Z`%vlv%8!c*)-3H)%(~)Iy%kh zCB+6Jm7Sg*m&hpUb>=fkmZ+(#tjDBYzUknttY0(nUz`Kgg{b9I`W73YS_pOqUE0r%2@2zrw43PzT7-6?JF&V)Q!Fr<$L-cBHQ!yEyxFK_E{Y)pMvT5a%JZ! zV-mlx5@|H#`IIb$9&a=2h)jQd=h)B!N#LtpD8x1)y{HfrYZf zjnD*ad+DbpiG|z^)I}BIcKIaPZ?dRyei^XH8fZmHQ}q;6bRD`FUz=}V1!D5iVuo6S;F;e3ulMHk}7#@w%FfI zf2==0*FO|K_-=S&Lfct7Ou+TgOlFuT>f7r_WxgkX(W$i_ACgUfg)K8f$ zl>;msZKmI>?97gbchb^EIop{`J_MqXQxMxQey5Ra@i$7XuiYajiD1c{W=;2Sx{NLz zI12ybdQgTd_ys$OvO}`4%vE8bCcg%+22}f20wYz0Ox_0)&CjQ`?CADr`HFJa1nV`8 zsnk^#E5EY+?a00;3~xSm^GBm~v*XKBtP6bqKLDX{04HiDttGW~9MfgBars1oy$&Br zV>PP5Pdqw2@=BZ3VHCgETBDzODd-tg@3P=~Pm{?}a0%Z`^Tmw&8_IDDKLUgIhC~^b zjan@5E47li2V`|b;VRDW{qeHIpM|ON_H#9;ZtcqTj}AwZ_)C%$8HOw~??IGv=kzXn zQ{~$@(gyNIeT~=G*XY*Si^|_`G&nuZF)E?I;ORn~IhIg6Fs)nZ7RGRI)GLeg(s7Dr zFwY%}B$KtKO_02uS4_;l<>U&F+*z4EB?6CEEeKPOQ2Ou9T_e_du3u%bze6D0D1Tw! z4R&F7l%VamTj31qUUxv#qA$JvH|;qX5e0KU;+v|DE4nL9$Fny?lh*j=vs$-RGT1U5 z&4a~QzDLc^cJ?Un6t}^almCuMCgDx$n$F^`{zJ)*^h%;mnM-vzZI^tV3{fWOT9Rh` zeg89%l3k5~E1~$OZE4T5rgCS=H(2CSK$A8Bo(L?ESo}ab_u`EI)nYCS2ZK`ktqMVB zJ#0&s75L*?>a@e9S?UhJdp3Lga`6I!hugBeC+pIau`-(|uwO0aGU-H*&&v`}d!#FI zr+|S}&z5hIi4e_4PZIL7JP%%e{yvO|Y=h|15To~3lT)EOR(9^IcNOuk^+Bdc;3xGV zrXKelciUhO*UMDKj8IW^Fn4wBb?-HIsHyF$U~2%Iwx+!Is6lhHVLPprH&-WQs&nGf zX!QEK>NY^1E`r_$b$*Ob4^@v+)k~=k^#*`y48x>hTdG740r0MR1j#-Q`y+hS4R+|Y(248+(ZT&W$Wgv zf;YI$Yop)g*-p4EY$eLTWoL@ScoXp#DM$!Nop8iQ(wZaAoGaz1@+?-(= zBK_QnBG@OA^QCT$qM2)JpZQEoX&p&h|7fm^Q=_q?!z z`ld+fp9w&ANur-ofiXt%`xR|IV?Y$4Yd{pnEFkLq`4#Pj+WGOujG)!NAf^1W`klZ? zW$iZ6>LtznXatXE-Lbbs;ED2`Bxl> z9LjIaIunh1^@QGP&emc6{-c3-@-29uo!6Lsd^_uA3NO3F&`rMYI$8fJa^C5R(dNriwVA0uEA2Y{yhm2BySSwsA8LLRvwY{Hd>xrISbmj6Q;Ow%#d$G&tvE`)TPP_{0u z<|=)Zj@WU=dGE5~eHn?I)18O`lc0_sa}0z2CFbR9NWwwQzSJve!PdUr53l?UF&}&* z=F{H#X?OCGi7x)mm$kC)o4tv^d}(cuJ}&8zrRp|U9CXZiY7(96cr!RUHf>K8!DU!p zdUO8wku2^4Xiesevq6Q$hTuhhA!U%-9m<$scb!b+Jur6S+rt^(3yK&L*YT%=1~HNF zN4G(y)fWH(b6m)DTXBq5TK|GvQ8y}X2tlC;F^wuFFx}2`iKI^cT#Bk{HC7Do<;^o7rZf>8{ffehbh!EA-UkxA63gwoch zn4zH%O6dH)5!9GVL~<5`^cI`-S)Euv8R&DBP&YbW@dl7ch)f0cp8H0Q(vq&eA3Cge zT~!(TrUi!r927yWqHU&l$*U@|Oc!3qw8dc)dROL`^zr(D!5S9hLVO-t;Hq=6u>5MA z(fsNl>7M;vivN~woq(Z zYbnh$OIo(rT%g~n>%BOpcllcDPshvOWqPTS`lnEksl9y?S<8*mC}(<8pP>J+m-#6| z@H&KvX}!+2<*R2*i0Je(HEzljT^a_4T8^dOu5Q)`-l-I;ej6`^+`auqE8Diq$+R`I zem+G&+fpt0Z8@4p-%4o$`{YIOm5XWK*6Y9nnNyRTj;wBfc{Qsb3wkZf;lP@oj^8Ed zs)~BFpFMj#I5U7t{`ZJ1 zWMLv)2xf423mawgtR1|P=+s2635?3d#|mSHW$oPk#vGv`+zjSG&j zQm?{2-$K15(}EMS*PQ_qH~0lqe%k>#T6bKlcl|w1H7DH zdv2#Bz>}>_y`8Zq9?vn`UgQTde>+ik2X^D6W`%z`$ea(&S9O(${FkgHyG->X0ln9Z znl1429vKsq>pX{@apH*2Fo(F&{7X0soMkoz;q-sNEW-}ZFO_I%bCgO`*q*(hWaW%Y zTjGtnmuL>CPxSe=@z$tOX?EB!cB7GK#PPf#`uNs5Aaeok=8Z3VgqE6jV_28I9Lg2l zGYUNTyFhzb9!fgOpK-~bt+wW|BW5&tR@=$n841}ONWlqQJ$?UL&og|c6VLa9*CK-m!~M%ArxBH?N^3=h|^5^Z18O4@xWub_TfO6Y=`fUJIbOpAna1E zsZ@i#W*_P2iypDhJ8ca(%^z(I9BJ?lyvpQ!CP6R5bHsNYV@jl1M^R7l@7{mY02cV2 z=Aq^PK4`V2^2|Qc4e@sz{-68&|J)-!TY@?rBAX(DrZjG*^9cN5$2IZ=1v}kPn|~FR z+l|j7B_2~`d!X$(vz``Jx_e6S7!J$~_`D#RXEAD-}6Q{eREf#p-%msp>ESxw|IH=zMLSx}J?*BSy?jXQTG7YZWYJ&6> z0w*@fzH*jqsRAD`4SS8xKmm0Dt~vI&VlJp(8kc{U-pO6>8bh<;TL_s9-+y~McB?Zq zA#X`eASvM6O%FZ4={-xya^G}#Il^QEUA9D;Z>{4HaNU=7l8A8Pl09Cyk0G<{aXLD2Z7%d>4~+8F8CW1Z{(?VaVl-&?mN z8_PQbhjv_dfADTIvTt>Lm{xYMbvTqfzf9p+Z0A&Bu}Gt+dgqvk_qp1!^eKl-xi45y2ypP5(0?{dEremFsuv?-16H>UTj8~4N zY0}9oLk{ZA@NtjIMKKw%PusVPIC$qFQ(XrQZnS067U@MH~(Eb_F__c6@_j=+T$#*u*`}c_tn>6MdM!wEuG49<~M^8^Qtx z%y$|zfvf}hUImhpw%jzk!L9G6c=&E2Y`R_5WC6+fteIz zDdd&xKK8Jh)(tDZj~i+>2v?n9tY%1Z|C+?_W~1iDdG6}g33eX6@O{Z|=E4^Q+@tMk zv}hOV4%$oQM;^^B?Bnz!V18V8xpkX0M_1PlDGn)f_4Mk}xiw$q z*mBQ8IVm8Ti-Wjzr#PK{w~W)*NWwP|v~E85ts9~nAjKH)cbk$&6@eBPc16X+P)IwM_Bx62AW#+ht@| zzIiipWc;YZa>AeG!K&u{$xvsvm`8AG(}dH*1j@QjJi{?RZx18fwj~gtn%NQQ0_42> z`QUeo8k5hrR8v4j&~Fi#=szBr>0WHYJsRY+^yHF0ug*)$uj(H1rxc}+NLQA2mi-=b zb;V0b~rM$DhT)8BjClI{6Da;?moOP8>L)`MlL#rpN{A@+AFlVbP4 zPdt(}hJzln7P9n~*xA}Ttyo-6lvFd{H)>PL)@lsi)e;yQd+eh$Taf85ZEd*VaM(8* zcTDFKyiLXP#Q#2n`xSQLz{2p|2DOC3K?EO&{N@uSbP9W1eeRKX%uB2j;3%2hNS?CC zK>zt&>>Qv>Yk0UuI}}Xrvu!ujho>&^goz@iVzP7nk+3eYRAp)6)PoAHY4@VfHWDH= za-R-rEvfjM|M7aoD^Gv(&MRW=72;Qk)k>$mzBUUjBX}S&Xm5_>+!KE!8Y5XS|IM$M zj$O}~SVuhX(NMg4wV%SnfC|!gMZJ15k->o-VErfd!8hEtM2W?wV!RwW`JA6D5nNKw z+Nbn*ZLBhgoZ0 zXR*3Yo!Vbj?W*m&8+0frsB+{FQ2LNR76`9u;^<^yXG_Mz$V~R*mxZ-484DXL88a&r zD+d!RGcymFk|deOG1w7v1@=y;VLsm>ptd90sS0Pdbv=VxzJ)aG4fy;Ss6)LhX~P*BRW zu$Qe6M)f|ad=Bd>4c)&$cqSZS*%rhiX3JQa`ywzM{8=tGjcOysar$FDIH!^f`&Cwy zkf7ogI-b1y1GgRra}`k^?5y1Z3y|PfT4z$^dg?*b60-H>7Ma7ihj$~$$ZeOyWRb5~ zk9Zek#f;)))@sK|X;4`|$Nxr>d*m$IHy> zKk*;JkFx#D%-8jHdaBmpq*f4)^d{(3S%pC7vi6)(qk8gk`V2Re|vPa~;JZ?}?AePBGW}_9+Y-7bz zYQtb!pASnndl)fsn6-*VJZ5acmy(WbwMdjgf26fEzpg&Rm6XrprkznX!XSleHC|d6 z;CAD}TzNq}X7vO9Ds`c|jp`S3x$M8x+lLV}2D{iYQL8_H0I%Nbu z(s7_IoSs;D3FFf2rcM@c5aBGOc8p$u$hgYTQMc&+wApdsW=fLU*Y~p7i?^wHrwTS;hP*&XJ4|R*W`C{ zSRa0f3ScvG*L@go;HZ2?m9)}*NNbsEyX5LAciTND(YzWFnxweIa#>2@&EA`}&5*yc zY?Li>tJk8QXO#4>eynZ~tgCZJgwXwYx=r z?f!fb!)xuY=XqX5ekPo$X;xg^4W-gouJk1f|~Ch=>Gt4 zRioJ5nS~Y5_!DoYb+@W&%a-ti<#@oKGGf+ISft>-HqQta4X#jbJezXG2DSerVhTZV zFu00MqbYPqw)6oS_usITyv@z402<7I7m11&-bvC##$s}<{n}JVP!#3MRbCRk`?_iA zINNLfK7J6L~L10-;&w=`Gx zlld3m_9*mPh@$VjGfgYvS|L_7;-ToP7Fk-uirF^RL<@kJ!B^X*4%>j>+_aFu;SMTi(*NORK5gJ^mprR| z0p>fXQ04!JU+9Nmq=;<>vPcqd(|&3>1kD#MuJ#L z$((u&BYv?uXvnO20AWzqY>hsxQJ4?4&QJze77p#yHIE(yyBkfm_0T3l$uMGoq3W87 zVEsAajKlu~8Fuh%!l_1<&j%Mt*u{v$NH`cUfvX*Td4Jj^(Q~0==gZkL>lrS2U6kDU4 zD=kz+$|`>!M9Xx}P70#sbPRY0dSz%SLVy_=u6&R;=%V9yHLRk$0VvCW9LFfp3K=MQ zy367INKx5eQ0l31LyhkMi9FD||1Wy)mMG#L-ko4Iv%mWn#pQt*lGtG@hN3t9dMOO= zn@{nZ1YbwTAgW9HY~JxRn1fafAQ;s-0A) zrShxYM+czK2##=sVq|o??uur z)bB;V-KT!2k9|LM9mYsBO|MhaM2H}uefjoYl;9$VY`_wb4~T~tRJRy>*V2B3C;M~^ z)&yYeYKSy&d|$zafpS7kQ7~$YA@#rEM z{fU8=pn+kub|U9}K2>7)=asdJ_VUPiEcLKocDsW|*FUPabg)lHzen{W?{m1fOl@yX zU2x9aVQJm$7#R!XA7Z(6UZLK%pH>~(2~=NX_D1uZEQtH8*YpEjyc9*edJmfhSP?ZF zPia5&R$PZDnw=q+(CHjjwG>n9{mEPQo+l}%*B!5r*21YYRV(-tt`bkIs#f3?u2xGo z&DvkO_{SoCYnI4GHnCmgFg!+uJ}sMRF$%sXLM5KzwCc+L-p&3Z726XXh|kE7=WR&O z&wsOR{@pXn9sf5TEuMFWM=~vShpnLYA7r642>m=U>uA8#i6$hSqQ#wFy4z!`I*JE3 zha!<=t@CjVble8(ut)8r%QPr2u<-dUv629P;ltCOfIm!HH+VK3Blr%%GK36FwC1Y$ zvJ>Kh5Ov=&wTO(HIgH+6Kc)7XM7|7fA{gB_9oyB_;&9MfK3 z#>F|I$6w5nskT8?LECe=;G$As^;9Bo$-7k|Lw_)mN|ktZfp{br+f+v%hwvV=gt+wJlkGUVZ-3((kP5ln_UEnvuRobkUA~Fq=hR^K z6lP+*57vU|Goc*YyAMi@d0$x8QngUHoh%bC zV#|z=ZA+)hvVu5c*mgM=`Iq@85|%&RoX}H!k5?8_No;jYCfFTV8>4ZBWn&u|&q`4^ zle9EVSgsh4+}aDCC5u>OreHCl5PX}$eX$g`F~~EWVVPYjiM0${GL#uFDo-spO=vZB zB5eP4>Qj3+qM3npIU1$@!@Jqa0z$$hhL~J;<%aCOxW#JWUdawsPTx?v>LtnxmUA8Z zcEC%VG=YAvn@Uu6CbtD>?9SEHD>oyWkJGxYzLR>JaoR?)decA43tF`!t7s6eHOzY4 zQk$<{$C>Vu2x(pAn0}BtQwUP(2>V&h4viXdP?`z?J}%W zHP>@I@Iha!wINPv`CsaxIweu5eu`Wo?PQsO<{uMI$8F`;gQXS@Y1CkB+2>FcsieEX zZ-T$h6oN5{twVig9G#x)pvCAIDg@A=tv}C;Z?*0Ki0^5Zn|-%69A$b`|W)};(q(n-ty=6D7W!x9+;YM zO!d$otVK}iA|{ba)hnLAa10Faj1p$eeyWQ8SXPOKR*J+2BDIuJUr?2?MlOAx>1)86 zkdv{N!h3g(AqiWs;hoHfug|*PlYFv86pTrQE9z31<{# zTXDKSa$r~?2EiFzv`=*rF^5igbqg(5pMD{-Q9_@rv@;;?`qL4$mFD%?kc_tF+DeGr zGSQa6;)Vu>G>1#*wNY@6?w7yF!l2U2DOf>N3g?zUvOWA{`|1>oS$>`nwHC`XxuZTe zLs1jczO7zte>UJkQjTJ_I^Nom zv0wc<`s?(FWO9?xb)@&sn#P4h_U3wIxuRAi#`SJB79*`fMN_DBS+!G8QnFmxWx!}x z@Qu*vLC^fx{pQRtbvZDJNNz$@4kq+faHkY-N%_k|pD#@y-=u8$^fN(xOL6?9%c`tf zX4ymEvNw%Qu90l^BWEJj56rasflh{(3ULcaebIl z793WCHykZg!&Dh5PqJPLR24j|rw;dgW}%+oRz6QeGY@s)cjx&)vi{c+@S;kS9MkZn zWV?gL9p>3% zgfenf1?>&BHwreaTwtzOkk+>dd$`*B*3Bn=ahqkd0I{Mbcm&L-LQB`}n}%8zzqX94 z53hG&LamP2HX~kVwZsOtVxj+HL5EX=hP63Stv`gsJXF6&vaP>4^Of{xN+uO-7cI@* zi*X@%^i*Fp4QL-`rGx1Zs&}p4BABbIY04j$u=xAP_cobPxhkvmf3S-$o}nt!RT|2q ziZY4_SV^X)8c9At)2yoC&YsuidX;+Lni}~}S_fhipU>yk8|NIoBgxf~y|=W&ck& z(!RjuP`Xr|celsHU@|<<1+~n6cSnY5u{~%+fJ$>99p+!t4NZolVZ(&`al=^S*xE-j zB~;;lE(+Bw24B0{UdD6*qsQ-K^_lB)D-xJ0@hADItyY0onxjci1G?_8y@-KDx^8oh zXo7wi4e1o^rJ1*JzC3;yw1e|nj#upl1ce|eBs-HRR~NvZrVfizb8Iu_{uAfi=6tE* zT1Xa|d+=48J6vo$vdi=_2i&GXrF}QEg_`tk9iCd_VnrEL-a-qD`YP%Cuw!q@Wbf~c z`4p-GsoI1#0XsWG=|TFh7oL`(^(flbUVzH zohh?+O<>A$>VCTjeaU%R62b6{|0!82s(#tp^P^%L!ATps79wFYv>i3^bCB9<2acFO z77iAZ8t`b?AU76>*^{X?L_0W)`Y^Jf`IA6%zF+>DHLy@QxJ{MDJw|N|Bjr*v`#?sw zHzfO@AK{(uBCN602}t)YpQlrNv8=pM&8c)F>PUViJ-cQF~jo)Uz_*gh`q`A za@NlNaHsTmV`^CKygNU>5-m`QTF(n1Nj46f9ByxtKknSC0{E%Dp#3*xj4Wu2!X#I< zY8^KVeVby}Ir*N3=XP`cth3HV-&d+GvLlNPb`#|rh`0;nTn8-M3+ZJR8lc|cBFF(A zfA=<=1tb{3Uyi)l?j)lW53-c0@o>fxxk)#uBv$b$y6^cUe(Ci5_8)&mRQc7d@3#adi@ z&u-&ElTYL7ja9p8_nbWEs++lZFS8^`LrSp0&2p_@{*^hc(me0ctVN15>9+Z5=>rE0 zO`X?^-ma^5GXHgPU}yvQa#@6Fzo>a;-SxZBWSbN(%PUPO2kL!CCWv#@G&vnI!xy9J zY2lEPJ{q$cOOHI{aP?hXY+_;bkMvad_l@E>J7ZwBIUr<(U}E5}oa7rcydQDl=i znXE!nCr}nC!?aG8$~7IzHBU$CVMgIELiN2rAlFyfDXec5 zWc8Zw{qRxt8VYb&p^>{(`L@j~`NFozs`~cKZ8ZJp|7~jEm1df=ds3GiBT-1vPo_3l zn}XzlIYhPE#ZT3NAFVcvxV7Hp;-l;2mT>sX32@8+Z%{|7dcHBDw~?{P5Oqp* z#@PYcvZV=@LubW5mC;sM2CwmNL4=n4bU#DU;TGQWN9m|3ynrF@7M?776Kuz@lWlm@9&1@d(H-)0zXkf=+zQ+}BF zv0sm|aY)d7;*czw`dvJeM%KjS2DNMQZhf%P%>}QREjM0Unz>=2nvqp2of*n2vTL^o=eBk z#eUn!fM6AYu)mZCQNQ=X>{0C`J zOp}(ZiMC6X)i*CBDHyZC|N105%U#rWoQtlLLyQV@q*MZ~kg)rT&W>;mgSOh7GQ8HT z+P5uqu1dqkM142&Mp5F0@zJMG{v7#ghlBJu+AkL z*n-ASRbbOht;=uqvE{|-=$N2679);?mrbOK{eMT6F0Zd8+xv#CUKdT+7L{hF7Z+#u zDUt8WOL4YU8-Hp+AEvymEq zelM0QZ;(FGe!*4xx>yYHyvMws^@w;Lgw)0cb)E$XB{b|BO~w9z9U6OI*#sM>E(t@x zycH$FkOH&jp?6br>n-7Tx3jb4q3{ux&g~P5t4_e3q>$A)7Od`A0|wu#rTFO!`*sx$ z+rd7;y-x?Y@Jx>gq^%9jNNspud!J@=Hv2~zJp=F9Vb?%Zy&htd;Er`U z;6pd_k3sPpt6{LN5_?UVQd<}E{qI$lrn*s}Rz(QK^T0F|Rj#zJNXBJpial?y@U`-DP_1xCGCqfg`15NI0uZP|w z*!GmV&5mmuC%9>F};Q>@za{hYi}#hhCovucx^+djSpt6 zR}$%b{yD_euFFIJ=Vtqg53mqQ*V*7X<*Gf%)kbJ=3aG&ub5>uhvur>ae5We3=|yBm zZfKKlbem}mY>Y71XQfKgnzw~}Y0Ux)mUS&l1iM;Kg1txOfNy`h_=E`7xNuR=DZP7I zCH?Nwje&P`gliGcfp_zegi-eLRht7r7uaj9I6$VK!FL-un;GZSZH?c+-gE?OA`!uj zn~*me@T&)F+c`bq+TA_k`3+KABP6rVlVFlP>b7}W@GC#T8g_WFYj_seTg%T?g|!Xe zA@H+{A5pc#dcDH(ns?6=2kctN?@cdAg3eB;+mdL(f`3rXH6Y1shUkd<-Tg5J;^Cp5 zw?LpQuxknwy}=yT+EF*{`;e+z3gHQt%Wtgm609MI2j3wIZT71RW}dGy5Uj;ObYLKn z$@{s!83TpX*xTMKn1a*>Pu&~wt9Ub5XMsH;m+`UP6(S~rU8DHD`650EuDHfti&GF_ zFEyDbQR*k;BDMRV)+7c;z09&JZ?&EPq!N&1*?%>5>fqr;CsqHNV@aezP6@XY}*AKHcPD|>NZG@)jph? zoq(ewsfdvRT&uId9f4{W)w)=XQQ*JBfD^DS#A7BW8i*Ebiwp!c^tNii+AVMoyyXo1 z9uy`D^Th%kbkc#(J^-Ii!M0lLZDtRP-26FR%P_$Gh0WDwNKXM{>#ndxEw42~t!ZjV&YoCE|1*yTk(V7*R&$GEuZ%eJPsNV4ss>mXwS|Azjn(3<4a6_9rKr%O{tm) zL`LtDm%qjrB_1#8_c4Mbt~;2(QF;UPpv>C7~fm+R9ddEs0egudz2d{UqG`Gb{NYt zLY|>+jh}|Sr*VX0@rhs)qGZnB)0chzv$O zgED+2(u!3KqD?_F3`&azl+XCmOPl$A`y4`>GU2r(e&e!RO(+0=LvwG`B7RftJUozZ z1$Ta8Zu@QYw%-{~Mq~X}qVO=;d04UL-{MMU(TOrgkf!FS<4i0yCkD?{bJG_B-NQnb zlwR~j&5QMpj0yCg8X`n!18xeN>J?J+rLbwCVnu|C+@XpNr2G*zlwZ>@GPopaekB-J zw)0nc2EXJqe#@d}L(U^AHBrhL*Z(v2UDTu-qc{Y|mX;7f%~#p?F9G{^dGu1koE$%U zSP57O6V@Cw=Q&()aUA4kIB{YnbR}K(VM^x2zFwyI7ZvHM-k*P`*Bm`0q ziJSybvoJpc6P}S34XCE#8B~5FT09FOI>AeN4cV;E(A>-jmHcH#B4Mo{20y|{lA5M3 zKoe{Tl1lz`e^DqDH+f6?M)!xtj4%5TH& z*%`4~WH2kPGE8-eqvvwt^Lf0Q3>IbGckwrm%Kz(zG*H(^rhIE#)tN` z)D{xi;!eFuD_K@OJsQo*_`mbny`6DP*t;xX6=q9TV+vC=h#n!n7wk_~%~=#ymp>{7smix75aio&W*`}&RgE#AN z{thC#OSw$LR2NTiI#Nb|YY9-^5gz1>Y{B=dz*CH`g2D}2i8P%?IO zaY!X19)bg``_5z80z{?uZ>^%KBLxH3!^FlnzGa2ass>k^**)f+d>3Z_!q8!GZXwP+ zx*^UDg4gN!5pn+k;7({v&U=|T7ey)X!RodqS8yzETtvzXbLY+7gBz%?&N`KJQ>x%5 z-3zTC1$l$|by{mEoW!ToJ%*69JM>PC1p<2MyH>H54-8WZ-lbreBCuem&8Ff)4|}IZ z6Ec1J85eSrUr?!JPS36V*Z%Mfu?RE9Gpp-62CbC?oBzFSO^~JDhm{riT-OQ&PNw%M zXwQCs%wM6q7%=EEYDP^#mRjxGa?D=7A7}zsvfz2BG|FVJ$Hf`hjJeV9eyr*Gzmc{^ z4ndSJ0;npTv8|b*d^)|Sfi53LcT<8H>ZdC<*+#m0u~A(=5k>d1p{P|5&rbBx3G%E9FlpC$g zxxB9R)=!vb?;?rH3i!nM!7H=O17Rac7ZG+NUX`|P@-BjoCUV=;x?uT?r|ZDha^b$; zuP}r;#W9)P>7D9V0{5SGZnnfII$|3{ig4Xc)66)2+HmPAud(hUnrR{Jx>KwReUVW) zQe^)E2=gAr8~BnxKu!0HDPal3l%My@LXP|M+zm@nU7X(B?xhEH$qktIE!9I<0|aar zvzC^hUBWw#%eu9q{i{AaG)IR~9j__1dv_en^OK<*pXZb3)&Hg} zt$)-JES@LQ8d1|UH!`ay*9Z`f@Ei=Jo&e0mN;4B;*|Zo@E)7h2*T={(`N&VXd^bBK zuxRbsLXlfol&=uY^=FcGB0G}G?FqhghO`M2t?@>jtTjy%+IldnrDUW-l90iTGgk&->#+0Z6=-Vbq9&uIN3X7Z$WO6 z_pEz}goJt|Ye)&6DOdKWHZ_)ieAeu943|XxI#08C6#g#G|6E&2f-uGXyIAIW_gDP_ zk*QG*?g2$lg!?&nm(~lv|0WL1O}`f7$gNvB@omM$Z6b8VxtZMvVbuZoJdY6tduO3?>+nAN2&RDSzBZ8DX-*=9FW_S7T& zW4UlVT6b4(xe3)pY&O1yT#a5NHyCIwstW!x*F`}DUifF9e_}_$5^o>x zmCUV(9za98g8JaTD~eh;QyoY*XJ5NRb*8Gvk*@8NmXmFSaWmb`u`|Q$fry-5#1MZR zBChtMW`o{f;sYY*y-bVZZBlZS*d8J*76(xA1w-t~u2*;w{3Z{=A$t_FZN&p9#khA=A4DS)!sf4t-%# z0zD|b@rX2+|D|{~>0b;B+=PYuPby~krr?i1RvM>7elbzAr)O=%{mDxuaD#}{jz`61 z{gxq5CFbK=IHyEfX}+GdQbw)wt@XAwWa%!oRHD~oAIlf!s2vF^gxbUO4h0R0v2ZTL zchHfIMpYjfvRZ_Q4}ZIP`9w)Pu}B;9CtZ78N?~d<>&4ria?n4V^5rK7we#d!+05R0 zn3*F?y2+B`RDW>r!Ot76Z}>%7ZOo~)Z^uw@kX%WJ2t!KX0>W+trVYS@X!^|6uffjv zUv;d_nUm$$DTv{Gj-cSMk6H_R$qo%g0=n-XZ4a66o#2`A_0~Cv;eO(MCPZ;RHi9VI z!!sY0pZ`V+$csQlg%h~RfXE~uvf}fEcbUncZ$>md&r0}v6q+wzD@DRcBa|`()|(z( z!h+KUU!qg~9;Ws)&dzDqkkj8sB47zqpX51*$f#By;4NI@?|Ac2Dt z=boI9w*BiK{ae$|*&KQkTW*w@QvAgH^nA)uGmXoff&%g756&Wx<8ys5O^`vHiLLa2 zQL_pyzAsHv6r2PbA!N`&XJU4b%9u)G{2cz==k~#mokpPspTW)OxI0)kuiaUdsG~7s-)^>4q4aZ??&0O35_J}eHGTAbQ+0hTJ4i26tUIvsstI%UoL9aP7x8WiMrZw*0M>*t8 ztaj@nLuZUVu|yW3DYfI{Q54dtJoqz@5%W_|{`jT3i^*_OOOw1!8>hl`eUlC9Qudno z5x>cQqb$Rz^o<$|Hf{Uv6LW@ddsMEThzoZjNfE);B{@K-XA3?pl8AEH-nLDJs9*l0 z1A<>|!qSgusZdWVhj>4Q<>kPiyHTbSN51u zAztipjC2|TQf2d9(yQz*;@?`ZYgxiyaZFjP2~D|jFypWcZ{aQy>zI$Yd(D7f-Pu-h zfsPeDN;w6~abf$iX#8)Oo5Sg}{L`Dw88DziI(`uh9h*E2ApjrPGrxz8E%TTgtWA1a zP`3N0UFz$~>3%6inq#fdQd19`(~IYv-+lv5X3E8L1dLqS3hL>L-iDgWZRW&Ubq{~+ zHyMxf;v=)YN4G2((9d^R+o&IPW>NX0D_Ls)+j9yGh=P`%Z61_H3?$LoOd$YS5dkxC zH{FnRqm^&Y$?Kl+`p7?yUF@L~13UP7oYF@06v>^p+yY=gcjCY^&CL`-P$@BRA`;v; zay_z^7Rr0PZ-K^N83x{IbDH%Y@a4VW@Alij!OR>h?qMU&9OMPJHTST6WQh>+DS`pD z(($uxyS2f9KEE?OtA6`(klJj!g~EWc)PSY~PT??e-mW}j7;h!7I$t(P?9TSPuFxT2 z9Pg8$@i#My)+o-(g$1^H+n#q_W&I~NMdQ~i0gpxQKcex^D`XOvod{&KS4QdPfoAqz z#%2Bs){Gcv`(LnwME}J=021N>ue83Wx|9egTlTKbL=2QIeP=*KKoSK=X5_p(xcCv@ zqpi5dkO4-+@UGtDzT9qd>Um6xHo<49!>MEez;AHv4HZAx=pp z16+#Tz7wc?G}Yuq7Pk3cFKPZMi*i|-^#SCe8fUpa%YOS$@4-K)z-PYv*HV3c`%~|( zyr=y3-TssO^V=7DXZYv+_RZeG%|m|sCjUvM{r0)O@cV?C)zbM01}47wa|ik!f=IWz zuJ$oAnYLeqa57oKW%<#aHy(sAGiQ14@ulF>fw#QiXPv-(i5-Pm5WW(1rUwt$les7U zyrKjw9kOo=>6*BkVe|HCH*CSvHs{NH;AbFjb`2+Y(4Rk69JQ#6-Yh4 z2U6FTEP?RJ{)eKhzt&nu%cHonBy5kD_*L_m;PACYhG;TuvCH` znq9;k@we*^6V6aMvL&HfAfmSTNCQO-BLt(!noV4!m(oZ8`4#(BV-~(oo%QdgMX_17 zoQKA&UCCe6V2$(!OOPQ=dh!d7%fplTT2l`_vFYa?Dz500#&_0}%7!wtbRkFcGd4`{ z_rD}xZ$3yX&DP=ffe*($oP!&!F(dW(D4o+DX4m{>-?m-d zn=@xZ_YJ!2x|WP1m$7YpcZs~eGFN5v)4q7f`Hv&jx$#Dv)zHK=;#seN=lGf4)+>Y z;=VoTiZgtob@j`W_oqg?1o6z#s{()nAK!$Q1&`dN{Srl@6x_T)buj83JlFORwwDKz z#5o-yzpRr3aU;lg05E-^?fpr2(hWYI17r7*~jGfRso1%cI+)mLNdZNihN<1+qXXKHrK1{F8H1e#tIEs$*Ljw@c z7O<9{X!T&@0GM#a7wf}pq&4X3RfTTUemfgIQ!O#+axDN4poZC&B7c(?j~480m~e`2 zppPTg+1@x{Pq@mB&H59U8xxSb&%ZB`0YkSGUEyp$10S9Ey@QMbK>7hd!R!KVE?+Jd zb-9*-2bld$_lhM=oiyvxr%gU;CO%dD63yspUkA0zk9L{M=6Ee^&yGqo$#j$mBJ(my zJ0E9(8~xpX=WP_mog-KEVT27=$jP&hXGMhkjc?CRx=bw~gv-Fzs6L-qJJKn1SQ2cU zIS6e2W$Eesz?_wZ4_}5Zm7H}C2;^Yl*r(ouNuv9=A6akj!MHWBy>hN`AOCD`cgY2B zLO`%?ul%OwHv&`v-1Il=?7pa93;U!x;!Rvk7DE*T$BL<|5XsaYHCi+K%awHpUKbrk1g zLzAuDle3vl$^{RI(Khkik6*YSk-1yG8ELtGOFp1jr37$%}iJVZJnYmNLuaXB6iuZpx zda^^RY%j!>p0xn$nS6zN0BS}wszydTsKf;U6v)6q0`ZC3sxaNH;K5H|h zFvHh`$&r*Sp`Do(f72>e5w{rzd34WBkT%5yqW$$J=G}J0>46F-duN1+%@ve+k7WK> ziUz5Zc$t)Njedp5VAUjRB8TzpsN7>>qE%R1(tfvq3ubnr!&8FgZoLgIoL`3Abpcd> z!5@v(;97^esqRTo7v^c`elyU1_;Oi+4xk-BT+_D3{TLUJOR7Q?g!-ox&2U_IC7Jwh zy;*G051GXNXz#rZbCZ6B&`zYfVG%CwmeKCX8yrCX#z7KVbVVsQEiB+J7j^gE;_|WF zyStI>iFVIlT|TM3)i~ptfY}y7J#q7hEz;kcvoo4^bUf%6?&5aSTw2%Dn}K#l7KKKM zJ!ui3*8!!K!E-L}VlvBbU#Lpe1a*l&9)7KtC}qRL=L2h4np>u)?V+87lEYU8%dLL= z>B{Z9nz@)N&V2%^?25M!L^k@(Z;gn?W9US6U{~@>soxH#qNww2^;;6<9ps69k!i!2 zrw7R&qv5`kby{r-k605m8|Qr5@-YFh5DF9h=-LT&S~`cqYt^?~nnVgI=a0H()vNzO z#u#0=LOBYG69n0lpZuQJB%LQg6Aa*?eucVTV(ghs$0mhey&#DZeI7M(& z_PYn@nCJ~I%wf5%Fz8FY?cDP_n@@3(j!FaE&Aa;&dl+XhH|BZne-i>GJJz&#Nd<|Q z5tbK*O#sK@FZjP)FE)NdQ0Jw%UD2-a1pB$F2HwN}EdQf&1Cg zd|OYTj)3}&X$Dq9O0Fw@0+3gsXE4Z*!W;CAoA3=8kVb3MO-HGDWfC1DF5LJvYm@At z3Z8puw5PYeYuBfHk`p&&1k!P~9`lanGXo%V70T3TO=3!}KsV;PmdRB+4>cD~Ctr3% z*e~712+7rPI{?&Pr}1I$W?a`Rq~3 z;@l}}I$+zZHkVpo$m(Ba`=sN#|9OTd{^^En;*+cad5({zFh>3#%1+`#BlWfYN7sYc z`f@(_{r2#yIg$2nPFjN=Qt8Jv4t@IzcF^dB>c9ok8ZU=4+K4DGxSFT#)6&F_Bk>`L z_17lTLT*iTw^h=^*nTrIfaA;GN+JOy;)>w2G)?X=6OK-c;qQ%lz;>O}VVq%xk47{Z zt?Eay24Jl&AhyXQdtx<)Y^7TI2P*s`L0+sNSqD+RCDhI~qUwr2tI^!Awe`PzzCW*; z*WOlN1Mq&hpwFS4+Qt2R+FILD{qrlFqT^0q291vwowte~3@f!tdZDxV^JUVYDj=HIf9Ix;WPqZ7aFQt!!->Vj-C>r(8Bc8%=fq;bLp;d9L`!1~y;G1t{UHzub%&D7%9 zHijD-o`Hxsn!94Om!?3>g>7q?6mZ^7<`jM+M3N?#2RiQc zgh{g`$|COH66`DnW77S~aND7RJe^*@_?GywtsL2LU%CboUBJ%ZD$2cBpun=*R3dG3 zsC*Obq~MCl<^fwB>@~or1`O6TM&DW7*_`H%!K)p%jWAA7Bf<=_N6ztT8ts`(^V^4{ zaPY2Kn#3HSR?+=J84s<^WsdWVh%4M5k-yvQX!y`Ad1T&8DTnj}Z8Sr@hWDy8NyBM9(B z|8z=o+{SfI>R|iyEh6gTRRP`jblhXGg$1JsKrss=!b#+He%D2!8{p%TWzrE1iaCW} zw8C8s;<_kTN96beWY@y8nYV|f>avOakJQx~!o&bgZx!d%w zWap$Aco%98pV;Ela_cwDp*p4L)twmqPXEf>Dh&Z|4)6DV51(pvA2aED=>13yr^xwa zD_n=}s&QhDX#W);MNi~~ukHg)v}lYjMx+DR*}Y?~mwtzT+1j`z5k$oWVDsB%wRg_S z7icABeRxs3F)Tv(u-}e}$N%-#5(lv}V8FvCvh0K=m?~5R!MK{@mdg{(sji=C!)sw5 z>)Ahpytj*{tzww;yf?axd#g#|-6VBy@GB{>sds8_Q&60l*k;w0z6Jz%uCgtH?Ixc? zMfjXkRzs14@xRp!JxAsxJeKURO*to@b7EeM9EkD z%}LK{?Can-`#AnK*kxQk3AuLL@hx0VfJs$cJ{e}bwOhyx$PWhWDI5!QELP>p7yvq} zSLn>NxNvIt7-}GEuuOCX4{C$*qC#ZHfCw(%5(be2>Z%hi$%y-kY2m$%c%9`BU(*rWdmdGG=je}XRFKI-buV^YxRnR1X`yN1_CrvLr<0+zJ z?ipFrA{`vrK=BtVYDE3!@7G%NL@)SWnDcM3$k5M+JkWLqYn-pYjSK(AY}HQisd{H1Y1u{};I&b9SGJ

6&gUrMGUI{Y-&IK(mLTQbAKak zV!Y5vlG`b>ViII{X92hEyMU*cMRpl0P7z9H+rF0Q;vwU-5f z`rhAo@Mr-acmy(`V6lBc)ce&WnsOz8^gW^}H?D+28c3hCQU~`(s^t)5iMw6YO0*m> zNFF?jBJk=fl1W|_L~jn-mD6dqF$e-_C|QCakG>=r)!yq&rj)8t@1!Yp#enW2S z*IKdNjtE-VQqns{p38hdXa3ufjSY##)2>QLB^N%}HRXy(JC< zqEw772SbqSe)N544354bWOG5wXVU8o8IHj=-(W~Gc@nxTCn2P;KaZ|Y2*@y^Ch4J4 z=A&zSp~GRXSK=ssdlQPGon^1s6>EWHttFIDVca%D?LJjI{!<+bzYVPQrKA{yDUyLT z|Nmr)0v4O0#gxvY=`(m1tQm{{dG^pZbTpvIq7;{g3AR+|Ks;KD)KW0`X9f#ffi!I( z6h_vM88khnauG!vIWqjAvqb+>&-Bcug23B$FppC+8Rn@ts<)_Q)2?8D`;&Tf0wwK! zQzEDH#z*hA{nuPhf?DzgZ6?pwB81^WM$K$PZog&L5);<*^J)pdGkM=NJ(H%EV9)Q9 zYqh=PmWiyX!tgTn1MO;;`c`<{S)z2?OU>uv650P<@;xf*`Mw7oeg1#jgJ%AwwK~K> zwnXbt3?Z_rTMJ62Bok43R7r^qb#G=jg;hfn?!*&YbyXwEwy%zSr}wT|kyULRERzzf zDzab0*?@h)HBYyJZeU;VkL%bMT+>SS1$*!;$YIv{HC1a7fLsd=wmYZi z9<~-5Y{K{2!U^9SAGXN6+6v2d;=hN|o5P?#nJTjL4qJ=fAi9Z=4ca{ngZKo^W}CO@ z45CP1=NZ4U)<&`ptr>zk>w;S-tqsK2M6hA94xoHrZ1qZ+k|eDkhSHBrW7jXCR&5;D zFP}wmJtFgivZZ`IR415rzX+msOdf1-7x%jSyeUiaa)Yw>5}8+U$I>U%0%+sbM3~IazEarcp!w zO*1Ap2j_|GD>k`cM_OdF$)nAP$j5yzH3vgJ-mnR}K0LY_^L=!#DmI%#>QQTJ8oe2U zYtq=<>>5QHoBm~^>lT}#H32QWe*tPCJba5W9xk%oWU>XQOm-c&*jJO|_dheb>$n9n zYdpLP!nd!ZC3QGD5@<0*XoXLwfJ~<DdaI3B)OqiflgS8&(lI+lB$prM0%I z+Yn6c)Sa>P-nJ>%--klJ_+}db8bzo_!?q%-PkX*;IPDZ@?siD|s6OrX3Fy-nWdiG8I|Bf zq@V?GMeRgS1eDnO(I`ea+}>t4xRZ`8li;0@V1rKd?9`BqiY9jgtjWq^!_G|V{pI3P z0rU@&8#SVjBjwRs!irZU`WE)fhSPA!k-JHO9r>N|e&5#t;k`+z7owzvP7S$TO;HNC zA(MV;6d-yj?k^@$kXPW{qggTIqu4Ie{+|hq5O@bQH-;~xi+}&K@5~utu#|_-N-4|m z-T$p>WHQo#UD(PSrqhZP>X}7zze*Rmv!^rY{R;DzDSTM*WI)O3hJwuo<9<$D3@19~ z6L??03BBEkP(7YhRBych3@7%Y^F)2)HqsQ}&QMg|#cJ{QlXgSpyfoBzLcgEU4cG8W zYV{@J(v6sDe$lnh+hUE^yL}Vk_(hh)~w%4IU6-MVSaCC$8eJ8LWOgt z$g(RoLS(Z-X4mEtM*?4H64vdCLF3OYS(&B?lCk1odtJ4Wrc7Tp%p3a6YwQblO92Rg zY`eoG)fU)Wy0<$LS`+Eru|X75daujNYB_c@9s2{j(dkYkeL|Vt1EYIOd-sI6Ra@fg zsLy+P=dD6)#) zPNBh=Kkk~9tHJ`IBD$3<)7kVGt<392%^c&`BC?wqgNdXQetpa)Z;C0^$M2gWYtaSbFK+Nu1NW?mN>Tk!-(qibxMu3+9 z#Xnu{K%O-CGnP_vJJ&|XLoa<_Z1V!+0JIe)379-y&goQ5Xv7jrZzYGG;G0FASR&m6 zWtC5;A*97V{K<9dpc1L6Bi6?fp_Imz)aw)o_DGMf z3ixw6!H+Zy_d*)&kM8c?={F1GqlOOj?41om1xk6m6WZvi+|Frx)uD|BW4-1!DpJ~~ zN0rxR8~1_cK7-@KKH#XC@6}gW-!9*WUIA5mW0x>~3zik3*aMV0Md+jwC?%hHDumI$ zybBqVS~cY^wUU@R3@9B0=}K)Zq6Qo1(LQa+>%vrJKN+f#hRFU2_^?D)`zN6x2kVCY z!{21l`_bto|$8jptR!GR=)O6uK_M(}=|!;Nz5*i?OlsqO~tUTT{q4#V&0w zS>5lFsH^mQ%a()$j>CT^4v@eN@Rl_hlhj6Qs2ejUFmeZ!LNWov{D`ld^tDnLn>GY*}B8+nK;@v9>&Z(Ovr>>v}X8RJLxU|kUcv8 zBnF_b=EP}xdeZ~<5Ut_9Bn5fROzrwjyK#4&cM6=^r^h|qL&Uwp3xJoy+v50c31AzT z8I|;a%%nUa#i5F3q-)Kt8{kA) zkoqm)fds=-7gMsDo4Q=wwXQ`*N(xdR60~EFm!x96a2zYGtg!T*lpBnYUwpw-Shg}%4-)Lgw z&XEU8tQiV234_ygdW@?3CFOJ;#`Ath%uoyBBXdZ4qN*~2%@Es;vKx1sL2z?{Vc+1j z{0r-|BK9CqQ@wn1;hKwz0l*BYT`$kuBx$Yin-nNyU$JdT@3l+**ZBOSUphp04OLZ*i7C7H&l z%G{zH$;xyH=egs{qs=H&f#pb;O+Y4K6^?iQc~x(EA)Vi~Q4~ndn&x9ibwAVVu90O@Qor5ZA+qaub}9v2YofYPOXVI72|-MvTC zFKiAZFQUG(9}X@c96b!TmR*qg$Z_W)j7AdHz8DAuDb$~yeQ|w0`F)bH?O?U&qRM~X zt#uB#Se+3yU+5G1w(7b8@mh1{ih@?l<19DjU~Wb6ZcTd5Y8Cps;=xewjr}P&2gKI^ zw5Arp4!`)N!~x0u7T=THQkb8+7#v;Tl)F5__r+5@>D=6hqM*=nSMA*Ape#Z+>8ntB znhn0>fuDBGU~kYR1UpbZA-aTMM_=(DH+%rUm+sOsgx1(gh~DAE9Pl@+c=FsXqo-&! zoO0>q@1UH*`M&Jicg$k_b%V}Zw?sc24pfZ4@ip< z$W;M(2;a#I^@H*Nw6M3GcDi20+tyKNus$pgmf0I2n~U#K!gfAz_NqK~en384Gq`{G z3OpAKmq_!0vg>imkmiFBg9bb(Lh~2#ZwmmjbO5pdfrqOg*adC~46)&OtvFPWRSr|R z;5vBkVIlhtZ5SiL#VZhf%YmX-rh=!ZNKCINz=+{W;a-!0^R2-ZhkV{lsC*C>P7&VS zB)w`{puZL4dHGjuXbFd#{OUAnIR{+@v_5{frYH95Me^BU%V7c$EBe}~0{vQy938v{ zaP5UGTz3uN+6T71*#C>Uyci6TblyzW2&>% zrmOu+80G!2Y4bHTA>%LT}>-QYon&WPI$X+e7BBAeD{!=V_F_MZ@XX8 zDv79>Of%!L{hbfPl65RcEY6e#q zHu5@ov-huBG%PZcld#iDduz z#mUJSi-68KHO6Ekrut6*$$dVg7H84mM`JH;ZCn*$v*Hrpt*LK+;fSKcAEM|%JH2om zv_G@LNoFcT=tnM*Qx}htD|Q;1!uA)Q_f{E8l*OHi*LAhHqd^g`8>MbYmZV=d2kMZc z0m6Y&jn9T_1sv)1-+7*aIBL5exSoE3L|C_+xS<6Nu;2!=Bbc$cVcb7!d~hFkT1Sp6 z1VGsh=q~`ClK>uEM4}=_w(LLwPM$@qVLT5UZ;L7nfjk%wjC`6XZo{lskx4wy6EZ-G zBM|_Cq~fke#BVSzQ3QZ_ zBO+CTlt`_0Z)|?a<_+;niLO^d;9DNEGN6Q(7dbT!^gBrJ0l$&hERo)W=WHh<~wDb|2LnS5ul_2i#xA^T2Okc7HXBA7}|84?vfS z>Yn>mG+rVDeov32H9QZ#g&E%mp*3#l;`|4I@FgiNQ4f{}ym(fPBg}(`Bmk7$^56k@ zGA1>g5YKaqq%ec8Kbj6=D0}1u zkG<^?jwZzIcytwiIp`4~$8a7Waa+Z*uIu`w6QazX)F75|NWQUbmeSXel>xFz$~B-2 zNeAFwP#MZMrc>5z{8$0lCU4GTN734y2rnFX?AHItk73n;UyheNzMip<=$?1PGB|WK zy?y_}CO}UDoV0aUMdg+%0}x4^WTk;jCsQVXB~I70RKN%MiY9idpFXCIGqyx3M`Rp@zh>hOVj2&aV1z&?NwOBm9TJj zR2kw<@`B&EcH2!pVZZUQ4|s zERKLe2E%OKR*2hWS`gH0*-g-Ck*6a|U=k@}(#XGe! zWZa2L7bHJ`?__=>kUN`Tn=$XLsToNd;<(7O**A)7i~btkG~DrWdhAboV!#XI1e}4)Ds|sf5D3+x#wJ!R4G1= zPezp8<042f;|XUR&udId+Y`m4->=D2TX2~@;U|8;INcL)4IC`aD-8k>UssRocD0-{ zoNr>pn`PRYz^^a|D8QMC!BthjN1y`+M|wIvQ42b>L_q}91OU`w0=A&S7>H0AEb3Ji24YJQ9#fT8XD3f#7JCmqzFQ&jB)s;xKqKd zm)gH$%C(y^qDY}Y`S1-iky*Z{=lUEgU>*M zp~xe;u|m=!qty*fZ%8rJYq+lY&$Vg$A1?FQ7N9 z?~N&au^vOy*`UQuT>w>Dm+%6T!$XL{2=VN?dx0>nmq1lgf+%^p4BsVik-h|~a+G}u zu+E)~SN96CFfBrSH6NB!*(<*JH{H;G0IGOnr~U&_1&w5b6vwy7UJ~p4H9`=t_?!o?p+2}E zxmfku$Cpa3ZLhBan-9IdPcA$+Ih2Q(zS!{FiZ7L5jD9iEZopBtA0UYX#fZro+G@ir zH1yTXZJ3i(r~Jzi=L0UE0WQD!nj^k$;QkL?ISZ+cjGCPPM;}=|ZLpe|GRUp9Z=sI% zzM-4Chj^vQbiGpbwyQC&>F?H%?Wr_4nleFc2W!6p$#0Jg>(*5>OQ&4W&VSjoxgj*U zZOv?X>Gmvh`FAcPvfaMCJ#YQ7)}4zrt1o~FdcCo}!oH)7%d7N44 zzIo~p8PymOV2CUTufu~YqTyqWM!PRfX^8TS_15TXjcbr?oHsJDh@6u96tB0;pO z?5#2)U6JBV5x!Rxh}!Y|cO)U5A_;sqd>e)3cY6o|@!1>M?=Xpi2w4KGcjSz2Jd`5Qs?;LGtYghG6=o?j~h4{>xzR{ZsX1fRm&|c?C6ahEe0riO@6mdCJq&M zeJ}LENwbbP+-~FLVhYMmijE|U^2uLMIVZ7GsNlJ!c*I8;)8Sl~KFQcb@@_7;{5PDRag;q%h+!{%kE zjl+Gec{S`1(x=@_s4f_*c5@Z+#GItH?k}DauH7=5N+mN|{1#_KA;5E}WjW5_Z4GP4 zO{)cbtDFg;dfdZ%(J~n!?-MkWXKwvrEfQHWLRZ? z91?nSk2?uy)xm#38RoD-K1?0X+*?o4s7GXL4eE^`q3Wp;De7GkPvXAkF&BV*Sxer;8On)WS>Mo zQsEu|Ek9W$CW>86GzG3GX{h?-h+Y;9=6!MlT0kVpDgx4dx=v42k~=UE;Fx^g1@&8w zT;XRR>?7pELN{ z;bPLP9f>@!J9z=D19C3zfKmAgkI@065*C7PM}_SNiWsmdNwm$n11JmHm8(b4E3OkD zOVin%2z<;yR?W9_5)cEqLU@$qRM-j4`^N|1zk#U|l0%e1@rD=`D}znB0u8JlGV{aq zMPME!4RV9*cY0yjr{~kGV;9Cz+-g2bI`4O}G9ukH1dd(Cc{u*IcD`@@^!ev`i)~1eiFY6Pd-L?L7ZrVO@)vC)kHn9RC+2(u zX|D>;e2@SI%q2-it5aWH=$kC>-6G-yTs8_qLdpYrN{Z?yP5=OX6Be~OTZI4E@J z#-9t!N)@W&cR&51Sl?Z;U$Jo_-{gi=5rQ8kG>S;vDm209x1vGBF^%k98=&~i8;9@f zNiu9*a=8bOA?phcm9N$A`#Fbi@6hKLT5jK5@+sxT(%!!MzB&=-amtIILi<`X);|)M zjOhbDvmQ5i&g<9YCmQ&+Q1__fKyXdv8UwAFpD+*WZD3sR8Bst3_GTx zt)|Y@N>$U>u(MEeWUBv5DM_miLA&W+QA&nd3Y-)(oWlQG*Y?0fiv{W;R4fZMt(fzj zv9@47Gp14F^duBUpl5raEn9= zcaJn3JiWV*Z?uWeGm`-e&Fj?v{y=LsCTh53L2>@In%ZK~{~(6-^3b>TOq zJuRJn08cAFfEVc}ynw5$WzZRgpG-O(EHl=HFa<_Bwo>|&Q}L5YdrH^Jf}!u+Ml)L9 zxvi<6>AFcLlV_PL&h63A$u;aPcYJ9^w3H8yiowmZCbzzqRkXHDJJTPqmua>BRQ_K3 zrxMBXzPq1Vrma%$oLEg1m6qQNdn9jXzFcMF`>Q<>oKJ`#I2TL)-1S~kdAzSrI%I@s zrWy_s3bCZJbjY}jANO!l>Q4-rddth83ORrx4#Bu7g%!a$6t1BQZS!bKX9o z!x5;)$@+*`;_?S7C@&uv-d2=9{3VfdN2oxa6*!HMYno9>dV~TJ2a)ndgj4585-pal zSC-3;kcd`c`uY{})X@SbeO2+t6>`#XY^<-?h{pEHEw5`*noIT3&Ws6!h=_8VcO_Tv zT$ty-s$Rc=XS>}}fV+`nwp{u4rD1=D7Fl>&1 z(1FbnEQ5|#nt14_(W5>gYlYv_{9$s)>@0^(yqO|_8*6*{H`NN4re@jB_UWu$|InfH zgDf#k0ZXJw<|lEu0;?VwfP)B04Ua@>7`fPyn^zuwzvlAZFKKN7&eo(V$Kijbpo&4N zdTJxo%)C0(R3;5ppERqNIcbiDyT*b`>borAUsp2ci&56y1z}RlUX8IsXLi$&O*@&H zSvsDrs2cOE9?B+;434ywBco?`>w4rES;EYYN`M7(mj%Pw%d8I;jNP(4n?bj;VZof6 zJk&;~6s7G2U`~^<_FDRieEX`8WwGSj%p*o#LhtBrjq zdL&$hqd_)C8Dpp$U(QXuZg2*#!o=PehH9A!2Q{xGJZ&|%%7!&WOB)9Q?dFE1BI7cV zNiP6{#;Sl8Sv#&Ja}x9;*OEC%bWCQ{&V2JpbjK7%FOu+Gh#r}vJ&9y!FdeAe6(zUO zML#vS%6H>Xu5Nu&I0ifV>&1ugUi#F?-pi(*dOS3`n~H0^B@tAM?xCMfZEXU zNJ!%VJzjy{|3`{g)G<_zM@G60#pC0X;mhJ(Ifa(OLP)L?pj^-rg$cuG?9SW8Q^2dCTS}3i<7qCSROrz!md+QH0*yU&OwtTdUdO(lTp%1-K5&V3I!TH zPy+DyRvY~sKXlOM5i5i&!crtMD@?MGETk10G}3xwYRjwuxZ|j@+=cBu?cuIpg4!Z5 zN7&6OGgODt0cg^e}WquQ{AD(V{g&P?qYRJX}M52|NLBKp+<;U<8&I>;zDK`az5<%PHZ?BF-70;R;B*I zopjZe#ag=R%9_#2VlNM79Clnx)I(1Od-Km9oHu*2_l8PUOz04e(~e8lrqh1vdCtzR z72Awc?l~|sIX8IO-c{@KJ|O7R?wS=c0KHo!S$>lgBb0DUEu74QKf|63pZ0mpwZ%SX z)oe9;EiYfn%=>*p-+!&nIh{CQolOx}0oAq|F%4L+iHknz!hPs#*G!X~$0u3QkPfaVj1;RSn0K8Ve}+LcZ3(cgIB z0w4m?H}e5>Snl$TpHgTnzMIHrPy5CMqlX|_f6FK=P9Z2w`yS@>Cv&DOFr%-zsE*5q z@Lx9Naj6-nCT7WjDMD}R0EnhKXeBn2s?n;c$Y-Oa#bc_^HY!eDMK^6_L}y1zv&mF2 z@tHx0XQKv{1g@tcpB?;m?V0>(2>zuB+zw2eb&p>D2x!w8jA_WDz=(gK_&}f7bSoed zu4C=#P9)InsyW>q>|{y98bV#B1GDRiq%|GZ<|(0D03v?~YeR;1u#iR8t+C~^H#s1a z;)e+e2j@Vt*r}z=f#@D&6%|4$ z*hvBHBMiLH38+m{Rrj4H`6`Dkoq*b(jyL}(!b>Fr_IYCYkl%u?vo3jiaI0}PBJ~~Y zI%o6r)Miq=;S2$U*f7q&<6TC~2tzIXHo6Nm5R%w*aR6`D7O-84jiodg=AuAOTgEJ} zPJt?P$R(MW%r2*D3>(%0H`xs0!LSv>$S5D%5c1)512Q|w-Uk;ymJP_RMZtR=I|Zp6 zsNC#c^5w4m1$BOG7-@Nq5S5Qw~4`XCn=;oV%%LI2o^d2>kN?VS)XpI}< z=D8dcaWup)Ny9^MP{eVHm~or~^o>y*9hf!3GQyrN*g0}H=xE#{=p8rFykG8gP;nt?JdV$Pq9!}C&RwFVE| z@hp_gb6Q5arZ1TvGnr>y!gbtcL(Xg(dhF$p+JO#kZ=Dg3bl>06kG}gSIO0OqQUC93 zy;=MHFZ{c=3iB%phP$zyzjHM^_8F2oP16=GGkLtK$u(iV4fEw0%R#o)YmPjFQ*&Y6 zvAa9b-(XI%lzI%eEz-ox8V93)fK4+>FWgsU6lo~4j1K6x$r&+MmNM1-Z97Z0-S#TT z9Gt0_nHSzI?mFk#ZlfCVJU^fB*U-zD2UBAd`RX~TxZ_c&RkyMM+!U|Y}A#MS`9-gG^J9TK??9L?qhhmf^aMy?qi zp)y(-R_9P8`<0|%Jz8lBwgZobkC5kz)VgwZz9$0LG8itN+r7zWc<5`b$@#-^^xNc# zQvtYz6xIaf$(d^c?0KWBXRjrC=1f4Yk-%+c#pV;3o(?yx4B*;h9CRiJxe{Y^R5W+&Uxl?&w<%I@&edjuFAdgaTRuuI9n!%m?xoA5=IpU3^@s$M)Ye`Dxds9-I zz#0C%i4AWSK3LyR!{03cK)giyPq`T4djzZT{uL-={K4~3d4$rBAA{#j|fcwR@UC`kTx&G31f^xAy$g~kuT`6fP7euvC$ESnb@Us z0(?P`!9@YLwy8KuT`paqg@-X(U;=_XG^Kd~A;|N|t*HE<(6upoK{7oF=bl3A1bD$h z^kzUucoMJ>@ip-2g}H{_=c9;_zHncGzH#2UDAqy;B#uGd(VG#8iJ^-*fAo*R7_8`b zu3ZM4YxdWq5!$Kla}8~#)^m*sOtax?E~*~?&O$?Gq`OdEzb1|g8?eIa|1$Xl+StfU zTcyLUeTJM5mb=(tF7Sp$xn8fm*#q@B<9lL;nvvNL+KJ<8(K0*ygsK&p1RUEvS>?Hg zy6vC~7NWl`lFr!Lwvd1%*@!i`cXspau5X)j7HKcEQH`8Q59y1vR{fIZH=T?F>LKid zgL#V0H=8>ZS+Xsi?f$weE*$S%)}Qq8JwlQ`$$kCw@Q`nJrJqjZo<|nFcjWT{vRMzc zdKQ+?id6q$Pr$%JtPO{Y_z0+gf56S@+{bmwc|AzLUZg&_j?Z4CbN&M@j##uKTj28R zq61ponWZXfzqKA%bTr*+sF^z1l;mH!sO>4Cgq0f_a3f!=0^tSqUW+vy30RC-yd_nJ z)Rq#=c4y1HKl4=HUT#T?b)3M(-5K)=hpmMtCBfl23Tlp@wbT z(X=H84NHC|h^QPuRvWN_pY2bg+AHCd_#>TyWyM4McQ>k#;tB=A+C)9_Zza`_?5Ylw zjN$78R^aQ&R9FCD88&smc3(=nUgNV)&Ncx^YJ+k}?}ieascZ?desG4zZ(4#}3hvX{ zOEv>j0B1=05g%p=MqqGuV>fc?5xi_Ea(-#al9K$TV8A5Uy>z{l(8qcNQWBUYU5l^W zxiDratA^(#5_-P_)gatu89;d*-_?@64DM%5p+Wkx)$a70{=%pP1|ye6Am#^3TkD6G zF}#N5vvGDOJ%`I7RMcaM=Z7$C4J!Y9cBt};LX0F6eo z$g)-N{@|xexY=}KSQbd~*~qa55{SmcWnjgur!+4Gi0(offE@@r{08xClr$*$V-QXH zL7oh9!gCX_?+3Y~s}mksXi!#x{&Ykjr=HBMEFtfQMI*`0$bykKtNf$D~XO#)&{HvFca~Z-h9Wb(C7U^ z;;b{QwL0v^7_7RQgH-n=gD`~(kPRg87Wx$nZF222Z3k9ejhtdztsg1=YLmet&08gl ztr^N%MaFt9R49(8K^<(CVaZhVXnli;ii!yhN=A7t4YNEjxzSp^Hp1SIu`^WXG|%biO0S{%H`MJ)tE`N?K{w$rJMdxSI@Z{RZ^ z5BhEx=FN9X++gUdVnVRNWS?FEcQh(=70V;h z*7Q?2&x;)8qQN{c<1C5d2J_tp{e;}fOo5yFCe_A7;?3u7+Nhl5*n~+#awv(QhmxR% z(8PCy1ELh0aKIjAAz0bwU?fUNu6pw?Jdch-R{G}Mcu}P66r&w5{x^g5;_43VKphy+ zY0C-(Mv65a9z0fP(3!tw6Bb9mTW65HWqSbSfdE>vRb~t7_lAM|D)!NR%3A{njDrVm zT?g^9BW~$|t(&vS%_9LoOBB4VtbXeIv>}+}AGaw3)#KUQKz#i&_m^&4j|KKGUOXe$ z(>9>(65JEE)xbSp4fab1iIHgiS%LH)R;4)n%tEF*@6Lt5pI6f>n!VHYFFXT9vgE;_I?E+ldsEw#V6@rf<7D-65 zH-gSR?U=)xDLI%pdWU3zW5XJdqN*LhT=)=ks&*h%4aHCx*dkkuU-?dl)&l+aBt5nh zGch>T?DRq91@`Izz;<3ODbPoKD+Qscc+yX56&@L=D zT%kPC?*i<)t-^_B7ebBbz{oAd>69F_o1`Hi$L~{`@3e(Dk(s;Z`yuYMA&uR*N09{> zl80O;n@2tWM{SKM!&M#srHxo9 zs7^L=czjJ8_Z<1UoqJ#vG*+qV^{VQ9r!qnX11FRFlR_5%dwEW^4%{@2&BTO>aHCFY*Gf-(2gju>d?e3zfZ@ycAu>pf zihTztiXLq=qjVH%J5fNRAhwSLCyKJx^rl}QwH04~8}nRIpvQpG?C5QjU`i0;zT-zj z+))T|JAqH{SA}?pF`>}?8v~H24BL+eRYH>QQL&LkxJj4VevHMSiA>t_fvRcw2ZFOn zL+OEEGWL~YPxXP_dX#_H0cIkQL_g4qn**vTI>6PTSVgap5l+ zuGh*^hiKTDWOugoFs&<;91H-Ip-S=~=ETVW$HC2w`Q#;&IdM7ohwLCo^7lf|Vicz) zkeb}4x?dNbz@YoDfzaweD}UYaC4mj#CRhHiH9&5tpYPD-5J0zJ9hwVxhVrLo7-OcH|E47LOvMrQj{@6o!$0PXNFIwo_N z1O!WZW8w}2v`NqLE3Obd$7M$l*#_TV_239-@;ao$j{vbbe_((8<TBwW%Vy^m<94TjIXw3bLC`h>h z+#-6EinYgg?TTG$lN-wstxXefT#I7?+e&pb_7eWG10_btW>yFi90Nc0-$QdX;URED>oyzQY@XVD38u8=ti=DvdSjQtU_dwtj z?~E#o>PSI<;%D$hkjYk{q38dROfCeO)U?cD&NctnmWH%=jEq&&!9OfWbz}L@(+^v$ zN{OPEf?+sF$3UKGf*^L6el(V%&S3s%W)s$HoALG5E4QPU=U}z-bR$y1&4@qiKFl{! zYoUf1`=>|br>l&h`=@VYM=4W08;dO_8)>NbF5iA>+Lp_eYxC2r$6s_FMyq%R_a@|J zMOgJGLe5RSo3-5kTvS%_=hlkz)A|>t4oj{`dn?Y}?c$6Mo@%T#*mI_&y8330fZgP* zm0-F7cDCAnH1Qa6F07Uw(+$9B?ihmDq#*W~IoK^`Nn&dD-7CV?6?Y6t?Ah22m=1)1 z#`hcPJWX!43HuGeiX-uFCVKSAAAB0gXUF}POp9N#PdSn~m4alrnW&?9kc=2M)^%nl z8wL`%%HL;}n3F)cga~x&UrwFzoOYZD0e;mz3eDPzrIOrNGS8)#$la_%<`j zm7UU`#>SbxKaEX#8B&qPhIcq5bw~}=LhzhaVrG&kd@7W2MB$H4q{NyI$>2F1$B@jM zLVfk)nvK4M=gN-*TXA|E&pwVcHnQZ=p+xI^jPwYu@l&LY4_z`Rko1!kw8&6ADmt-| z-UOzcU;$bIaS>b!aq<&zF(C`*p8zw4gwZ1`g z_#T*54^E09hk_GH6P1l&r+~2n(!+BKn`Kcl$T+pRh-%N3_=a74*9WJ7v1FcyWP$)k zr_seRqZOm-r-KmQ!6@?SiVa%yOi(TgL~oY%=^DHt;GOTpvd4Sb4 zEF)?$q?5oiN^XoS&|fBovIB5<&ahB82ZgYf`0I4(${(}IJl`L%;vnDs(Sb@1ia4Jh z7U;VWndJ}QD_+N|r`Pq7+AdA=NaW4{A3QEigFcMAxirmBd3H?$x{?jyRcQk1ZdYJ& zKn-QE>BN)s>x~_iK8M^d*wXX`NftjfLUh@qxQY7(DtOXCWFtqV3rmtL8kFs584hnq z#$c6626AyTQ(DzCd~lFQEu<{tDwIWhvPButAaKm#_9aE*{k#2{&aVwAMmVSFrCO}d;EDl zfbaC_PLc>WLfj$GjW^?dQ*b+WcS>{MxvBfWG0!<4=6Wt5x4Yo8-r$4haH;@@j5>$y z%EXSzGn?(wFG7;z=Ch*LxmG^cBDt<zDr62|$_zro@gKW@XP};faQebIF z{RKFg32M1NN1_CI%!L;tGorSmF8qQC{CyxTh8k&a!vz2~FzS@M4~&G6;EQTFr3>7q zT*QR97arrHp^};J!zrEAre2IkK_I?9C6V4Fdi$q6a_B@OKpYkWfdz0b9LL@W?L6)lk}8xkI!X)*_8mmb(M8!P_-2ALN1v<5iI4$yG%x`c;E+ z+lX@D>Ku1TB_paGP;>8+CUzi4fPY@vYS>z65O+x#KFN;WrzFEPRX^nt2XZk+5HBNo z5?MgS#>@PT8!!fS8F-DuAeIS~C;o8rI^pS^(HK?zv_#qJV>(A0kkp1)IfP@N6N4 zf*n)7UI63_NN&@HTELzQDS|0ea`fEvdT#h78@n39aEM;;Rk1QiG}$ zq1!t^R~8i^t^&LvLTF!Ih8Knil=Ld58Y7imY*3LgXE^05!R|I?*9XmBmR^Iohi@7$ zxrUy*H+Qn9%dai(FPV5KK-KQG=eI>`&4r%1uc}^X5)HW!&U)X2LX?HBu6$~mTj>8q z6(|IHLx31lC{X(RK<^cj8wQKozpzCofZtny-?#spHGK@{WR(#bth0R@mfvBXhUqd{ z(_%Q-qT2j{rU#WRSnaY!!+$07Tu3rX8P&Rzb@iE<7Z(nlvrxByd3rHOq$kT@p1$)L zoi~`iW*d|J()wYoC(+b1$C?q@t3^y@#&xF42j4#BIh(0B$9t(>G;_!T-vE<+Wcr5T zXY|>B{E8!};tn;*Miuv>^;snmmbXT$nVlt3$+&ASJEJ#Vim;}UoqyP@xOg70*r!Y7^Z zKH1g4?A)*zS7i1!+_--ad#AbJ9S{<YfxlX_ta}$y6ndrj4 zvw_Tq47oLaB33qq-hwuR+xM*s=S1>+ijfQVG_$bn1|S!l;x>XIbR*MkBty0f z*uJ-?)zNg%X)Fu?U5&k+L(ftHSHLs?xW%KuO^DH5JW<(xwh!sUx>0fHJ&0`u%5)JL7mBh$p7snFIuYe*y$0MxpFZzY?CUY~HyGkCM#2-0fe_ za@YJR{c_lo0OCl|T~J+I{^=1i5|kTLqJ1NXO5)(7}^+^n)l! z{-PAWeHkvv(r^q|B7lxM>PReFg?n4NOF}9-TqG#mSdF%#hpLbSJK@F`Kir7fIIyPC z4?`fB2tBK?2}>DolszPfiVXBa)@PnasgNTdn6;KZ0t=>!;3Me6Fb*H>{<3TZS<_Vy z9#KGbl63g~abqR!NM$Sg_fVH9HWB-z3~-u2SzBP)Ye%jP*+o@`Yy@e#ER&|w(kIzt z7;#7=J*j%Uj&7p<^)WVKVR0-RpPjPD5Q(Q^Ys6!mOjeaWhR)Cva9y8(Iu|l=8v_PX zn`)y?#4dqrDL05LG~jL!cQ3Aho!>4g7X|&!_sZwOlM79e=5yZ(sr$|QUKHe7(i;Jy{nj!KU1HNL2eI(bz7?gw{BZ19i4VI?m zIH2YwrifyGuOV>kxM)fWIXshYQ_tlhD z+@@vih&V=zCnQ%`+!X6aNA??TqcyKId_gnihKrD;sS! z-R>Bio6xtXe~K{RA(){~77x$AvYlzMoKfUkNRaqBKEg0V+Yr=?&!_)7Ei9t z61ZKyJM&>EceEbO^E@A2g)J?Vgv@yI)*~I6Z&#d>?VtFnU=!98e}E7U$#*fFNXoyS z2<{)shi~2P@uUJM1nlFxNK3g^8lR6H7jW+L=McQ~+{9ndR(+QeO#V$n0cRASHnBQM zg@`nRu?gNfxYLeC0Yn=Q1k43KbUQMKf0eKh0ZtT<<+;EhfQ``pBOPTPE;NC{jzGDv zVwQ~3L8$A54hoAY9rP^)2I1~n4rLt}H>I2fWgSed+})cVZ?pT^V7YRhvEt4)fONI& z>3ArFnKS=d*&Cbx6n%cX1+M8&ogn}KEze$fZ_o-&@hSXFl1098k3OSkrV#!95V*EI z1N+6)!Lw-T60xyTdQCULnYq~G@^uHl5m37rM2}u@8N(V{Ulv#44 z@OWla$o(n}I9U$8@x@g``imJ$t4OksY#*ySfTtmJQYQNd8T?49i(JnXyn?g0q>y$0 zm8Q7Mg&c96i(di9UtKe>VZVZifunSEqL3Yl+wm)a_zY6Z_=?rd^KyB&R`!poGIRY8 zNQ=pxr@k%i;4`Z^d6()zb+((+&b zg5W|*^U&A3=^!t16as7ye+>;oOX%4Vkn?LIF~$A^3^#6)#~%C-ViZ_>HQDz++i{~t zvhj6+egru){fClaHt9_&Z&*VFhU$O<{SaJ>vg&oD{ik{}e%bKiZ~fav;SBg|09MZV zS5fRGaHoc@Mr?>@!JcL@_2|qsby8Fo@6fPnWX>nM2%srmV18US)U6#Y-ENIKwLbb` zwF^N1#73cW^bf8${-vgoQC&GM(S4idEOWRmOjf;Ag+<&Ji$;b_sTF?bmGbQ$UJH7g zrv2>F%f5WZX5!tUbkxRd$l$dHGiSd?%5ahW&gI)*c3Z0+peO5Wt?(&yPm6MH2FK~R z8zji>{Mkk)U_J8tKnbvo5$r{AzbzFXt%12qeaxD!cdq`+xnO%T+; z?3xY0gPfkk@;Xw!gJ?j_Xm>vQoj2`6Nv!=|i>&$^RJ#lVhfcMt$!38@&fC8r2387Q zr?l>`ts@xBm?2@m`ap}I zMIw)Ef$J!dFW?QW(IS66LXS%CK9$A3A*Bo=0WD>y01V(G_y%xdJUO`~=*=8l*ywzy zSP)1Eq~xZOdc0BgSo;cr0=RM3W1NL_ywsB#YjnKS`{dIjq(RKJ9l47@vAzVG%5XhIFrF@3hD9Qs6nTiWqtYYb6|Z7ePmZ~ zuv5g6A(jtF?-}HvX5qs`yhrcWn0}~%LAe0e&C9FD`&Su!$Rx2HcJ7Ar=!#OohBy32 z)t&rv4*8Xf_35R>KU7oNi4_n6!gng#Jv_t)cWfQB}nvPYEVOBxUYwHOh_US>4VQa zL&AYXjDsjPxWtnkYkL&H+F~Smn!%Pan18Q%_|y0$B`_zNNh=*$q0npsffy}z3Alga z_6D3qHOYKg3%c7%L@h(iQk#46v|4totUGZX4>6@hae&X>n%#2Lo7{e6AK^vkw}K#d zA&1+lx$S&ZFFq<&ty}Fc%$eT$F7-zB$;kVy;mUDAG%0-|&e_hYRv;{y=xGH{?v=xi zl&WG5NC6%oC7q)9lQ?MzmD1(l$qkAa(qb+J!V)!IB5g;Ph|onQR~q4MWQF1J=GsAR z&>m*SEs2!2?G^yYL%tZ(gf)`VMjvH%o7mGBa8a2%Kpe^Vw2HE{QA78b+Gwnf){fSk zGC&>;7Q>w;r`+$qCXa3LzF(2Yel$J87|LYzsj@H)qaa-tmKqkbF+=@aZJ~zMg?~2! zYX0TNOj8HGjZ@R|(9-np)oi1+jk+FwI$j3MZZrL;$u_!dWdj*PiDRmFn3NgFQuL!O zwqE|hPN%cc^zl+M!t!nPPK!QO>h55bpQ8k;{Jez9vYxp+eC(JnQ|uPmR&RRpB?Ufm z`h~EeB`;ZFD?Cq^)yWdWzo?0=0g3TcP3#CvJWbWa(=~s*|7odt>8QLP5*d$rP<}zSj_Tq(>^}i?Bn*sDr1#e`#Nud%ZZQTY`%-xM-cyI z1dNP7=>%*;MbE0-%^CvEr*&l3@Y81HtYKIsM8e=t2%p#k32J+%2ZBZUA7hv3cAEI|+?wK+-0So=EO(T@tciQNyCgQCx3ebY z)Xi2&vd@dc`4r(V z`rcd>`JmQe6Nb|tU^u-_Xyo75DebmTPp*vacH@}|Dj!<;c7LlSM1@4qCGN$pLt~Pv zYXeksyGy%^dE*}Rz4f#8?Jl(!nq%y|$4cZe%E=UC;H5EhBID?z!J{XP?llqR&+xFAlm$qHyw8 zFXg92oWq!$=b3#kG?H8q*Vd<2q7WiLF{&=N+pbtKF=PGe-Zl3Xc1f(hYz)%pbsZOR z3VPQBDl+MGd~T2Ub%0_`W8dID2qCUDDV6zs<9KGTE_FrqO*+xwaRUU4DI$`D%=Pv`64YJb%LY05wKRlI}=<@~a8x-FE!N zj4CxXlz|wbKjOvkB;7~k$@vGU?Gd>aTSv`2M6j4SayZHP8>m5cQy5`j(W4SNM%I$iLoj} zKq1F4qtjK!-~f9}ZP*sQKqlh_%l?A?;ve+S_S%h0UfOL^YxL%&omEA+wdoD#VcA{! zVW0IQS2S73Oa7Eu$X{CYtxB6KSG0cU{9gWfM;{iMs!Wpv@{PI&-$Utx>Ow9Tg%7=} z?rUs3%r{ck2>B4lH;Rzb%da6H|GOP!D`j#+S~j7nA6!NHAAaGPnF@?F7wyLN+qbGn zq=HX~W-D!1=-5Esn9eG#mA{*yui;lMl#U1lMjl$*arL(M9enM}H`3O^UH~(6jYx6f zqQhd4en2SSXpjSnM(|??E!vE07eBT#9Y1!^Tl!<0w8&fGIv5pDuDQKyM1zBRE(_|t z1`l4e!Jd3^E;>f;p|pW#QaTh%Oy!I?&*OZn!!@eo#IdtN>IT0HIR2lmy!%ABIPss- z!3jBbeM^2lpOrI~673GHghQVaF4t_0ttf2GjZb~?#h)j;!z6L?PbIP6uIkQFr+k~m z$d5!Gz9!l4_SM+gPx>Nd+TV+2l8qPQ+sUu$w3qR03j`Jn?bZ99luwSlByhTXxAZS} z+0XBDUOz-;oacaGZAEQ15o4=#W{Z3vG6m@@&e#H**F|UfQ_5Z+P8Q9VXx{k|2Vbeq za~@F3?NxtM`4A7$4_85KhWJu7%VUT?m^}>WyCQ!Ys2n07<|ww05`4tG}Of)r=5^zPE+Cy;kbheM#)`T&9Js?=6eH9G%n{UztegW=OC;6bh`NUnbLsMVE`2bbUKt`mP+u(S$6E)XyZW z&TV;aC_RE0K%szgv8u`dSqdWo+n{1nIz6#Kp$LHaV}NtdI3auG`SPjnOFqRdv0V`! z$AU&-Oh(V)(vc?cdn|LQ;wZ^uvXHlKBi)p&lgN!12t70C+&KmUbw?7VnS^IXLZtxV z9%(Y5mv;S1mCPw4InbJMa5;rgKRH(z5~&&I5W`_`@>wa8Vnai*Y&{WToaKhlDQJ+v z5N*z@(dLZ8TjGwo_To{94x6n1JQVA!p3-GHXJsXy;HpAbr-MEWWTC6lp` z!O+rBKc=FqF-%PxhOD|{{=mW*23Z)>jW1sC6`52UH7lERI}Sw0`=!YL*HK6Hp=?~$ zm|#&bv6}lOFhOwARuOIJu9|JE9V}lnez9Nz@A5KqKLdV1En+vU66YEuMIH{gUJ{5q5oEn7+{ znE*@1q?_k?U*Ke<`jjGqk@d#JM2ST6OagYFl^UT1dmJXMBX9^p;cY_^TvwxZ>cz^< z$cKK0v2}1=IXlQ0Iy{LF8SnNcSK?z4QJpZ8VvL|RjN6WFO1IhsDU>*kXjUf~vNk~h zHd#h!vi$^EM%ad!_ImV*njq>o4i2>Ro{$63Fogi?i{1XB^$;X(! zC4qORCOxWE{49$W-Z-Gi?8z3AY)Qy-Q&3c&C&>ZtS3>%Uz)v@0zMYplb z5Ie@@m_BwjumlIbzwofw84@rSgD}WQ%4+jdCFGhJhivwecZF*s1?;MEh-Nbdv&Jp2 zBC>}mX}VV6avFyK3fi7K{=u=XMFt%z$Q-F`V!)V#6O)2f^SS;LiN79fj!ZT+V9bHb zaFZ)gus}Di(>mUcj z@xWu~V`Iiw_;AT@N`meV(o8uXY3w7AiqWNOjW=n_6J(e`V|`9xrS24wEhpo*NHRW_(2Mb|9I`|byMMacQUO#ZN~k43nJB<_Fp*4L z`H2I0?1}LFh!Q%{xri#EP&~ zl<^x#9%L`sq#PPuExrQmQ5+?wU@L4X*eh47kS$w=S#_(4v>rJ@YK6gYxY9n2d;Fkx zkadw(z-RobF&FOQcb$a`VX{87g&Kit*<@5guP$T_oji%U++;~j60`*(AWlXr9zF(C z-e_2Z^{}PZy3i`f>Dt<;kI$ZAt-y^t53w|Bs2i&xwOgO0f4mC-jb3A-M%rkVv-`?y zfX=JqmX4Izn25V@5KHU%f}7KUfS-YY3;!=i0wF|R{9mNK3s}r~A2yyE6+);aheE9+ z6{}KBO(nFBA(cavLQ80OrO=U(4l3KhI+jyKYN7}!icGedLI_VO=Z=bv!8HBv&v#-y z@Be+@>wW+K=eq1(&zh+@-oN{MfA0JKsAyGl-ZyfN&r{(&y9+teQp2^knlZrdxPoeU z-=X2%;C|uNBgPeLL}a^8>@|(S(q?5t#qMVA&K_5etPjFE`nxL!S?!skkl@7wj;)Qp zBI7p;*-ET*??0KIIFZw4buCGGcdN7!!^XkS5v%?_ia>jaJiyTT5|H(re;ImftPePWx2 zarIz=idqxnZ5bG98}F5!qK)Gj>)7)N_Mbqdq%2%t>rE78tLjbq11-QLOg4*Q3x}rS zYXU2Yy~rYp5sy9Zb>d&a3paU^28@4J`ReVHlu(rdd1jLDCP%>(67?p*R86Mv2tHlq zB`{Sl=^6+zKzouIOw|z0+b8#`k;dXalS$H{9dnZdC@^S|5enjg(FNojoc7HpkLoP9 zFNI*9@L&@*rC_$$@-q~jKy*3={S3Utb>Zi&m^KvSJN7dGmo>ip{Enay|>iwqp0xgnS22S~-3G@R4n5Qz2#uTzvH^5pgpelg2GE?|R;LuoEVv4D! z5s8zg8`{~!`qqkV`jXAho9i@BhZ7>2Vc@y-rT0WbGbj<#e6>_FA6q7WRx#u@H?x5b z0oL)#rzn%~z#Nc!OcJxW#2kT`=dZk!%vaHL=Cv2m4s+lx!MAx0=0AJktVUmVH7-8> z;;9fKKEy1s@|;TE>ewZHr?xDwXCW2wRA><&@UBeVa-Yq&pL~y^SWp!Q83HW8hw*}0 zxSEjuw^3pN_ed@$-PZ<#2cDsYkQ7kIYOE`zIG-i3_d-bZXbG{Jjqee&25p7^&$JsgLsqg*Y&QWj zYHuv$n&he-BRdS2mlpOWsil-VgSKF?nGg3|U41ZeQvbKHbN3QkZ))^Sy;3~9UVvSVH-(qV-5lyWwssrzB0K^n4Xt4R2hSoNg z`0QXip-tWKTs45Xxs45UXJe$UZ7#{CIy`OMB7z4IH*^@!RAy%6^A1-Pq(_mTk_Tce z?ux#_0|@8H235Ah@OmRZ-_KSbAGg>guJyLYxYE)`)Ha2IdP;}wDa`R+*k&Q3(l>^xOFHAlyD-}=PH49=K!i_?F+JYQ}U4U>PF zQ(>fyKA!x=df(eET&KtbWG6puluwHE;4$<`60l_go(^4+7}xDuX|v{5oA~q5N)#lq8d)DzrdHm3y~LcAJ_UC)IGdg`U?!& zHamp#e%b0Knga4>q>Uc5ColQfbp?_8RPVP!{rLZ)g(J zzM7Ay$GJo~ZkD|gGTjs)+^0>nKT*q8w#c?WVp9_=rp`!(h39sh@uUR$4Tv+{{Gn@9v zV(L@y7tbTW?uvOLo(Jie%D(2osy&yOXC?6ZSf2W)l6m(T*Kc{EN_!+~#q*Jc`G9W2 z`5&Fq!hZu2&3A>dk=o%OHOvQMqfcU^=X|)e@%XA;Ja)$ug?Hj&{u@Gqx6>@yPI0FN zk!%Qa8585;m);Rhw=1k4409r=ej}T;FMwAYyD$7lEnr^lHg)lW*)_?nmw;0XK$qFR ziUnA28-k})-uT%(lo95IM3{5()y)# zK1wULvr89EVAtlAC5wR7n;v3i$0Az{0z(1Ti!#oNtcNTzgUuorgt=p8$O^V*u*F%A z!~sm_ovE8YskOJWB`iNDm}d)Cx!WhhuSPh7HiMF8q1|I&o?7>rrtb>~LBBXn08f|y zGvnhzX5l%)Iozg?_Qp(w_ncFq!7BFX-m!1i=M=N2ReWm zYTpd$QVaie09UqEbx`6-l?ggVea>c}m#2D){5kcgEBkFu*u-QnL^V&NTF`JTTcA|7 zyi*|HtI2f`&wpmcIb+V(`?NaYHCvs~6|8p2NWN$tTW+YZSo=6XqmSard~)mzGa9UV zW-O<_sk~;!B$;F9tN}T8imZaYFP`P*t`83W`520M(p0IKM`#b>`fyFbPc+97S@@it zio%KWfDD9HT(smO8v>(kkR5cb@c30S}>&Y1z?m8aG0os`m7#IH*z~t)+t4Rs0CO{5+micZ2QU&HD@VJcx;TKw^ zy6Gy@$+9$BAl|(OB9+_0(t<-5)Ol`hX$VH)>s+%$xjnw=1?r*3O~}`9TQaoV0c)_c4E5222vR)uAQWx`?LG9d3CNMbMBi*77L6yx!tvAL%QOmUN! z*D0ojq4NI5^8Su&F4Vrn>Mrk8zf}QWH-1AYAUi zU2t~3J8afCuSdu{0WH8$$cbg{z}-zYI8v|Z^_CS*te`ywMKBqzV7O}$s>*&m;Vx~r zUeQU{241^lsx$^u+m#Y8aeO0~ale(nqeSggU*1crBt@$1Ki%{yyUj>^r1*2Di zDUTCc1g`RNN^T{m`>HCO_ETEBN>;&U4e`(g`SB0TIq9`!uB;u?YZ+*7QcHsuY}PB8 zF}npeYw)iPhF-wlRj^q@qGP-5J-uPGzD*lXyhC%JgDNMsN(jPqkr*BwM81k{|h` zPwaso_~gHq$p7O;@J|D{js2dhytTvvR~~lB>>8&TQmeZ3Wj|K zHOh0R6%KZ?oW@;HDv#nZLb|2$hDOFyR+^(n?IRX+^>tq`%X|y*NN%(B<##&lD1T3R zQ|^4noaKR7;A+(~p%_2ut;+75HrtS~sf~z9i7A)P3!V09oYU@j2E$lwZCUG_o#`^@ z>|KtI%JU=6Dqpq-Ka4yloPB1U@~;y#za(ELy zK*(KpDOpT_xO=^J0ZhZ|jTje9U2n>eXWC(SktpS{9tJ^W$nVUVXzpvM1s9>0FZ|tb z|M*rL`_Ti6OfeB?DOPLc!)Vsv+vD%%-Ti3=J4tO&t3!CXYy%vE5R<|;jEAyFXTS}V zkpTB>Z%fUcEI7ynB&HSAqWLz0r69SP(ba|eo);_2X;mIRcrF; zNl0Msethe%4OjEkJ^kSA9s+N7b`2?IfnATM2@~69iGPD#1>01v|29M}xs3{1e*I=f-z8)WCO6tnoK^yD206yCR;Q(CWtTuy$`>v2O|e-S7S~m*wv}SrXd~ zIT=>oNTxt=pM3rwaIPSk;_-)4sx$_ksN6q*>t1-R{`h9?1{!daTXCxV1D2JMm{PG# zGcCNp&Ar-_Td8kyGt>>Zqf^Q@2htVGzAiLD7r{SrPj7~^n<_ar!xA`2WK#%dHzo+6 zr~ckO7X*I@9BW^B_xJzT*$of}QV;e2)`$(!AQPXhX8sm91GiJKe2Xt>zC8XGgc}di z#rY_8ENx0`_1psdHigZ!9f|=|j@$662XdzwBM{cVFbW>@M+qT8! z4lS=%du#)19?B*!H>q+16^{IEkU5TtEZhLyAb@xewnJYwKMUvhc7XLQSlYzfL7Z_f zOcRPfDhn(SiXXcDBf6G|IAiJU^N%;cKed9mJ(ugOpjm0*&rgMGOM;Me1k%2{64f)! zA(Id17T|CxZ6;Lc#Zed;#?euhnIt)KY8)N8F$(gE@b=7ww}&%sDQAe%e_18pc5x0L zr2Mr)C-T?#P|-vAYn5k3y#QS37P|`{k48kWGiYOzMwCI9p;7?O+lu7faRgf=@6Hi! zOxs%&IWD$|3%CN!aWn63qxW9c2;md`1vblRq&Vs*1YLG>iYI3y_@ZjW?EGUGbUpG5HVeysx*6bWh5$*~pWRqC=kWvq#73QJd6Le5qp$-{wM<`_U>ELXkwvoiyJTqVqDhrjF zuIF6i(}-YNn4Vv`R^6>p7n9m{gu!$z6!PrCENev50W!z2;c$2upWw^FuRxADB*QV! z97#6Ia3dD639mNQrw?`u=9$Eh!q2jso1AxI`&xEr;pU+j;p~7x@DDte9mavw7n+;G zzP-l2J7Bm5t<948f!cSfd5Cua8p?J8PFEr9xO2RKUZFUQGug;;CzSG`Adsiqi9nV` zV3avPvH0e>)%oh?+=!9o_B(|35xO|j<32!C9+}1?FwCTpL7FWabM5LwQG`>rN%`q(w;Y?3Jz8iB)5)F4#=SEVi(r!%%fS(^$QDkN}=9th8 zQuw=3>bDo6Lwwa_GzJzxLjOG~I0z&1y=TZ#W$XuNE87DH;IWt9oh5tr%9PxA69&%^ zAUb*_9on=uSvQy;saQT^N&e8Ck^SAIl=AC{^l$KdA&Dt&kK9@AfZr2^v5|67;Stxj2+ECQ)cpa!YJW;ztFhg+~F_LUp9Ev_d-Sb*`Fu z4wCp$Kvq~t10|08meRwE_Qu0I8QxVA4YT#@e0A%r=&k$p4i#m(qghQ74P63jwN7ZcHN%c??R!;A(aZ|78?z(R@#vN8k40zDQGyU>H?V>K)%yq@#jd7Q= zt{r2ACzV$YGml^CJihCiYJv_ca=fClu{locojq%F;-kjUNmmBLwn8}T2ReA9r*#LX z`?U!nT_??Ik01O8gPr@qYN5?W@@F+FENj-#sJq>I@FfgGQe!~|^rxYON_$3HYV|F8<8fHT}9`EN)6--%zdC7iQPj=%(J#FCr z1+vjZ&}BdjQNR5tVO562E}&CQKjmPNmrOm^+DR?R)t2>iW4GgI z4I*lhtdYw`D+Rz6Vty&w0vYp5!B~@+UrM#93|cVM?BEplUa=xy{YJ`Jnz=jro_CY( z!$Ud%d^X>n*w%1BA0w)Kb;Se5VeBZ3rU^zZ4xAzm$K_{bT-1P{CGao!O)gYe5BZB~ zSBF7R#(jAgIf;n%opyAFZMWyY35L@s|Qm#RM!VgC9!qrAu~{4l+hjHjzY5`9`Ye5 z73LUznjRVjtpXkuc(soeW!3^U4lB?Lj|}+XLF`R2mmEgX^+7`YqX24}El)oD&8+>s zasfz91m;Mc3eM9)izAXDs;|9pm_0&fZIGqefk!}pBSgG-TzPR>`GnL*$4l>}HAfX? zs{xMo#}EXopK;(n3b`0vxmRM3qR;~f*#ho+oIb*Dpcq$5VhlWwf%_uWB|e6(>)X_2 z`{>58O@6t9tw;>GmU+eV7`zl9=Mh^F%qOTm){?8XuY!km56r}^cc#ks9EekYMNvgy zYG7Izs!t@45RsX`k^1^=SB%YzRNynXm;{pKbD4`f&6^GUSC*vxPE~tckF>3UGP0W1 zFcqt{hozx53D-w4T0Ms5Fm2#?;R+A&URbR*wH)`QHIm#L$B}F-&LFX2D`GLct9j~! zkDDRc2t^VSJFUJx0pVgK-kp1aC)VOc6WX8Hh)=>Cm@OVPoB%{u7G_@AbpoymEHSKU zwv|L@H-8^cCjkDQ0{&L?P(gW}uxCGzK>`XK{Ru2sdkRu8R zWU9rm!%*o2_#d{wq109fwF$iQHhV&AjXB5Eg07qfLjCEaYA9dSEt@&+``7#uY zIh|TXAn~c;4lrW(shXM!iy6;=4;adxo|)<;FaY&9?Sd}>>Z?w>%NC(j+!P3{YEIv0 z4wWY+Y+%ulZr3iD?4@vc-?@p%)TBF=JB$$8r&qhWiDtu+Q>-bp&rL@}21k`@1`OsI zhFsf9o@WLYzT^sdwiyfXaeyTUolsG@hVwHpx5SM_RZQLV$}~eULZ$UGai#*a&vbV*~WE|`q@2C&-|tCTaoxbbr)woEoZ2EgXVEZx?S1A>iTmJZnu9|1^K zd~je^yub(j4a`?B2? z%RUk$Et0^^t?-|b8E0O?3o3x=q_!IuhG_1NKLcRBz#qh}Y_BXK_Jl0I}rKXuFhT5czS=6O*bf0{E= z1TOvFusWddmAA(wXvDnkSKh&k|Lv=-?C~zHB<~_DiEPbD1F zZ|=pus08ah1UL8mkr`fkF!e|GqLdEJa!3}c$SDj#vJl0$3xSLrgHxRO`v8oa6$6?$ zbM#^R&R4plcueZAg4zZZ)dpMD-pZrab&QU&zDiJ+(*1E);jTzkzP1p6cjO|uc?-^b`kG$l3KWWMD}owjfj+nYX96NFPm9{FJF44l!_IuYV`e?sNW8kKl=~8TOf|B3-5t)vSKL6Gw4O zbiOr!`>_Ua**v;=BB$uSvGb{*vuvQ_@2vDJla^rrtyQqXM;4?OS`?`HLL4lp{sYVe za^h1AC1xn)XNq_}jqMe@Koqug1Wx z>~R^FDNLiUUv35lf&7?US)>f7&lQ{{(7tDT#mA2TcTbgxz`#>6(a{(>_7$i!ki8GQ zIv}!v5Oj5}8>NS@!is`v#nn~Va{zNp(48<8b6Fm07Do+HQT;RUz^DR*5A0Uh&Qr*eIIO}(zO3RbI#>p*YjcD_Et ziP&LoHe(;gzSj%OrvN{bO}lFR7XiJEATD=@sR9WG7k)^RJ%sJ6KbKJ zVW|;&eX~m8`8y2>A^Xh{yo~5!2rR{D2TTef7a3zKy$K9w-P$){#fIbNrtvq>c>@fm zS|st!Q{>y@Wx?%-Hd)}RSpuzdO|(Y&+-J^wi( z2UtIE_hD-gHhSL1IB$~BL3|swKc?DM?#9qx$lDZjJF(oM1OjnJ+h;66h!vnd$(qL2 z0@Nqb)?k4qz9zVWhvD{>*0m*qeXLI+No4(?vB{=I(1rQDBxYPzxk`n#$gO-xg5F3f zi+ki*j{c-dq0Jq)^1W%{v`?`-o^5*o5B?y|Rof(uSu)Z04mh$jsipo-f4}6`XW%k- z{9zGrsjyD(xP#0%BG^$#_1gPuzo%LC-!AA+>VS0hH{#*}5~ATF|CVC5*OJsW`EMHx z_u%x3z*(^9-FIt`8(8C4K;x?asgD~2lv9e33>|@la^u!18TfPNpJXdlA1EGP1l{n; zzoy171NZx@JWC(Q?V*Oponwi zYElb2NOo>slH0ugZ`LcPJ=~s*qQe>o2S3nV^x! z>Al=f>M~{hqo&p8<~!>xKH_&ik_Irb5wn7gKQ)agT8h+Pf1I?*#IvU)rB_Jj$w{Ba zz~9Y3lj+Di)^I;-OTcCrc&?znv2%k{lhC5LZ^f@;ss#EEPJ6gx=gj?}_1FAJR3iOEu2;s550PnT% zBcIVr(F(uMh*04`us}kz)GA3 z3Nd=9+<5s`w5-RgUbPEaXy<}a}Vk_9#7yCTgDad+v5v}V8`(Llps%P z9hq_8)rVM*m_RnMb%on~fGott>Uc)gtGPB#0&X#csA@EDphwrKdI4w}<~wNiTbrkT ziC>MXYhuXt>`^_S-2zmR`CvMX9Z9XW59TN{LQRVW$SC=sggsxwau9YfbhbX^frfPL+xc(?CIvW}0%py-UwZF)h^Px191fI*iR{3V9|2}r8^a?DSsTM6 zTb$#>$zxH?TKXshe<_IxE_s9;IdwR|(swJl^(*wl8biH!@vbe{U|xeAFsojzR;sZC zA5tx50 z^H3!7B5zKWjk`sl(X}XRhK_xR50VO$ty6do4N=HNjapZG-5^ZFr`2h)F9~qgV_#zH zr;<8Y*4EcAXYn7M zP!8}N0w?#dO0RE;81HYaG6NCo-tu~KI*MAqDjIT3|Hp2gb^zz-tjl|`DElq|Hnv#7cCv2sV*WEd=-hZl{Kp%h(v(L^0F#ALi{se2j_Yh1v7Hthd zr9tjGN*f!L1ay=f-mqy+BnosIg5M^#>}ZI+qk>gmCYpQeg;6e4~7vWbaR zH66;VRP2@8M?qI~*{ijniCt0QuQr;qT@xZ(Ha28nAsKUqk-2PZ)a!RXCw13wgP(QAY)2dzB$;aeM>Y->p3L37&73;TrPF zTj|ZJDt7xjWuWVo)|L%#YRVnp0ezMYx(>DX?M%@1-(W9(>w##c@uE_ct z-@@&OU+~0{l(+z|Mtl4MKux0)T-HeTG*-L%(UZ<9#&Cn^N28FjL=^i4v`Ay_F0t=PR>>))&sr4!F^Jku^RLf>(;H z=_$48VPX@CSFH_F#|NSH^)@THq6|W)HrTSCbzqj$2DVHJBIvSgBLe>&0Q`j{dR)*u z064CF?e9#PHbCYh0JsF_k#`|r%XoLr(RA<-Cqz^o7F zs=^PDIpVsb&tm*GL)OF(tC20lrwp= zqASRxtKzst*uIJy$6q<;uJx`_JS9yUIE!=m%4v8UI|n8;t302Fg<7a33vE>4Wp}Ct z1^ffbc+ZG$DF4ZN1l4^jeAw+Y$f;CmVxLCTeQPB07e2A)=pHI?daFYgodunZF87V~ zn>G9j6c+fs?d$(GbM3hh|B#8M3bNL-m4AN;4Sf(a`B^_8rOrZZ)H?PiJ?0Xn|1AHB zxrX$grSzoJZZwWbNB^S`n88Fwp~XLg`a(JUN0UmHn`~mjQVR@NK~J2PTm?5-nRKb( znl3@)OA0AJ;_-Bh#=MdllM#r7o+67uc?<*_)NH7iDZv{5WKvlEW^*guTg2?l=j zw~dZ}ARJNpac8;lSkVaQC?xv3R|?+y2;>mU|0GvF?l#igpOmWD%|C657gmf2F4y@9 zH;oX}O?_GlDn^)nE!R;(p@X~*OM{EWzc$Q78r(x1$eIE|&p6_y#?m(p(>xH`&J@R? zg~8NN+*v**t2^t<4Y9_AR61$jcKNhcE6;@ zpKacikEMV6e!MQy!Q=n3A}mhqfy#j|zG=S4gdi;tyyCM!dzW->gLPrjgNCkUX=~N-LUgUFVK=`sp}`ux z2Q}bh+IaZzBanhMR%>+`HavhFvgw$Psw$vMC6j(w_y#$5#)7Fl` zgMZ>g51!v`ubTCFNVl0&xz50(2i;QF1l8Ng4;FR1R&^Iotr$@#Ov47J5!~w3e?u8sIy30gW8Jg3bqBTyTeT( zqg;oFu8E6jYxapoxLvE%N$D2lmwc`6*5+h!^ct*{g(Emqnk%#i(AC^rR&HIXBp{z$C?ebbCzyX z_!6XDyr^7Tmz#^ak6qg*j!hw?$6iV-u?{Mh%ZPal)fcSa0% zl2aTtFsZUgKIyLEpO01h42_o?-#9SwKYji)>OiGIC{ExXS1IV2_q}B^VfJm)uA=1H zp3Mq@%82n4_bI-)=atp6qSxJh2z;M+Bj{Keb#{YLe{rZhYi*Fv^t)l~dfz$wNQFUb zH&40GZa2ZgJ_?39jTI9D`y`ed844%F_c?T3@LT1CSML@HEnHWY_EiWI%$R!iX@ij4 zcTl-mZr3)uzM7yNIGTrLfc*81J63KjvT#ZU;lP}_t4g5tQ0dwn9OMK0sg%!X$yc%K zHv}htoEiIV6Ptr+n89!Y=S;i@kwq_YgDXW1RWuNIv3j+vU8O%9gb?RdT>NFF4JvS6 zLrKbr;*$^=_`YFiYhwp5l9`ek2E%au0S1A=KF}hwUVG!bHh2p>*Tj?to56FPi)!nH zF(7O_j9!Moa!m!dLtwc^o@vOcZ$ZAta9l$IxZ^hDF~zmH_3)U>=#3g|dw6VmEovaY z)NrfjZnAHQE6y2e6blcj;Qq>0gF?JYWDnQgP+4=Cx;@A#swCXhVcYZViVjqVYfq*s z7UOlJ0hl_p3#^@}&S<6;*5(>gFkm_WYk&bm8?4uWT`$;>Ett`Oe!&(>YG6Gg8i++? zzozk+Wy?^ra?5B*^fCU>=@pitUPI?pT6RmV&gQG%fAB~=6jYeR=%HI66~p7-Fti*$ zQ$iMik($y;koM5Qt7*n7xAhcSXwE=vPil2vXoY)fW}|&!B(ZJhLSu+fL7^$#pZ}%+;#q6z6 z3)(S41?^f>pjrzp+O@2}qFErmUF$dw+g-Shcyofi z^SZt)9(RGm_6)TA}ZFM5liD zpTYJxlZ91qPn?fr=g|>bj)0^Q`h7DhEO2z^vx&xt6u$$-Uf6j*S!iQ2;#5_I&5aRR zC_#jitbL@~0i0?_!sORmPGR`pwj-+zfElngCcyuLu;@q|2sa%fZhH97tLRH;Su<(? z^p9bqMzSNX$0%KRfbj7j6=MbykPVK5n*e;HV4a3fBMs99s>avuGZkZSZ4^OKG+BF; z%>P3+KRWbkC&~`JhXm}vj|2vF0_^?XvtFZd(>x+XAvR4v&7&HW9Y zGF7_hLS4Wp8pBM}?xGBQG4-TCT=M4V)d6uQ2~qE`HG|pL^`tOL2SFFs1LR^5`w>3r z#}BJ$=KT{;mpRyfLiL7*kel@r{CuZrMEwRvX@*2UHOYDoIvT|%X!x>ooLfDAka`a6 zOykHYeM86{x6$#UI`#RCdq`(%Xn5mZvO8ro`{Dh#+M&OwVdZUwtd(C9vvK6r_k;`~ zoGsDELIE^G!js9@N7QhYBzByyK9Ki0Tb77jG-$#b?*h@mP{{Kdj|S|Hr1tvpuuGdW z8#BnUC)DMB;~$gV$wFL@U?97XLEX$;HRfP2a4B~%>_X*(`_$w%iW3?j>oW3Pp875W zNX7v-MHWwR=!6w2)kH`SXt7A? zm^dAhVClp;`pIqUa8a6g0gs~J#1ec@U^|^yP6u>Z_}vI#y9A4LxkiW=(y`uX1`{jy z7y)RRkKG7KaMN5$7k-Y8UET`!!T$dX{^|_;MD9$2 zr+nF-0N^cXI`(p7uv)}Sbnv9R4*0qhy z2-in;CVKpGtu?1~?S#`!rl<0>4O>Y+>r|pVd+!D;oYhmSv+0e>SL5Z--$ASquKp2?B96)cJlt zr>^g?gaV$9IR$3rHB$yOcz7!QGeZxN|G+6w+k?7doTliKTKK60YRwx-jGo~X_)>76 zv@n|O){0G`)(}@PwSig#-ex)~gN<``1E*+Jo@pXJ)p+KIs_2=&FoQ9hjbY7@b%B;9 zZ>A|`xEY4qQ!9m898@aIT9Rc-A$3b_!6?U^JlRPtyaVP#9NCgQ^X)ZPj3qFf4mNiI zWaF8~%UXz~;)azqoM$&CLdy4?ivDYLE1@-iYJW`k;b5FvhoB4g(+{0mhaCpwN|DX? z>yUGi;38&WhVtw6xNcY|A^St_Neck?1xd{OK#LH%Qd#U$?IFsrj#OHET6`^cfSHwV zDL<9uJ5)sgxhXbj2{Q^FRcI5qdy`Gw8<}y^k~*((kwm`5xQ>#3&Fxljp`eVqkJaxe z3&y(cdaJGceM_!k24sZ}59-j?vU*1@Q~UOhHOZ~mDp<;0aUeBxJ#}tzQGJbdV*|OH z$;gyFo8rY>KsR7!lY|TC{s|Pj150l%s?+9DYjh{EJ(AWy?v7Vp3f3E)*yY3AS_WYh)fxn?-mRLU5hMDj3_>u}jn?Hh^xKM-!DEJbYZdY_Kd5&Li$C zG!!FGQ^uoC4@&a!K$&St8*i2D+I$DsW?b0fc#mnP+jL1i$+Dk3Z9$iBV^Ly*E!-3r zlG++<-7!chtUCzbgs1H-{3X?`+k!CT>ih(DA*|7=v9p)?mBe;VF!XoWfh-$;Y%`)# zcRNHhS$@(ER=C%&TG(N`Z85A~n4j&m=LR0Q_$c?K_xu`r7%T9#YPVldfArG22VJ*& z2xQ;MuQQ>{Pr@>H?xw-Xf z7wX1dQVW-+_iWSxN^dZ)Nk8X;#4#6_2ThYhea6H%>KSu)LFk z{v?&8#RKQOvh-xpu-60;ZO?J0_J~U`UD?rx0(*$bxl`v>U3KG2nHq@@dB%M za7P4A+NWdsi6iGVSzwtzL$3fFamEx`&*2O!9g_9;9IqE}F$|BH!3=`j0|g8}cF)vN zPHHL6ooU2kZK*R&{piQPfU_h{zH|De^Fo^)GtZV!pDCJm;QRrR13uA?T5wxb*d*~C zvDa5q=#b%Pe4U;FKP4>w9roI+4sz_N&4xP&C$nE9Y8hQIvA>L!c{YAA0C0fup&k2Z zC4R~;scGSH*m;^hm55;MER;uC)9SfdBUvfntZH2V2SPeAD0ILWV-{d{jEHNP2KJ7d z&xVP_dPs%U>>jK2?CMc`c5_%rsG1>nb|U?Fd#6L-B*5J{gu5UmbKnC+2yytFx?zo< zJKDswKWYwq)?}v<&xvDaSj;vz$;PCxSrc!Zs{$0om%M%M5S;meqI0?1RmD3oWbQYY zRdVp0;se>h9N7B?PMWNFifLi6E49yq%{o+8;WZC7YgW-X4|YI26WTj4AJ2vM4$#;< zg+E^wF>If&ggeRBozCC%98hLm`w^>VYvzZPPrE6azAHfw`!2{{3Gxi1(+5ZuOOo2c zo#b)$r2QM4nw(CdJ{T{3$^`^d)Y=IMj1didfMVIig}=btNidaFt1W=j z8qP}o0{8%Bc|kz%NP1fqKt}+Z%le3J1-bwXShzxzD&TfSZ}M8W0km4kUFfnoK@U?I zJfo*z_0+|)un7ysycTv~Eh}tli`>o%t?vgef~~?Ar5TGhx+S*~{aXa&WuUwWz6xBD zn!*2Md$XMv71>sD+nu3Rpp&J>*(c+4coIH}&Olw-IOJT%F0O7^7lR(9(#3?i7-8`j zBLrjVwHQ>{lw=S0J&RGw!A4k%A2T&(=VGwsPYZ~=c)HB2wDvD^0qnvcDt2*qEXv3~ zoBh45)~<&}Zu&N;_WMR7Wz~&KIRoUz?^hhD@RO3&ztxS1#q=Hk^!)8d0_l>VKa%sk z$&52xPDMvfA%T*D@IC$nx6fjZfwPn||4%aetZ`BLZ||cznj7V0{_l|-XC0OPo4yQi zNJnp@ze>{)^*v2TIV0cA(+KT$#?0o+I4ytOwdq5H+R{NC9~sK8O!4lodP^Cwg?Tj@ zeD2%(z>kjhG36(Il%&zsQSdaJFsxCrH#ejC{$jmPw1JB}lGwnta_x^BxDuV$2m7|9 z{|_6u)FNaXxLgNFALUy#FYdF#X*bM0P1>&Zt4zrK;W1d~=&I`!FR&CkX1KZ@pqOZU zu^S-Ku8*s5Z~^hd>eJUnc4x1ScH@Q#^rNF~g;wEiv+CuBpq9dI!CS_wE>F&&*kOa4 zfUblVl^0c+YjKGgQilzw;sMloESb(YiQker3|smyxgd^`Agh4U{LcA=#+7`E)mb`(boL9CXV!MKh0kW>%M|5NFozj@-Q0j&V%_+=Boj9L_1 z7QccoahU}e3U+#AEVBjRz-j{@H*IQKrU5q~?o%X3jRM1$lZ~6EvdgEG+r7d4mRmLH zvmhUQ+@xYHm)W=v6hJG$(A-@I+G0wXyAfu%A-D>-n*wL>Nk4+9&};Zh+(8!CfsLL| zWo}RL3i$snB(*+uTLJ$chP#R@jAibk6#QP=xwQiBKM*+>!AyHfpi)J zq@b~_M~`uvPTA5JDkAiRaU1ZG((VZ>3T!q?o}=&;hq)CP2IF?FBu2y23}%!Lm{G8g zgw1AKR>8Ur!C?5R!JvhYyQ1q?4O6D78dO8Tj>0-4;+V|{UKH`qWJ;_gCkp&WT3#x6 zGpNYjYls_j!wbB&)3uj7)azppSC&Bx&48gQQ+dOtAa6(<4}pE&SQQg3^R{>sW+%2D z;d_HDBVWvqs!DFbrOjIk%tp*+Y2a$e%7w8@lvXQ=*$n^1>P@+759pm-9m2F|$*xB6 z3z;EjHN<7Ql&uC}18TC?$Txmo!{kWGnsq%*5rHgQAVxF>D|1TMyumUXh3;yXhf>r( zt?5Koz(Qm%1$jpI8WF>_2r-g{p<(Us;K^lc0o&MEgQ0gw1MPXRe(JUsy-L1a`^0NM zLWRVS^g*#wRGzwPiO&WM-qE<>vssmecCvg>s)T%HKc6PVq0l7K0YXm#z^|xOVgkUg zYtzDRC$;SP6}=waII_d&_-oxmG^L^Z?ZvMz=>h!OjK6wJ;?#h3i;Ce}dg-0tz7EOo z&=>1`_Um@n$V+36{9ErxB4szeRlkQJNX-Y=Oe%h^tX(|4qqV zY4|9hDcyF3v(d^ULVL7Iub(^MK!K5LvGw|8V%Wadd&w2puxrf^(jaLjG86!^}3OFL>fzAlcvD=d87o!Feg#P^n}V3MIy=QOBYTwfd~@b3)Y zCx8{i12@=DjeiTnisuISv(YB8q1rW-Se09`2Wp%*KzRpo!Dd7nCG_9OjYKGHqZtkm zIQMQ`Ae&cQF5{OQ)V_I!4=jJ9fN77FOnaS`ZqppV3HV?hp$VK3 zn_xS^^CH(QxOU<-tGIL#;jrg4cG%ZqwIB+uyLYY-Qu5`7KXP#lJ0m>4Tp2_mVv-~N%YVyW?;>{S6+czbiwNOExC&C z<`yX=3o+-I`ZYX%?JX_`=~(NS#;SK5aqbQPDpP$@z);9{usliv;4_IPZg&)*Y!21n zML;4e`QaYIxw0tv9nRK)K;=UfHWLd22d9N$xusj+Ff^4>=~y68c@3mG!@xMonef1( zhtk@>&cZHgW^B90->SlvzwoyX!IMsIyM`aPb$gHRaw}prcw$@P$ymhP*!1A6$N6r9 z85^COf!q4?lgH-cjo#*;t9BOZO-{!)n6V>a#>P4MXORt9-Zt!*3GK68uDgOed3%U5 z^IVdnX!|Byd^)y6c;1T3-1c24XJk>M&nc3E{9%GcV@30%Q<{B25a>)mAh8L>gtaUZiHZr1GOc$lm|@{RMNjg79#6Cf`@ z6nn#oM&CvA99o~<{p@Vk?E5jh)B7UyPv#L1m={_T0=+Ta#guJy-5X!-I0=KFS&3(k z81^YTA&$AWf05SV6JPF4nIF&;V%;Vy-Ms?Kq`z}^I#yuAZMim~v-za`yW}RWaQc}h z)u%NAEjpg#LO2y6!wv>C{X>_?STmbD_1DHXMVZ>ZyLsg^9_9~C z-96)gXaOD@l90D6rtjK~c_{hjORrzlpgZls1mlI!cGw=Q2@7|7wI+Oz?OXO+GSCl! z%h{fXm(s$aAr`GrWuEK6NWCiRWQd%S7Je{xX+UbE)l{OxFOJjC=MIi^$z{tx3m&2| z0p3-~UL6=G!MOGUw86MC_L@dAAN-L9Ps-!$HG`)+7wmbz-o}vRvFT^ec0@tscu`@U zR2BtSw-*ZEql{5IDWxab>=Bi6AaY3;k-I4Py6H504yWOxm$0_gQW6bgH@z>>W9i92 zL5(&@(6f~32!L;@Pl^VQrhBE+8JfycBr(A6t?0Di#(+z2L3?tHF8U_NgSSZe`&nNhIFAmj?s~|gzSZT#RX>+BuS==bZAW_a9 z_YeshA#-QC#cdZ^Uuus7T#VZz*gd59`?~h*x@hKi^^T_q6 z(2U<%VO?7mA0=zJh~FZUn`0Atq2`1#775A;dbKj!c!JBOTy+FzCwL}=G_3Bz)7T}u zSHi;r=;I|dBQ*^YXOH_D2&bN1rv-er3-thMB<#yj@ekY zCCQ|G;c*`J@4-uxAb1l(j9VSL>aJ3E#jWxdH*J3l@%IM_c_*U8`XD&b{Z)ml1Px5U?5g;+Zx3HwAN}&Z_NNU+YTuXtI}0+xM^2j1T@cZI1{H zq}5w!@CglzKg`08X`fF|x^l91UWh?JlHYlU+`}mGId$`})dQhLt@fLFw%I5p^XW`Q znXLpsfu4y!y?r9BQGf68=kEf*-k)1ROaM|1@dFE@m7=dLz>0uB-yw831S-wt;mTBvx+*u43x_w4OS9utKGGViTz_T&E5|1Yj^}2_`y|lgwbnhSNJ~qaV?{ zJr5xQ1afZA_&cd>RFVda*l8liW{3bdFWWV+WwB zW7+4Yk^?SK?y-38fV&5KwzqV6{6VncbR57S6dIYylSmvPYh(X{qHf-d)qa0r5ZZ-Y zo6}#ee8@zfI-sYQDc&qG*qX22`!6AzN0?OCfOpi!9t6hnhE&)btV2CEEpRxvP>$UP zlTaM;haVJR-j3fWqw42Zz}yd5 zZb?OS29x7{sZ@!Lk6Ws7J-sP$DQKyH5EGMnnsAaUKBs(9jSl>2@LZF*+cyow80Qs9 zngN)qY{@|yL<-iamIi9P31*^n@J^ZNcYGkx!Q*7VfHISH9MCF@PsYHpQ+6D#>*AQ> zShe^G^C&$1_7i=4-gd_2sr#3l0J1*EC;P;xkg7IX$}KqoWTn276N&7-X+MNcxnozBYE$TUvM2&slmO%ca9k$7Xox?7|IHVB ziX0UNoRk~MQPx9`AJXIqAXOxY!hwG1)Lt}-!;(qW&a*^k8 zhAA10!Hj35qHb3s4SCW*7ZVjPbB>+4r@{@$`!s+%;MHaoN3??PvO*p1u1?+6r$*d* z_YbkfDgTJwO#ZQDxk3fi_|u97lfMGL`d^3YSVd&faKt@@erl&&C(hatJaLS+tkQ8* z-(`IgUFSRBY5T|7VC3g(&IZ=$(g6xOW5_2opVu|t?2B5&yorj>_Hc9}`Z*i-qKILb z?E*(9aL3Gu(f1Ae1pI?hPgBsOq9HIezJ&$e*!3aoj4p$&U^et%Z9ICT4-;4nrXru6TJ;s&sJEGNqrn!M6W(V)JF@DN-s>mj3agBCW#A2*I_aCL z1O{$OM`BSCkM zzGLb)|C1c+<2%Eusqn+kK##9P7W~ZS#_5$bU3}DVM&@Rj=XM6M8SuOg$csdf&MuLC ztk15+#~RLR{1HRtB?b5%R8Rf#}tO3y7P!D)KNIWL*I2iQgJ80Bw)Oo?%KEPpn}L0FK=U#Kiom+`x4lMG*w6P@rp zdWm~GzY=W7EScmZZX zoZlNRz$_R{dmlO_kd`06^d6UW;S!CvEeeYu_~1H`C&e}cTpzshpe=VGkemnf?ul#X zoxmGf3<{cuNqDlP>O7cU`W{>>Cw0HqnG$U3(I^o~Xd zxdpm1pe!ta*1QANX+I8 zFDJ5CjpXuS{N?Fz*COfnS&umg3}-Qt1eog z>ZYQ;GE;5JeF|iQA5x#=N$8Mwf4a27P^s(Mzs!)GXt^;nQREy z`3kABMxQ*b^t?-~(PuyZ3=Pd`1=q@p#T7U|YK6U3O5G2X9xbwnbCgrXzmlEgMzM>( z^f|3L=tMg>@2ZMlAAuA8J){Xp(X_GP>h`HZZrato$QMqEPZi9`CdjP6D#nrnnmQLk zRHs($!v1UyVP0tHABm4>A&lDi&PO$Yl_13P9-85)iN~yk)-~69l}{TXXN7VfQth18!rrO_970JF*vrtn0`kgeU^I(v3so>Bcn} zdv?IrQ3S~bJQ7A(I${nl_QqHJp(LiHnCkx!87$t)NXWp3)HrceQmboOaXXWw3_mHe ziSu=A>Kd7YZBExWWS(}-%2%_yzS)E+m`|zC@oQfG%cNFo$#v#Av9IpHAszzV4FD_* zl*`<1z;J>#ZQC0g!`PjGuu$|?mfgV2a~$l<%^5__#U(&k*h6N!l>lK|VwWhE_*5}+ z$h!X^!j_<|V=NYsy%GZpYQ?1(dHw;xwsbiPK2C*cmsWe}5IvV*;Q5)FuuI{~Ud4Rb zrp;|)K+8?3BVYn|xd~sk>DOx+^*7zWCD?9(fnpbA`25dZF}-izk1L-pnuku+FSkSv z_-5bw2$Nt|;=5h9P`PwV=-_e76{QZc9t)TRKMQ$fx3D$_&qh-H_M!$Lsq7;>g0yy_ zY&J6ic$6)~J!IzWGSn|wU&Ws6GC^8+Fxn3*fjP1h?rk(M9hJl$;oqLl+zB4H=XgkC zY54@yV$Zd(8f4urNehQw*is(3Zz;{Oa9LF|r>))skYwP9wxD_jpI(R-Xb)^xg8=z* zsD+P|_50r+@||vb^`-Iv};ApI*YZuD?#>$xP&llCGp|b+wF)j>xz7;5S4#`)$Q9;4POnjP~Kc|4e zW4IkxahlnOYR+jgsiQ>*j!fqKMO+);CXsbHNW=JcSm@i9^$yYhd5H4Cg?}v z2sh+_{p5yJ7>iMa(s)mW?=6qI0LDh#KKMt{C=9xeakh>`7Nb!fu8&RWvT^n>jI+TP z&r$KMBTm_h@6hDl>`c33_OtGu zrP}|vZawR4SUeP@&_Rd7Et`(!t3}|1ZrJCM_oYXAJ08}CPC4eZ+g4Wl-DK>#Inkv@ zOgwGzskp@LTlyOO^5w@fL;ltmaR%zMC4@NCibh7tsgmY7N>?_Oh*{-?0*m}iIJpn< zadb{AU`OZ5K2Qpw{Ozb5T7&aWVM66$U<~+Sm4X1C^s2$K z5k}RpCIC#6jc-`Hcy|lhdN61%tpZU)b@ZHMH}uneYLIH;e0i75fkKP=yF;K6f)k1F zZnxzR1_g+{3!MfglZ<$v3x*FEbpl)l-=hEx?r^Vv6=6~Td;amp72Mc+(9>s%co|WE z7Tg#k&JU94A@|LCu>|)0YGp!}TJe1ZXFlMBe_sQjoCYViBhw#SNq@Ae0Ov*6*P~kA zPu4_R4Jo~YlT$KF3L&*RkTp40hvMLmVrd}r);x8+!fI@3M~lN838w!zQ$2tokWT&& zLLjD7SI2{`Z<$aFFAA-bdeBa1Fbk7Us&t(0|8PZ>RfToz!wm#6T)T&xr_yVG8>kHL zpq}r;Ce~R74`2@fJ_=f*Bi@0HFJ+H_$)M8{#R~pK$%%e-~P%Q*0+da)Ceio zh|>NQ(D9%LdR2N&NCjTywRwY}I~<>P9&;U3fzSP2#OMmDfPQ4Siz=ZFHy6%{R^nm)okYZuj3HJ_OeKz#AA6!+n)4=w9_7 z4eCOFy_6pFa1aFDt?7f%4j*EZETXO|mL@!az4(Q}yU^ioA8gNF^(DQ9Z9VAL3ufM$ ztV#jiT93oE0^@FI9OOdZg5u&IP*{Lr8b(s@RANEI%lXb=RRK`{4(jtM_>y6_>>WZ| zLOS(#*WqG;<|>` z`35%DWq266U_f9_XAHv(qZ=~ahnKZGXdheD|Fi1OhgCD|wxDAFy;IGue8=%>dyLJAR# z8oByZ3G5b7ON*V}xC{mTA;_I$iHNS;C>}7OC@{;eB@v`;54L4Ov z>jBTxt$%2a?;o*jX!vA{6FN=k|0vF&ggZ^L|It`LQIW-=R6*0i2>$4>V3Qf_c_^SyNmG?Y%OceR8w9h)4o)^83{WAN{@}jJ?BK|AALC`$GdJ zy`mqUKKg@XyCwX;@&mQ7%ML_^=^kymTRTkdemI3psq|IP-eyP)+jv*lpP|s3Q=U$|tUNGqBJ2eG-7VAhe60j>2Ar544M){vfc~ z-9^p9@ODV&GJ;|*QZou-Yv>xZD@VBz4bY7*qaYta0$fMgia-6{G!(lvgTjhz6pV4e zRIpLVeP)b!`vMQ;QcD%|SYxZUL_?1;D6UX|n71Vwu$&B+@Q8znOcyV=si5c zepkZ~%LuBTR?tsP%&>8;^L3(#DxhH!I^UhS({j<1FjxR-|6~O0Ap_wM*}$EA0~G)f z15J*%oBz{AgEFgoF`tS|6Q{N!(?puclrfZ9-cF;bw|mDHT;R>UxB^uc(F4^_eQ39U zNW%#Pm3HPjP|vncLt%ZinC>|+4N~ixyy>B7P+G67_LwjbUVyvZ^gCqiL7N$Yj|HvY zz-Jf;12A&?XQTy&1lG?x;Sp>>(6P@jV_@gGewKRKZa&;)J_NM@*{q&{x(Xc@dB%;c zIZwYc17$V1g}uKr^PoZSGwhV@i=Kf|1AL}eh@lWFxc9Lyu=i$S2TE z_urrC8&ua%1yg-@zW}2H8gw^+bc7>f$YWoT=`h@1w|}*3Hw_1g;aBHB+xmZZBjATN znDB{z?f#EBaq*k(sv78<)oLT~&Hj5>e-AQ%`37@_hOd+Au?yeso{h8V(phLP{Iqkb z_4`zo!M;iLgUd%Jv6QLqcQFBzHxZ|2OMTX)U1t+myJxMt4X>{_c1n0}c~Cd(p4KI?aC3NvG}zDYbsOwkDejP1{(0u# zrfh^59*69(aG>E|WDcne|Hc2G?W8A`h>`Tf3gR{R@nRfi|25b|KtnkKk4X4;LpirD z3`!vE)j%tW|KqPYiRJr+%puG0u-7a^P+K0us^O8Y(wSgyvRIBQ!4~~wa&1$oB)al_ zMPs=Q_=%(-EVmhgEkib=J<(jg7;-aLfchBev*5WKRwUX_sdsjKX@b$d(tv)97L02N zS)wk&xRAQ|le#kT+zW-B=UeoEjnI1RyW9e}vcGG2 zAb%SAwJCdnSKIf__w$qv3EcOF_>2yTrqnKp@fE3gia8xIYqtr}I69;}=GEKR)vsWl ztTUb0uJ4kaTX|6YgE?=49`CM%-yU-YdQ{fy-uHeOr`*#1+pof6(D;q;SQidfCT-(n2U3cHPz zvt1Hr+t{D<7iVgRghuEuk#2U%?HfmePY@?s6kfE?(Q2wmc8rvMHjYDpkFzYo5z zrK2lncLVLX&4*|3M&P^@3@mZA}jF9aVEGx)Tcn8cFeIq z|6&jOSabN3vO6R=4!|!14}|0JNuFkS`#)Rnaty;;O1Fsf!*R^8K^F59Cwb1X{|fB` zf7K~bkKWTx(eIRqLPyZq-vj#1D)?fY{rg`oHQ+{&*C1iG!q4vl`(bxGWu#NW53{!r z0a6^T!Su5~-3Rvfc5~t+cUG6grR7UQSeJO@0Ac~dVcaRvgbf@;UiT5Ur$e#@hr_;O zf3ks*vF8u+`?f9lZpQ(L13@_8yx8=LFx*b~YUbjyaF07AW5_t%+m~l|0mfnISC^#Q z%6vTzPw$Z2cL#?D0*8F3#7(?6yz+=?0eouw1Ndlg8sML=etWOFBun7h!4b@X9Wl`% zd5?FB^JhJJiZkxVI7xB#+$Vw89;6B#3rKUCg727s!jOmf}Ax-I2EPmeT zdoXrfv2*0cc!W0QKHD<9K|$R%y6jnLKWC=eRn@=?jt8E^Xj=5lr|VM7y)0-AhMOh|b;8zCS)gJbmAx$-jr~5RW#ot=$NA z#k+-1{9BjRg9$X-_hW@H48+k+G+?0o4 zF$6qn6gM~$Va~~|y=pHk&X0n1IydM;u?@w zk9_p!T_ZKVfUK$hP_ftKtx~azDEZTfL4+SfeDCw7@*4R05lSqLf9*J({rm~5t6zQ) zgLuOW0I(c(iHswc;l~IbUjXDFuq|9KAW%3GfY^xzV8ap_C9grRmV;X6!if=KxBu}UC})t z9qKer5{&@jAwBd;!hkBw|jNT>BQNsgySIHt|AFqr|JE@kA^ji{ zFqr)Beh`I5k!|rrj35gyf|0~4B@+z)%ol|qMuBZMWd5ri#7WG+eDQx;G1)@KW`Z+P z$}mJ+1QAxGc}Y3i|^f!4od=(5zrMD4KVUFPBU5tg}R91()ULO4y-^ zpzbRcIniPRE^L8u^&fLwv|@F_&rO2S?@+4}9(MYI))+f|!9K#bSp!=aq2W6A+a?(L zVoP-Z%g}oZf$bJNeS%NYJnFx;8z=LD)d()4`w&EMLCy>YeN+mGUXX+6h06^^m84r$ zu9qT^b-HJLMRSM#vSE5_Y3fHdQhtZ5Q2u}bPQz~sA&%R)zeRNR_+vXCoI#$Yx5PsWVGKG#5ojmW$kWMHCBgo!$RA0)63W~`Hk6G zlX2Wc}K3e%S9GKE2ui_9bW5ffgI6%T0xbBLOh*lcjjPgFCS-%xa>+ z5H71-s+d8^pPGl_B3t}DzET-hW^bcp!5U-JMf12kim>=^Y^RD`sRFJ{7+T7Mk`KWu%7YNQb16+qzL#2$d|RpMVQpfVS^Cneyy;*Mc@SZ5>atrz zD6P{A2opg8jEpBb%*hIX{IJNI?i*5&Mj=UH;tDA+ad-<;N`*XRXiAGMf{A`gHllM@ zgqenrU5CknB8W~p8--Nr6(P&n1nzr^&~0zO37aa4Ai~}Rn_VC~DM9=+M;4d$@}@Q_ zA(E5ro%%{3!4_#nP@4zqm5%yle_Q~N`=Sz6u6QpmF^|BE(K;DfcHM5>0a`;=tH7hYgCauo1HlmdGj`;Hp|m+pa?VO{A1m zSq=l?OQ+FB6=N7~e1XX^$jVm*l2F7uIt*2zghPUYDjG5$b|B+pgGE&+;K{lUId= z)%(YX1CUL{FL1@cEmQ-l_It!*uCW^ckqa77vrUjQ+WVLWRBdGDkgV}xIA9J==_ri} z#A!)`*qrT}$atfhrZKDuo|u(~nt||VOq|GnDkx%z>4n0XV#+~Y-Bw{s8UNS>fA6dO zSBEo?E4qrUM3H9+J`>oBnTuTX763TX4|367fLM_RyUXfqKQ>OY2i>FyAzG9%kZkk4 z6ICuV$8wKQ6fd(`jT#RIRl91{*WDmtD3;$b^vfiN%jGu1KdXSzGrNWQ4m^X1?#A1n zA2C?Zxha+W$>cNk*Kbmqi#(z4fknSLF9VoO=SlaAs>=7mO2HV_ftirM;?fgSqihoBlj z1Gs^%*|vT=zUU1!fcOn=*&w#t(Cb|YTdXl{4-gNbaq`A5F*m}jW>Y84X`}lnoCovUTah}jv5P%wSMB3tBdWD%J<@F(2>Tk-LDfRjvTHX7 zB}t{0GmHu^{tEkh0nR9`Hujcv_gg>q{++gN7p08`u3-;tD5ij_a?-X(D#8307}2md z@3cW84THsYC<9RFbU+4T5{bL7J?izr2 zZ(Wyubge1!Tp5N!@VSvq4?`evfK%p}A?!>p*+Y=yi(x|+)J$l`qYOd22P=btifs1& z)gldw=?}O}Xf)`6L3TJp18iytTUszf17S4#`=x;}`X59XjnK38p+z(TVe}Qy92kkN z?&lgsO8;?_fO>EDVwy&%Q6Bp-MZa4bN#kXJUV{+mItX;`!Gzdi1U9~n*Fya{$KLvY zhWJtL)X`yM5b=RtA^$CGuz_r5(imFeD5%XQ&=Er?ZM0|t*y}W;9iON-ISS*_t3t&y zCeReaauBL1v~9@P6I9YL_S6V9#kX64A}Yz0d!eNYN%k{62pvDNmt&gDK4;Qa@0nkb z{>%*ODC}!#%$O1B{XL#=t1$~}5L`m;v1VW~gm=aKLxY%N4_xiun}IT7O{cl}G8!S& z{hBd{B8u437tNzUc;jIn2l~;~-Dz{^gOSjS_vSDrhMDOk@RZK6r=S)vC`K&S7U3)4 zrm^(J}&b3OAc|R#9&J>h?<6C zx|*y-nAKj4;j(mqos}`JDHVvxst`H>Y)0urbApMIkRzQ)4gTpY6g>!!0?G9%U0cgO z6Yx5=+Rj^kN#dr$#Xge2flM^u&g3P*u?u58%pmMVV5pb4oC?K&R zDszsZWv~L{@llIxhU1sicoKV4I*b2Vtfq$Cl15nL=CdS-%o?-Lb}ZZQt}0gfqFjA- zLj_}FOY`McUp8B3shf6)V6~NQ2G$e=9N}cO8^B{uR(qj501L4nx`Yep!Q07QjvsnD z9IYn?x*VYbSi2PNh7DxPL~B4T=_gtTL1BOvujlrUHhqv=Siftx{M2c3&w6Au`5vXq z1Qtq~HV&g8CbaQ_B~7Qkjr~s8Kmbv;%@e-t$-9K&>=R2_yf!ZpWrxYk5bPj8(?Iv9 z>mlw~PP!W`T>(2r_g=-0r9Xh-CbAnshuR8l;j1<_^JahnIuL|a$N-os;{9W|&#*Nr ztq_y3h)>>?^Kq9EjnRd)lIDG)UB>Vlwtp~vwsy$rY;0=lY|8Eno8hd1`)IUn8~Y`? zSG7EL%P;~*EE9HCXA`!-c)+gLJ{vCbn1!og|3ex!JLptlv)ZG5RnB9--Z*L@5pky2 zBLWZr>)2bfSL@Ps(8&3~V%q)|VDRBX+y?`oFI+_qps?${DyXsDVYO2wIGAa&KSd=F z7yGAhult@J9dH1RbaIzf3oO^+GIf+^U#2F}jxbb6?9_KOj7JVf7SvbC&%nTTT*Xp* zG(r0ezrKkAzmBxqo1ngK&6}Lrq>X3^v*!>1;U*}u3n2F1bP=I!9h@*y#i{c1;(p_5!^NQY9NU3qfH4qgv=@ zXjY+t+N`{a0*ZwB0(;@U8GzLH+0tnBYq`@uCp`4xQCr|2wx=Bt5U2iGr~w)aha#gO z0-lUnLq&;T&`KCFyzF+44S&{ZI9RBm)!{M6|Gie*-0p#(4Y-h|1%v+InigTU7(@Y@ zmS0r_d#v;6C0q$u!{z`>y@Ekia1knkZ5MGBg!xunSwF9()dG*@1Xrmow(`(VE-Oc& z6LHPb%Co<(Yzevl>&mA3u&-=I(zwVmLvNe7X05mX#%A?-Z<(-u9CFZ&FkelJvr8%^ zI`hVtQvP5NB+XYNk)?=KBoZ0HASA=uYb;80bwPF(KIl654?Z&r1zsI7eJ@Zj$iQGO3>&D(fVP%3kn2eZd?nn6sc* zd;D6KY7S>7Ry%vqwD@_N!qxkOq*<(|5Dw-3skr~i$J|*QhN6kxn?en?kzxo~;c@y5 z;c}^V3|VhmjS>MzWH!cWpC36Xy1ciAE9(WWjH}40c;Aik;LdbU7o)@;9N$%`kswR1 zcJh7F`rZeVx4x&~1fR7178Y;P5iW42Tp86nE`Eba`l>c%e zvwFYi;Eg7n#1H1W7ZS%=)-#IylFNxW89w!yuDWaOM}044V_>zXMlxn31DCS7ow%N=?0F$Oi0>+w3hM`n z4;7EHypOWsyh6j{oaJv7PguOA-Z0^aU|`H@eWNKjoSX4CCf&eNpMiOb!bx~RMu+QR zM}BwK8DXazGxb!|zbFK3-@~DQ;j#QhnoXV+QKUfy$fvMp3`Gh+#qI4nIDi`Sz^|FTN%!;P^#>d5afZd7ujjD(CtUYwlI#yo8v?ECb@ZvkdJ zxFiE3AG#VBxA>a&kV{{*YhS3zG|5ocA9Lk-$q+j(YO6TRLXGkD<*MqW%JQR@C#7&5 zX(7y5yGZJ6tt2izD>42k`bZgd0-JJ`c4G}HeQ8DXv;Vr;Ta^j8oKl_%XVnA2+>H!@ z5<{lcRt9l2VE-LlK|M42mSN9*k~5{0w*qUsr%W~sSJ;(5sUUc4_m!&jIsVW3xQ^3p z%pAka83td8g{YR29p6>%M!k}uOwAaH2dvZs7#E&!*WPkX-1+Z~YL~d~2dtI9B}wJJ z<5oOd$?d)J%08y0p+ZYGyN2qsxSREC)BdkXj~d0WxO!S++z8nx^mJ@6O+DhOOKyDd zA$Nug0focmnaid&1*`F2qCGB;w6jV+c{4$Djij6H8mevFj>sS-^#&Qqh1;j)6JrF; zSvo|~AvluO5BsKs_8Q&Kzf`1UD;mM?(kLT=UQ6Nr)^wI^+GdcyCYSn7VuLWslIN@{ zSKA|6boblFGRwNKjb^R4vH>I6{ zg4EfmBJPjDR=XIYXWNARuE>8s&s)=go1J+pd77Wb@c4#tq@*@m)bU^J(k}brSjQB= zUbFp>Y5K#{Aw>2(eeR+53!)Y)w|=DxBb)tWurVyP>txeyZf9YP>{8e4gT0wUbV`2= zB@r!)N0UA7T@}O&lu$Rc33TsqSG@504CEod30nH#ak!Q9HB@&F~}x ziY`k`L-3rmAxquc(3-kt^Pa7f)oUWVSWYFY0vukbSw%hjWb3S&gKa57RFl1C-nF8eE zW?|Hi9` z!SB7;sEhAV{>EGh@$wT|Y{XMFL>oz>UX0WqD&nXGgF{ou4=Xpyw7pQHir}My%;oS>yj{)cJ6{%O+G_y^)|I*x?z8w!_q`svXvwp(cZ@s|U8Xwd z$#Uenyv}^0%SQ}-$7k^IXU|(fK z!G4y&Ul`m`+t5yTGrS*V@X00KM;UEYMYT7{Bn!O1E{&15YX?=_Ol1J)Fo_Rzu8M6_ zdmYIn5%8hA<}+y4T^UwE!j}ttQ|x>2VKENXPqxwDx}6iUI~kGdlVGG}>&Wv=yt-V- z3?FsT$Y5V)9!v9S$YW{}Nf=Xw_tIK;8vTHFs_HZU$0x-pBs@O;mF7H?6jV^go1Tg< zOa9WMFKPQM^bt!`kLyt~*IN8>TW)#2sZZ7RE^>CYC|pHS^qH4u@fp%B<5cAnC~bMv z{p~?fTSIFYrU#s=k`r3?g`0{E>W}aGXnQK0gnOn9Gr+ToeEBiY0CzE&c%};6rg=X`G_}Z89i4|0AInS2Z zE*X5oOqgaK?kTgAWuAX&0f)bKgy7x$!)fV(tS85DmesJGp~#|nv(zoW&+iSW)|fqy zcXv$m{ous67-;LH1f>S$)>myzvCKbt*P%tqCjXiLB;f2kgMk55r6LkYJ&PZ z9hCSoq|qIZkAC24w^d?VH1-;D;Ja9b?gbT|mIwTA+WN=msz5JTJ4qF zjw|eMd$^8jelJkBulGAk(PX2ng5up*1Mhw{#vWo(f+bwc-+uNltZ?vUk!ut?$|#rK zK9#}0Ct5f69du-E{DpBLa%%}a`!piics*mfO*K1zBag0c;)K?yk9gM-Jy*yv?6ZAF zkcJ9gP1SwYl8*nj(;Gwn$Zxl>CKS!0SbHALo17-#=PyV1{nhW3M`9))4g7Vo^HN!k zpw{VR3}vO#>6AZzP~X@HB`Lb-l3T_(IWx2&0dr3Wl4>W5v#bQ_{E4LnDy0O%i$(!= z`H!sQeLuGt3#Sms({4;3gZ@MlVFDlSg4FC5gUiLzRcjg6o1;~61VM@7t0W0kPj&Gs z%kol!=*H`c-%p>Vt5oi8Dhuf0^_N~@&o&|G{(CQw<)iu_`qQD4jGwbUNF z(@m_P`6J8T4Lk(Ji0o{&_Fa#f){-O7leK*+38ShNx3wu(Ee8}!sF-TXPdRLvI3->4 zeiy#jG&pb#hVn3=iJ;a00h_Zyw#%QY9;>OXzo ztgqZ~G`g%$uzS=@d`n`ZtBUcLvTe;7qDH-PW(2DZ(PRbwP_?X`7TttdLjuFLSboos z$I*$2&(u*~$1DgA+nvH$B&Oh7(n&xF@{OzYF76sq@*AVZ&8`7 z#MMv!wf}HJ*h5xtNf5uj)@cS$OQw$LcQKsp)(i8@*;jAIPM;cEl#n5Kw;HR+#nqzr zZ>q)EVO+@8udKWu7@`T=`@S(>d-|u#YztnJ7$pQuZYk(2b8t>cQ;nhrV@f~CPS_qx z)x68H#*l+0WX1N16}uH!3q>qR^bihg2*p;3Y?c#nq_^Vom9E`booaXcB0g5FIhZjRPYK6mwhwl`86J(}6O<$PY9k~GCl zH*1tNQPWB_7?lXga11Fx7aJW75|`96yMF((PhAS(^xABT%|h-$)KtcL)?H)2LVj5e zwUv^pAG+50Iee(sN>o11)O`2!XFY`Tbh*?JtwPzJE99Drhb~^cJr%xvIUzLlcD`Gh zM|xh+^JKRb(Jvl}q${Mgqc$vC-$K2Lcwo~*xRkNvtYQ?|opF9wR!W9;#g#JM3eWgb z$7^v~Wh$x(>DOetqUT&$BYoh9&E zUz&C-$vkADLmQtpW?7koaVR|@s9@3KY%P3F)$>=;V@C;!S+5U;w?>0+d@J9^a%v)B z;GhqetE8A+{&BU}S#Q$Iv!)td{~`aR;&E;@N15LVgrW1dYFqCYZj++0FndME3weRe+krH~a%{eb8hYBeiKdUo=A-*;!e@3m{}_%uaF4s2wl|CLSn zf|3hI>81C-QZo@w%AI40I8BR%Ty*dk_dV0hQoEh>i1I_@b{UsT?^p3R+;qZ{6>jiX zBI{uc$E&B^n@;#S5jniI$e(ZIo^)HXaZSG~J@|x7#92oqx{GeLmFgm=vh1FCB!^=8 zG3hwx7wXO0f@45!oqOzqARU|*?q2Q)7Pt1tw zUYDLuEjjZLH#mp;i0k^YTt*`kF8W4jJB1N-PCR&_p?;7NE5Roum2o-Dp> zQ1&6tQRuJWzH^LwTSc|=hb)N3O=`m$_~T09-=!jq?6rwy<~>n&CF7sjTzn%|Pu zCc3C*whOu2?zB;MeK|^Ww`jDNGj8GJP#AC%6FDrXU!t8t+_ue!>a%y=jOcs7i&H`D zOn}zes`ELHJ7m^-wHAqKZ`gVK8`IrWP+4@%k=Y}3;_h10XZ(vS8bgv;(yXuK^n4C} z7;@dpoR(W*St++MCQM(qg-R^j*R}Vu!i}p%KIePa%pW0^g-`9erb_R=X?abLkE(c1 z)_^JU{m>HA^Kg(9Yc`(YF(b>=zIHxZ&|6$Ly;d&Kh;i}C`Xeu5?-AowuDMo9@)T7C zo@6Tc>&oTQN2svT8OwcE5?3zMtv*!sa3i ze^*C#_J|ZQ+c9;)XTw)dkp!z|wTmuHjlSH9mE@{26%(V}lZDq}^8^Q8wOE~_Hdz;S z3BL};8Y*;MkTnS6)BJvjPAvTt*(@Ph^88`=wbW;N)Tp9EdlUFD0 zNI85!bfkb-Py9QqQTY~yFIQMCuY!koEBKhN0)rvB;qyH&XER6L2!52TmFFGXv%(K? zgUz#_dtEP$K4@&@Zj`vTl1g3oi1Jd2qC$OK+1@eQT3HtEmwqfcoWJu!iuY41S3A3# zHLMUxo`|Qvu5vpob6R_|RB`}WCrIk&zvVWoCC2YC_ll)d&xdX`iHodw{lx#t&knlg z0@+@_o^pw1K7=+m5ZzRD+%$I(5q zuUMWLc=-c|Wtw*xzfRuYNyPD#=q_c3s0NWhB(YqIMU5}L;U-SA&g0TiBB`ivjS|`m zm~W}XuUFT8EI96P^f-@s()qdQE$Wvu-P7Nj5x+l?jL-kxDntDFUR$2=S`2j>zijJ& z1hZpw`RA6Cja>a3U8rP>AckSy4`iLPoNf2${(dt~%o?458cfj%s+dc;8eQbp9ZrH` zc8Pc{h4evDWu3(594fiZG*j za!4GTHslv>!pw!<+`!8zUOW~V<&~Ucv5TX&EV0MxmfF&nFiz~YOk7^-$rXm5p`z5rT9Si2r^@t?+O~t~8J_8{H!ff3 zwWV-s^6^!wX-uiPH#T~Fdrwtt7k__8%aBt`fth{NVqY0*1J5?Wxe-pPp0TMYzs@Zw zJt=J}`HN}Cc3cw8Bc;g2gn5ASI1?i$t_NjOes_OPyZDu&6<*F+(>*a+29^gH)A_JO z%X;R)g>xCTO!na&9BfV8G|5Rn?SqOC-pNu)Ci(~sSleHgittoDh$h=g-6fvts@Qev z&AHfkGft=Wwk`J+v_x>w{#4zvP8yWGVwj# zNlwA&Y^I!C+6$UG%Vm?M689x*7L6LMKvLXelkt)ndWqZIg*)FRvgD_hB{l7+;T_4j z7o26MLN566HeL17#J{3yUeP1Hbek`KYFNp6CQ&Q>T8fTy59hhRyrLNVe!{LS!=HK# zkGEPzH!cL%`yOTJ#q}JRf04~u-8W!pa3R-dTAJ)>D*mje*{aW??(K~G8;zamMh^Qg zv%Y)ChaW&quc@N1E=4qP-k>s17O3o_ zUC6JCNWXHJ&e>{s4NG-aOPQ6C{B_S+>X+Q6K)2^XL~d-7_V>Rz%N_;$P!*o%N?qHg zK&5vP_ZS7_J(*5;vxDj^98siXo;=dYq`vDetlJgu#c+IzAzppS%lZ70LcN5T zO|6KXO?CjM)+8~7^F{ZC2u1|&ErD$6HW>`=FYyhtsmC~9zm+@nwq|`1^-I2ZdcYOy zcXP=Sx3=b&WlTwHXMf-|jyAc&;?OJG<+-rkc}>vlE0TovsH~EYg2=AoP)5oP%lMvU znr`T1e((WQQsz0IjHu)!=b6pgDMimkrN~sV<4KVpW&^j(W}e(Un`DlWT}Z4|t?PHC zqO{k=oyBZeEKqgNQ3mf%zIq3dK~>=;ItOu(xwj->=SZl!)bjTwwi}gLC^uUNbfF&! z`?&wJvtYZ1MJz+0T@gc^7qf0B5xw4wj#&IEB;|g05&zp&@Ol`DYj)5~V{L7D?x8t1 zKhhd9)7?jFLx_YPZc8vpXq}SVB@K*P(I&1M#+)zN-zd|Jv{rvhqlSiKPKUed0EHy! zR9UTNl8eq#v%zzI43JK`Wx`342@ND>8Ec5jG6artzY)-+%cYsRTFOjNf9CLYkDk!t z_E9>LFZoMN>k8^PBke@#J-1>Dl3H#48C%}-R8xhuP9}M#ER_s&9%g80{ge2qlHA>A ziF~sumsl#V#dk;uifO+tj&+d|{J;^INI6MN96Xaq(*LF{=wsa3PHcH|elmT#5}jmB z(pj@Fli{*mV8B!GE%%-C<}z;Qzn#a@-OkSj@{+9e9tgW)F1w#F*z!R?Gvx-FWV`A1 zp}GAm>v3yN{9V`iz*3Uqt{F8)7wee=YsFZ-M`i=*BxfedR#Lvz^cHpFUMG(XIU~2c z+NVCd$j@}1a-XMr)(Af%d)Q)e>9KZ(9!}52z6X+gW*-bm3a%}@$S}elf2KN+vEvoq zEtgQJT9PkpRg>kWyPv-6Yh5p4gyH6+kF`~mLQV2hKB@(UICHv{O7bu;@jlHYg|vN? zZ7r{Yxq=xy!o=X+#@VEBeZ~Ae(Xk9W9;bQYY+_ar>5${}GiR=!2XywPY$N zbaaebmCO*nP!l zCsI!>@*0$b#?(hVhM)9!XEvSRCZo();*wVWEw zf<2k?I#rGQJTI7(kx4m6W_0#*zq>*zBnDO19>WHqmvwh2)SNLt&@L`XiSC6PzeJIA z^%GQDGbaj14XncB{*pLT)LmSg#P^oEuG1oRS82-loS^1qfsE5(bmHvnejKUHA^fcm z3pGLx*Lf0==i)@F^mqUHWKGm9yLGaOL8!bfH_~eo-d?agX3QX_d6=jzp0CfTz4C%9 z(ld0+mL}P&)pb|Ob&b`^wTv$`J-bs^VePFHdqrs{I#bB(k}T=wfDf;Nj_Mfkl4QWs z+cRpRc54G9M3hurXCDyyxNNPXsJlaqGO6uSVKeLOYc=dFcjXA&!r%lI!aG^Cwr$Fq zs(*b@qaCZ(e<|2rlwc<+CKjOd)V^3gZKLs3YR^MvP$j8vr~8texQL;2ZD^@YcJ|BY z$m^1l!LsMc+sc&`?P$@ngRBg3xQ&tfN6HG%l7_2vV%$UDoc(xPJEXwvamtquMQJ3iBr`vAH@I$@F}#%r=v+PLAl+*ZL*N!Ue6q5X^iwBwufOlw7p#qv2R~hS<}YB9HF%q}Y*(LW5$Wmm zj9_LPefNPjfsEdvJhVGLEL@~10-3*+QMqB=Hg}E?iRyOEB=zp(lA8YU?PTG8rkamd zp`DC?6&FjiHIH)2qm(SR(ACmQU9wZz%=h+TcGYaSAUQ%BC(rF^!^M5!ysSjDpe<#B zr8odMs8dAhQMd}#?cTlUPt`gPMMtfh9mn$6-O`gb6(}z zPWCTDt?@3Wko~iqPUQ*dWZC6ci3Ee*(?!KDBJc|KX0SAlL6oDrVa~Q(eTgQA-YP6M zO1!Z1S&X0=Ijq+ywOT@usxpAVDUr2Qy~`pd)$yv{-MK2=&$emGF-H$$D7FmRN`}el|7v=Yig3nF=C@TPAZG@B~xSNW$k}Z-&_bSVl7B6hb62}S!=7|DOJI; z_Qo8K?R};;HOi!KDb3qeE3w?KoqqnfuU2}_2BPZqvc%juToO4Wvd+s{;;yqwP~>Lk zZC9l?G@Z<3D!Qu&u~1Ixp=v=+d3BLotc_1#=jW!U^-&f_S>i{s_3SLJhy2&g+M5@@ z<5I}Q&%OD5Xu5WM;^8vRC|s_Wx!s|&)5g)&R+RyPaj&M6-Iy29b@!jD9kWBFL|hd9 zCzGjrx_YgTkk7tI3v!*N+fuMi!u8~Whi0z1WM%Ex7=aGONh1a$_ z^&5I(yjbF6qJuZzUK%1dM~+nWYW?zz?$sJ>Y1v32w`BC_Y*Ec%iKdX7jP6_Ct<+gl zC81X!o+PeK6}6O&78vv)_ddK4=ioVi2yYv#b#wOdGjIK`3QOq?hqB3CV?B;4_gwRq zp9u_HyWc~8j`}DUB1mV*Frv_vN~|NqjV=W?N#qxzTBn2U%IIQU^W@h@G_+F~ZqKDo zt9{FQXC3vq*Q7-_O`O4SI`Tqom;L*E3x>>jSFJtSRsQSWHQ%xrIsYDaQ-_UCFzuTa?e=RmgqQ3ML|=W#+M z@D*JP#(oR5&oz`08*k2*t$#Pxt>1byJv~14V@?bNIGzH8nDtpu9D<6+g|qT6MAKO6 zB1-JgFLjaTLqv@hDLrlas)R;fkQxTM`4&NZEzI^F&c0e@%N>x~1A?iPW1HVkUZ{iC2L!nT%gb88f? z-vTH(Vi!Go;9wX1p!7mlVcYuLX`d)Je7iXyHE+A|pt{UJeBy?LL%|Qy-I5ViH*GQP z%aI>Q*qcG2+gDH(eQ^h42B1xo+=)(I#)zoeJ&bRG*#M$LcYr|v($RzoibHr)Q+nCs zX^ZIv_3ppgO@Xe@>w%sw?W9#VZ~bi|<*)*!>u2~vPk_K5!YRS`iDff7F_aS=I6eqe z%f$mcWZe>$+DK5q+8eNb66{fjFz8m9K@lqwOVN5lAnT=(`91aYI2_uVHjpJq@fvUjL}GrTDt*Eth2k? zxLTRc7P@Y1DFZN)$)YcWT2#5Iu3*6_0D+X?E!(}lR+SSH%2heHgmPEtZu&Xre|-?i zrM#7)FZuUxHnB50tN_%nRG&ZUS6wsTGX1#g)qa1VA{B4`ZcgqH`}E&DwYkv_{Y5qn zX>aOQ|N1sXe8*rr$fUN0^L3b;Z9M{3Cj|R80NA&!Gh%uj`tzo2FOp}vFRE=lBB1gF zb2iZCa=w>(Mg+3Yj5ms%hUuOFd;>R$$CvEESj&zJcMMHZin(0?u78mr}cKnupg8HcP^*H;Bk)N&H4!c!7fubX=Mv znryPAx5GcaCUHww5WJ)};NC_$O-j9Yf_MtTd!Mk4-F6G!;5Pwp(NO%VdC7;qDx{|Q z0PYRA6O}&Rl?bb30B~=BmGl|#0YE1RucKb|u%(wCzUJBWYY?E5FR0Hf%sNec-51!v z4}|pwmTup!8%W}{I~7)ATwT-^&(#W?ErWKd8>WL-JS=a$43DBwS zhy*xi!*V*vwT5>9-wnL>B0Gd{vF%;=`4A=k-o>4uJVP|?I}O;-J={*Sak%cmivZLi zgcP(BxNZo&w`FX>WHmd;UmjF)2#(nwglFqIX*c}=?Usdbtj-`{H^f}--*A@givp^J z@oIKZ06;CIF|B19&-*)#?gXfVR4{MmP5_|YAl=Ar{D>P+kS7;2k^_Lw2}rn`SH^z4 zN1O*dyTrhG0FPO_O9^;z1524RyFloBn*DMG;5MXT0|?1R6#nzWAt35oc^FCnVSh#_55ls`n~Iq4{Nn`0fCv`xy{G*TMX+<& z601cnn(uQ^^&@Qd{|g%;amNM(uH_=fmM>tn%)pU^jT;w?J_YhtAG-HNB~W$WWK5UVKSzbzRqW|Nj;v|OUsA8PH?Z?3cXIs@^L8Kn>pxrf84 zO&7>XPh9p8fpcy(zE^Zlm}r}(NQcQDt=Omzedai5D#wj!Q>NJ?I%y_JU539(0id)O z4Vp^uE)MSv4s6rj2mwHQf%0}GZ_;#cTo3#9_$j+hY=Mx0hg+uK-Z?gKc9Cs^+}pIz zzX?p7`@+G**{Q$p2n1xnE2s_+L5jpafV_c{a{de*foY_K`GOrXAT$gl%%JZKiwI-u zJHZ1Y>4u*y{|YrT3^*4yUFHj43YXKNp6=fo>ybT%T($dwa$yC!-u-)TBZ}TH0C@WZ z&lSBN%zNf=;g7Ogfdej;UZmTBAllR1MD_ z?f~LdB(^6U*cK3tSQ@_54{06VKVes$4dKF2Ot%BwEp?UV7y(#|AGwp{2t>%+D5zZ- z;a!g~@D_k2{~r4;>^ex@k3~F30;B03uLiCO15@JWK>%n1A&v8(6O^Nm{vwqJJy6Jl zqM)E6PRD~?t4_y0;IqX}FMxRUCQ?%o26LnxJS1c5ykDd3`o54QL=bJDxS>oFFr5GYdH|Fc*eiwwMUCf5i6t! zo3}BBh6bkw1!!OJ1z}~b5II&!7ib0t#Cc=UGJwwzw`6xy9G0g*Q;*)#!vPODL7+lA zRfgJzS3m-E776y%*iFx|MUubD0bg0N3|2?U|3~zqe8t%_mxxAig*!f~ammhucDF834TnWD%RnZ4IpU|Sk%%NU!V!HI{(MnHv zu~KwRO_UT|_ug3rP+K}~jRTh^`hug=W91GY%p&gU8!|CM5c&j#CB|Pis!b(tIw?ju zo-LnenZ9pRzL9}=vtmly%xPUlnlTlXY?xRqZy@|Q))?+bAZ(ANuEH17|AFE{=rn48 z+7b&uBFLRNyINaB<2akz)R6qyI8iWTbn3_TN>h+a+9PEs`y_5+A#JRz`}48V2bg$2>gX+{1X9^^x_z=D6e=vdJ|V*vlY4sys=f-lDHTy znho4WCZL6$6^>3onqdm}C`s;wokYuu3moJzq2y)=OQMl1*zIsHk;YrDWwA2%pQG%M z{^uwwb2;2RXg~bZvMm#uVjl?BtQ9^nCv^FzP@=CoVW~nedSAlofetG`vXVl3{+*RX zUHsp&l9D_06Exct6(J|-Y?pafc8B@qe0K9SyzJ4YZQo(6nZVW5VSFb+;C7o;A%dq( zN;n#yJ=U3KytC7=G~qa`xi)7*p#_)M0^;&YBmlq%Oe%>yP-fwxO*{x|E@cQm0z*w= zVlundR;EGo1;DAV3)vqbn3J3Yb2dWjBIa30m zT>3NfP9p(G>~M-NJXg1|ND5&2t$BeE-5U{wc)b?iK;p$!VYSc{z}|t^>q}{-XDrm; zV_P9o<3`?e`mx>6$sl&MV|&}!cD4T1z>E50(Dy^fG_h*-T1a&PZdQkp?fcXnXA`tB zoyMs_qU->61VkG7A%L99#xUv6jDXJzI;`W%j?iIs8f`dk40Jo`PTKL_oq@CZB-L z9|?(9dDF@R>43Joxs-l8-Pt35+SdKh&UCn2J?b!Fri1we))+P2V?TG~Pj7)Q zK0_D08wi6T1C{}Bat&qJS9Z}57<~rZu#n)WjJvCe8SM~Uaq~g~;_l3Z8`h(O>FVT6 zlXeU6pd@C(VxW%=Z3Yafp9kD6G7%RFxYq9faiC>ILU0vyrW>+^4cg6M2|tr%29*MN zcUe{Nbh(qh16kMGP2qcJ31gqc+42*eM*UIQz_-J8nr9mpvJcDo-?yV|;99`?q`#@l zs1~eWCt(o)ZHV|ubujS`08i_r_9%N55_Ph;av=$^*qp3kuMlglbQx`f29^bIi|%ft zW+qE%IKUl24zi&8*Vcgc#rqfw5dH4qu-sr>U|Y{>m;J5@!Zng3^b6?9h*c;DY<}Qv ztItthO#sOOj~|k}IgkVVH(1m_^Z&~ue{#Bd*Plwb25xxIpt@!Kw-T-(l@QIj4uwG` z0p-XffX=RjwFpL(U|)thgmpFmjOgY?@RAU<5u;Zsz+H$&TwIpp=OGhw3%9Ki_8CPm zQt+7jMJ`$hQ0e1pscu~Fs&l0ui9}at%?n1`ZnUk|cCb}N&&3hh8kgjKJusIk69Wlh)}XoyL92U}u{r~CY`HOA^Ri~YVE=I(@a z%wH9;hU_$>u}YI8#3+NlL3`?B&J3UL<8 zYoQt-Gb24X_W4;*q~OBKFGU)z;bp(S8zec z(bP`EfdT;B!O50A!@gB6)Zc4U#^3yIYYe{FLPEQFVyAf_4|uu|8d)Lm?GVsqVe%?` zCCxN(71Yr>k1#4_7rGudqY4{rf?@0+E7)6}o>Iv>NW${}nr(jitPK{^sv$=T6!d?Z0@! z5}G(M=FnEerh+A!3H1}Ehf_{%V(El(Kr)8?s)sbIQ)sB3Ft9llyqZpxcq(cjcT%JB zRNN5K&DVc|a4HWdXs5nIpAE(H)Dkg&3T{8!Py4sQt@3nuLf4s2{nFD%G?AyjH$3wr zad$?=9^R#l(>lNd9PYB3_gKz=!yZ-SD+<=vBA7P;?J%h*BA$JwyfHmmzXqw8MX*AW z?V{cMQh7}XPOIWbu*xG??qXOVZGr_-@!lTfJZxBn0BVF50|XFO3KI(>{@}~odFBrR z@XU^OQ;60+6T}|mNcVgNz+~RkV~;cM*eT5;h2h3G0sDZU21^`Q5r8E?I1#zisb8`) zjEw{@iG-<>2fpTjng($9N+4*!q078-2zm;*os|AB%Dz1==DdHq!!%Rrgi0zEa!M3S zNi$8tYHv0n8Wu$%ho!w&gpN*G6=f^kyQqlHDvBhPbHmIOiY%QRJ2yEsWoCM=&u3!Y zzr*v~&mZ=_Urf#E`+a||_w~N6>y2iKFgnS|!44sI@8nhGUz(-&gwjcd>k&-uU(J-_ z=uW}$1LN_altsiAEp$lXDIz4;WmS@Ws}aqef<|c@-bu|2c@)W{K8@f%vIF+C9fJSN z9v-h4w$STe(hnkPs z8H$CVXD<`%!L3EVgct*4)|^{57AX@22E)$PV^d7G>~Q%xN2Jg00nRvg2EPt5n=j9S zkFrOTg+(!nCM!FQEaSpTzml}cDPcHL^U_GeM6R7vV4{!-#+jC8md$tR7P)|mI#S8* zYW*6_{~1-G8CBt!g|Zd`2N^11lrkngP7F+h)MEJG4hk^F+Zm_rzhR5(v`8@jCsjQS zD@_B9I;~eNTC*IjfOu>4|H{yH2?;jbziG^GhbZb*x7>LCqyGMrlbEFo4Z^V}bdvJl z3qrY$U*n`FX@zb!JbcaI!h&CBeza!w^yvo~0`a=yIP(78#`EMixAk`JgO;GIZ@0-0 zurcYzwMCX+*qE3JGm59<)UkCgnoT!6l`Sjr+SwNO(NUIa-x6e%wM6LP*{~*2sods7 z7M43zo%0s3({ud5nqKYBFo<1`D6-^?(I^$(8CEegy)@&PoqKtq6YI7MOUTYh&ckwq z40c)(UE`Ul8whM$n~B^KF?boSbEYK<2F{MCd1)ND#_BQh;KK^ zGTN5)sQ&lP7C z92En=5axObj;HLSB-(@Ro{&!PD53$Wmo+E{$CF`vdt%PeDiKy8-^tkn!WM@3yqw?2 zR#9$@grp#wOMufzjQ@F-BF6u`js-BXtp3C* z_6F+$gpiwL-WsVD^(A8%8nR9$>U(<9{V z18Gwc1t`4s&xB5rg0)DMiSLRi*!U&RxdhRxgzqV6AYYY(9am znG$|mcI03o(pM38z(wE+YVVu4j%#^^*m{W0qA{69{%Buv^B?MX%*e|B|rsOjCsa~@0^YS8WFnqo4=4BqJ<$6Mp>0B<72*;9+0K>1&dUg2GYC~GbSu&kou@q1!g$RgJwVE#i0>qB-7 z4W(_m0?RqRpR)Z|0Qv8p4d>QgL7p{y@<`%B<;5Q8%i=3Yv$pZM0+MPvnJ$bdCcC+? zXWOpW&>7ElEn`jbJetpqAQNgl$JbhXO_8^aqAN^j$8jaOIuUu>_(eyrf~0C_uX%9r z>MT%9y;r|(vO}p;-G%@BuAqsr_=usbqO1YF2kYViiJ3!B_cJYj_+K&c3ayE{!!j6M zgx&c<=^(*s5zx;MCO7mCG!$B^$hRt@VXkH<8DadUF^~;&IZzR8uU#6ZOcuif^|nkN zZZk@;&NJ4+Omp*3KhNv@=$l5@GHv;>@1d5<&c2{=`)Jv0Ru2-a2(l*l#{zut;5aKR zWD1B{gLO?uB*D|%P%aUve|B2u!~tGFu|v7w$`@YX2;=n&kLQ=-g$|jSBTL3QBXgf5 z#gt6b1P@%|s7S&)amKF*q0$;9`NkCjhoUMPP?C4eBIeMmZr0h!NbgO)HW@qYlCMpd z(1GO1qVj3$0adSMlZKtGcI{#Uw&sBGEj4b$6c%N!6v4qi?{vpEIXvpH*O=n1cSy;2U>dHC$s}r-2T*o-z9X4x{VE* zOWE-qfp@Ut@h;7%uf4OWY0bsB&K0$H5aowH5*a3l?8m0_PheHr1!#!xeB!%kiJW8< z_Kvk@refy5 zW!gzeqss*4M-t>g`61xxAx)5vrCT%H7z;-9Ap}J=UB+5k%sBl>i@URcEEr;U{y`d8 zvx!)?)`v{^osn~_yk?D7K!`bxdOsg>o`(3(IX`TVQPQRpop~<7HlsW#`Z4Oa(p+a# z*6L=pX;P};z z=`^wCpup8HzTZ|A*69q>;*Llek*b|t%sAl{2R2wMJ`J|s~^#{CaM;6%PE$e2H1GE zdJg8+O=9dUw(;0*DV+yKKP~9znX(}L_{l1zn-qsnWr0grLNMr-q3Smp-BZa1gOU8^ zfooRf+?1u~W?r)%Q#un{tn!l(vGb34uRdl$NRnkyH6^`bygE)Tp+lAP5OpOz{BQL< zJ@TC#I$s4wq^=x`K(7t4RWcNDZ_x?+)`|xcC1iDh+Jl)qvc}5c!7N3pA3MXM^BIy; z9?%$BwtMLz0J)tvAC7f}gyJEh3DFs;Jao*XSL>Oz{*cxr9hqbzbb4r*Pgj{1)zVd_ zk1Vj5?`QTSgvdI{qS7DPp@5?IK3QHLy*9p6?Ga9&*~lckSX;Bkf~G}@tBo=LLbyJ= zdIH@5>|JdOZ4GLBNp<a+Ms7>T{8#{mRP;lpn!$Qd5t#7ZkkxH3)kVzV;ndQ*D(@ z-gV$H?{fx1S(I^&A5Nqlwuwj3pkY}kHS&&RF3sEgs}XJ1zs2nxUT=DV@m*4o#d|oY(lC-AT$~85!4meVH5NWFnFYP{dl7e zSz3e?2Jq)lSXrO#WgF9mZ{YZydW6XW2n=hl-~MISAfSJxG|FmCJ)}K@f&A&NL4t-G zmM;s-8`_P%KMflY^_u&cvKtMr$@-H9;C?h)WJ7(U981Tf zmFyvnW^4H_$kM&uxN(MvZG$$Wv5KrvZB(dy^4@qqu3CsMy9rc35xwx5P-P){X_EUW z(!rWAm)?*X?s=mLkRNAzJvP}~q|3Hm>~PK3KdSn{Lbfq0dPT&GW&AmnLhjxdt3>R6 zY><||z|w_33k+l$FCKxu_)X;Sj8GJDoxj%Y{2Cy(7oeEr@f=qaKP(^kAJ#j|u-=(9 zEVWzDnbDk_nKpU73< zkXqxO?tpvx{JvKly$WL^u!7fx+*kAcQR!YS4y1i@@zxnaw%@CYM1ezfC8-y6wy*6G z??u*7y~c^d1K51MfL4r~1&2`eUf-ZllgAg+DcGASPI(nmA2XxUpn_<6%ObP7^0}=X}BFRvTJq(W}w+xbQ5W_9kL5CGb?JOIJw2b5On5MWNx+CkUTL* z=N3D4T3Ak!!s4jWLU6vwA@8Csl|!}$8H3N1=lt?i$Tn}ao4}vV5^`H@c_bItJQ0)t ziRo%ZsultJVG|QNaqYLE&W@-Qasu0c^Kq58Ra>D%prjyGiwp|el1bC=AWp+Q0=h4+ zT{ostk?+?&f;j=;4MAJi&}MSDz3f7z#m>^1Yq}28IueU;?3W20HF#ZJl7Tiv48|YTCr_*c zs_xWfPqOG<4A%b&Itwwf3UQ-GBA=}NZ20P6*~J0ytm1^5l<@wE--2aTDtj2DG?<~2 zpgMtRW69J}9{V?1#&RVUq@ODN>sz!euD2z;KiXeqXhbd0r4q((F>QdSp#fIh>@|!9 zvAEZjB0U(3(-=vPNE1uanurECfYDXVIB^EE?f@+=mJOC_-Iku?A=8TY{M#%%ZW-x$ z!7OUM==3<}@Z`5mKEOzB9;~{Z58u3QxN=Qktmp5F1bsw8fcsBxjlh8XKpq9O9sW}) z9Nr0MjQ?CEuuFWWQd>Ere?>=A*YWn&ovGISJI@Jh%Kl27SpB+n^@j!0&<(YI@FE==k8OWBiFp=qYow6|C-^64nwMr0Z&doYV#X%(6zG|lP{ z9sl09SzyY3|0{o-j?nb|?(^>0%F)&I{sq>H+<1?b7Gp)G9zB8BveD!I!E1&9+hnlL zA_%KRK5S1W+di6}d*hKGu*?FFT-jZLziW8;ae32h-FGTxCj4QKm9zWuK5pQT68FbF zR{6M9Tq7FYKkV`5rddOTqlE^q$-0x#?(IfoG0MwNOPZYSzIM_1_elE9FTAhZ|@DrGuroE+Cu zDy}8Q?td^ApJXI;j>olhQfCAvYW`dB5YwO4I(M_uZ3U3>BF^HO>>5HWt<3&2c39u7LtmiT<)${Fl9|F|6!7 zzJuIG$?ar;>0U{-5;oL4Bl}N6b$eYZ4qzF~BEE&TlB)Ur(Y+o#vH-=)uS|@MB_g`r zrG`hhyYz941g3-f=3_yJ&@{PkaTxx#|3O!<<8nlyP=zH~|x=f!JhHK{XxEm;FIFVh0gZ?o(?UDk3< zaS_~dB^SX>nYB8eOlCI|yH{ksGLKpQ9@<&qc7tm zeHq!<8G~PaaYjPTlK!1}OeJG1q!E|~GLi zyVJ!v5qO<=G4b@poalLA$$wD&{T{?z}ilkc&VG&;odQ#wIWk2 z;K5aukWeA_#U2)h{1EO*H7$G&k7d4MwV`Qi)38 z^}_5wl606`)DH8ZO~Hzeqzr zmjwSxxP$gm_QHM*(ng*p>scmExlnS{>g2VkCs}=Dd58pbLYNb@LA@oV@s4FBLwiEs zUW@9<%xq+;Nt$M}G*qP)Emxy-nQSJDKY2&|({QGa^V>NA^34H4hmX^lpLR6OqjQkJ z{d~Jy;Q3o>w}l*Ulsg3o*&j!|-_tZ7bbk;_na=?qz*5IR1iYLz%b(9>v#v$z0Ggly z_+G@79B6rteTcxrEbvfEo`Y=sEEx5Zn5nK(IcjS^aM#(a+%lc$Mp0J8V)s{TU8lIdR)#d`soZ=>}6sQ}IYaq8}W z6&wc}1}TxR8)vYU=7{g>OhaU<4pT(&i${0vw4C4eQ@US z`M(a%2>AU^24~Dc%VXSQ)LHRh5JoZ#H;CQSNJOvYR*8F|Goh~U{_EkLv$s@MwF$Xp zS$dC)5WY(f)7M4+=A4>}flGKJQ7f7*|g`7+Vy4u%w$aJl!Cq3yD!Z2p3EgUK*LDgpF_%awJA$ zzz1|CbfC5v)uS088)l9E#D-biN9RwovLDr{vWd1B+Jf zgQldWv@LCvsow6X)8AI;5b$bQ+VQq(A?9?(Q4D8d%wq`I#zT@vpVmTdpYiZ?C2dkd zkB0kIjK^1BWqcIFr1XR;!r9r%V=AU>VI5clWk`{U7S=}OnGDU#>W1P3T$BlWPf<+s zWF)qm9OgSqV7qE>G>OGT30IhjB%!gAoo5Q|DOx&HodIis=?)B(hMVrEeW`n-KjDL$ z-rM%>eScPTvCzPN%Lr_~H;nHp8lj#@Mm`5e`11&pJp!Ur7lgc{nZr=NBMcKt@dyOG zOR$~POr@F5_suq;kCdaUHrvIYg-fwIOs~?R=I=uQTZ|cGt;DJSP-6EDZOk~E2@HJp zBjd!}&pIw`TI}-~kkWn75Bf->(9rTVWmfq|nb5Zjb5wu%-26$Rwhlqf2SM?#m*~F)VZonJOEn7du2H z@tNxst(v5_ebyQnAkK6Pvagd3XvgbpJTrydHZyZy6$y=1Zcei=Wd4j@}mA^D11d!9=WLSv>bQk9o^n zSRI1do<%Fzi1;V~?ycjA~!fjNJ#LVE2GG#CFt1cd9ZU^*~R-c8sC}b*}rHKupYcz8p=6HKgX(j)va@ z+NaSz$&^IBPug+({?RyBMv2(fji`#CJuRVNA_fV|WpIkZ3S+rSOfHhU5YU>d_MhMs z%A!XESymGx#{6eS31TdR;*CLed%l3Xe+&*6p)6W;49}T9MLBdp%i=pt#)t^p%+9Ov zqiploWgLvxVE7t4AEWA$LW5aj7st>iDTb-5k`r)aEQ}uGMBJ5?Aa*hO7-tVlmw@wA z;5aCl?m~(OQi38xAQea#|SX3rr)l4Dh2{`_VvP%6EU+YG(axo6i~k0+YWzAzD#XO8E3|C2W-Wctt23)rdtNN4na|p9 zJ3nfMHlN!}?@;Ea zW?EW?{lXBLwbn+c#HRl;XUex=w;9K$jpt*_)ns$xSNn^l^jrJONTN;FV@`cP-Ui0P>(`WpFvh3-d2F-5F@2f}iUwq$(>7tpVjv@J@>Jn0@w8ofab0TD!i=b4{H1`Vpb|-Pv1QNre36H@+{&yHKvQnbOpWz z?0?7b%1-L#9@8Rb6<$IUbsgS?IWOWn!tJ&LP+>~@Jdm3=m~7CqgLwhtgP?9G|A7nb zp&+#fkn*wjR88!Wdop$F0LDoe@a$btgTG^UDiJ+1ClWY%~#TAsmy1NR-L++siyiB zm4WG0-G;}fP*kRDs)WB_Iy|j_pG#R8Lx#pGSi9(yL1mhSGE>FG1$HjNd%8mDXiiql zlM8w=%QBV9f0q5nR<4(tBVH~xFO_*f@K|iHf9nUVxbykq;~ZydALD7wT$O7O{yot; z4*I5He>k_I{{vXezDZi!VDB-w@MP8eez@M9t{HeN)%faqCtcgX&Zojjj84Z@+y})$ zS!cj$F7aUXoU~SH9dh4z<{a0UbYoVq4h0Fz#DmkPC<(YTQ&&mSxqvB$!qgHTvVot*izf5ppknm2>E==0B0 z0pkrPh3rdu%bSo2_FSno>C?D4w8*%EOBOof*JrpY3Lh6153KSjJ(ou}dN_|ILV*+Y zAnSHYz@7lL=7F%SvakrE7%q^3nLLHj2~v%jVDfe z363gKTW8^e%hMxcKbH`+LhsR;y4WiEvqZoRq8)O(K|D6jv0S$i7fj1oH0hCIjA3VK1C_iLv#M5>Y?=0&ZHLQ&(%~Yj^-Ls#ZmHH zb3bw~`b0FX!Q|76Hy11M&^uux-sDnp(cJu=0p(JqQ@r^5A^f>ee~G^z4hgYudNnLhp8b*m{ z4fkrfetxKbprOTDrR#fAt1Xz{hX>B+r;0kbt1XY#y67>q83~QfL{0}7Y;DR;FSQAt zG|HS#7ho#zG26aQGk4{Nu4YJbKCa8$IdEI9+J3Z6fB(O2T%&rr{iO;xJk2fYvR6{* zl+K0j2O+m3!W;-MiVLnRSvJ`748!7bNncH+L;q1Zw7ix?MmK(*wi49B^Yqn;T)1i8 zo(g*R%$Rq$ZMN!tmwB;@9XOJ;V(8pb&mw}N+?C}X*J0^2r?tbc=^8)=f^&f_i)WYv9gUkk< z*8Ft@7o+W&4?2z1?D@O>>B#HF3$My(*5o}xPTqWY7c}5qNL(o50G=-J0y2_D&6g|y zlg1Wu+!t&wr^+07ys^mZTK59D7x3A1Q;x>|M`B(;b&>ptlLugP){ol&uyDo0yg)TV zKfb0Kq4pUI6FQ&>c3Xl*gxorA(9lL=CmBAjrCY6%y*uKVg% zB`VDT=qK39y&9xLzKH#-r8n~6(NHq5TLk06Q)%R&MT_t)2pt+1@xahPqu0Ht9MBgF zU8RfQT!5gVz6P6f6GndqPqA3ep#nw2#RKMr#r2D0Ey1IJaa62qI-b86^%EaeWyKyU zNnT=)x>Q!6zkdmke+^r9*nP+)x8Scc7(p&HAk;d6%mbD1Lnk|OqT z{H|r#--K0W>q?egNC|^;MSg_oLYH1|k8( z&SWem0thUm;bRH!bmR{P1Bhb`VV-sw2s#mYrP_h#y)tu;m+9 zMyt4p=Elbe=8is*sBHBFy8fD&l?)zYpSXio4!Kkm*O|O>I7$tiTj6094u)-YgW|hZ zlIBTQ$XQvP&`cQTM0q+=mR4z#8tSl0KS7Uhwg*@3sfuYoz{*){05jGqyTkn12wI8- z*ueEB0qrn#wH?e4Xy{h2)B)H)4PCthSYya)LlkL1|Hwo1@PrbIx=Fp@d_Y^~rJhGZ zSa#0#@`s!)o4LQ?cj%M7Fbshx(ft6R2JT$!Wram*J=9QOuFJhum1w8!P1b7T{EzYm z-Lw!|&9D^9Hwhira4&ho`+)jnW9^Mf4SX$A^l@wC>+ll@xL5=417QW$>;~h3I*OSG z5!UCef%gGSa8ELQO)uAaQPINGwL5-J34>YDdF}QJiZq~oqUCQbY!5`%+)XOX&X+#0 zJseeS50>3~{9q!_$1f$kGQLyS=kd0d6BpmC!`-sR2R`T9(rC9@A5?7MxOQ|f#LnyM zf#4b;cew9bWZi(T^WCWEV3s`qGQqj$YX^O4J{koGAQIZim}i|^)0!8RoJm3JuwxJG zpk$p-Q9>t5PxiX|FiaH~*lbw``4irJW8)=5V&q-#isu$`p7GXuB78;`DOtZ75jaFr zzA9qIPXBEr`0=0Imj(skH75a6aJFt=+(baTy2ShH@Ny#P+ZO zy3@75$M8203Vl_CAIdg2E50+@4+8;AiX!|_wiR2$-=l65QJ-H0J&bvk*aCTE`BwbU zMpC)k0Dd>lO$q;qV{XkxI3Kt~H^eExGm#pz@h0uDO72-uLCq#&d?34gHxc854dCyl zd9DPHcjwY)8M9>*@Gm}tTmJIM)%b=sTjNYd+t1ldrvb94y_;b)Ye4n_UchG5>=8E7 zsHV+eZU_tpP4NV=C|dx7;XwW?a0|@N;8M289Z4zuEZ%a4Ec4xRfj;_5Ka6gd^ig8- zen!EjD>i?&fvHf){TT(jKOn&T=N+inWM$^h*>DI#gL4rYoL9buWo>J}*pM?(@TySo z&iyYug6s!nJ;=aRRxk`%<6`BEaZJl2J+LCHE@r5G99X}rmPM4cP-wdng*K#R|IiQe znr=#R9++EAEc8%3)vYGeA~YdPX(%m1wuh97(G)fdna!9Q3k5ftsp%H;c+RVxAK)?V z<77IzQp#JAOSaI#Yvo3&B%6aC&HvJA@S~HaEZ+V{+Llg2f7@3FO(R0>DuM@XJabaH zUvkKDchDTN3nx9`D~(8WtuQ7SRpc=3T)My^rxm~haXi}${41=+G7B=U3thI2t`ayg zwprCui}|tji9#p8ZOIaJW$6GN0E7OzdN0aXCm2Oyrh89i@~3ppdKf7RyC zv6CH1{8b-71B0($_u!D1MwN+wJxlY zHn@PXZ=^^Of>MBs{yPCu+^W$lrl;>vRi{?H9h=~ff)q1v2e2+`Uzh@VzuiWu{LSng zAX~6NQ-)ifBtGm!1qH1(pSKf2QV_8!J9jI9_Y2&iTC?jy(J?Yd8BMC$ay(o=Z z%?kw5#k~_)y}gQlN+_Xpw{9SiF5If!5=C>j3nlb@)bed>cKIoC2X}45rGXapF&b}D z?03CJ*9Yj@j{6)nXt#$5oi{d~?}j%2NBDYoZ}g{V@4*YN4QF|>Pw^cglHCo&ayz#K z)zjz53KFca#|<lGXP(WU~Msp7!?>rcY8;NPHLxvv;a>Wmk z!DKZ<=?}p(Hlpiz$FLx~YEq}Uz`cK*3nxOg_Fx#tc;KLd7ZGfIm=(~eA0@v=JB9~ee(A%XM#kkZ%L+tbQNn61Sg_KhI+NUw}$5_i?1yfv53GrPh*n?Xl z_C4xaVFQ$hO(CGqQ6tP5)i`>2oj7bBlG~`GMV>2QzZQp4-kXab3T=TvHr!fa5)7Y7 z)Vtxc6o1_wo&i}cNrnqY<$O`7KTH~4ingK7^Q&q$djJEbDeJ&Ft3og!F}9?C!GQn1 z@sl}>X}nQs0z-wT@`bQcK7z{l7k(t;TPPUbNUGTvC^!(<5DN%Ms$?7!HF6YcWDdiT zwZN4KsutH7t0lo6fIYsTu_~;z)G7lQX>nNm$$aRB*dMJqoqpK-`NN=-N@|RBc=hKx z$_x&-j9C29U@w;}^&J+}2OVHCHu{pP_S3WlD2~>wR%=G*6YhhvD}QjD3A7jB!D`5` zR+&6&U(w#NhumWbk%VECp6j&cy?mJZ9mT}b=89q)GBVVvA>RMOoD`PkxhFM!sWxsQ z<5o?|ON&LMQSQAYr;uR%{b}c>$RAZYg!UXdlUL!O{Bibb(V`Ocaw{oa3$|2;_i9Qbodq|5<>!1%6pTMj_(kFnMPE0h&* zrN?M2Kv|{T@Ky4JNGErYd+3n&Z^ne?NBsO3>UesGjvjhNUNR%B!{&z)e83y+|j;w&s zEH8Q;PzN$Nt)o$zSHJeni-yJ@O&^@?FHoL{O*a}6e}X$8@jr!x8B$M*y@(v5uYEkFu9W)gUWxzp197YV}zwZw|@-f8hMWn z__yC@W371i?~BOK{LWMOcz-W1%h&foW`Oi}5jK~Lf3JxtO-D$?%Q#NHehs+GVR3R~ z%dC^ekvdIPSmL;1SQnb&D&WVs6NkKlvh4xp@pAi0JSzTs{F2)EA5pjC+Z$>;FAnHK zRgNNC3v(o;Ood1ab>R-mL=#)2PIUF^YFn#MTo2)*%XrZA21 z&W&+`+VdDm@Wis~Xs8S`Ua0`oJ^@;DNF}^r7nc!H^}<#&F* zu}z=;*{v<3woDrJ*;#=DQ#HT)WMAxI@5}9tXQ#4dtsmHFo?p1Z1yOD6?5(m%Ob#Ps zmBdDQAf}cY3o=%kJwoh^hs5`M>lV#rz6G+}$*im=lWela_759~Cm<%iFDfepl5B_# z2@W2bBbF5X08TXA7rid4T8hm~YK`;r=iefs5{66sl z&S)t7uP7RlfB9Mfr$CwaFB_CFL~{Mh4%GyO@|5}p0#k1MD_emTFZ$zhtCRd;0?W|r zf{XE;23!7s9{~Arf7mJp1UsMvS}EX4{s2>ht2?J0;UoYDf5H!d8^Ywz(Wq{Cfqzb_=W^Z|3@3LL8mOJwy&7Xk6sj{Xpmul+Z`~PjTT1wEB5n_!=Y2vaYLqzDD^M0? zpIT3e2aZmvbbDh$2T@L>B3g}r#?&?n8l~@IEt5o?%czxSm!r!(a2B{3e!R_jXSdm2 zGWZF7|5?I02sksN&%P#z)ZI-HYlRJ66{9^~sg5(UG# zhLmtC$O>R4>&G>m=GLFDzg~d0BMrrvhn(*;QjE`q_W*#T-8TcDPB3tq8IW_gh}#%z zX;V)S?M!JpZUQe}x+hc*SSFvo8ov*AD_;8TMul&jmk#kEJ~h~_%3z>P15KGRoAlNh zD8&7DGI;oVNln$+uF$UocaH%;ne{iIBooqX1)%&!lg1jXWR1DFlFoj{S1?I5X^f|M zc+R(AGGkxS|0kF%W+a_~G=DquUMr((yCTqVzIr_4G>{|LaUdO+s?&_(wc82=fOTVP!&bilKSd%jtFd|dxx;oK(ydYK%aLX!&P^!z_>48A`vGj(w}iMBj{eqJ$% zLN^lel33A*Oqa|`6dYihEc^5dHii;;S>LP2wKKAQ)T0BKf)`b=U0;hN5Hjl~e>NnD z?pgOh6M_)To`T91oehZ%>D034d6Q0UO|C`EYjQW;3f_yn=2y=Q3&zyvwDvbgX~AWNae5Sm&oHM5yQ4O7s=cOgdc6 z=fTrJkJEl-{3QdFj$An=l_kIrxfoQE$lP2zck+6gPU(^MDi@Txx`=y`&LZveq$V?D zkx_XN)4+qv%Ck+TznY9FcVK*!2XicXA#qLxtxEZFj3`NU&But67WJxCN7{-3rQaS1vA1j&AL!M1_w(`a7i=_xVThFyM+0_ zH~DW4d~P^+VE<$$szlh>3M6}16i}5Mp z2Dmj(gwFu8&xPEx0QGy9G)kjjh+-m9FdP#JT61?nTXPZAT0wnGO4wk`73AvFf>$61 zH9*uph1%pt9J~wlZ7=DQ)ZIdm8-z-@QwVZnw8*78mdxscr6}Hnk+8l5Sq)(-FX@E6 z@xkFFy@cZ38{e@Z=n^R6wL~=E%OytMCry`7y#aGW*IY*R&W9KDvU(L^3G1RSqjYmo zW`d^5XuV75mtp{w9K2xLdmh7~rV!~;*C z3o%~_74xwrB`Dft$|{vrBN^I0wMv^~2v}4OR37K5%u;7X zoK#`E%2;8n1zc$cYXP%ARi!}J@-gEC_x}>+Yq42#%;s+uAk(L}4JexIXMb#&#Qgl> z$Ddb_2wzlA;?-E?cJiUiNp>V~Bs=oqBCcn`Sx=qwZq}^JJ5GjNv+Q?2=uC&sA6soa zw|ksVTQ>MS#3LJGKV~h{>i=NP$YCw~GKc94*54L9S*6BBF#dibH2WH=l@3oO(A767 zDP)=fF{Q5MM5l?o1lv+i3n&&!SMz_NPU*zkU=mYGt6c>SQ=Va>0E}2RTaREwp~iX$ ziORr_?<3(=tTJ9Js6?cDmxD_5DT7oVbaD-n4@u!H=Xfi!Udrc_bC+FSy|{voU5Y^_ z=9fb$4;rpgObU$sb!+>)nD%y+!oql=lL7ns_x!m`;hgI~wi=1tTAe;Y&6q84+J7Cx zOrm+e=bRu0uDCH3*(S?SB5pV%ZC}XUcVnI(#fZ60YdFA&H?Ro+TQVGO#gLx-&Q0q9 z)xyo0{JFgNu6;LW6;abGg4a0cYa}|y;dTArt>#J*SjZJP`cQ?Lslh3W`^bOpq zW~-Ao(2mqKlKXw@Le|w>UgQexTUTgM#@6=R2wg+CcTV?h8@>zjKa+3Up)}yGJwh6|DD~fjopz(A->C^sid`MZ~Yuffk2!))jpE2az!Z#w+{#?F!OP|$wv;=K=d{kK< zWGJ-rfsrA94uLR)YCG55Ly^53-?8WAJ(y+lH=MHufR_SKil;9W#QArJk(0k2(vQZ$<5WsJ`*3#Ude?43X~l z;sv1h5U@U{gn?km6A-^_LVFqBuV4o$4;`L21OUp!6A!~IeQ_5-TA;TLFcvD~FqK8= z3e~?50Ae9(?S=w_%XvbmzmZaPkh=JZS`|1M-_N>ip;W*T@kFX3&dKwg`$U_X8!>#x znJPlDMGnv)(XucC=RW6&nt?zgL?DyriMZJ^5yuTDf8{z6q`Q^B3OKD%mAmoFyAwKy zT&EKAxQmDr;#Go72HhT531n>Uc*y`&yUI(%snMwVS#exdZO6JtX#Tf&@xi!5@C7SWo+jf*DnaL|!aPPBb*ABB*0@yk=?q5$mgQ&EM#kcw z7)d+9G$twCQzC<73;8G&btA1oIv<%m#aeT|#>FnS&|jqGvb5D8Vxbm$)e^|uH(E|v zs?~7T{I18apx_TMG4xrhv<+FAv0SB=q>)>+V4SSyH)BPf3&U)ivwJS}9H}fBzJj5~ zPWMV$I;M0X7)GLX56C?mY_lg|%d4D_apw=xX->0xoX3~E9Wu#D{#cyU1mn~Q$;sep zo;sbO*M5u@I`rj!|3s<6c*yU;BKB(+?~2Jvs)C==+fi1su1ngQU)_Oq;f;{p_^<)tpnD&_fd~SJa>XOJKoWp5Ax*GFbSrn`K z8SkfrP9V*i*+xjosWDu{p9QT+4KIw+o8{03*#y;qZU+fhlTNz-=*MJK=?Hc8V^m|( z{y$z^MIL;Y=u5x_i62)`AXq7(+M1V7Fr`FEnP28x^6sC_V=ybD0~)a)|hHQA-8A!O;vUl>pN6d0To|Alf4sQedO zkqEj2f)bEZ2mZQ1em_@oWd{B5+n-@N3C3^CmS@nDJH@xBKeO{DylL$z#W>;_YB9zU z*@(YzNg zcb!3Ea;P~$Sapz;+%D8NudAQQboMr9K^^pHr9RaJAISQJYJmmV5E) zQJcvaqkhalBnc-Pg+2r%V*Q^qpM!gvRwTsyH8p@cCZ)ass&ZlU@`-^Bkd>R0tBMmr zP6yz!2Gr%rHy|pnc;mYpUwtEEfI)0TT1<`fY*<7iq~$%3E#Xx(k{p9t)mtOuFI~%+ zC~1r#ZTd$9wgLD}(+p)VfqPYJ&$JKFdH^dV`rU%Zz?RyF*ka#qv2H}RdU zm+EA?ERP}ro|pcB@-JV8QUZdFnjA`xt!0QU17K`=2|jtmHu$|>sUEZBwslIL)YM2` zZ4v^PzuHA{d3zWlbkPC5k~in;3*$O|;=O`Q0_=$l&2~-C*O^TTFryZV-i80suY)@G z1DQlK3@5V2AubG)Xaygcs>EY0^0+P>d`$u7KQzfWT^la6g8{^f$36N;5RaBg=qeSUs(?1wurUWgwfR zb=mOnV}lEA275z4PG%+P2bHRk)Dn3y1~UP^zY`evcoHL+sBofUs0ydmgH^i3T4j}j z5`AsC4zYe%lSa~2eoaoFOgKdC-74wnYyxs0s$9BMSXS4@`7YpAQd;WtVq8M2f+Joa z@^2rVS67KE;M3|swLo6>8?Ts(@n)=e6I8P|Ka14_+&9}LH1g#6)*?inylEpU;&&(& zB$H%$V@xv-SL9m|=#Zd?zuo3aS;8mks1a}NAS4GoYe%I3Tx|BRcJ%QE{3peK)OJRyc)2BC}r&&)D0;U)Hr4((sp=v))yME+7~MrLOCid;a%G? z$m9ZNRVmSW*4=>$3s>^B?vC#H{kProP*MTzoG_FIV<0gtDaPmEOlBii1 z-8mIyoZzKS2>9+2(`M&9K=8knAlaSBya({9zLiYA14$PqnM6h0MWoPY!)NlkAOwN) znj~X(VMWVDY2>gjJLsX&dtn6@mRS*2N(=|j-FH#T<&EO$DWT?V*V3Xyt<1rPtr5r2KPhc7H*w=KGNJV#IQqkwmKO8*2~TBSO&_9>gpu=E^Mli${Lx+Uul9X7 z-SRegi^$?*=z))#O-|-bc$-H2(eF>@><;{B-tziK5-lK%XmUC#GUde!rWWRZJW)Ay zQ~ycXy0X6hyPp{N7bG@(8q$`safZ+|@Y8UK$SkXWkMvV`lM~_$Vp2AE;!`|cX`3nA zc^=synG$)EQ@+gCyGI-00z%UsslKaxT}?ly=W!5!@ zq>UaJWfS8?!Ix1s*{;J>QANDGn$loZwQ4ovz03(;C5;AB@rB<)NlCTe|42Rl zcasIC*o&1G{v8XFqcu^Bo$1%3!)_sQQu2@b2X=Zu^V4cNe!l7L2E^fAJut26eV8p@ z@14qb^}UwLjZ^EvC8{Id4rPw$z=vC#%Zul%sO|L_mCH`bUGYy|pNHwyxkG{^!RBd} z{bjpl3#14KFwHdX^*2rzn1-rH3rr8{kxO(?M-~3R)K-8557o>WxUTk$@7p9nhDF~l zUR=%IzA$f+46&!Euh~Lin%DPqo@><~@rNcp_Z760)0SH6XY(Dd`}%sl)K zo0Tv81*Wy1k?Mj>kDglcOlDFq%|tvEfWHNDLZksoG#BG}Ic^4N8{Yz#Rp7hv3HTPx zWXtg_u72&DC-dW5;EPR`ZF46NUi+kpGgoA~_%f33^jO12OvD5Qw20U(;W|GG%=}(m(or5EFy+kNbGW7b4TY z6zLyLMkM`XA4|y<4`&HXSxTOG)vug~xwDj3JCmz0{|-&ifLg=?X(7-2$m1iFXOFMY z!3C^bzbIw>FG5pyWnK(@aJeFGLqy{?YEBiAgp9i?9QqQ)D)Up;9}$|~R9W2e2!oV| z8T}zVmP#>A0O8|lMW(e?EsyZNaYL%w;{~k|nyP+}av(HaqxxgZqhYif6yObr->I1J z&GK}kXK!s+iJrai(~DXASgJRbN9ncTV7wQ?%azJEi^(yb7j#oI`b1yc(S)U04M*nm zZ=bGui^eWQ<@T-oDJnCmEBnaY)e2Me3qs)>z3sW_YE)^@$*8$?ZMh_woCg6#k!X>^ ztCJfwx2NBpC1p%)njJ|-&U`IGO)Ki6es&kL)xlp-L=q1EwI?m*B6?^?VO>* zYM1%nLv>Utp+l8g=Gdkszv3ujPEYx#0Zwox+k*BZ2zBu!!B|XC$2jP&v^4HyBd&ZE zi#1aH&zM&b&aiCCQUZpfKVjKH9)L5Cb!uDFYALg%e1(&coz2Ql2^=SK3A&g_PAP45 z6W(`1Cv`)F{F)2d>h-oQZxk)Bt$Jcug%ts*>gQ5|tN>DTkoOP4Rjq~re?0Mw@-!@| zfJ$S>#Mt3NVoMyM5p@h94d_wwGytLxBO56AcU(A70o?SRypZi!_z_o{CJZVBT*K$E=UV<48&2CXc<>d zex~?YpYZ%a4e&X<)QTZK0`~FGu@*1lIy%~Be(kXP(P7_3S-hkeerx}~V)Z1f1wW|n zW~wpwr7?bTW26(bnXW*aa|5*4Pf!E*VnyNR|GJ_ue$0!ptK}_3sXZ)W=XM5X?KcSl zM7&eu;e(Z5#Xn&ZqwqYkwB*fydmgp-Yr7739`)S^Vghz0LB*5gf8mfS;sH(_%lsJE zax!Gx*JUgP!!{EYPlz>L#-bR-G!a!fmi1;j8EFUU9eVA?I$0^=`W^Pi{@qvrmzrRs z-WlQph{)H`XC(?8bXMwsJ%mnLXNPx%u~Q^RRp_X*zZ_S(PIY7jVc!zp6Z%jWvg+m7 z52mXwrsfn~f4jVxSId}D$4J1YZYT}dJZMCMHgr2`BP*s8m9#EIfe`iRsXEi;LQQ&` zl`t~a+XeCsaq?k)RO;9~9#Tg=Gzy~tO=U53&@uRnpnC9tpnCA`A|j}cco7KpZm^in z#{GL5DMTCM1&9WXuFsII3jgGg0Cn=4yFuM-kVe{U8PZ0zy6+H{N8&VxLJIhjk^4&$ zb^&`2g_zm^NvA`rxA8=-n2J1szap+<9D68+nh^N5hb43pfv-OJaiP%3PTvkl8Cw9{ z^*5%|CjmXa9#ocCAG-}9ynItdZU=hENr?GGh9z(LShE0f=Cr^ zfT=Gg;^% z03*r*`~;+9Iu`YaR+%ScH}qEyXn8a%2YANI13aTON?Xyl2vHS5gew^8syfG1M>CC; zCKNCRD^JZMYDbd%t%41$YJ>1ISH3}sEQ@{P5t?ElBaRnUmHj5lx(Y+CGB%I=PCIb2 zma3YuIA#$y|gvzF3-S5)2~KT^{jE<#q}A42Z|2kJxF$RbhSQDG#@+BM+X@RU3^Bv{DRv%R-sO`?sbl7CTndhS^POhkT_fF zq|-T!<&O1!LdPwZR3qpRsh|}RNRPmZ%i=N0{5e1(>0{JUZ=kOn<6nf+e@v)C9(0fp z1;MadC?kd@nad zbKle^bm2^>orrRceSrHXLbfeK9ch~A3tb=Ke4nhDh>}hC(TQe%5hjw|1ZM0M(%8@6 zv?eSE@%LDxXhRfa9%#K9_G2QavPQ|49LLU8YY4X?!GRL6W+f>{YbK#+g97oYorI`$ z=ri|Df(oTyF*5<(K?W_8kWzvZQUb#wm$1D7wUZ&;?#4Ri$;7V+_&FIRd*ElF+kQ!+ zlqd5k3AdxFr57&}AA}8wTt{UdW@8A#1BKpZ8MJ})iQX3~<}5*|1e5Ux^ZzjN-zzpC znelbq^E($l5jE0wDrmz&w$3Po_O|tqaNnf>(N>NEPW(=`P;uWaG|;iFM+v`6FmFKM z6zhEb=@{?vrl5QSBo3NVj~%ttTX{4ixk@A>3q__F7SSAzG6i8dl?0eTNZK?NxS{{f zR5qR#zLu$Sn878Cw^1kYIhjv|fib*0zU%tbE4)q#$#4E{WWIhwzJN3IJB5Mqy8#2^ zcc&2dfg}f?@3K+aWzpr|T}(e1(+VZlw0czWY-!a0;qKkzsm$B}DmQdm9KztZYwOi1e&~!{yDWZ4`MiYcZSs77e|FtPmkh^AXP0cw znT?&N1O2m;=e_8v*=_vUxO3vSNr$ib2TtAAALLpc|AK_inTQ_hN!x$^cu#swc2RuN zOsS>6i0{Uou9;(tgjNNl&^t`mhtlXUeG(7^Q-MbtODAHDUj=Vkq75dlr`ne;K;znt zYo-oE;?#1>J!U#SC}YeFUI9d2SqDa#M!hgndg?w(WX(fRupNpRq1ov;T2JQGE}EVIgrL+h=LjERS*iJO8WYj5F&_>505J@y zD&=9l&B6e4R06P<$Fin`wG_5M^5R&)oQ-qQ)^8}J zJc+*&T@L4-@KJmpd}0wVlmFS24GiX+;GOt=rtFv~7Q$x({6&Y$y~qaPB$ztCVS`Oe z8+J#!lF(@bOZE$jezt)p`!Nk3N_1bxj3;%}7V%KXY1rUp>jrDen4U0OXMpeu0!=Ji za$iS4YYWy4!YD=rgDj884in>t<+H7JeUmG)65F|y&6y&aooTlk&VRynyArYI+e?~D z1$M}ZLQDHW@bk6kD3{Ed1#d#OrpuUF%P51v^2RK|5rbC(>0x~1S%v4aG%4wFRt0Q| zr~zuKWLv&xBP`0QH?~!4w$8$`IY@Jw?Yx|Iq1$I)LsAh9#?LNepAQ(^lSad&W9NK8 zViYC`D+F`q!r>^Mv)KN!CX)9`=45AS6p*W7PVu>Nt8eEx-;p)6=S~&DQaRTqF=SUB z>aDr+(8|WuFgL?lP*sVU`C)UNua{e`%bSbHD6g0{kjB09jDj22YzxGA{5*)x&?_YK zpgGGJeQJf(t9|ow%B^Zf%`2c@&t**-WVHw2;x~$Iw+CG|$NnLE(B&ujsn^eaUP`y5 z&j^|OuMv{u$h>~C`4Vy@M_ylUtlWtC3W^GPN`q85qyOD>2|1GU29Lk~CDF9!2mePo z@_!$z`M*0M5!ra1~Zk*WX{h4sJYr=3RBc;0L+2j9RaW`j0 z^6W`Vcwv~phCOWdJ!y@TTHU!#lNL_s?a!$9NkT@wZxzd^N0?0DaHmug&ML)i8Ie&z zRM7YA(zrsaKE~bT{UIrwP9Au&!0)>tD4dIqkB<6Pk3vUTcF|59j$&@kJBr>0{0`zulXj$w`}k7=9>Y5fMloaDN-WsYXr9m=U)W;03jEQ*<5BAn4PgI z`nAV`Z>o;JR#{+*W(VS4p2o$2ZCa@;I73&b6?Z}UWxx;GI}0@nsa>-WG&txuKNnqwB3=}>w+Z;^ql7>b}^ecwd@A6y8h zW#Hqr7@ok}C`$y3f!SolT&!D)%k-n?K}ZE9i)9mzi%vi(fK7M_OezPJj*FZwM64XW zL}z%J9o8)`89kB>U54b>Lk3#{lL~6*SQ{pe^#X7xk8|l@`bw4|OEr9?;S}hwG*DL1 z8!ZK9gP$IWVpI^2%}!+pc(?_)WE|A6!yd+(4hPr(8EQDdn}W(OOlAgni`OUdZJB(T ztt7f-?=ltT=ib@4B$vUK5`Rgy8NAzTaGaMbyl(20 zv`J_S^YV?*0LpEPma{gfg>!JoFR~~JVE6ALtCLyFKa|ZHRbd&}Bcz3a5i2lIGXo0C z3LU5$uOnP-SL~=_-vr40dnL4i7478UcRlWnJu9)DR^qiNw8qJYW`-<7ocuP^o$N65jTxYG)17o6aEL4H zTA(0;uy#8;vY3Y0OlKGXB_dwCGsb8(!ch%GbiRi}mX9G!=SMUf$g9V|V_&kG3(NGg z+~?xP%&}@NyS@7~gGyjF`(0c>n?o!3pv_oCM=f;$Fq>NH0!+{ZjS{Th?eW|T=b@kk z??Sg^0ZiNEtQS1^5XyX5uCwST1H8H}qkSr2R^6`P%ostUqwiV>%fqu_?jIU{+gR^f zQ8o*?a}YZ$Pe~&HbSpueBagR5x37fQfkxzzl`FQ&oe3d|z7otC&2T^7N-*cg*fa^o zPdohF4z9wy<0D}0h*j2L&du4HjjJ&5=*|WnTe8{UgMsfVn2;Y=@bBOszN_SY{C6ZV zAISFr$d@1dMPwVRt$$IFmq%%>W#9WnWld?6N=|ahCzZbC2#~~+j3l09mc*lGSKH^* zf$JOFIs>np2(@!=7;*b(=Ujb;<}HJTE~`hxv6Jf9i5E zXtO1h)YEYs=)dOdJXjqjxIqYgPs4x*wGWQky=&k4ei?B#ZM9R4KQ0S0PMQ*%U=@BP z-x{58UCt}L+sBXd_x1TA9e#l?(h+DjBIBqBHN0^MuNQ(0-UJw+@^mu_&7tfn2e+9o z!5rOe+le`Pre=md^-@ZkeK)#I$t_1cqTH^4$%bQAfBk*E$l7Q%+$P|C!qq$*a*voM z$(2r_b8j`1Z+KUInE$2SecVKNzTIg*29y%_YO^T1R_jkWl$r%_uiGGVbgw(h#^vW+ z%whgWk1_bQuVi_^YYjJxhv}QbDFp8AwM(h}l1 z$&_6^5N`Op6~a5$e~MTs_Jq-Tc*R0tIy{H?zH8J+HmpwXl+m1y@h z$M-N(V*)#jWe4dJieeVGeVsfYA-Y9zol3qs>*cQ_6eVi04zw57JsC+lV#ZTWcEs%Lbq++o!YvXbN`v2L2#Y3z6XfJY~Mgjc`;WwP#ZMM!$eF zA&`c>HUd&_U((#S7isRI`6VjBv#-1cPCeEf3c z0^Nl1ao8L8Zh~^$CpB)8mdG|SZUUyl*_ww*n8S1?tNG2sG$WEhYl{3J9%BS8%@5-7 z85%8m0p%F@ys+L6whJh_kRd)vVp{SieQv6h^{J2reJUhP$Q1Sy!-=dGDHn0R?iU~jBCv<;c)Rf>FZ6qo@Pz=WjQkzIBzA!^octOJ31Q+1Lhv8VlQ2)o+7C8+D)1gO%|C>Od_TtO?M; zHH4i|0S2M;L7%{y&Cd7$5M4a{bS8`Q=-56G2-E6xr4>tTw*#fIH&}kVZav$pbP(4z z^&+-MvtGo9lL*#rlOS!@a|akN=&{L@9mDZy(Tv#PJUV0xpcx&O5TYZkAB%PXtkJ>X z#X2A&rXgZSZ(lQFCv4e2gMIDP2w+~iom&IZNV=q{wG+umHmJ;x9JxO^2A?^-cqeSx zFiovB3It?piFnX+MOhM?1T>U!$O%n-k(u0wP> zoT|&xbi&;3qkxcSWpnmHL>5X9!|>j*2dPP3$6p(#?OBr;vaaUd*t9+CE38(5yzIGG zZq=Z+=h18NT8b+J55{lqj<~YDOL9tQhLu}i&)n-)X4Ba!QQYg@e8k{+cX)Q&E3f_( zo^$BFpaUaUq{nPN1OD5hciPkgXHK#bfn66zUt#Ghj?>pzj@rXLO{Pcg(%s0bzX#tV z^6D2Nubyo@)(Ta%-*z6`-u~=g@n*x_d$-ZNcwgU!x2V5uX4Y}Wsf+E+pRxFP-h1A; zpp)3_HiT_<6FeK}_AJ?bLst{>c-}ir*cQdwFW=@@Z#kOmec^Srvr$mLV8}KK+$$|Z|5H*JFp$_|( z<$y}=7Zegv8dn%xIvQf2WItM*2%I?9X1l-UB^A+w4_FCN=pN8o#C+NZR;V+P@LE;W z(+KX#%hSP!4(NdOBLSgGmVi)yaE7FG8tG;S;lF+x-C}!iF)#!5c($Ho56=C&f`ib; zagy9YO#*wgXXsQE>LsBVI;3pI(0Q4Jzfa)5uH0`pld>5@;lD;U; zqxtJYKwJr$>4#`suY%uxH6YRz%L~22fVgZoYZ!%kWBp5*Spuu7sjEp;Bn)^>LqA5xFD)O;oMN_#?dRJ_ciAAQ`_s-a6Sc2JQ-+XnSIy z8P~{u*jVXGSy2@WAM&kd-lJo;LNvy><;O?2`Wx9ZKq21(3X2D*Qrr1o&m(ckoBdpp zJdbjSa9qh%R?=9>)l;x68ZiAcmjsk@p5fuQzT}dz2RHvmjj`YIsQ-zhFE?R5!f$PB zl$Jw2e6JuEabKD1!d*6)qt~6Rn8aNg-@`eP{taRrYcN2=(L)NxE>(VtMhM?nji-Jj zlx==j)%|9}F4fudz!sCW4uQv|B^z<2tFbGszt@vW`Hg>0Yr-aZBkt^}UHAEiKVCz0 z^cMcTrth9dqLyEc)R>Or zT}vaf{Zj%BbC+zsa60S6!0S_%XKd-Al_5=;DdiZYnG)xi_4Osi_p?NE65`fWA+RTI zJ?l{v--|0X&B4C-xJQz5iyvReQD-{z}J_LXp>RWbZp{F}?MNs*tT2miKOHkbHMi8&bK zZ*Zw-i+I0PKS&?~oB8`JkCL@0e=>iEyBjrl!tVlr56YdwP&hVz$J#csrPs6*fR>zq zV56pF`R*)ricTy-6@*R0CsvFk#wdZa8<)?p6Ix}=nO+6t!Bb9}qvAXk;*RO$lO)(6 z$id;{Vjof@JJ9H)D4&zS?yq3dz7s{CsQuJbhjQNifK%{v(3% z;OO392aXKX!EuT=N+>9)g83;k-jc=MD#qKrQf65`@N-j^Pdq3xu3}+QK1qY^V3LMqM^LgrttpIeC4S) zJgN71>%P+|>|+I_>q!p*G5*j*EQA!(AAjhBT_FbPk4dsfBIjdbPimO|0j`X4Pk2zpA3e;C_p!aDzOIw z%xD)Kk>x^kr*uAc#}1-`+d^QrpH5Fiw-A&$o>n2H7Yn!IQTv4uIiMDFhqD12u@LDX z$cX?|ev+*@I8_Bw0b+H@UX_Xp$6b;Nti~aO#?iOSq76MijM0cwyPI!s%WF0nbGBO{ zKpIAl%d?P)Au2AcKZ_3EEm*wHN-;EENnd5`*{#ru(d3?bw)TT~Elv0UxZ}%t(od0n z+)+~mu){R5TnVOTFlG&mIKH!X>3zE#R54dOtf+N%( zXD)MyQVLW9DeO=ODU<*VQ0k_+*M~NJTW)Qew#mHQ!ZytxXaK&}AlH82ZJ0Gp1E)26 zU@gQOLV|5x=U3i41WfZhk9!hjd-J&G{h}Dxsnc?(7wd3aQ$j|#4!G8bh0T{b%~`n73>SWsb$awu~??)(%RmFa>hUXitJ=4yZfj5n~5 zV1?HYzL~I)6d}Y!SYeIxC?E?4l8Z1sWa&V-fn768z&wY#glOu~Fh z0T+O$Z?S>q!iPnP!Kf@9F09aFd=2V1xYei&WvtZ7tg>gxrxzcZvqZ^kw*7Rxdi?tq!YxO8c%pmZ{_TJtVp5E0GZ!I$Q}DrCAUSwwJrE+Kt`*{MH| zNM~b02B)@3UN+zq!D5QpcKykPod8r^aqa=xpu$i-3(N^>ayu>qQC}QyHTJzcz03w> ze&%JgD=~pg6zy^nAfANX%Mge|$xPkpChLt{83)Eg4eBf4!Vt#BT#=gfQM9+G&@{ln z5lM$v5Ssc3O#>{sDL@(}g_q@k6$c=_B8TS0vo#yqbEfnul{+XtsT;SgoQELBLvb4e zSv<|^@vBHsVzvEMII5BTn0Iwj9|K)?45S=itNvjy1pAb?6I?^Z?Q7&N$uC6A-@QB z-By&(h20G4m-(Q?Pgr(sdp??RIO1zt^7khOe^tSA4)5>nzs1C(X8{N?mOM2Us32Lg z`>EHK_o9BL=+xJUzWr~^w;^z5%I_w8>28nX{^4&XpZ8)%a@k`IjI$0_9aa$ znDpgj3{aFn^oy|XPYA?Sn7}cP<}9B%tcSZ??x2EAU%cbtBvpkN?w)FnBU&{nGnMW; zDJ3me`xeXB5%IWC&EboH$05(|YwV%9nLV#Y1X>283nL47+;rYJO?O;aCsyp3u3{;V z6Eet?NUP*(+?<-{-r4By%>78za9>d9=5~iDd1IA<6q;cWU>l+wv!dqWiSfr;6pP-RLc0PeU%b+^)FMFXANw*`$%=J+ zSo^rxFOId3>wrE;Wb-WUbD%Kj+0=a#4wUV{wwr5k`9kHpx&9^b%_s1lK;;{8Qwx|4 z5%GmO%hZ?LT2fUyHGo^e8+FT#NtE1M-m)|^DR7&-&9`7X0l^DE2~A9@WImV&$%rK` zxrxCvuUN7g$OA@OVxOrF0Y5gg1RNMG=LeaxxZ2yZ9=pG-vHKepc;;>FCPQA=-rE9@ zb7-e9{Za7CZEL6);tGpeuyedeDa|k#+|g3Z6&0x}m7PlYx&QJ>F7ur+?WCPzENtyIUb;bQ6KTYguNOc0G0P zT|3M-PeH%P?ciNNI0N0gaQHzGELd&B{7vN(aLn@2$18_)j2#;2a-=G)Ap?S1c@qAK ziuZCLI9U2aY$&)*^0dE11PG^qHj$1AqU57nMR{fr5or&X2oWF96;{=YkbDOU2K4?E zK);S!#TdNYYDgUwhWJiBVZ{|w;6IY}k{}~@U(y&>VFqg4fjct>FfVIRZbv0_4PDNs z%C$$yd0wfHEYzJ@g|5&?!Koh+q-ZN;7v(Q zl6x^?Z(V%6ld^1{`y4RJQTK=AMx7kul6fD*IP9_}TtRC0_aHNgR!ZFmF(&JItcdrl ztNF8s2aA|EAAx*#Z2s4cn2;G$6%0A1r==XH-yk(^QQGy2vj|HOPxo+_(tdfUjWwM3 zSVVry*1vG?_nNBzK2S+@R+GG~!l*w7M-9ui;|$h_Q!mz|T6JiZvBo*|$8M@W#t!`Y zokn`Y{b63llRkzppH8oE;Phh089z|q<9Xu&(lLE|5$7rQ%!ulm{bq?X+lxpQHe05= zz-?RapP0&SHdZZI#?kZZ>bVv)lmB1r-Qn8I-fhhJv?O~$#^q7Wo710^JFa|UARs~p zcZYHi$a4hj1bEX4ccJ0A2Phevfz+qt3$v|V{Ms0QkxHokAHQIUyTSPwo7Uud*;A{f`!!nYtq0KM#?G{kHAnD#&X8pqI%!p8p`0 z12pOZIQkWodkwP~p&ZmeN!SPqX%I9r(oJnl5^ftRnQ&W+uR+z}L(IQ`e;TAcTy)GL zENo?6@xv8OlAW@q%0oyD*e-ee_gd$Ol|vo^UuTQ>iVv$$__;E7;3IQX(Yueg6+I$| zMGcwv2(Ics@P_H@vXRC>`9+VAn*?fj2T%IzvKGJ~t#K-LCzM+aYQ*07Sr}SRYXr=m zVNXJM(ydCXnc_x-CxK4g{Dcz!`C2@wUTl<;lY&4V_W&`N^6h8dx?(AS7Ud}#NeEFo zL0=h1K#Um1Y%P3e zPYr=eB8$wYz}VlIRZ;C}94V7%%B4L`NesdA%n#}KY_<2Wr$YP;%V2uCpL!2_W(H>k zmU=wfin$o3n!Y^-l=FH9^%#dvNJpVfLZtFJ1mtzy;ny>tW8v^`2s(dm02PF_3pyik zSA{Bh_&JSKjd^~$SH#=qKjZU)n6SP!_1!OqWy)o=@!udveT6}Cdd8eVa%%GxhANF1 z@Qr}9JIf47En0PrOW?@^Y~wNQfaHfa$&23M+-}t zxko)l5BWT65YTh-S0Rbu{`D_8A!Etm^Kv+{%c5S6b|4~o?V8RW^{mo~i0A=a=DdvB zT+T~)84DhQ?J&LOr4uJ3POk}uY|4mf(g56`o6;2Mf%uiCL#!BeI8sWy)3Y-a9hO(z z#PoRhbzZ5#Pl!|R)mAM;m-Q#~yxPy|9AP*U2;1M*qn2rgv5&TeH>=p7X^19e^N%tl zkTeIev-kkO2E+?ZO_tEp42(ujY0DyoD2Dbe8=(#^e9{749pZiPD~N;0?e4Row7`af zI0w(3Mk>=bb+Fcz-lv?-mi@VQRH;ZOEIeE1; zh*x12J+QgV%&tQFO)#4qf4x%dG6F#euYD4C>gx7vf9>ce#MrnyrWjX*R%kyfn=r3J zTi8-np)Gyg(e5Z-`XajKMmt8*zZPk?w6AY!fBs_C$%0Cewo7ksK>I!M!l4z~jk2hR z7fo#qj(9B>NyifLJdCh=bhu%-JG!Q@!`r?BPxvSShR@oI+3r+{)x!bd%LjM-otyZR zxK|-;gbQ#W(gI0|*t$ccbKp&N z;wJZ&=sM3g51L-+uBy;O`or5V^3KDf(R#a&BxW6qFTaT~M zwyrE2FRsw8Rj<%V?cEvh&Z_E;PWL(fkay_$eP#K~PV#QWk&#vuZGkL_Yk0Nj+Ku(^ z%F6VR@lbVSM6ZN~{|CM|@6A&BN~G=k9+T^8NR(Jy8=BsQS^xLhe6ckW8;V(C!<|n} z4+3Ip&h<{}{xDT+h1vhi4>o0bog!_I5A*7u+h#~#XJLTc>Z=Ov)z=f(;V9n9XsYX^ zh=NJoAC0o)<9oanKU(&d8-DxoJG+Va8jqfQO#RDv8$L`<;<`zA=Z^)=P1ORaH=dCu znIN{RKi_#nGQCXiIesw-uX18<_qN@lvFCb&(j-Y@EBv?@ST;f0)yj!~2fe7tIEjpl z&T(ZEy0$;FxzTA-KH+Rcr&&|AKQE>R1Nxn(Frc4BkslOXP~3U3^6P8e+qSm;nc5rF z-8Ck)J3eAoP-d55nciP`->xY~)UM&P-~e^S)z;t7c>C$+-Su55?BJz~^v~|{|2!?@ zjD4TFz{rX(-HyFfPXD(BwcQ;3<#H3ahUYj-$Aem)=Y%PpI7QnAIq|(+s=UZfHD0ai zWB*qpf_57soUCWUuxn^fjR^esr$oQId754RJ6_PXF8$uu?-q3j&HK2xJ7rOC!3GZD zGxw904Y?rS$U~^q$6{RgBMz1xuNmHB7K0>;3hk4oEL%d#8Q5N~EgjCXCH6{33s|^@U~uMbL;yq z9Y}vrmZPkZa_u3?az<(rsrUOJ{MAm;4hJ^l#0<)66I;pSs-9G)-96;|#}fJT5^LA9p9U)r z_|To`!-eHE?8FMceypbF95Dy`O1}B1-myD zeVp(*sK%paX^HQ{$CFXPs7{hVjDPBR&V$P<-pAJFE;P}7tAo+ME@E1yLv^xrpJt#9j@D4ICSho zrSL5Vf+l(MJ}QCBgl*cbl>3isbTO-|Sj08|+}Y$pk;lp=xhlpyWLtEV6`xi0Z_#b3 zr}Le)2LFG!MOTUA7$+Q!U!Nkh)YnLala%GkEtEDamlIl`c&PKbSD0gXf~L=^8uiL~ z;V;UJ>DN@_E-`x<`V^L0u7(>32{*}`5fWrgm#ddf4CH2KASO6~`}gMKt$Vm)CX9?x zp8u0MDOH}zF0#cy%B#Uh&_^gi9s->K%5YACkv?G4Rh!~eW`UGssbF>!CSn!AD^x$P ztbGeJk34n0{^0{+_f#rb?4F=@WXx-vVFRtK>r1E8irs-Qqo9NvxI#AOklRRE%JjF%bFC~^l;Q~gJ3(S`t1&iAJlKE5O7%irV1kp z)o-fv8d?38(O18z=Ck_kmNFBf>IXx*={VjpVzAUQH~H;Fts#S}?M$g$Z9xiPyVA{H z7t~%)y)hW_yH|AE-oZ#s$40W;4z&p=*HAOHsG+QYjJsEj7u+4zn*Qmt)UX*+LlMr{ zd;c-i?X#V;ZZY$xS0+N8Rt_vQD$bEIK+ff+lH7`5#pGhiYlEF&dpARzbLI8 zqvRJQ5Jhvv>SJ=EUZR_HL)~yBegyUEDSCA5OXDz2iJpSG7EI{yCaG7a+cPm@s1`~& zuc0WF-u4`_89He*J2Q`&mKu6;;vdogb_Q)1xM;DXni@2@?6q%Dbj+Y5v$V&YYmag zUDm+&(%K5vOucKZ{RN4^Fye%loeAouHG2LMEo6F=sYWmYydN#6!VxMC&%I!VdNP7| zKMjSBU=x`91MHG#yuZ(d-iZkCkqVy^D@MZ2h`x1i$w;^vQB}7DBX}J>H_1q_f2^%lH^xZX8A!Gbdoyf*|AR_a|P{tpm?Uu$i2~8PlL#~O0qB%y}3zb!? zuV z?c*>b83d>wmyOoHSKqB#u?8qclaBZQa-XSP1umEef#y7i*@@j zecB>s%bND)Ut88Xgj>%4(v~$yTP{RSPWh`0VQ5t_kx{UbsC?x^VhnfQQV)I2%(UUX zleD``zPe_x`9dPsaNzmZH5pqn5Q7|(nUa+xR4(YWeqU};V>MpYs7kn>J3b--`6A;j zO>t8gZ)Z-evdyUy5pUM`906SX1prhuz4RyO<#^r-vx1>AM1OiPIz9S4*}k0eBzuu% zy*_OA?eH+nx}kqHlL@>AE#o$aqLvL;ib2-40a@)ub_oV%z*V%RPQ{6=!wn$eVFW~S zNsdw9ug8=W(t!PpudUH1@f7jCo^oRaMIk^!{5tL>{R7>O04C`UtWVZGK?@=wRGJC; z^y>VC36p@QXsf~bS=k-7w0=UL*FJ$XpfMB4Yftu^i7i2jY(70NA{4fOiMXuM zQ4^eQXR63I1A(I-&X4;GBRt=jjVhak^}*j%>y5-H->w$ijp{FH8V7z8Q-*K~i<34K z`7^_Ct0L>fM;O9ozb&vQ+`(`(C={e?+>X4^N;H&N>=(t7s`D`mE>A+v?nxMkqUh*J z8emYU8U&L91ESkTO*$l#w6w@Lhy*SRhXcXv zJ_`j3WGc497}>h8@{h=yjEShj=rrN}FnJ@6A9ckhvwM-nLzsp7$4X@bR+DAEdN1q* zn$FVMOr#K_zJr)=vIWs15w3eocA_sQQ&%BJz32(Ml4XMX5bn_ud*-X3VJh{29Cb-^ zNxdno^^{d?3Tu5|RwD;Ymv`tDsD-TYlBp#deLcQJs26CeTyqQ z?@?cDY9reY#D9T?Sqsf_js2F=wDPK}mezj@bA3y6o9DMk2EccQJXzjb$(#D^L)?o% z(#8EZ(Bf$@)%=d@W*QO_ZLl%5dm8!(>^AiRwi*#kVAG~atC1ipnf3r%UPuFu4TNElwhtA)&b-+$X@31mKGW(o|cq2PD2Ce+F_ z<^l3#UsaHoQ#-0O2*XfgFL6dFX$%`M_22w=4p5Nt-|N0mn3c^8J)kf{vt}-@{zc?N z7k8;Y=fpfH&CRO({C66G4R+cs zRxd|B>$h_$FR~x`f#eaL6%KkFW+1@-e&nb>JXQM{o{-#d->}>GD_OhFh9ewk_0f@^ z#P+jUrF?GJE#>z(e)H(xnSdX@dABy5FS%}{;(aN9a*vam6=#E9^neB@m41U)ROG(~ zuPq$CGiJSE*CKG|SON#^wjEAE4JALQl#k9;KZ1;thU=isWk{^EuL_XUhga2&JHy=k zDphHXGv>x2@O{Q&hB1e;<6iF93$ua`U13IXVMICaPAO9Y;>@Q~m1d5b$QAv6^LgzA ztHl|aWm8}5*Ib81S%8I6J!S$eESYmhXkll=c=K7gQgfOWv_L(C zHd|hSPfRPIXJ+1ni5R(_iB2|^Xft`T5-q8(M4Jh3CrY&Lt2vA|TW*CXA6=LImarjV zPnCkDnQRdKR7paqA!xs))_KBN-X&!waPue?9l7_uJi{^@C5&uMVcRaO$$3<-l$1K* zb#jQ9m%G>c`;CWVn=J;}J6)XKuSb~VJY&8i8(}&G~ax2-$KTjx>%}rTTQw11_ z++Eu>(XCu-gI6eApmO&)@)5dAj{Jbr5}C@)hoSQ-WD@=;R`>GtUg@!i!^}x0FFueN zrp5Y`_6#JuZ4_lrS{oD!G(KxH8kGxJD$GXw5Ar?#OqztyK$|EUx>*t%S1}taU_W49 zHSPH8{kBNPy44eTz!uTxkJ1iAA^*5lN4L<^4k753l~$WP?e-^z=w?;$!;cuuWGiq!ASg(lkH|Vl%|9y$senK&c<>|XfHh~%Y7=|nfPIfOKyU%hUZjBA zF50295t&t4ZnY_5_I3nz+^n#}*s5Q?G#sfMvtP!QPQL}a$!zBji6JmYbW36Bq{E;# zXDy(e913%`urasdIlyTcNb!waA7^Q5(%OJI9ry@YxLsFR%>+fA3z+5?AkuxV4(3)p zMf|z`eZe3N^jd(ex$xn`0+9D6ff@Pcd9Q?kLB64oh4%DzQ+?}(LwSf+8<9&0;lM%iz`L6+I!((LbLX&x%)53+AWvlc4_)9hc!7H!O5 zip>}$^HC*`J$Al#K9U-6HqI}n)RFmr)9cI^)9R7qEuGDW`<<+10KHOxUj2UxSYnN| z)4fXi^CjfdU!WwiMRKN&Pt{*-xC4=# z??)UQ%SpBRX4*r;1``e<-LIV?*6Lz?&XFr!@;Q-HdBJ=K;Lo@pO2PEB_PJy_q+pg_ zUww6sL$)Fojw{FqnYtTq&Nbacduq}0Hy4U{mW&>_?&e3$nlw0Xpw$_s(%HHn-oE{Vp1r31K2iMPKOzY zEqJgnlYwELBWyn)3K<=akXh=nam^7sesJ>2%iVCI7tDZ zY1q8zWId|PcH=YedM7<(Xs|Rjr+!Pk6D;xcJx)5vj0(;`QB2bJ7CFNaj|uG-XE=Z0 z&IE!u@9Dehon^)!XZSW{$!@&>cDTk|2BW6ORp0KSffx^&x51^~7Vpw$i)Zscv~~x+ zc>IRU4J%NF88=PQ2QzKen-DE!hb?+ckAO|ME6_yxy z4P4VyeDYJVO;Y0eBUa%;h;_9_$F+kQQ~+svER7)F z?MhhW1;DbEaL7-FX9|?JFLeXeA23& zu&vU3(yGTG)+MXpk0FckDv7h;Kop%E-+9myO1#H+u*f`q=EeQapIsx?4;!*HU>7lY z@?A4H{DJS@2xG>7Bwpx-VJ6B1bMparr(Nc5et1~WznPpqeKv!?`fLV70ssE*6p%7G z$1YdUP*NPGWIn+5UkV7RUvV^^@!(4e=vEQ@KTQTlKhgGaCHYGnZLTr)j~kCw(kJ%E z{QdEcoD&7Aj_P$$%8uM|gJI6%Xz4#|ALxlp_7;{AN15!ZK7+PwQQhR$rE$*k9&$K! zUM~1rS-W{x@`ykkHVX=$z$Cu~L%ugLuK9(kID2 zN7Mt_ES`irzAV>TjDrlTiCFDux+h2R_%qL@Luymm*yUKC$&c@ zM%-1HkdkK$HRKyiT&_8$uW%mf(rnDh{}YSO1JC{USkBdsIRP(eEM8=>THkBr{ogq{ zNgMQnxj>Wj+xHq1j+mc&0PfUilkhwCY?Sj}u$h)k68ELb!Lj5`aCh^;$f&zF@CT4u zZkqZ0i9*uk^#?_E?l9t4itKFN#c>r20el|wvG&5w!wf4cbP=>vy}FRZ*^wnk(7r3; z3p`ka81I3s*(C6sB^2>XJeQZvqWMoxS9L})3t=UFQE6oy=vm36(^_*DI^4d-N)p}n z?HaR1Wi}9a*Ho|Ypw~{z6?+Q61J(eoAvbncg|dCIUe4ySDIqVI@Ua(C*Qc62207jNnY6RFHmXAGrbB5?$!{oj?DM88+;vDFJ^HC>&!4t-X_@rKv@U(J-^_+ zeJe^{-*x9HM`zBZrcSKR496AivlaMFeAx%OM5V||!DouiLTqo`=H>G%!yA()KJea; z6$8A%$7xm3dLZ}{q{;=Z2ZBEY>aBo-C$r)k-ZpusdPyHU?n)>hdF zjiQ50BOBqnA6j8|@-nCsZY{n9;qc=d`NDRO4_5382;cCK&8+$|42N|j&=*Pta2*q= zyV!7PH$a@0k^C?ihcsK$-{S|3Dj9G80T9k+>VG%{w0rWy5j^EPl32w*u>2NG7j05z z-)7IIA$?O%o8Y{6&DK1bwF&Tz8(aA2`hJu29fVn5Kk#4-X%+jazy^nfBq-ATpjYT} z3LX_5gV=h*F9`K=xF6UshF|S#-sb_sSxB~DcbOd|>>HaEWShu0KLmi|P~NTIjQ#SM z&<*bU``y?G#4=Jge-y9XrdnYs-KtJ2d^ZVxTw9fsaw`^E_m5k!+epOE{Bfswe(I_( zEC#E<7bQT*fBx?^F%8Va$ZF`%aROCzQrfkGvuGZCtWDgdb(|ApG5f^l#OG@a;laqy zZ20QBj;fl&y41ueuw5-5k<+YokW8iqN1MO)bT{FAOwkBTZ-OD;pMS{da}cMp@{f-t zv>r`1so5bICrfSTNIa0*F0XfA!L!o)?1ps@-CPX(zk>B@WUt}C{Y5ngeMuBNz^J0V z^1-6m0R_i9@>lFOl5I0s4!>)>I7MUM>z9&U)83^8UD>zensatuP*>8l*GUcsFnJ^$ z_mhVnNaV3yBHmA%1_qb&e)5-zg5C}Gu)$mI`Keh5YWXHpZtxl8gE#B_ymE8t#2BTt z0#%Xq&x?GjFhKva9~41YPU{dGH@w{XXD!$}brJbd-3qjTKYxoO++H-fx5W!$n~1k% z!!I6(V%nhgcx<`%5_EJ6yz^gGSS)(sKn2h&|E21sqtm$Ot#EfP_NPJs#izeF69$XR zK^`OgW#0K5G3pXr5nHKA06fat3WF!GFJbHIQdX9bx$}67d;L~8=RtxVz-vzT>uqq( zuZvd8zTOk=u?=ARvxx7o%@x8RHtH6lW*@b!k{qNKnYA`CZ4~(!V2w+WVmAXY@N`>b zX%n!*hcW?f=aM>SHK8Q{;pP)C{7@BDL0zrwE#?l53?R18l`)d-i)EIttuW0ata#sc zc;=y13hw|O05&_&a>cid&Dvqhgv}lHvT4w*Xt@$>?T`^ORf0x|6b zi$i80tnz5tT*cZ#a=7gR+Okg9E|W6576g{&)bbLr^BS6% zAi48(cltuLAlNwP7DTM{44Tx`WmN~c)?X5Yanh`E>k&befqx#A-DCIB;&>QbN9@xA+TvFQS7t@O z&O3F?TQukG|xW`w!K_KcXiGiBV3e zUt#=Trl0PkORuyem9vOelE33FZRVVaguoc1Y|lB}bzhagtxGG=hKxY!;-rDYUyi7D z91#M+P$NWhU;3)aJ_EIa`b_>Nt_?;?4I4B=E)i)|KcdIx=qbm&$0}?o=wb%wVy)Jp z9hw*3JF28j>6yr3Z)?q7sx4dLq|XNgOZ|KcEQPYnb&G~=dwk-wrgq!HmZe& zK37rL1BQ8E`%3_5a68d}gD*4745u^{4yu^*5h-XPC?$tfR%gtwK1dK@V^1l$P>WfM;pq5e^H;tgqV z;3x2TT#=P?bX#U9T=^;${5LslXfx`Um57{2YGLLtK6giYT^ynKi{C4N&nxQ_bx573 zMQOi0XD7GEFRjX~C~Y|eSzUs3utQ#!o!?U(erSU!X_)nB@`{x}K}>qW0}eHkao+BQ zKn4I|O924g;jx)vFy|xrBFtM>3Q5b6wuCs@FpU30ZWFH-vW`*s{H;FGttH_IJKwDZ z?{4_&DyEW_MA0lzc%LaBiXj121l07u(6#Z6SYBqM({o4|;i^ZEmY}hF5i&vqv~);@ zf?9j_+L6|PJfNgXBxQ|eYfKkLuGq{TkG8HC=e5(x?q}WyBg<(0p}nvQdI9bYhs{yw zBY5*LtoW9zpLu5*uWbvO)Kj>0Un4)W(wUM9mD=o>71^QaC44(znNnrdgs#KPiZ!0&hp zI6emdJqF4ekIjH^K%Jvw0B;DoCC8uA zhru1~xD?o(7u&i}a2y8wIZ&e0k(T+~n-)w&lTh3p7M~dnQ%UDDuaM|T370hr@TsHG z#$z|;Xe|9f@`waKI>sf6V;~jdqcs%8fF^$*;W{HO#-P1|)_BCgeh+dRwB0x61WhQ< z`2&_G+OQHkP`r{UGqDgmDw9Jt)yI{N|IQx6LTgH+<87>jp^&0$;kQ0<$De;xd3PjB~K-k@Kr zH5w}|f<}DqGCKkMUI6?W|Lf3vuY%s80gCFK1JskKpx&bNEq3aDt0aIiRnWs-l+=x# z;|0gLRZ1tM-@u~!o)e#;%6sht)N4@X$7%#hBR^^cE|{#0g}KsM}Nd^bs;-euu0B!RPnt12{{tBdE=% z!cqVmJ$x4qClmk+7@9h0oR>Lh44?Rj97{wINdXLq z2|2m85iv6-A&HZD|Kv`4<|29mT%Z}mlb9ogyj?8;D&Q151wGtLVV~BO4Q`g${%B)3;lH)Vgy*5af)H8#hXIv6V8ZtUWuAoWEBzbg9bykmQH-N-%I-JX?3W< z%lSp|x;Vbls05n@1sW7YQ3(Un$%61=eTV*9hTdlNR8GZpb3;x%BkNib_Hvk}8J z0}&DJ?!={i=^BY{a47v1u~IS7TgJ|v%VqHzi6Fw@9b%}6Ahubj=Oe%ak>Dk#G4}73 zyl1-b^lET(AS9SDuIv4$tJz$-#Xk@iSGP%MCi@S7s;kIqUEm+f+4Srmt`je7Ql8`= zKvi6%Co}&5tcGHU6j?lIo-9CNm}E`V5CcX@2vY$u_DEXM)QP2Ce1EH499xpYlHi!Z zS7)muV#XuJ|6npARrt+4;StGzRyW_Cu=eD|rID_*2`L$oDronwu1^LnzA4-H!^(~J zGgBcWCa1c5z@+XOGh51$=AW@2`T9% z^UGKl)|@E>%#y81cmWb9j>Xj`30cLUETlff!U&{Xw?(9y(plD`o9aBHZw&n+)Z{0} zTTFaY&zDg!{Uv-p3jFo2KRc$cwm)k~%PG!+BO`jw4$*UfSpwj*eH+L~NrsS3wf&Xx&^at;F(UFP&~U9NGKy;n@q2eita?Heua zR6Ox+@VutMzxi{LQ&jCG2-ykFI5z(a&iG5R(JEt= z+?Sw@HAWW-MjXno({%eEJA3;~OD;pDe_9`A*-IvlP5RxD{henTuuuC*IaHbK##rM%wTPtwq0RRO=R{-M}xMRNN;~XJzZ7NG{=y0EJYv9i}c}9<@j?n zYhe`c5r}M6)1zq8G`5iOyk1P2+U7=LafxllU`(3c$k2d-h?&d`??7QGuiSc2M%a)t zJ2@?dyK{vZN7WhW={&NWY|yp| z2N?lfeW!}COXm8sb)pA?u-KQlF zpRH}rf{a6%5Em2(fO0!7zy`Svqstd$&Xej8Ia~<4y5WJ`PKhBOso=I0OOIUO=SHqX z(Q}b}CxP%#Uj%q#lImjMP6t*jUVH)=F{$KSYPu(jr1arSeJ zV}gUUj+92A15tk&d^qcP+pxX)}=jASjRf)B;+j9ZpOh?Rxh>VbCq?muqlF`aM=*Z;n%maek4Cy(P zmuC;X00^E(TYu8BH7f*pfN=2LTUcd5H=paqSsLfI197iglG(;GvhuO) z=M?ILtEk5Lh#qu1Sb&Tyt6b<-1f=7>Q}M|XH#Pw!`2 zk0#)cSkY5CS=~Kmq8^ZsGMA2aQ6q*UU(3;*)~jSHZQ__J>Dxndl$qI))9KDRvF#Ej z=leU$mc_T~{PpoC;C)VCALfs}D{lqY4%>BxAa zb#k(GDaK)!7=QJM3+DJ0QrriwN=tSRcVE|qyiUXSPWs#Md>Gr6Yy4XNYl=I%G5hkD z1WqIFI4w1B%D>!ENZj#~Rv&jP=mdAP=skRFbE(BdrS4#af+tp$+Z_?smwM7hN3|mL zsd2;yZxrcB%B?v?U(2y6^awZz4a2Ox(ElhpfkBMze)N0x^UkYBhQQKX&G=Fc!XfbR^5_?ZmZ6 zS-m9?Y+i`?jU_{DnC+*fWE-kx`1wlqqlu24l;J+~23hE9vXz0ifu=M_bXz3~c$1rT z`$zl(oM67UgUV*vA=?~92$V%?X_oVThc|@mB&7b*Ww7p{ zr!UEest#zs<6$G&i}veX$sH&QsMj6t_zUUdcK}AQ_hLfJ9c=S~()kcE<`*fwr%rqq zND7_MM0^)PDnmv5yDfeaw#M^{-m3LuMg-G(2(+z~X9Iy~j%AxGn> ze8UlaYgwMgeE>GBB;}yOxVhxPvUfP9)rF|42--pxh7>H3O~+3Yxlt$n^y1QIQOz9=ukiRC^a>=n?1zrq6t_uD{0R#1W=Do1~N$$;sPf>ht zaeWM?-P#V^%Z^J3s-s`%9*h)-xx8`jE_O`N0=4@9Q$C8_srRiR7Q)D>$M*rJ_+4-H+aTNjG{$*w@K3N|;(~u-=AZdHt>$0AF{8V*HjGhGVN& zPsq~1F`HVw6_Nvy{A%!Ftn9@x>kn6{hG!U0l7bFTsjz(S^cm-D`{c!E|H_Nh!G7M) z6TisdRM0!BFj~ooqo(y`2b+rQw|K^jFL`41{nCGVV!|ili5z(Yc}NVps9ikK?B8~x_wWCbck9Kkl)n|-! z$W@#OJ@KU6t{}69VVm<4hYyTS9-a}5V*Xo~=JGjR1yfGdhc?Ty+|Q3jINc?il+Z2| z;puy8wdErDi$y%sT0@U=OWWEh030C5uUC^`S>98d5?XFik{-qQVcktF2V}Fio~9jI zb^2wq4p3^HV%;QJcOv{)Kq=0XL3L=TFr{1cn7;-dXfL%?`p54&5a%x)%mLYo?EcaN@PJZ=j*M!9A!n7~ zFZlaVew~!b03Cm$tGRA(+gL#R-_}ss$3(aNT|FAu1Cj`fA5nq78Dd*F#8xpB?~RIT zMFQHwfCn;_z5RiPL^fIT03FS@*lhT~ER6Ytj_Q$E!M0K0eEtW$j1 z+mxf8Zav;Q=%4-UYdeI4Y5?-yQRHTMg!K7L zQ3I0Av0KgJKp~@o@bn==<4RUTcVft)p2)!u6+XO-X~R)@xDmxX#alewL28qQAOyYZ z=iVE^LLOorrlwz|mFL5ci6K_ED|k|r=VU9Knf7Qc+t1Pd2ml@_vdDadIUr=OgQ7j5 zM9%2e@JB#!h@JrwzzoE_v_=oo9(YBK;KAgRZ1m4$#Hj&~rxhKII#`DZq4583_wMmf z=l}cgV4RN?lBP_eBhkqr!ys)st0a_YNh({~O>7ZLv4zw|+O6ocA!=-CZ3&$;v&~E@ zO1mkOl5=z%GUL8puc7VpyFd5+``wTG_t)?7`0Rck-*z%)<~`T@dR@=!x}MZ>jV8R- zdWk=0j6s|;C=%_Ky+QlHX;xc zpJW60@KE?9vD?SyS(+cg{g;^Ukpb09Fk(>qm#e;%byW73b-b*hy2dOJ;!V%UDxMj= zuV&(4EPVx0BRr!+`pOS`FR8ivx}1^hOC3h9K!`CSn~$e*triVWWnnfx_oeXcMDy%$JrCb_4Gb0PoDm((LNx+;4CeN8z^n&>cAQ&ys$ zZ6p)1yh4Rx_6yShICqE?x|_?G7RBmgne%5X0lG04k$n~8{+qa1He3-qX}ZwKemzdj za2H9z4lDDTpoHBKw|&Ry)3~F}#JCZF&W|dd`dSP0=gw~Vu(X>~*zC;vvOHJ+m%!2s z-c#hO3=SA5`jl#-+;E~!BgUMNYk`L+NVdeyi0k*_ig+gKXB-;2Os+Q9ThnEJL& z1~A|+9I@p;8S3*EsqnV50=hkd0mA3bZg$|42ic3FbUnzay z(Ji#^?$d8K-XK|VL&R#g5ZJvIjn8SHSV2<$FMgIRpCx8-P6%vnpjo3y%&O^g?pS3Y zS(+$fb(jgp-Vw3fI>s0vUf;JnwMxjc3GX;6V570)l!KzNLrXGmsD4Jxn`gsLL=Hi7 zhc`P&jFy4kWXbHG#H`E{Kg1=XJ|LOa2Xv~A^gLLjGu(?mSm{maFF~C{1m=>ylzG#0 zJ570{Lc@2b#BJtV<-4O_h>X$&qlbt`A3k48yEXbGE=3}?q)Ye2#-aMMwOv+5jLav9 z{CCAjTu?2>+9w!|r#mP)vAp!P*V|9sGL&`1)pm6^{l)HWShVx4hG6s}5i9zwzQB^U zfKk$x>L}FfqH_7x9=TYE%fIM|KPnnOvt*2^d4Dot+f>2#xeM( zd4Rs;(seWzjM0n{b5zEw*)a8Ps(cxaVoRY?6&~I4HFfzA<4f`v$O|i>X!pyZk1i=x zGln;L>>RA5VLDAzxA>oYmE~#Om=hSrJukNCl{;-ik%i2n??dza-o7*xSS+017gu9` ztEA!j`9xA((Up9o6kl;E)@R34<>}_lOH9?u{Drsf9mDm|WA-sD(a$9P|;c|WWCL=$F;P5+$Vn&RFr&L#}KmWKSgNJYw6SDNql^OpBg{Ux4X-Z z{?u-pS%aPvsrT{DLp3~UFv=(xDxcS0m#$A24rkAkhsXlmqz_Dltc&#mwx*c%I-dO5 z^PAm^Tzf+XciW*1MqR|}4#};-Qw|kA3p-SEqx)|(%ZOQRlB)CF)qT!AhI7(|tRDF3 z*k&SDkM}AmxzYE_iT8&Gy>f`2u!~h{fw&nk5g}()Xn^R3Eq;i_f+7zgf)b2WhBg z+_yk&EIvqorjxqG0gA~jTM(WBCg(A;<6?C;=M1N;FpLWr+LK`zH)iibiwno# z9-l;dI%Q~L8byxr42|BaxMJ9EQN^SyC}HSokPU2A6-s3IgW1oz;5kgySk9T)9&htE z?_B=19HOZ$c`(|)GA5Aba?*+gW6ps|=3RxDnFhUZuR^A1q z$ez!XPXVU5$=z)EdU&MV^SDE1O;34}{DM@-3N52uHMh$EDEPBJYgB{W=yO7ajEI%f z2bG7_(|04^Q^?xgS3>JVqRV-t)5fV&lQA~pU`-HkX83CT!jDPHsv3MHC5`-Eea&#j zbivr(=5xLAnhZ|uy$PQ$TqpCZswT>udCTqCb@7jR<^EoK>dEk`Ua(Y=CEZlY?Thj$#&@?ulW{srx=|VT6`$t27WJBp z-vyJ3dcRN5#3ts$4R6YQM2^b?gOx@}X5XWBc_rKZ0y`LplBoHJ~^6>+iR7NNS2ZlqB4Bp zIPaY=%b|;GRg5Le(FwAqK*i$377VcxR3`E%mHrv!ALz`Js+>3xiDPe8u3{d_G?1(s zuJ-vBC#2X&ooR+6s>mgQImU!YDElGgb78n*8pBkGsnID{IwqH@YBTDCyTEi+i7_0` zd{uTBRSA-D)`LpWtD1Qt7RR=>V;F=&G**P};wRdu6BSau19s~&^LlewDuK4_}niX9I&za4s&=zJs8 z<*;Z8YBC}Y zvzUG;W@zB6L7=#e@&C|yqCWnn@)sy}!VvO1Ji47iv7+)sQ&Tx-AV#g3%fNSxexd%4 zt2_~!ZdMK>BM3#ETO2=kVj=@}l&V}ux^yzRgKX(KV*zQi7mY$(Efu<rF*zW z-)&L1VZxcA#Xd%fk*An!i=j#V?oa(+%(j-ZD$5>Pt9{BdO1KXtWd-p> zk+tzdYTVggr*U%{#eB+Z!guL3UOET=;BHC(-N9BVl3XhNU}IU6C*qvDAQ?2XDY>z~ z;bhPfPobm9AV06F^;Ap~)hr@FB3e?-V%>SFvBUeC2NlZfvgN6s*TKO&o%UPrBf{)qbd#A?lm!nkkoEq zLJ>w+u}h7tVLHMRA|qpjl(1RcsM(E&$al_$3Fmw+ zZBHI%4AJ=6q4pcYuy%=>uZB&mA}_fX=61|{=hY7Dua<|LOFB_aVQz;eUCuK#gPRAf znWkePFV;4OkT9bu9PYvRf|(wrU$D+oo{b^IIy+n&KMY#Ta3t_uHxwIs4QEG??{5(- zJ3#!ymBW!x!jR4VSXP?7qk39^H7dDXs#Mt#cHS8P|O1YcFNhG+kdV{gpLdRVt-e6UfDbocmY z8N;=Dp!F#|ILQ4CQ`ca9nCnMH9@FF10~Ku;tIjSP_eO<)FDW`*BgoM>1 z9o0UAY9LArIb|F49{hwJX?M2Ru^uYzsnMe4KDDJ& zX35x@o!vX%9jm*D=xz8|c;I)6W{vgpCFSw@*G=NmTVY3y84KeN$k?NJig=ylTwHPO zjf3TfSm4Jk%_U!$q^n{4iSLB?b09D{a#mFpHS&#zj}qp(a^CoF1T!7s9UZ>_8VtY% z26(hptsZ}i^5VOhY&v+FFr}V6cmlu|>y5W~5Rq!zaK1k6-0>L}ptc z;CiC9ExvJTpD(3?7u$0tVyuBAOwL62EV_3X5Dd<+qIP1*iFo`@(d88+9W&gDc;NB4 z;Z|h#9fCr+Rvdr`>``#Qzk}<>ih%G5fTjWc9IQuG@i}y~Wo=7GTh`U~4#aELOAM4~ z60$CYksZr`E;UQz3Ob|^3T-E`*!&4(KsN~vN?65%CQVMJiq)hecygjLPdZCg=4cef zE5e#KF~$-6DZR}78LLnbt!jf+N*+*-Z6;o>6}td;ZGgwWk_syuMOFOAyyotySo0E) zWD7*LcM>@V+nNbxUMx1=X*&j+krx9OAHM@0!(Uq7X$zN68s=JX`OqnK(qtgAy%W^t z$-@L5MKGaFhLJL$8n5d^DM0zhmm$_|lL5+@^7)Vjq;wiIEm_t9T99TnyLpHC33kw z)d=GDWh9x--<(RqqbYuI+Ee$Dn}%cOLD$A$67`(ggUMUVOpU@}fu z0Rj6va9_hm@; zxi7mr4f-+f?f)+;=`v<^0$8Am#_yh3Ij83qx41|5*@Gc_jS`-pAPy&0L@C*Qi?M>; za(14@kcX_wC~pk3T8#HjxHkPSqn+~Qlhpf~4P%B6bVqw;lTrTs4P=zR!DW8{vBukY zZ`l$?t?}WHCq$avE{rkc#!gbM(I9GtrUNr5j0Bhz?TQ=uC*2@AMOJxTP>4?3M?!Q; zD{finZ5eee;&+b|>RM;i&&*GCial*}7VDVKSJtH`zlu;Vgv`rr#bM;sTYv?S(9yr7 zb2s3^&2gOxG-1aGASE#8G0r04S5sh0a%?okVWgex}gg z^zjdH8j+|ahFb0txy*n^2R4|j*Eo&f8JrfO+-{gt0In$1ygP+}Y5;eHIE;Yw4R;!- zk_SwH#BpNgbPUBss`I$eLzDXriM@06xeHquMsoXTEm=*N ztD6(*`9&_SZtgksW#{+XUEE+**8oA_6OqB*{o`1LH`N_Pw^x?3+MSGz6{aWmk0^_8 zBgq)|i!?QG#e_JKu6E6YR~=oD+B0qOIb?JMbk2l_GA+%&hD>s1W|KPXjx{keq0b}J zNMfDmGA+n14-b}tgnJOb`kP{-)gI`K$BY^!J``@@we>hlc{t#<8Dr(vlc?E1TM9w< z0Jdqel)%c_@T|Z!=5vhrh_KK($rD&hlJ1^l5DN9f*V9z&3|G`mPvTfV+#aXxsc@|O zBBGDt@W@E#zP=*EP|Qf@=)s_hbl0vqM!2yOU+bJ0(0n;r-#G{G?YCmiK?IBD<81wS z^_(6=k8aFGxU(^%-N<*Yenevk$w$qNvTgccRxadBo9nY%=)AUcF7lnIkRq)`b3ugh zNm*LWgO6ovvC++W*sk=smMkjMo3|OiMPsp1P31fcMX{iS1GtUFX1=G(|1Hob9Fa5| zy(8w)N%-gee-cEMK?fPCXg1QeP|;Hv1bJO$40F)G{rNavs^Wyr`0zDNoOi$Ct9GLS zXTU6FBZdm=G_?jmT?a?R@PEk+wXu=R`B;6N-M{+ylOCr>$8)31aQ6rZvb$O1dLFQD zNA(!SY#cRhK}W9bH{`6^tUPU1qD+H$siuar=Q9l$BfW@jG=0s3sap)sEVmvU9BXZE zMyF?>i^~?xT|Pmvz|LCf%L2Pa+|UbwEk5J9iuLe=4teXuCA7v&BWsVR)h*ZS11mh^ z7r9K+rhn@(Sz$M$&ig#sdc2s)UVA{+bK36enYpiknd_71Z>WNTQ+JIi;?Cb@tI3}% z<|a5$;jXDtO6K^g^FR55Cdz{-P4rz5%1(b3v(FjOvS16zdM?=BpY?3;glUwcxu8pN zaW9Zk;Mr)hFerlWw1b&s^t;Gq^+Gt}2Z=lvR!2ez!Kke+EB#H|p^n`PF;51wsC~zv z^3Edv?Y!sVY^;l4v`#P+jxDc65#z{7P4c*jKN0cp=tWR$F!^uyqWgmOcr7#Fo3?>j zdIiIShnv0E`e-AlyHpz_rD& z#6#SiFM)uH@AMMLHK}Mgdi*w{U4O|)U%KmQNgzC2?vZAd0NgSNoJ(qG^6_bZxBpTP z-~cQ}4NEaSCa++rUl@Ig>)-}3L6t6r?FUU9ZI2WJs<#|QN_aVkdXEG-Mi$?@dT9ye zi9l}RT<{ivCnE4zMbG?b?tqPw@bzW0G3zEx&&w7zci--il4VEBV58)2@7=e0*#JXE z<`XQ?2Tu6x-KYAVpcYi>kN@)Ml9A@}5^}0`l$OK(M3YOkzK9$_1{msV z2S5A;gdTl4l-GbKw!scxg7?k$A_nrH%j^w}A-LiBp;ToA9+~1ttnfG^_}P1s&+JY% zV2iMLzb`)cK*c&ee@GT&?c6kf=;!Xx3jLqK3Xj_}PR($_)A*7=k4bNZV&4ZLy#R0uPAdT5FT{>{fFCQk1Ot**8X#2U*t-(S1@7yrE63nS zO)5QBrXrEVTM7RPX#6RVOVmd7252jkhJayxChW14lC;6Bi^c?KlS0 znxY3~m8t;87nL?um-bg7k2_Zl5qS7zbm*=!g`X-_MfjvX_9%ouk`!D7vQnxX!`4sw)mRm8S%8Bi1Wg}4x$A|tdTXQgbnfiH> zqufncqN`?LkAYWuqB=1@sTi|^G$OptCX%_9ezaGyf+?nzEJ^$4ip{uI%ZQQ_x)Zd{ z=+<1=c43D#sxJ$R@;x=mjmrAs@`T(A9jk+)9Fm&|Hj5pn?amT9rYy`!{sdwYu>XA! zNaMMGc8V~5sX(>cYE2*nZiTBiPf6yF6FOaA9oHmuVy@oPN!8wtZ+U!2wYJ3qu}h~+ z;4a1a^IIllL9oMbH`Ib1e!ER^-bipI=M70SBfTB;E%jUU#^3~(BL_I(3mP0+F~^J@ zDCGDC;~-F4Z0H)iJ)QEs1~2&KiBl;U#{gKpr2q%~Iw3*Na6qgKfl;Rsr7DrB;d_V3XJ;rZIxxs@^L zlQ832j};v7Iy$aL&`43zNP|XzwDpMIJAu|z^_vq%Y#`{0`;6~~*)%*ByUSLiNe4(nI2@HY+6@lxx2K28k>4z$+3RpQPmv!8HwLQW&j6~5s^iY@ zAOOEcx~JefrxWp^aC&~{&Qp|{eh2-bi1~-J4e_a3eOCgaE%pQujF@=Y7+ACsz-sR# zbe3$KPM5PvHaZU+7)wtV8D?WYYwyO3B%8x|cBQ!+&Px2HO~6+B4ZSInO^_mR+v9Dr z_af%{y68>WMTXP+kR;eth!pxQIMvC*IC8=3MX;VZK<aASm-HdjyV1n9<=LWAfg{ZyMzLL{HL zIS=0tJpOyoVlsmK-q;LzQS9mdehhA8q$&Hcz=nKMEpn`T{6$C%1V`)yWPDQ6?qA~$mE$q zW5e#b(y8K*Y=5Sz&c?@<6O>{N&;2pQ<-PT|ST+$A*#I}^do|qrMcthIGtPy512rCt zX4$8gd%P)I>SLp*@i^Isllvwm|CL+dxyRbNkSnbZPNsTDeIIc-``wku(o!Ok51xP@fK*wz8dj<|Igv&bcJ@TZbP7ykiZ3{cxZ#m7#=DDW9fW*{!+DPh z-?j^a;8xfy7s0Z)RUi5x4$oU(Mv=le=V4n1jPbdIRIPp=h6<1>=bdgM>x>5CoxspKm*+lA$>Xq_w7Mclg@Kc&8m49eD+RhppcR_7OM#o*> zc%XN|tI+y*z_&fMkYB|QB;JB}0hz91-DqcH2$bE11PB^;gw7LF6J|qaPW9j9o3N0? zbs9qff(e8hZ-P-Z;TD{wU1G<(&E3C}8JFJ9spMnBD$t!!kkNa%({pRso^&ED>;!T*i;zK|;pa;16D6KPR?DMwJBDcaMTT z@M_4$6+~&@Z7Z0mho%nuRf#?Aez^ytjeVIF^7 z_3=@Uy1yijwv`RmrB zz}shcloxC)Tl(AN|FQLG%RR?sX2})?^<>u^X#9>|4irzee75xM*{EL~OT{u5h)4awLIJPx~oe}Z=%i3r!9j#UtX zr@L1*KJXq#x)*W{Q^eV8+C=R(J416(gt_+s9in6z5VN^iADKw*P%kb<`?X}Bp1^%G zOwjv`9HMh-sDfN#Joq z%#}xHb|A|=b3ZOFF8;y%pH2SV6Y7A(629sCVG;T9RY=1A?K$*?HGpdLfky9F7}&F< zd@?xNlOBZ8{jl_npe#S8`st@fD%Rn|)baRJ&~NK53rgCu@*Ew``p;QD6i z{>I>JOeGEiZ7EAU2zrbhBk2rZikR$U$ zC#3!7e-xzs1@4~!pF?o26S4IW81fjAWA33q+&xJgADB@1L)`W=2}8pV)zG4gr{L^l zV4eY%Om3eU&>m1wtd`*yPfsb=$+RA1F5@22GdZ1)K-zIv&D@H>5!ol535dNXOHs~T zT16jEb^+~x4?TNLXBNouaa!mUoyD_FZ^GcrCN&GU%(!7$x1oyGlDLE3 z5o-W4+y&Z4obcmNTwid+eIOq|#&fci2@OXe9HR@S98>vV5?+|m`wIZp?~>8jwqF3S zuW?ZS#kv2W{tFq=9d2JQ`31@`>>*cnILP+N-|R&!osN&2`(?$?gd$qvpWgqGA|6!J zP}5c&;jixWubN2sp$Q%=``rjQjIYRv5;wyXzQzCPlK2C8uQtzDDMSLEmc!~ptHP1Cv4*4!O5SER9g%+7MhN` zVt8hS>FrB^R2^=|JmVOJia2hTmi7F(He+jknlc-npMlQItA;LT`#Upj{QNmhcInrw z)LOPpH%ego(*`69Wv}nOqVjc?@AzPtID+)2|7-bKbLrSJJ^3mt^*_K}6)QNdDBCQL z^ge&qXVCda*38(m-23xl&P8njb8PWeFI#G*9N;cA&1Oe84gNV+%qcX5Y&`QwuIY`@vXt*QXF!ROGY>kmJy&5ZIs>pK z)}k}OYRrW>(kihtz~r|eLc8yAR{ypUvC52gt>5I>^l&fDzXfxa-?n1o5b3)6tqpx2 zgcq|F3*ygW#RC=yJs);<9p0*9!@kb55g1$VpfPgZ*;<7awCyY~+Z>&G?J%DJ=yJD0 z&FCeqP`SYZ_g=X5a@Q;F$`61vB#E1=s1fu*+~^&-pN`0r1F#WdZODUEeDPJt$h>EW z8_^ldJ>WIe#ZNc#0N8kzXD>*emGoxh%YoTAc?`}cVsSZ=m-&IfO!B7YW9LvgFCR_{ zym3$at1!<&EGD+3b4&1IL{8Vw`6=>5=i07&@(f54>l}D76zOLO-eUp z!F=4EA5wEal2}?l}7eJ7K!!0 zm_^d(fDDj+%#+F*5*-~$Pgu8}N8XV1p-FG5Emlt_K6n6<%YQiF4N%<{6!g8us2O{R z&CtTB;@dsw9d_pj9Re@(R|zc)_+eR|N9%?UYj|h9$K@}KDE3f^Kcp4;`^5MW{w$t_<%SD{W9~|NfFd=GDRq|2P6Ou&y~bTX!x`8&&tZyE!?4{q_D zzk~M^7tHUIfeE+;ABhG{`hB2ayq=sn_gpm2Nq>cD$&`!6mKYKOyDnM*5@3hu*TBOs zo}`wUJUD*H#e2yBS-B6hF3VDehF=1rVjPfjX$&9%PmpFJa-Mq0rQb##ugI|%V{!>P zI=pxo2#0K+S#B{qmz)u6F}EZHr5Gfbm}`zzk<-VJhu$T9@#=ul)guv8a6pfcxLlrF}R}tS_kH)&vtJ|BU zXNltS8Z{>SmCxio9$$VYVb|3z!K~Thg$2?BmT6)x8Pk^{#<}*;8|#A7jVBVmEjHFK z-TI6nPsRD9w2n+!Mcm<~ZD^6g-nw_BXCku${cW|^P)KzV%PX!KuM>DUy;{S&7J(@n zX4}EnYWr7LTx-PYiq&Fnd-QKR!S5=|mSK$Fn|h2_2HOPbm?~TU89U}1*APBd_Mpfx zjZ|8c)nkoAaM^2|?P=kNw0sff8Rq~|<-|LECSiMRIrit^BY?~b)!{hyG_2#4|3kdl z?k`Lp-6zTQiaBEE8qEq{0Ohs3<-CeOG2KH^aR)5@YDPy&MGe{RQ1MhAMyjbc7F_qh zN(kTUOVDW5bsYiDxH4S&=Wkxe7%uy8`*Y3fgbKe3>Fc}>#W;-|OIm|&xI`BleX6`M zU$W*(bh}jd#?mig%&TyAlBwH`zZ416`}m1S{>#5`NEtX}FVL>9zjPh!P>C@>Bb#7f zWGbIl8KI_Xp*CJ^gfER55bmiY14^P1PD$x&7J1{5#t5b>`!n$@GL=U|Yc%p8MIRVP z6Vzl{Ut-b(r}~m(Y9zr4<2NSK)c6f1n5Qw1dD13UhkMqT>~!})6K1KF(QjvlCiLWi z4Q???tZTwT_c4lu%mWP@KP$DmLfV}^y-}NB9F+~Zwf@uQDP%)yo$_L`hi#R&o-D)2 zd)1nKpZ>%5%{=|Z_szZkCA{A6`_{br_@;w0UlAuTN3Y22s}Hc_XQ6GbBOQZZ`}p9d zYH?{z-rB+yoZNs`R13ErD276KJ9&k8mdP!z8!0D*A$KX-?72171Xu4ZH@#@S14BjS z?xI_s@sBYst?RtS0|Sv>O+^jdt!ZUBLeA|>5D=inQFa?vYfP_iyDR1rI{8?M zsXj5|I+{PiZkWK`qsW~?a`1BJUCN?zCSMNk34tCy ztS6*hjz77A9=wS0WEBPze9SG!qKfW(6GH5DodV-r^g7lGEKh_(IZ_i?8PG@nOdgFL ztb&uo1j6OyK?(qk{mVdlwb&^2FU%`zdW#L+{u&cRl!iNLxb(?pjK6@@H^iJ%&;r1S z@5(W;Bnu1fg7%W6>RmREJ{%KEGiKlGLHPZ-R(I*DC4+k;6d9){;5DyW68!p5fVt7n&{w)#f=g>D?jJ(gU*dbXd25y@JF<~&d zojJN3{7d`{nIH06?g6%CAm!3~ng=b0zR7HVTvHQ$4{a$pa0WKqi>IVKsmz4VuHdl- z5q3Eu!)Jkaw~Fbi@L*Mi_bUKZ5QY)rL((*wIUy6)qht)hDJq5nY5aQ>iI*^Uu@mvK6F9i z9tvl>;*YCAeu=={P!00i^(tg%HPZG`iggv$be<=4UR_;}HWK_DC>AtRKclS%BfaRu z?d6>{0XU^M)PVlNnTQE~t+1s2+k51$T=Ng4Ot6$1oV?%{(tk7uIsh@SKJq0~z;^XV zfqnNl-v^j|8LD6g^Q?w_DryGuJS78li#h-IBeK(6=!eW~S*s4m z$)BEfKb5iZVKkWJ!ua@uNE$o-MA_cf&N$s0kyYAn@jC1Y;!KFSgit z66?K%M$bEJmn$ ze6K|4nDwZFS_x}i;`!q^`&@ufwez*=;h0<6g6}>WcCY60r;uz(?Oy^n7kHs-?;C(@ zT$Ma=s7ikwto~tU5r%V5Ab6ub=SlTKObPHcESB=^iLmnoJ`fnjeD7jPfZPAyQ|;K& zJ%l;^4XGPQ`FFKvGO5Xs@;uX9$R>fmLFFL`-d31+eE+eI;0>0jJS{K0CUTbi<0SC- zLFDfBk2{X!h+)9Q_d@7?;~$_W&L}Yz4BBY>6dpdKO)wTewG((0iMT;eoqzf~{d1Z> zzMxNiVB)h9a#ugSNT$t>SAO$CGyK(}I&=IevZkUAU0Qk~PMv*AG&(F?e6khx!8({f z@Rhj)1RyI@#6?rYLfbWgH^@9|L=9qenC!ze-j|rpS zN}wne4C{fSV1K93C-Fp{LI6twAYF^DuuchTOCZ7rN!TTHdfh7lmJ-jmgt$L2usBvv z0v7f!rPh%&;1_(Tgo%fMu

r8bu~G98!s%M5r)TXvvAF48Fo!U^Qp6{1XixQlQ%-euU)vuXh%QmD=846u-__$FFO+pI? zLt9iCLj8jbb1fAUL-p~p@yzjRuG7^PGncTLYcQ9ldGZ-`%%h9Za(Ph07Q1)seAGT% z(0A`y_gT-qPM>2ykGvYq>dW=h9^-iHjc$$}qXZ{3U0Q}19Pm^gw`kmCZ?vP&B~6!6 zWS)G^>i4)<)?a6>bhuU1uJ8zw_ox5)x@#sFALsxmi(IO-1UxLwz;?eg_GM}80zv+78AaMzP<*xo_cD7)&h^_l( zVZJMVnoY`O#$W88YwTm*+!BmNUB%rn`C4>aNIR!WTsDhX(oQ$QX#7H*p6T=#;$?TQ z5wm>D1m>vexLrc46}qgs5*NhryHJ0~&ZtrEa;H5EHaqeqF1N(2KWWbar$QsM1_c~% z$4XqxBqG*ZyAx}T)bW3vZRrn2O_%exV%9|oCg?2RE57m&v@^UjpD1x2nNd^v&i2Gw za}g`|oju+~^nPU1UW`IKpP0qFlzYA#zq0Rpmc+S8783M+f`I)lqsI094>mtsjQ)hci#-zO7pXJN!h1C6OK9uSZ+`fq(tBA;pH@#4 zULJn@ZrY-da<%zkF0CMWFLCZVvsUY~9coTCWz^Ju)@puuTin=z_vy3GX8Jnfg(J#R zKPQPt#GdQ3DfrwWaZbjwod}I$iCDcKnRz{To<(25<9p@d*!3)8NqV=P2pv%*W+jM6 zY{CcB+bnU$x=Gfj6QOBhRK6l*&tX_H1YoB2@6Mcl^UVKbHu4qm+sLF-UwM!+&mj1% z@^G(F2J7{eHJ%MZ<*%xSlBNlyhu09Wjk74IiGB`C}^xmwdtdfUei?1&i7WRIUeXrXzs0uRTs-rJ|Q&R2GdwN}eE zN-#w@df5wu1A~=)`JP;zn!xIwl}WV1=-=I9ky?S1)MZ%LvnfHbSj=Ym#=6A)gds*+ zGoLqe?>{I=8S&}ZGN!lsl{em2%7=wZ4C-q6;}p%C!r(S@2fiZ$_to#f7O(}UZv_Ow zbN>v%Qb5CXLAW=%*$0bFSYIO&fb-j_9C%%eu}r|dp6P4p$87(5MTA@x5g<>FNs&}oy#%dxQ8lY&kSJHgCVSpBHs z41$iy{Aqep<7n8y@c|dl6$L-nG{^Y~;%cQPWZxIXhTL3D`(&z{!Uav}xh8lpKC?@Z z9G_&41_j%rr8QuK)*?3Oa_k1zQsh|N6*(3%K+*ym#@3h43k<~vp-o)SP!y!v(0>bF zg{W&=jia^$q9`C|ctaZ=XfoM=3HlBh3PnnFK!`uN!Bma&U@s^! zajNS8a&f&zV?UW0DKd$a>Vgqp#AI6+ZoL330N2*_L4su|K9k4qh#|UnpG~-KCY73x zb;|cXtbu^$j(;%!cg4Sy)aq*WRErFqeVBJz$M(Ubs%I$hm@IOW>J6s@<~>?^5Qy#j z4z(xhVbF%bMRb-c-Q!iN&yWN?fniLa5@e_A`XI>V(L@B*(~rd%1Yes{{e9GmKmQ>4 z;(Y4r0rgBW&>+KT1APpmeJ>k>0hbye)eV8ivdsYO__b{Bb%PW#=!i5kkiWkNIc1QF zz)haf#v7!CdcDSwa`56oSU35ZY%3ZRPu~)0Qh$IFQUl2)^qCHl;S_=tY42bV<6lJX z9W877`J!rmKVMYu=ZjKJQ-y`{~N?aqZs*@NsNiT4r^3TF7}jF4^4WG=!w;d9bY!tTJ#>C`H;J} zXW=B}WyRrqgK(2Dz5?08pKNoFR+w}&65@$T=MY=vyVo}6Wg7?4)nwR0abbS}iYv$V zZ=pD?aW?Vv;d@LGb<)^uKT96kT+O zgodppPQIN(wxI28=aB7HWQL&MkUl*9rTVYMklS_*=|XO>QYxjbBoT%|B~W?|Hz`_4 z47UPYaBQ!u=T87UrWnGght9;Tcb?R8VzdHgyEWlP>uBuGC_*9r#njpgrhF_ezMRpq z(+I}u1>#xJ?O9~Kz;NSb6YqcYIn;5(7{=pu%M_87*N57ztxVw?LA7VDsW*c>hZK<&3M;12D)18SV;e*yo@2NJ z5h`&i9}Zjwtf>xP*y2SrQaOOR6l(^C--3A?=Jvao!w2Fou!tjXNAJEHEcixJ@WZ*2_0kavU}o;-NBYezU?BV?+d+6Z@rN1xQx_NpA3 zH+O}|S$)Kxbnr2+0_V|pnVp#Qj`V?Gu~O(>I}#XOdL|)hq%&PC=veci;EZDQKk_2f zNgOV(&>~1q8bDHM2MR&uFCz8?5vrlT=uaMy&e|EtHU$!@}Bk!`uvp#og)4c;MnD*s?!=nih)+6fznjX9F3S^bjE0I?`W)UP&9=CrDUA69Mvcr6*LG+u?QDX`R| zXJwux;@r4>U%4_e*0%h<7#{KRJC^VR!*C!!NUrA3ot8aChMRWa<}yYDmp?wgG5S2@ z^#*9A6oA(bz|+FLg1BVZO1f&$6h{~+iqZQXp!1SxaGJWa4b?4VdBmMv!<_%$>(!tu zw(4-p-W$hIW@yrot2Ls*+pKJW8LpTfOwuj~s61$&zN5xU?ZbavA2y4>NXpq~xzv;d z^R8gux9ZrIF?|Zt%o62@@6a{2(T30N0ZW8V=SWLE9CwA#CF&JH5ftZlqQC5t|~Y}tHJwRFi55f_J)mB z(NGmSj@6=L0{gMIHiLzr83*~|cw4kHjjc`s3&ASc4n+Ozg&diduh4NE^E^lhh~T(z zMEwZTjf3p1%23h2%NT0G#Vr10>DFxnoq3W?)5nucso_-Cb7m`61v|DAJ;U03>r%(%~cSMNxtQ99m-O3CIyDkCjsCR@8cu{ zy9qIQn*Lh?iBH(TqZdjR+S)9k!&y}uzm^iBbv^^+u7vPw1Iq_~sYmr?c?3LdUBFg| zdCztM*z~IqZmz92P!GQld>$8J=C%E6pKt8NUFj30w)dN*clPXm15lg%%z-*y9!F1x zG~AZzuRM97Z+T+~5Na|-U5LYzfx5&X0weUKXPKi(LU+nkyup~;PjMsPHcsahPi*T} zRI3AZOYv+|K!`D^?Y#|y$niho@U5O|4Sbbjct6$Y+=&F}p1i4W>+K^n_c+{oTrTp6 zQ~#vAwStJH=jUYrbf&?rmrb@-PJ>%-pKPD*G-t#If5SU>{`DC$D|bqq25wBm>njnL z4e?I*PB(uM_~iDeYwg9)M@~l%>XM$+l_K%UmJJj`Bdr-LOEcfs^R#d@Ag6$Icq zD(zUvzNOfK9^ZKtqHXsK{J4vZMgo%Tju1zqT`mlxu;pA(Wcb?b;f1D?4|_{8`LMUb z~HzIZK9&oP`010;ssj`+64X*y=&*U}U_9%nqq z|NH4nz$E|#5&IXFwErzgN3KOlXMiI<07qo19%Ps@^i-4&scAE(8!Juuca2USFcY|` zr292VbgYT~D%V0A#~8|AEnt*kFvA?XM3HM5%vi!m9N1dRNI9*e^0Ru}d$rSxx>Zll zQR&fO%+Rx3rZd-4@6j?H_gPREF}0z#Z|H)LX`haja_i6)7Bf6lD||<2ERkvumyRKO zj=uwQls}hEv_C&?-Xyb?V^#$G@TtXTT;HsSV#Hp&;n2x9D+}B)A%Fn!9MYq+|M`W) zKNs%*BQG(0!-pL<*@~S!<0nUEzl4C9CQZ3Cce!5RAJ={a(UUD7y|alwPGQqI;0fP$ zmKT3EO@`V!F%0;VkE(z81ANd)5B*`WR_mPOO87qwBOU3xDBY4heL)g!BX< zkHQ(o(a;vSkzF~QXpTOgA{!@)7Km|Rt#*gCx|6cU_dLF-uX5_yi5oKBL_4bpW-&zz zowZCN#S0_torRlgMIOG+@iaD(ag?~B*2V(Aaa1NYq zQTeo%aDh9sN06Jry@0>%fVu!xK3Ay z=GgN6&iA3%1<&9HM1F_Rhp*eZn*pMI{yV(fBI3zYbODkHlDIVz+S;M(*8W>A8TE9z z6HJD!*&X!v1>S4-^;P}!SHU#gX|Ry{I#Wtev~nge8K*DpnX3pvZMScmxu&`Mb%&G? zmzl(fM=)gOKXgT4^o!fD^42hF0u!J`&PL@);zzW+ONdsI!(t<-o4?v83!AbI_ zb9$j*>_Zb{{`M~^mO;S3m%u+g{O|ws2wFAUh|XCwLbY^5=jywmr$Ntci9v+U>%J?- ziK|95{!0+Y4%rdQs+9^lSv2YV=aN|(j%I5u98{F>#XWA8Ojm%9G#HbP72uu# z)1pEi!i36c#gS)23O7Y^atmW8yjhC=+UKLv;2j){DYBS*)Rc$voDxz3VZZ35b~Ms0 zoSln?)#A&yh^>ehrzGd_C!og@+TEhV3?b*#aexObHeRZb2#0wYX1}YyJ5%3lcnff& z#YJZ)S><4p7&=Vk!tsJW1ICu+mE}tZ-isL~SnF-I7!E#=o1Dc)Kn-Y(v95d^ zxcFdznST(V0o!8_7QL>uS^^(52INchFIUO;0UMS?;R+($jz&AK6(ySR89a;L~P4|vD^5hj{DVP1St)U200HKyWgJG|$ z*hp>Jc14z_0e^u6A(sJcF_P{!puQWQtuR0nudol`7OM$4K3g5=uV06Ulgx_`Y#+G$ zzYCfN>Jb&Zd$24ML5!uJdc*woy;9^YkrEBy%G;*%-$TZJ7o867wM zfVXs{O1CVKD4`$CsW_Ix_PkcUkF@Og18#pj++N)258ubHvJ`#)z%Oly(1MBa(I3ud zkh$8YIhpN*%CCSHjQhhHs}%rSbis74fJx6~6~&+x*DojaI4I>Zk2%}!#lQe&_ zdMm)OzbzqPHayd*{`vt6D4`ZKckR3j&n)`K~LC)ZP zV2VKiUhbPqM!SPhF-OSv725SES#4fq7}X|AnY!8qdU1KXw0<>CbA9>c*#m+gr(a9d z?=Mxf0`3_M%$^mcifV%%Ff^Ek2UXZu*llA0%b{w)KnXHq5ym#g_&bBX=8CI-WdE;o z#RrTfYEjK~=sZ6R+cx#@439)_&STn}v)hTBcHKRWW{0_E zC_FqKg`S0c_d;y1y;MaMLkqTw+oegYNRMC7adMGnw)~_Rn5qwAke(qo#Cde`!u6l4D z)ekqCgbc!L!e)0UiAaaQagB<_kTIA{K)6iv{gv-Xni)diKmkCUs#uZP0UP)l?RdWF zaglS^8rV;;R3&!}yNR3=Ba(CZ6AAvTIZW~AxB;;-*VTr?!$$tq|0aoJd^@#3ubLYmJiW+|(#eu_4}b%1Zolq}oU!D{jv8sfU7RbF%yweAi69b77Q250eeU1o9RWZmf=Yz3FLm5RfIg$<5t3*x@cc=!(Y3A! z6m-Bqj;%Q|ym`0@ZI(kKwY1s{Jcm!McQf!@A?{sIpP1fEKA_FG5tOHFhT%G(G|i7} zN&6mqbB11p7V-T8{BHQ{zxVMb10odMzPpOKS@8Ezk|Ai`2iTIzogW;@al>$)^oQA! z5Mt2p{9$2)qWAHK%g89S`>fxN-i+`cZV6_-5YNKaoWO#zJaXUIGPTH%oVF9UxRC+R z7Eg-G=wN$-Ngqs++ZwijI~S$;n{JUK$Z`hSV5C0i7ck-mE{xr(5F*$lJ@CrY9W0(kA&P0ao4wwmGUy&;IkAtdTq6X zg6Or?IfxwnR-a)fA9=UWSyRlp7@sQST!GOT3V3vsxo1feM049HTO|J6qU+aZ^ev*q@IR_g8S zPWo_Y<#&{hImd01rKxT|{Hx#_@nWyzCgR0->KN79j5l|sF&JN#zFOlJGu)M;(ABc7rYm`pEv9TyVSar`?GZvjr--x*p z2TLdf_u$7IdUKe!15WAgGYRTD^!tNNK z{If%CYqx%!R;Dd23X8*Lvi(Bm<)(4*1hbu&=fovpqz}UQhR`?{A?$qL*4!V_8;@*D z=$`agz*sy*Sy{@k_-&t0B;W}Wa-FCTJ-#_?6VCv59;^6%prv7x(VMW4Ga)oRj&Dyu zApv@EgDhowbi#I=KyjV)CID&uFeyoRt5^lmjo?V>@jn6~4DW=g54Bz8sXHMW-%j<< z58Ao4KUVUg_ZeUvGuNGMAXj2X-n)o2zZGx=>{@~H)nexhZD$gm^LD{9jpUnA!LEn{ zmcL#$iXtAzU4UCDjyb!CRsq4Wy}9T1<>zw9sEH&vNa~IfiFNu+!VcfW_02uF;E+@S z+>&f_XCmMhx8Wv(^0HjO?e0g5gwE}qyFs6SMI+bE-D^;?@F&qVZ|;VKoOY;v`HkKG z(EHJ&7Y8gwnQZ_<-#v5hVe#7@Vw=8}7jS(~ApR01jZetj?vi0(?H-nk<3z@O)++_1x#=vEg zv7@@eY5-)?>W%${!4$^xDjV`#JuLgpWMmSS@Q|MuhRh~pa(1h4erDqEwPDtsu5SC7 zWT%Y(F_MIv);PG-Hs_DNo;22uMF?PIFAq?;VuNN4P4B*w#J3&uAhm2hxx|eQMosrF zn@YVt2N%yN;@i0$bSVQ>{N%%n6xi)Mc&mkP`~6)24hB6AP3FBVGhkfJd7034`w*+# zc^62egT|q`ZRl9QI(}YQHkI!%nRVzo8Ktr+L22R-q43bhW0_25Urb27q7y}3ma3&19Gv#}<)VDog1xUu88gzAcc z*yKH82ff*As;=nZE5xZuW8sH~6c-0-OnLxu)l4zzfMLxUUHlz)j2el$lbJFyaYPY3 z5JCRJBRXWl!Oro>C>IK~yTM$+LbHyjiDXl;-i}7bCH@K zaa5NQ_QPPvMw8+@MMt4&;Nm*cRz%bh>c)mJ7HNTSpdzL-wX86oGC zeHVEaR(~h-qoMGm{}3+`=nWMPubAkEKU;&6G!dI6ftuYByv|QR&9#YNyx57KNdL-- zBL#OS%E+YS$2=p;vH!J{~nvX&3fX$%y-+YE7U^iO4 z}gW_|hw1GJbO$>N3`Mt`Z){L?VWtb{)rZU|19hp@imf zPvJ$>=R8t*9~YH7AE;Q=0(oE=h8gO7{&pqv{7!rSUPD$b*v}UKy$*sSB&ojzWGyEu z`M;kMao}(1bBw7cc7s%MPAnApz?LOC0o=CEdFd-U;f2?uJUQ#0$OjXxh21BRhI9bI z&fv)w1BZ8nd}WWdKt$|%8>080X*%e=qz#dmLqlYNY}E@LhFQs2Y$VAbdm5Kum3KUb zVH8u&%b~tS^5SR(m2h>n@N_Ld4d;Ph#AG`9XZ4P-5dDLe;}urx?V8u8vnzf4^JQc6 z&rMd&f20#^{iSzfx#H@K#hoo6j5YfEcjW8WZPy7qXUX`y41Jn!V;p4d=aUR)gn)tY zkp+<2ON9sjME}{d$t{P1=z)l(p<|GA zaj(05`>*t5Z8>>%YM(3SWa`%zr$)XUOY$LRR6$X!YXe%eZAnUYfTEb2{KIa^cMqJn z$?jIfUt)EmyKE{8={ipmI=z$cyYU@%B|n6m2pAEk*g{V%O@YCLfS{vB$`V;#Vel2l zGV5CicS?bv4o3QbOJqOmRQ~jh0y|DB#$d2eCDo;h@+)3wY;2nt2|Jiw*qw@c0H-7O zE|3CE?o*SYDt>p0h07$NUF+1`HYRnjpu?85tZj@%n*|B zmecnB)FG1&n2643L^6}#X^g-i`79qGfvf(^Xk7J}-8w_0926U8tbr1P@uy_i?F@RF zb|9gbTPO)lBd$M?&@>0YEJ$uz^)ziljN7rW1*e@_8W(dR*dUXGo&zvHJrF2sfsu=J zXy#bJcrd-%!ka!GdN~INE}a_wSc@~j)p7No9R_w0-|i(m3rdVRp|jQFdGz5ilqsdZ zJ$r(-fzAlMh&XxsoLZ82H&(KYvp5G23Cch2Ia4|Mg#oZc{y*0Mghe5jjLw93-(k`; z-~wZ*?SzZr7Zn_%QLGDgs6lB?~q#(j4qch}iHz37gh9)T%+m$nPQ)RRm z2b(Me&!DRSSV2c%K>VD1m6UxMh(IC*Bj-G}0g&SNyjFJ@+5H}U9wG$$BO~YcaRV?G zp5IsQJgmZnVC~Npa{!FzKj8Kz1u4u_AWV2paVC&9G!YY}*|#%)gNqMIi+-8mq|9XP z|FRFf3(r~4wdl2!rMoun)hd6UbodG+QG;Or$y)Iwnv z)~}P1cxjW&WOV^@G9>BmE+8RqPM)5wCqDw|sn3?=tg6Jq_8dscd$36s zigG^rum#6D76tJ@iE)D$^EROccdK*#7yn}1 zAXC&I^eIn9;IY6?1!lqM*v@V>5GlgGph$>$#O-M1W(SJd)9Cti*W zF27u=Y?elMU+OB4{RSSPH8S4iFV|wTKVQ&f>!~i&u{@{6YTIKN`smuo&~QK)L9kfS zNQ1o$W9=3a7e+&NM*PK4tX1C_ut0UZPI}{i<#O8{{lHE`Kp88|d0}6j^Xnab7DILe zfrp*osw|(-rjNnt1K#&trjiMnb=e?=$XmqV^p6bY*0NQM%+ctlvqBSd(jKn0wLobr)=hR^ffO+vcXo(qaSx)d{#u#J#L6D zIftX3ytI^@<1F4K&xqNtXjoj*rDCqY?(L;2THDlV(}`G(%MMkOc*6>m(<_lyQ+)Xc zShWOf?qzoyoXMYgH^czK%lBp0pof4LbQ$w(;YK8lo;)D4F;-*cEr}#dO^80{TLgLL z&4MTU6g((^7xXKxpvMW_aL*MC9pAn(2eOpFiF?HbN&v_m94KT8>>#VciI0+f|k<4Pvg$%S>#4Bt+`!bzDF&i5Izn1?*_PW{wgPMrQFf7*8NMDO|Is zGJr`sK@s$Iu3eHbp?qvzgz$M?ismL6{l5-iM&#(6>(*tI3Z@V$XgBma+2exx?{YWI zpb+Pyt=TO9^72*Wp6|+^bSff5UErKwJ#A#g5(>{gy|9(%qop~z!ZtJqaWl6v7J`1< z&_~9Cz~R35#<+54Anpwhi`Kp$iAH=WUgYySzSAu0#_3ZLcv2v|es625P!9VP43h;j zhzd-~=bXiX@zy$7pTpk$hy_y|WQFwg=3-^$}4=Wb=aXmd>dN^q|ykg^t1p$9*)w z=vMpgk+JRT@lLnk_ru4N!tYlpn}sd}U|$m0KNS~3Gsbr+l<0D0v(SYhGNN9Hp9X+* z1b~zTJ8kxB*!@-$qFzM8Is~lZA}z5r$^md)1Q&MWHr&CA_EFR$NWDR}U!e%OM@Tl` zU{S14J_qCc;$l^o7*Ar8EdFhft`~>%?JGFN`(>*Tin}W8+jNRO`bitRgwfjf{E9A| zrMwcLG-=FdmHbH_UJ`-uMoOStGb`<vNL%}0Y(%&DHZYn}_1gh7Bxv95 zV4CSj*k*ICPAH-3x8LQ6){U-UdqBpxM-0XR!aD%!TuGGP9b&ZTiuUxmLqrUBfo;G2 z*FkAputTi08e$;J=SEw3EMbL1)FYX)B#03+7}_#FV}_Kmcs$DC8is2t<3tR&;ZjD* zK%Y7*28PC3b#_RGZZI2Mk%Z{T8^NrtKF+p!?66kDVypw+vrvD3{|}6r*U#yNg?(e; zUa|Oj`V?;1>J`c>&RfW<(G}pTcN&;(u_`J*A8M61WsGPKcS|D?+@|j%-7ZoNxUKid zBts>|P?aT?<5ryX&x=&>zo>ewUAioE;-H`V(ezt6e`Q8_ElZmbhMo+X(@3aId2zDf zBi3GpSU+B&*`IT=uoDP#=F08VQakW&!cyJ;XeOs6P2DJ z7{qDgt17-zbq8PqVF{(nlOkXK#dk<8T`T6>rj~A|IC1+?Q4w9sQYs>1E=#MlWmMB= zCZR8N#rIrWFyeA=jVu7*-a0pWDf8|Lv}1oMQ9c=Sh(tI%1xA8VJqH;9qbl=VXj8_9 zF|~{XxPXtP%qx`|ckX$=E@P4ILK)Du1Oj;(xftrjw z1k*3*E9k94q%yC(s@z$n!liEHgv2b|H~xX{i*&p1?ntG^0`vzk|D1at z))J7~ha2e!4tfBz1)0!$&@dnI$O3zX2TNqa;T6>I6G;vK06SfX(Hg=b3TY01dQj|3 zvSNf!;;WSfEWS&Vj0qF=1N#o2T%i>#Ua1O+w6+#BU;rlTvhE1MELOQA*24D#Z}YL z&8{UeFta69aFU=-K9*Yrp%~vG$7PlD5Z>saK3Qn-uOlOi1Iy zc(8NLB3VQuG)M**dp^olI+U z(y*>ZhpsukBY{^9pS35N9o4W|({zSE19#JI+yhQEQ1=eT5oG3=8rr41tfOBu3mjP_ zu&u$^i&xea9Uyg0AcP2f?nmO9Ltu$-Ye177+Q1M03B z_i77v6ve)I_0o5FX)W{!h{)1tS$m`wu)E=f?@eAEU>E(yf;v?g0toPC->&-&ogTwF zX*i#?uApu|*#JZApk2h)Sl5p-dYVwmz%P3?+^eSN>yV%$nB#k3-l!q!q@5!BKgnby zZEM5-rjW>F#1PD|v2si$i=hfLl{Np3?j}Ui8P75LmPiWMAynu865Tyk!Wb)OmZvhK ziSmopH+SmEw|-97RnbxF{GiTa_gx^_jGrIr1uFxBPZMErviwq$TmA0H;>p+Dvt_3J zE`@J)0$RuB%$VEtVX{)lpUWAO=M{a`8a-xY>lv&W3}wWSVvX0LoGHrE$H6nMy6tl$ z*zR_q&2q)|?Z18vWVCc&bYjzg1u~kqMsf{El6QseCj*Fy((Vj7V{o-M%qQ!y%V3kj zhq=XqMNa~>`A&*Yf=32aEDA7b-Xat@ZG7_1hHqQl3j_kn{^KJT+vO=>m;SR=raL+* z{}U+F5%~?~@GJgoOsEA_alk%59Q=TGWYJ`COwA!Ee6hp2FgOC~W z6y9y{sE#I*m%QWlv*oEU$2`;XrB}?e&E;!!w^Co-vqvOf0%--$M3f1%BwI-j;$~kD z0Y_D7lH?S@fciBkfq=^O>tsTr0L7l2B-*DQ-W3pz=TscnB55GT6%<(w9KcXQhY`2I z3qS;}i7w}*_|BD_27oxe#j`4^g*G|^wn)>WaVepuY;L0`5eGhtf#GmLuHiIRkx3a_ zaUCJ5-Nz=a*&VC#ycE*D=e}5^oZW5)mXn+L|f?#K>BC_E9ixNZ;}4tp??6q;uYGHLYZIN_GTRb2~oP_B!nFd~{p4usZBx*z4-b zu)fTj)nTry`xZ+EKGjq@EUyV_jV!k#L)OPmg(PFhI=S`Z-DAxkKV=9Er30ciCAqTM zJ$nR(Z91oRhP4Z(`?QU!oZTq33lJDC*WGO?TK2rcFs|He7{7Q}7bbEo z5g5MFWm)bp$_|}Del_@nqL_2|{#&Z9wUGnpSA=+{yl;meCO@2i8WW`}mmh$4z zr68nA*B2_^S2{5ekH`sir&~*O!opyO3`;jGyiq$=()bV!=|z(ib@qCtSjbCd)7`4= zJLJnatLSt$=Vzp!={W84^dG>U7nNu$PAIkicph4_zEwfRV*F>y z30r<%Fy{R258bis1%ferU6&4p@~MI`ZXK$eiZT6-b31+;d4G)3gYP=RM+cQ@$_XaA z??-yUfY-(@N50{S{?syEfnif817r4xI_UH>-WXe9{ElxN-1%qu7^e!u2w8eTYb@Vz z{ikw_Ss6-ii7O2I3io%dzs5H#=?W-kz7iPj?g~Z-Lfe2^bCW*buxr-4a;B)lu-7HA z=7O|K)a|1ZUo*6OE5Zo`hN<0wNG#|Zc-|nguk82T)!kmsMB0M*eNu&C=D^OZx2r_< zD#&rb))w;UxBf-s(LW1C_VX$X{a%$bODYUITBN=!`y1E3TaN!KFciM?EoZV4YTVv! z)~+z@56gWdvL9VxI7>u7MT-snZtqpYL)2$(j$giS79K9er|+|GeLN*m z>f2XX(wClFB7Jh9x47?;Eb}3MF!Q1K^XMSH;oi?y`1~&&Hw!;ow?4+t*%KC>l8Q4c zo5nrleTjSMmKbJ;x7POi@+6bWnV$W=T9RpB{_O2v-A8JK_g@(WY6)H*mKVI-QU2gn zrjLYM{<=}v$~T@Vk>pewHG~n~@mzsvty=SQ*!^nn&i+q>Q7@U5{N~#2%cU1w}{gku&A6AmLjmt?~92qH>tx)B?zUb%V$9Y&M0gZ(%!bWbQnbV zQmF}_c_UgnzLL4)bJt>l-3qU@(ioBJUgeiJSD=^FBt237eeTIKTZPgyal_eUQlU;X zpq3$BP6X`%1B~gW{~9VBFpV1_Yj;I{&KMxd>AirkI8X5v|iUxlT(@`TBTHJ zrzQu}o8!P`IR!PjQMLXlXS)io@L9qCT6^Arijo-{lYeJyCgES1-;z){c{#O}3>78K zl?(#~=5QrN1qX$H8{SKSnb+^9JY(st9^-p?_J^h0 zCo}3vP{mjY4XRjwq;1s{`5k%j+1k6UVndYn25Uk>{Vp~x_DC5vU?(LZY=bz><0^Mf ze59nfVoJZI|BW9{X{)Adw=Paxk`;mgU8~FhzEi)K_7FL2LXcJTLo~0^r40{kxkGe| zDj<5uEx=#s|A*smLrfuFhJ?pBpm00XB;d1-99XuVh@HlL+zm)Yv)d&Hvz zX29m6S-~EVbFHw4)TRh^=-%$m*|4lD1`vVOURg1CAOh=YQG3r$Qf!9k@KzChQn21$ zH(BPOk`GaokczDYQ#hs~<&^?u{G|a*4(*5%CAh+gmGONlq2WU1RgjV(yDGy%*bfdk zN7=uOs*|v^(BysPK~~*O_Ll5es{%g@C1NVR@JAQ)M>(o&9$A4{x_ju3(oky^*jYfR z7PmN7IG|7->P9)(1MyI(Bhc`MRV7K7&WYmb*Kwe7rKde2SN8z z1!8@vKk7b53yL(3 znU>#N7rIkID-$pGIW1y@)+`J}iomP=6Wht4sy0Y9te9Q~+Qj<}bxNB|L&~Ihi8k!t zPcG};?AFF&FS3ps_HVLXVHlaZN$A+|-7qUz^}-ou-SWzp7$kH_H*>RhLh+~oM7YphI7ju!NW0b;{~_z2z|7z zri}P=8K19dc0`hQGi>jcZjpw)Dohxs#06m@rx0R$P2HK`iih;Z-mLS_eSi$@ep z`G|T&jI4-IdNjp(X-#JFTj!!_UGO0{mGjiqj{6@CGnPT9+Nbu z%zzfz8&5!mOiPm^MK;Xfx@tvbwkI-?IpeKsz{DJ!uIZDDT-2OzrbYq}=IS1EK~E>y zjrMf(l%8vsnJcGH0iFqw5jeLSy=}Im-l!mw_rHI)P1%fGAetVW+V}-HNtjJU)u}IM z>>b-eQXci8yCWZ4U$=}({2>mJW!7jK>wgKzh5(84sE;S!SE_V5Zw>UW?vX=@dE6ms zr1nTUr!#VgF!qf;*0hb(gZzWGOEjt{RIojRu<#wkpI`yY?K@S#0`THHNba!t>VGH0 zQ``Nq^x?!lNYZ1zLu=Gfz%pPlu2GMmfnU&8@d08ZGJr?h2?LEywCzm-R&g?w8i-GY zPl1v)ph8vD*iq}t$XwF6tWg2vLOvKVD%gsY=7-@sVS6YP^!|cP&Z1GUXOE~@)SHds zA5ADjACjZcY364LQ1!^uOEnA(C!cC<11uk~daN~UC*5Dx`$V*4aiJKkqUj1(c}8#x z_P+GJZG^_?rqJ3dm<6+p5Qfbm^V>!U!^ZLmNryPG6Vj-$2g0x=lBm7LzR-iCS8fs- zZ~nTN)tG1&_(jCVA|ep5f?8ZE?8)GXi5qMpSPScC0(177^oU}Ubw|by{E;{Y6LO^{ z#Cbwe9!#kA>_p}p@5w|+rWDFW!DU^2CUI!rxS1hh!g?ax98WCTMATr`02ZL#K5I$r zT#28?6i7?NRZ{@%-I^*(H`A5cR80odz9tF(rd0(0*wQ)@LP0kfre>;jsz0YQ}q@Ub2%E#w2b$Ci>E zFvLeWjtCdTdN~gG3U&APxVg|LGKh%v1PJ@Kk`*Qz2jzmOsYS#eg{2v9c;kVwR81WZ zPX=xVx5s03;^4EOT)gc+^prCm12#}ok@GR|gs!DFqlrwHb=1tPAZm!Q(ZS3b-ytBt z#4Hfg=D4AGHJfGQt=?8RLAh{%#L)NoYb)FaEE4;whm0X2Ch3EZ{lXuSj+aGDamV^w<>YH)aNxm{H!@I zU!ApiB8);k`oTuu*n=#T|Fs9PXaAQyXp@%}4CRd(7V=Z(>>g)sD0GYclDq3N$!6CC zhOx>tM~ooKr!f^GoP*7JEJxQY@V|IUxmq>Ny4CfcNAayY+Ct4X9pIhIO;fqL;X{hU zo2)H%J!hw;RN6{!%s-CQGrBs4Yv7IjksGOVXM%%%#2J9tgvH|`;U%A75ldEDX-tTB zBY8fD^6HR^I^lFOFTSIDLIzLzmPyxnOtct=8{XQ9u(R9-hR#)ft}kVQ@{*djFH`C6N4Cq=?}mKCg~k3cUBP0 znUsuSXtZm17D^*yUq9j754F(2T|A*n(PEU$gwz1F-VZKz3xs0B6qj^`OR%+cvK%M` zmY{Y|Ml3d&*qN+bMNBi@A+fQoYk@HqlaV`vVDckiDmdl(&}1P{Ib~?MBSLbgXty!p zK|%xt9D^k5YRbMYnurtaLgBs#4~P4@-BJO5I}A5ks)B703S|lZN~zMFGn1a&%+RnT zPVWjQxBW>px(z_Rf$y-M#I`1MC|NOzWc?B=WMRX2&2F~B0t0LCj_=EBm=o$fCr zb_XG-FR>O(Cn?yu6}FuSz)9@*L>!Vcz<#mHr*sC$FA8>6_Y6RE47q70!_94kqzcgt z&|jD|Tc{BTrH1BAH!yF~$epvDLb0mRA{>+1s&p);&tVhtCQG4Tci^tQN})anrVTCU3)M{lc59QLvePdz=p?Wp|XB_8aJR5*B zq*zQre#(^|fn+#Iq3$f&>0QINM3Ky>K=#8Uii^)b}KhiA;0NE~LwCu;_+v!nVWcd9Hl_?5!_* zN_x8OSmpCZ3Y>*@b2%^9b==D24BCZ;RG?R*2Cy3+j@%vwJVVHcl;ztFlSjI(wu~jp z$**L<{vAhn;@E#TXW9K53og?S)$B6mh^L%r60mw}Uq{@S3VPHUMd3r}Qa}ayUq&ou zDE8}f&g-NP%o}~I&-i)f*u7z^r;Ns~1k#@|R<-s8QIsu8aA7c=AAkR14)yuCtITgr znXGinRW~A^{vV#ij~qT$`O~qhN4cAeb^Qh zDtzKO0*6wzEGdwcQA9m@ju6w?@B}wIn$T3AIgaB26obnLNMgPtMOoW4ZPGBco)e{3 zg~l{Sa^@IddvKs!51^6X=gJf)Y4%{fDp_1%P8!AppIO7ReJHeNIh&aEUMgb_$ zfq`cbmM9-5P+?rYNy$9Y6WTXd&Offjy6dK52WqOhBnt55IwS5}T^UfIAG(dt7R|+R zz;lkuHi`}UvZI|rgt0?(lh|3i>LbauMm41AyaQJ$!Bppcr^1m7nHB9uv&WnRdq4&t zBg~tnP(HT{JZ_$9kTeRcYwbLMEh_He^8zurhzou{k`XwaV$$E8*9AtB(2m@qY`2B6fv7*7)TGhd3) z#R5>Z`LLqged)Wodw#IvEiPG$I{yWZC26C~AM|90Zj=q$xBzvZV3VQCRvdx;sO8-* zfrP>&UnNzs3xVEa9W^B`uw{c4dSGedrLz$`Q57$MEt?uK7r>S+i1zGiTHufFIcornFi&ITBd}eBadh@7NE3CUMSx!zxIRZOdMcZ&gfaV& zG@3-QF9!auhm)sZF^5dCw?{1Ys!G1Pf|SOK@nbyjSqxV;HUU19%@qdy;GC<&w!>QO zAC`%H!!QHJ`(Y(Bd(lo9kA5h|kTv|klh*zKGaRafI^v!rW%g{z5;n?g;S$U)B9B9~ z#Qg|*?VaL9D^@fwfe-<~s&K{_i1z`U(yd!@fKzO(9x~xu z?&umE`Hk#|Ih+5lxf+O!)r@x+wAg(}2xp(vQ`NDYU9Z7X08Gj=ou%^HJ?grWbfvVg ziLep%7*5PFyw_E&yFX=e@EX0afqY8_J3uG+2Q+zd*atJ0A`Eh}U$pa;a5#v5K136L z?lhUYr4evuJZN#dh~hm>L4Iu-L$T+l->(|BK|eD zMwL(`;{Hq>Xh}=WUEo$NW zV~tM2P}wrLT%hsIS!N?gir)PxMdB!srN*FT*(8~smG|)7K{A7oz1!We1*O(o_el^7 z1-9IMy*gI zyaU$C6$ZG-VV_@N>PV~n1A{=b0+Z(8xygwe>AW{b=}E@K+RM5_IXXE{&kG+vROF0m zi>3B?z&3$RjxpjvV2a|jCtbUuiz?Dn3hyA13q3s$7WlsZV3VgVASRPGygj9`4-!kJ zXB536kDgV*0skz9*Za~7-!(xihek-q(yo=-qytSbrcShS2QWq_E`n^z9e85tx^rAyMQ>Wena??fE~38=}c%MaQAb`?S|7u z2R|-h=PhOtZvRBK8+g*Bpr8CEiF_I=?Mr?_KojJFf(S8IGm~HnWHkMRA=974<&K6Z zApza56FW&^x_Uk234#5u7OMf>fmpn?8uK&|@W4u6KsH#!X9casC?p!slHMa@Q?4`y zylLB%wFaUFhi`xG?V7Ey;tQPC1a^H1{aFLQci|uSeb%%S5Vq}pUvxGC`*m-7tqSLj zaDaS0%Ig;*8Fp%T`QurrE^u%2BGA1C60_H9ssKwnX$VHQH*lM-wea=@TCMd4Wgc9f z>kZr{@opk-5n?Awy^$g^MM@^FG=1FgS}-zv=R>ljai5m>tQ#DAPbO9j)Nek>Ep-Gv zho*5{wmkReg>G;huKgPLI2j-ViwWwMih+ON$cig7%_F-65s|j z!z+=ouSts6I#dcIIA`4hzy_dU{q*NB5h=wdO*ZL|T5s%B?u7j}>ldJs0lx8s*i(p} z-TFdm!YP$)S{b=vid@-5V&L8&GjMO1ODlbo%!}q_1{mbtpa;B#@>m4O0H@n%4lg4# zp5Yr2*ov2DZ?wNgYfH%FwC343xJ8{h8LfZ$;@|#dDy?Z9f3Z>d>fa`e^cRq0bYSwV zXosYE6HF(E898k`E(+{-Z<++zzduH4(=<*%g-d|Rabk1CwA>~xDVlAqa?oD@N7$?n zUEdBXZ#ItueB|lLZ?@pl5Az9K7J;rBCvIV!YIdJ4q`_UK)5O(D2s=HCeXMuMGyo*vWGI)zpQ4i33m&$bj6hGS_x>vOlSh zgSIFQuDaTy1NM>7v2P0u+x=PlV%Na!x@9*f_T>gi)J@(Nmp+G&LyL`1cU7!Qjz0=*K@pCETVONq76a-39@foLSq# zX`?F?=0T)_k_hr`T`2l*9<`$h58D8}n4*&W2I!TMKHhKO%*{|=e#0C(apdok8;J45 z(fh5THCG4X#m?s6K%2qf27q}%Bqn@z`|s${1pz644*(t_a9#L&u-n-P%p~Ui{sON? zRMFqxkwjW{J9!F>yu$5h(Gh)Ndm!c*b6@%@Z4XSPo>tPL3x)2wy?p=%$L&%W9JpLaEX08@zm*7V0|B}4 zWsz->kLkjoX;|EilevP`f}8*H#!G|bPu>&6Q39x&G&p)Q0sn0Ag*AQ~3pp%JVLU@^ zCS#cVzYS|;;3S#Qp8PFy+`;6M@bG>@85QJJu!&GhP9{3)L3Bhlet~QvB*WNp%jM-J z5^5NUN>^@!y7G!m^^7!Zn@P)O^gq&AXwPV4Y8~IM?lhkSLh9*O>&(v3Vug}rUZWi}LDwcpTp#-*`0kj|mXy=vKGJ}tm4kbJ9lz)%Yw)%k> z7R!2ZFrutTuPE3F1vR?g!3&sF`{#*#)(RZ9S_A`=ohn$R6jq``rigq@aFY%pHgAj< zhE&fbz!D(^x913+Ev@k!hh0?+>(!V4_W?@rLMI`6x=yStxUt5g7(Em@x--b-K(I$GRI7Q~^ z3o`~UMx=J04ao$$@irV#{hFwW;ghH!8a}Pfo3hH|D1`;~)4~I}bm%ar77j(+oFeoA zI%Fy{0^Q6_m=xhgSn%i@!0>%(@3pOn>CuXi8NN9gt$mf!s4$efyMWe4U6?!EWrDB~ zfh}j385sZ}+e%|7`4}kO1%iwKkB@0_!SjL3tM~5)V3YaG-Nf;Y1Gu}^G;SP(3_J&r z4cBEMZ}+kCxfl$F-FtAC)gFMh5!jw^4;eb|Ke&6(s8(?pohELFfQ*r=gxoz8u~|Uu zn#K6N>wNY?L#C6OdjZ&(RQKMi8#k_A_9W;VVm>SV?cON*LmeF{3k2Hh(U+?Wab*!_ z-%#4T3)%<2w+Rt?>wNYFhcik2TCZu|8B*|3)HSA{%C zKSc5V-`rwHzY&v}&HYe0aM~5@zm_B+@mX0Iwt32lWYGNb+mU`~MRNp* zyolV%x8HI*a+gZ-RRnHWN3H=@3t-F-iWD~&=;qx1O*wMF>c-g!sKlHD>&xw}Lm6Ej zW&EV1R|0doGJe@$HCf}EUm!^;sUu5 z7}VCAG}yo%`(Lr*iYfAxEUL^_)tVD_8A*{k*7*TCdzM30G~^ilFdXL%l z(0-i=UB*hL1`9ukywwKtsT0*_{@y=xkt+ye&WsPz0Ef7nlhr{R-zb{(=#Qk^H-8BQkSa{AmD?Fxm|(QH4q$oGv2nI~opcNaS;(*FjAL zITXm&B66bPCxLV0IiLfVY|O%2;gziJ7|7^Is)+HDEk*RZ$Blh)3mJ(qwWMcbTdz&I z+wH^7Rb|s?K=@%)17NM(!z*pR8nm%X@9?ZD>bt1(mL7!6CW&RsTBWfhTN@$NvF>f4 zAy`ZR{hVl3v6yBgHWJAilIuZyE3E8`M1f2!82qTSdF`fx{ zpQBSKMtGGRWnp@c0M*gCpy}vspuL$J-#Pp!@sId`5~rSxIEg336H_)}4Dm2!Lo<%I zFC&-iVe~c0e40-@C^00?{59RTxDdhG6X3_D(2y{-+(`&KQ-Td5yJcan2|!yK8Jht6 z2o7$Zk~EltP+b2-cG!v)F6Z6+n9yM-{>z};S(4D%^cTi#27V$YbDzHspum5z zZno$zGG&7<3Sbvj8kDNXVF~m}6tHuTW4IAH58lT|abK+?3Ax7+&-5JaIo@%!?eGx^ z=*s%of8%C9V3+kb@|j*IbQJ%MJqDrFH!nqwfu-NyQM7#mf{b@36PyTWq6Pj5;4SuL zNKR;zX3!^}6G8Y?@f}@zPJkcd?jVUqecy0=MJww26ObfGeV=<$LA1`UMBsE1cv~1G z8+knWTdvOEX%U~)PKM*u!DjtYjqic{ExQvAcHhg8Y@{q_@lBbyMHy~#4_lPZH#xdjMFCv zt&QJ=MLseNO3>MxKSdT3>EBxA=)FhT{&B0k^Zr<|mD3LA1nmKvK`)|n!uQE-&Odsw zv+Hw~PwJwD`;QpES+_UC>(|gf5$#j|)9-n{JSmfQck)%XCwK%;`{D&cx=+m2PFTxl zKSrf~3OSK|eF5iYhkYs2{g1&mdD-x5nf_XxEWXYVu+JN$tltF%5!4mZSV{ngZ_7*x z_LkG2`uL0Am}TafPhn|!7Y=|->5Zga?NnbMmogR{jj3C?=T+|>ZI@3Cl#Mwyyn~8Z zZ`2`R^HMQVV+ztZulhZKoO-KMtCGsB$b82s4Df?Ap4uksVX%ZnmG+`jw5(ut?)*!7 z3xq3s_vz&=i0M7;OHPb-#nYR&UNfr(kYl6oV{P#slyG}bi-?lW4r-yBl17~&y-(Dm zC1>DHA#R&9>r%-@a~R4y*(kjB48R%%)$@k3E4o1Iw1u+vh+i6Fw|5C_)4aOr!-;$& zRXVW_`ytfQjB5#rbE+Rnud=u4aHW96siiNIX^H789Vuxnp(wDkIUTAyNMoJHM!Ii7 zau$${Y^tGWe?XPznb4kk);*R!0gw%gk)`M?1b0aL4;!wXjUa;mIrvfjz`Q)hWxRaC#s1_YH1HDtCbueF7SthZ+0j z1Il0ehH1)dBt znkAifWbEDSMcEkc&T4>$4576yD2q!(XQv!s+1UyQG*K=9yy=>s3kcE!Y_(rN&h}{> ziR{+^Z=w=haDbYe3r9E6DJSEb0 z;laU8*8K2Bktkm9!*1Sg|A&g9IA34B(3}{5TY1$W5-=#Re$K?_)g4!2ZP` zyxy^n&wDTKqt}ciTEJSgL-*?d_i~WOR-oauZ~NM`jy|9uFOMo(&t+f;An@qdmUf!l za;1sO7_R3SCkFf4%jJMf3TWM&SJ9etxKDGAhx&_wHvNcXhViZ8Uo}PJ(oa9a;hizI zaFvC6Si0W9hYaSd1^t7ISPAUWFmdAZUb1-ejt_|YXXkz|jOZW8c8!1h! zS%2i}6#33gGMad?N8X0U{j~0qSJ1wskmPoX@)1PkBnZ{()$6$E(S&dW8zVjM!en#(DQQ92il0{{b^*?0`PE*e*biw(_SAJgt2@7zTm-hfdS-{eL_VHC`5Pd|Fxg1z{H0Mi{|i1MZj$6a1QlTXJQxCP0U`qhuhz{* zfm;B$WfNOU!F@=WAXE>(5UQKD?Qb7gr(jj&+x|E!YOzP?9Ziz zkd1%tKeW4WZY2FxGG%pYA>qh)6F2}55&E8eyT}~${le|WCl?ld_9;U6-TOSAts}qJ}pkLy_rSTIv*!>_| zk9AugcTZw&xIGTDp=)mgZD$g4U>}eFPGXF2gDMlT{dNYHB@zMT4tCCjhQc(Ib%%+> z3gI0yI8I5)yIT29j1W;Rq)B(@WG3H!`W=rne4E-Ta+|uVPT~83_%6hW_B>thyQZzZ zn4Q5}9l&o#I(2uC;qD4vnT!sar1KN80F^4?$2-=s$){9@?2ITK#kX$@4q<-4p&Nz;1fx6HA0qs#vc{*eXi9z@y?V{Dq7 zo$^EvhU|^wzb0>3mWD2V{%) z*`KqEq=Zq$cX)QsjJYR0CiJa6w{R>Ng5bAAu)@la2hY_VoJV;~a=;|BNSj--~E9}NM<-P1yuk$O)LSOfVm z1e#*hy{P8NQy58k46e)o5lJE9k|3p@m$s1??taTfOh)98&4 zw2rNOo`qNupK?9|WM!4Cmd0V1MaBRFw}JAlZnOq9QC!U@tR%SMDULaOzEx zEeYVmpaKSx!sEjhi23Q={J>O6&L$w2eOc0!IGV?O3yT7-1ZV`AD)Xg7H*g=<@;aYEOC$4alad= zsS*h>Av1t3z!QB42w3Q;_GBFVWdhsoCuBwjm%Pb}=+#PKa1 zqMfcpn=g#94A=TJprG=J6q5d*iGjwmAiU$f?+QI_{FI>V=+w<@6q3X($alOy)(bnk|C|u37+|N zZ#64S-%uVORPCRbreQ%XDiepc!g4v{q+^hTST0S|Tq43%2~mvlN(-H6IWA`j%si)5 zRnt`;rTueq&(>Qc7HARg{IC;Cr{5}+)U=(Q!S1hQ?NENIt|FVBL7(EO!A4NTr>6cg zifDYmYAP7wv!bCDPRC*~mh!YZ8Tug_D9@BQWlnsDq0e;QT`OCb(Bb%O6tDt6!)~la z0C{PC2I(B9ViJ`QwJd9)BVt;SEaSExwLG+-uB0+sSWp&;8({|YOX%!wQk0`3b-X6Oq9)=K zy!p0iClT^lyUb~GnJl6qH~1zo3i=AW;sI<)bOn%$HfTq#*er$FM-+fyhlEZ=QE(f` z2Qp`YwIsP!gsF6ry4IUYuxsCQAMY~OB`AB(0kiYqzJ4B9MufdwtS%sSL*8?^udxr* z?R__qeb+Xx0$PwXhvrS-&!Fnf+eQXdI0cwc2MGf6o2mKFw!71jW+lCldWgKpPw)c$ zOoDzd(9qP+q}kIiNJ|q^E9V6|ns;ElZWZqg-FKLn_+Dc4kczo4Ar-^Z`f?pmE(0>? zn_hTGeh0UI2@44**K>r-HYbi*4fuPtkdROOP_I032Le}m<*g#y9r+4VF&h91uWBI! zfZ5c8*|aBLMMGTl8WP0ecWDtd#jlr@vtbw1c)cQcbzL$lUvKDUbuc^(Nbz$?Htc4#3OkUTPh*sSpSf#u-!j zl8(_L2OEK*q+=@Is#4rx6F1Vn248+=%0R9%;tD*n`BuNScjUD;o)H*!z4z7iJk=+C#*J@uf^VGd)d|LQwU>$b=2*#Mi8S-vrynGb zw-0#b@ik+QyOe3-Y~phHhTI&)El9ggb?N)_4O6?uRq+j(T^7Q!i7Utn=HC^c#5bJN zbz00fJVT-u5J%BHtO%h5-OTbmyTSaJ8r^1x%5l164ouqE9n-=$oOP0hL8Q6SFo?J6 z$=dNXytf93SwPl=>G>eMu4V868@{2>+ha71AQ^Tb@)6!Cc_WbFolZIPkA#}kccaQ^ z9K~*t{at~f$-7x`^ktKfuYe$k_i`A)so}lXqNxR`@AXE~2ZzuH`@D~$FMRa8BY88A z&mwzdRtP^Jhot>NZ_x*B#49Wv_{8}TB(g`i1jbs{t5g_9$C7hIzXu5unn;*XKq|&d zNBwI(s@KTZzSr{`vLtYEgwu;-e-rr_hq{m!@fIKc)cTm{xnWHo74bSGTH~Xi$ez>h z+x>Cp<9o@Eb9SVD++{^SU@HyRC=j1o-&|qXJ-|t<@xTZ9v;iMPVEAbkGCpox=$-Q^ zI2@4;1AAXMR%d&ae0qoc2V`;daw`nIki{YP!M7w?6I+q-ATSJb69-n&$PDCs;Os^U zD~ZfN3hQHz)Hes0Q7?i%o(l|ly_;}oUpi{^Zu^q);EAvgJoYudD?UyqQbei;?E5@C zMD_@W=|k2BGBEyh>)Y&4U;nYlULMgYZ{ljZwbIvb&XP~xu;k;JjT(KT&!;waw?;W{ z=JmBC4Q!UwHIc?-_{_bk=?V1{a?ZsqJ9+xh>i1_i&Zdhs-@-hRc0ROjP1^AeyRNgb zkHU6AZDI~gm?~C3ck9?cY1yBHU#D#x=*yJtG!B)I+=sPEo@uZ1=vL#j&jGzl-POYm zqr%Zz{^o-_<7E0TJ(=hCeRku-3fbO}##`kxr}cM#UQ<3LD0-fWJ2b0^1%V!A{ZqC7DkvSXoa``I({7{ya|Gl{VTX3q_0U(Uq2Xc%Isl zoA6|7ki@(7A5<{twBHlCsw%&^c>>~Ix1_fG`^XA*ee1KvYG@<@!hUDbGI9^;UnUEX z2}%Q!t(Rb^Qdl)GQ6+v@IWA$KB2Rb83a{G(w%B44H87t)e#fW**UxeKKUX;5 zb~SJXx2s!7ryhVH(tCG}ZovS*wPh{Y_hw5Nsgp>?KN;=8#OhA0!5E z^&#-op|B}RE<4t7W8mvF4pA-JaO7wIXDB&zON5dupk0hm^8XSomnyq@4Q7%vQu^xD zSvxMn)1%JrT%;2mHXnAL^s#x9R$Iu2$!v@2^5&5)m%rE+XAN&zc$Z;pFW)Vj&RMXS zzoceyWd9rI7Q3G1<9e9nJY^a$Ro(n}Yr*;Xq7+o%YqlQ@$*>%X?~ z8)u;Y z)=cO9Rc^4?dvC?O%i8>T-`^NX(z!JhMhmS4Y=xhu+VI)_3O0_^W(|+Z-?5#C*cfsx zQpom>?;5UPk+(6i3x+_3(UbEC_!_|=@!Wnu;dyS1X()8S^NzcfP30(e)I+ah%z|zE z6l~j2A3?(u^@Cztz^nEu!njR5I*Jxe^eOSFW!7kfMk$`5+J4Y^6&f`R^R(kS#H#LAF<;KINN9JSuYue8$O$VH!VGkZNf{ySJ(~ zi~=a*RfF&c5=Ns-qQKtBLKV^6PKf4Cs&K&^5$0_E#!ia@H4HVbMWTk9>XjloOsR%B zo4>K?vs4YC+~cw9W`7Hz?FC&T@4xtVe@M%nvihUus4HrdqCi94ud1009u@M`chVJG zXoaqZKa$8}>X@=2CK91KtlH@Q4`B9)gfwVC!+0fN73OL{YYs|}P}B&9LP&FKZ)<>A zlTl8d2ADP2C5+l(ZxcI0SFeuNq~;SoYo?|##&&@muV ze<1!yy2ePNg&7+R8*UBOg650_5v^^g9%*V$FSLy5@K0;Orj6%xe%Z9ztqqoqiJjFY z+P>vZYZ33Dy*X}dj*KvaWM6{&OB-?q3DamvjqzN8BR!1xv@t=r&SDtiJxT2GmrZHP{Tqe~H$L2cAy~90G>n3!`5BDB<fn2X}%Ab)j9LGPhi->mpiLBS~oY z(RGD#y7h`KWNKZg7e)h@b@u9_0T%Y1E+6rCy?j5JcdUyU+XzB2q|dqaaYmbLmfhfW_^zg z@9gN)iFiC^i0qa;EWSm3%1{TgNVdn~Vvhd7E_8n!qW(ws$88(BKP!gypW6_ZO;RiJ z*$@9~mF&38p^>(H z7+IAW6ev6^#1;_iU<3HOLlZhv4W=bw45ah+agM+#+5o7GQ~p{`5!rlIItt0$2Z>c} z6q30yJ-mCA1&{?Nc03oV+^AV+%ICs~b6%T5m*KF%@ef00x$|p?xQ1pn1l-rgP^Smm z$M7UQdD9Z1AK=3qsWW5S;dWuKH3A?5TF)_7B0f(0 zCC$cgb`KLc8CQ=ILjMSnV3NiY%?k@m(!j`R`Exd~ zKO=Y3&r~Y2bB|WU^oBGVDqj0&*txNjwPf_pQ{kZ{5SSfb`I3Rk(QSYS;^rPb=;jXH zNTM1>joBd6LrTUV8YEPjr;|D+IF{%eYk|$c6HA{laB@S3>m{Q%uY57&$Qui6hQeX# zHx^0UwJ&|W#|AdZ993hXeNac$*bXXXe*mOVJGs%gK*%4YAvJCjoqn7YG;Uj~7*i== zoI>o0nK>@>H4$Z2MW*wPb5(w5EifX-;#n>7HQWgHa>2)gOVy3L*f*P6`$&mq)nBr*p)50sdr zpEnR~?)}A8^`597xHQab@%{;m(8V(TP5`+^Dca&?Zs@a-v?04lsbPe)_IN)i$p z-`-dFHOeO+IQJ1a=kRSeo0=hIQ`*uAL$sAf%%gporE+G<6EhjEW1vW0AbpwSZz9P* zX|fX4o~yTHFVo-qX&JgQt!5+Z#;!YQDW9)tC^tk-PJKd&m617v$EaG;zePe%Ra8=hM71t%M^KyJ|bya)V zgpCF_4B!3`yBYR{hkO83=I=RR*&kJUGR%?C!c^IC_A2*mx@jAXaYI~T+C1r&jEflp zm-7Y_*}FJF#EK3-&%__D!Ot_%y(nCn%J&7O;i++%PZ7d>zX{jU#Q#IuyMR-fx6#95 zJ5-8k96C@sY6_hcve^=o(v&HrBce2=(v+y2qm*+YHB*=rBDR^7K}eIfX>U3xyO~i* zCvs{^ZN>Jj`?qOk-s}2**Z;e|_qyJh_pN<=_VcV~-RoZWy6f%$93V$dH_Jl9+I;YI zyJVHb*0kx%!8`KW7hb+CF!NRxJ%%uEF#Sfg4gQ@qT?mLkdYQo-2^g3G4BE6Y#$d4< zbuKy!01$w}Dg!9#C`Pzd=tKY}4UOz>Ar#0E6dwYk;X;{NC}*sX6pH1$zRQd^Y~9D+}i+Cq&ife_3hC~Kt{K}Lr>TGA0RpRXrdrZfXO`dhSX zXArPn6Pe90#V9U%6HPPHz*{KJX9f(}h~h59zEvbr9h#|Y+8dQxFcUTt)EzTtx1HG2%`3cWfi#F{H258MXOKCZ_E*C~7 zci zIpd)&K47!Et#jbRX8qYY@L|J_at25S8e_Z{F6`E4o?jYMLLfHf7)#;8ej|;-bd0+( zY}oJ%%r@3KMu^Eh3B)`U_n6RXF6I$--|KDf-_eT zI(B$ozX{ufOxWg0ZT=<*-L5?!3M-GUi4<5{iXMsy>*4Wofwkvx^T~V3mN#-mQg) zqUTWf8bX-P^u(Ozo5Ohly4_x5aUZE_TO8mh7SUycaT zku%(0X^PUs(VQ9b|9B#EfSdR5ga!ZRhZZ3tbrs|Wamd-DqM*V?YsO3+Pop*RN_Sa- z&9>TnNn35m8o5GgS|(?CY%k}>yszMn%vR{){4^s_m4{Ip-fLCv7zI6bYV=^fut6() z5xhV!0p(e9^bC~ylK#CSmMlP-+-TO=xo;VF+K`iHzMgld*z*X*P#kwlGLSFp3r`Fa zrNjq*Zjt{fITnN#d6QiHk1XOb@yFzIcjZ)OOhClr&)*jOIOLpi^Cj(NxqA@tsGoC= zpD8qZU4F=NNk!hDUy8Vv8mr;Lv0Ubs{fvI>xSOwFL9~1@+D>TJAX~H0$+J~2it1D@ z%r_Hpi+C%2(Ddf5@h8@Fy%OXvh6h{9Fgb;Bw-)m1>VYUQK3ehI1k;?4Yoe3vVufsN z^s%iR1*|Jlnj8rWg%xUq!Ke{rv4__enDMJ;dtG(fG#wOZfnD`N9T9Iq-EtK7XzW~u z0eaMe8`MNG;|r~*En#B4*I2gr(;BvIlr0X{i?>iqn% z78;?>2u5z>LS$*9m14DUVG$cjgzpdC)1eD#<2x;(c>+@Gcim=cnqZm>E<76(LgQ97 z8%s7jWVsi%KXgMRHkV4cH$O$G*%*|-;*2-2g#!g;{cnq_3pIC0Y-b@G9E(_N>r7o3 z`vV|j} zsjb`)zepRyL&Pc;!LBWal(onJEtiKcJgFVC2&O-@ZYvj^1&kmi@j|ntpj`qh+%>x| zz`!?B7ML9@+Y&72w$ndjm)r&@vx}p5G9PRw8))>;09w_U1FRNHp+xv|PVBzYDzb1} z497NVxN(cU$EV=ZZ-`k8bVgL&g2iJ`fP=z^g2e~tYZl;RECD{VDtrm@@E$(*R9-R$ z24{RN?9u`}|01-ESaOVc7 zaBZVBKJF$9iYT@J)aZr^d{gOCxc^|y>~&kJ9(g`A7&oG&{yX8+fx@;F08Pg`2IL$k zM^}NyR;iG?jr)y4wKd9W#czh7YTx%_6NN>519YaL>~BI?N|RZn97WmsHGR{8TDR&O zDQ;2cLO<}ADcwaW-Gz^Mxyvy`{^GJ`5{TsTXKt)Qx+Pi8#$uLtQy4{AHa?Zu!9DW1=an}O zn2VXwj~`Gn#E)mc8R zcu(T8;92!a|JZXJ^9JlWUI{_H8S@%`+Ufy#ycehu{Mtx9M@u;R;W0V?SG2P*{-v9L z57w8o6FB%at#B4c3WeqlD?B5GW;0i8izk!Luod?lsG^OrfP+PEMI&D@OIgHS@v4ZW zO|0~E@TWw>!7DcaA%FxcH_7}B(IP+ujdZO1i%sS=snC@>%yEeTRj1>;)olHWqnm8~ z%FRQaf@w;ZJg>mb^~4bdYw(PQ^H_}tTIpmvGX6QyI43RG{uD%(PHSXNpvrkx0^`S^ zSx&H6hojewi->eCXC7*Wo~RiH&Ola65L9Q!+ss4*%O9C&oGH=-CYn1EnWtkF4Av|F zYL#8J72@~~u3E0gs3qKfNwOsW^=qEEfXlEn^=J9=2-2f%TJ#_E}zAZ-}{$6lj=v*VhAL ziTDMqhtj*ThI=8UAvg;v2M}xnjMYHj$p#w$vG`GPH^A$69_%;oB_Ou87Sv_~jMWxz zV65&(w)s7-z}W7dsKKtI>Dvw=pf?<(P;%_|&!M#-Trh5U=NUj5!xNPmYvE|L?!XY7}&e( z?*~dYc0rKjd%#iwqR`_pgw4=2dVF7t>79g|Fi1CE7@EDf&FjUNl*YlLNKz5E^z0AcE_BGWS%Rb!vx-V&ze%?LM5Qvd(zb(Ao#<9be3rmAhn79=?Ks-+aGKEH?l3;BAARe9UGG}+D>bt-SC zI;dt(|9VPsy@4`I`qn=DaX3dWn_Hz3Jni$^FYF&&_2+A6zUwOGdT_y(5dn=}6RZ%} zvwt|%;$Qlv?SGA(uK`(d!$PPMmk-c?5Nud)NFhByU*Dfs#O3$41Wns&2iX1M$2nyg z$mu!!t80dF?^Rm%C77A|?8>EI%kI^3oqAQCZ9#kmTY>$z04uP&Z)cg&y+?QRZ|u*Be-BCj^FoCHqSo#s8xh>%JTv~~O-`oa@_8algDsxbHen*WE!)ss0RG%_nIl@T zWo#qCo7t-h+Jv}6{GCGx#;L!Y_KZ#F%B;Yt3n ztrR>($&FiA(<}3~x|y;~TculXHoEQWi5|T50V9H)4QMK$p4#@v7Ud?!1GfR2vGGb_ z+nPv5V=KWhNHE%VTlV;RKC?@DKY}~ z`|US7K!IVu*|C_l7cHgm_7Pv&0Y5hQQdOD^IChe=kGdl}VaEowP20H;kb)#PIQ#JO zBX&Bo$rrN<^eeCd76R9GAM#^EF}sYhYoB?*=thyPk0sk+XzOcn4#hrfb(KC4CiKBK zVen&y#KXHLf(m0)J9-z)*f*0p!gj&lhv_$(v`drec>%kC)K6i?{v18~J?z+wpnnfL z_S@bVx9d8&Xsv zy%F~2vM|}*V8b}QF3jwsC0izY`j>;<_1~k%JoDl`X5R%X`PrWFnPUhp`vTmG|kHXZYS@vSFIN(vu`#z-p`OCzY$^Zff;Ia}IWSH9xH& zJ2G08yzvXdPuCN5h3^Lnj4yNChpxkMpWe@mpAa{wORsldJ~+Dn;OeiDRyEEa+rcJ_ zYAoTLa`(rycvoxmnl&!|EK$kKC8h#n>iI1m{cxvW+?;9f z^T=Uu0{)}$1pgTHwJ&Em`5Kk{R&tguf{K`}{Ck&DYQ8GBpD9NAcZH~{stk!&Z{w+~9}piuS_MD&J=bxH2#dRS}ww3p+f%#13!tCK#yEao%$lI@I z%2?z6zq}NRC52l{_lM=7p#ZkGzeP{LrEIMIxQBss^|68G9WDpF>`-nV zKy^T3+kpT+6WgBo2)KNuM7tC4BOdsh*%lnS(N92$8sHAK@K2WjSOT%-*CD`9j!K-y zM-}mHs61~;0-%e7G`^df+)l>cK=dmaKM4d5WAra@mjmN~5BqxwfovF&*WPbY2tu!t zEDAv~-*wQoeyOnEcO9e!Hy~KaD*=l`^bdB3j9g7952u8hAlM+ zt*r_L5~J8E?+gVLcNc0L3|$k?9*;()E?JX9Z?i!!mwG6Lps``(v?kmLgB@h^bI)O6 zjxy%H7d~tH1;TD&sv9cdU8sc8sK?Nn4w~U9)bTzDri_Dha5-%J80Bd_h&Cmg$3A$2 zMs}?ELYHKkjSH6ogahDG2`7s+cAn$h)*huEq{N`)|?n}o)= zLys&6x(yVqL}KkBU@-`=K?!cKpyY=q7HF*cr6)@J@H|W-B6007kobdavEgAUGzml{ zA1_Dsc=&K0%`VxM!fEYMV~N7EG!lT^jpTD~B$g52UhE$^yMi)#cJxG^qMg){$nHQ= zdMV}+;fJAn>k**wW{iw?9+^^Y4ZBdbLpxS3d%Bt`vXvG-)8WA-QQ;0ahqNL+7BiU~B z(bsow)FY@70O5N7D5w!2j2IpdlCnO%Bw8tjZP1K}1{4R6iiXP(VgzL0f`b(TV^l*Y z-b6zzMoJt%8gg-J%$oIO`JbE5a{Y$JMg4|Gig~pAH;+_OXQN_iZ*5^XPNM1~HGDE(Tw4oZMo=VtgEj_~sV} z&RFG{Sx?d6k&H;HxZZeY5}%VXtDLrMFXmsc+!XXZk+p-7<n{ly5EK@&<`ph+@T8t_Um!<-E7 zHll2-lHKgs7ZH$#h@B6~0Bo91Y(S1VzW52LEe&&o7ZtwmjUIi%a%Hv6P!aD$-5T`r zp$cHAQIgm`_yh>_LM;5NXS)+xKa#3CzqSXt}(*LK6x1`KyXjA`^g)uwJ&7J zj;+AB+*8I-CUDz5h0yGs7>VSc!c-$BZ%}_1;7Jq#drCIdn2RCu7xd%I&;0>92L(U8 z`2kbpfVp8mEZiV2%f@Y@=LeuS$-F2He@soRUU9@xUG0UUDW2 zfA{7LSo93(`4Cb*Q?;Mc8pZOPsZ(Uf;b5vEI(jsdluA4r+aCi`p?H80UqJ7rr!0$t z%F{+LU(YT7G~hRqm@hm@1C^HTwT#16Le){)X{Opsz@vF@MdmlbItNm4IrV_YCI4le zXjmT|q^OR`nM9hLnE=w6P0eboN5I-bjL!u9lP7LY$z-a$59hQ35DaefUSVYN5Z&Z+ zI^)d)b;=fjCnCu4wewu9g$$wgs2H5TXrNTQ!8Rq|cA_FsO0Vw@`Y~S%ATn~J?wZCL zss^9s=<%XIb~z0XEH+T?@)jT$Jf1+-;mC|t^BWxVvYo%k@ipW;Zl2*duy@N*xwym8 zKwdUzqnfVj@CA~44B4QK)@XjEz?w5@e33&T+rBM-VA2|n;!UUW{E(J<5ifX^`w{=h zSN*1(6Jnv+8OBd`jQmL{turDu$^t%(pENOJ81|D65Ciq^K|yL(0@V7Sj{R_J>~Tay zoNSp1@n?tltX!w~iN8`^&}`hO&#F~hqa%OI`fQyR>pr9eVbrkTEL!zuH#rB5uq&J7`y@M}5; z!JN)0@wre|X-2Y&KMu`Ird*u^(4sTbd0x?uO_`jRZkL7joIk)G9_GW?8#EoBl#^G?z+FwMLF_|!ofP=Vnzq+i2 z>#sBmNV^)ClI7D6fmwd)>`ef*Y)w>FTY9JyZa(i!Uu>c*iEIGv4?>I6{A_qd_C5Cu z&E5qL0?d4fC!l}tpAD-BZVE2#k+ExtMqh9S$LWbS^u7RM42F1N8=pP?9=!oPgcnGh zD5$YU)M~0Ux(iocjzdt&bI(yZ6toI$E@$&+0wSs?$~*&8&YK)4$e=n+Q|+bEIIg+C z*;-0M$OSRh9n%|?D|JIJhpnT|l>%%_Pqf+l=R!sP4Tfp>0cDeg7j2;~pt~{j;!50q zuv_=ynlza)@*=W2*eaNd5RxMy*1=2JLlCW-Cxw-li?#r8x8R_5DL@4j6ze>qwy*~F|KUg-yhe2`@v zydEKY7LEnqnC_m@s|^GA;LA9C{KfGvJSn+}Ux1e*yC=V#rLOfaDQGP~-wyeY<(&mw zYJe1AkqpvOsgf>0=T5i0H!7x}n224$wGAq)7AgH1P@9?&+B^7X6C8)$qsl*90`Z^H zp?J;z8T~sNnX3Bv5=&^80&Eci+4&3F_%qypfsf)%p~m4~APTV^3D7H;?e-S{t@+a$ zZlTOFc}W*l{)kIbpzNm7OS7?7yDus~Z<}*}Q7u;w+DMDF?EeV}Ck1lp0CW5t^{6mh zK_yXXw483ZvfgI}@;(&EUOfDpe{;u6+oB_6HDtncZel}<6SwuZv;#^b|Z%{hdw?u6T=g0MAWBjO= zraAe|>qG|dx zWng^PO31xXWmja*dX<;GN2o+TL*3?bS#L{XYuIId1}$oFGsjiTmUk`8f0*>9^YZCx z+b2Lp-oeWmFhig~yrR0O$T8)bFw=hS?gBoK>t2WI@JnvA+*m z(a3bfiie@aV=*uOrp7wvW8{VTv&`ETzzXi= zm6*8+*@|X#U)p62N)EgVrwQfrT-Acz8Yp$OEez zGs2}>zH19pU?0jjMrAo@F!V>~_Jqkd$$?n!UICCs^hKAw0BpKOSxOs{+D2V-rQ^coU)!88c|Ozl_99M+429Rghk=bQ zO=$V!{Hdfgr6BUzR|2Rp$9cUHQ{zT^S#oVpC4d@jq!|X4a9iW+G{8HArkCV8Nby@@ zg4ZEqpsymnjzDZ#D8%9G0BUl4Ue^LpV37`!M}Y26$s$|ndWerNGTD3T3`eiK~x~hT#jmOBg)2l|2lZwSWOA5yDsL7O+?p9&Q)g}o0Wf+MdX=s& z0uxB&=t&CDGYjr6Tip+#gW3Rs9{_^OAmDGHU^ecfSTfEeqK6mBd!xMk z5+_R8Zv}kXBt-USJ0_^83>m7T{O&F%ah1{Z+WiCG0aG zKlj)YH@UHTtbucO`bCanY+>=efNAS9MP_+rhpH!H%fXMdpfIg^RtS18)uy@RE(_*OvTD-^M}PzQX0Iw090xfO&_I6_@8J5Kq= zB4j#ng=3+qBk)_OFDvWgsH_*toF$zZX{xKx?q>%gi!yid)9Z&ARqyPo`cJz+-C3oVwf8dM`ezK6) z`M?v0RAkoqfP8**e?5bECC&Wa=$Hq{SHhe1yh@mqDvhPkg?j8-+?d!tqo>}L;IuWg zezW?a`1=&=QIAcFk`ryGJL~_dwm~Rw6UxwEE^DC-brCOti9l$fB__)S{Gehfg!X(; zI>4S{u+Vl`3 zFMU-H0l(!q==eT=@cIfgu&}0({|7u5xXtqaaH1EaFH}mnSg6VK_~TaNre3TC0ZA^$ z01>o;obKRS{W0J(M~Vx z6ziqdoUx133Bzx%0c+51c{Eil;>A3IdINW?(j!YNh6LyT#tKAehmTNA zkak!CputuBc;Zyx&*NDTKcH(rHjQV^qBMv2XI09Dmrr3Cp7-NC=4vi1qD7t!{3p8p z0%Iy&pG-&50-Mp3+5OE5ivNSj@<}$EEzIHrX`stALThJ(5sgz=9olFJq(R*ZxkmSa zQuKvtBsn&YJFuo3PTLHmOyAE7 zSM$Pa<{eL|HR~vU|6E%8XX}Ty@+E9pf zQ#iXn@Y<`sW4ayU%>5_I|4e#d-`nTW?A5s78_~o{p=Psh^+bKqM3?3Oaq>e<>Nh{* zHCoOS=>;_ZT|E*1Zf*Y9{IW^vML7*E?v0iz$g1#3t)AHHrQG6Io-jI-rtLRBDj4F6 zOoukSR*lZsch!@p=Y8r5R(!oq{Xk;9`|GXg-|0?A9K`Eg{>cy4^geES{jkyUrbx&A z^-DJS-+mztv-}5oTAkS&w0dH|U8Hlcb(?^V?cZy(9Eao5C^Rx!*!l{I0$sszZ4QkV zJhi0zZS!2K^~Q;G+8j3{Q=rc^SJY^M>#(@zR?096W;Bs ztMwiw-G9>VwuMC#vq+s zI6Bre*UjJX!m6cjNJpjYX}8(a>XV=JcwXrH%qJ&-Pj&$iS6B@iN?Er`|6S2Kifv1n zhOFD(WoX_y^u;11V%a*|59gNmm0?~c6mH2*URsDqoiZqvjgSlblQYIiUL~ZN*j@AC zo`7-X+q!CX`r=9jLY>XG&h~^0P46P<0KfYivmu>Aoi}!s4uavRTc<5}v)n~)7&{Hq z-dq_e)VXuRU#Mdz48b5Dj=$pH##RwRoxhPWf=q)2e=p8r2?zySggQh2#tL-g6F5{+ z%)#S@Z;j%GI___|)p~F7$hQk6?2$P|c;wq+_Q=j_$Sy&W!8?tqPlP(};Qi237U{gR z1Zjm~0RQ38KL)L^V~G#vao{&Rx8lFMgp3O0i1<+S#Rr$JiH#Noy`G1G2%=9_WYjEbaa5S1j$}6oMMgc}@3!pPjyW5iUDE zu1<=F_@LcrA->o>?86j9PxvG3;)9_ndqN_MhwwgItyfv2bHS}fmiI6Me=5=uOZ2Ms z40=5sB>FfXdxHz&Slk1}ZMfIyWbmb)7o{Bq-Kw&Xh7Asp)=g?{%f>yDf6l9JTDV`_ zJvx1ha#_JwZbz#wqvs zN0vYNrW*?pdk*3Lg{nU$K@;vBtcb>z+YLQR8Sh=m6xqv3_xCE)RPG&GHj)k5^g^US z@8|@-H#B|!QM7>~n_t|N?Y8diWVCd4uO)=!ZO@Sdt+<8VEXC681sszDm}8g1c8y{r z>{D}#Y#p?;B`2Ys%4Dg8%o03tU&3hZova<-2f2bRMeKu^d>`{phx!7V+k0i37@k#6 zkD~s0-&^7I*U^0+6Li)ytz_6RIrmT122ZabDR-D0xU;Izd|0lWUxIEN<9?5x!p(F4 z<>kb-4{pQc;KYW%;oYZ%v6(ftsHX=(xrl{gG-&Im^bj)}H<#x7bk%@9Wf0#VP3)+$+PchIKpqJm4Upw>dG*Gg2~~0UF(n$V)?1e3i`QI*^G9a=xxD%LvoL4jHUir|$$k-c$p!-`2U zS5y~BGtvFIs~PT_W3hfbyBHJZl)>o8a}5eKUYLn>Gb=Si(d#iptBLwR--2g4sbw#H zc26VRkERb1OR-Z{+G9uT|CII=EB>F-9&_3OIg8*H%5+q2H@|NnKl#>Z6^^|M1&oJb zB9XIt%iY1@oUv|5NMk2kq+q6Cs?u+f_BGZcAH@HDK3!sg76w2~Ma&sT&p1d#w{2U$*U}@)0klQn#4TtUd6z6mU8ekBKaYsgy$#t+AU~C1(4!215}t zF~_>j!Dx}r5-o5TY$M009W?vRBFiy@ahF+G!KT zX72|FRAK+5vnmWNsKtCMRWbfZCM(t1ovfJzh7J3@tA}bfk^_-$$p9gSF)?+7D`Q7v5**N)J?#)leGL zQqn_=(0@oxm{d=Va9@c!rM*V44r)}_{NZYF>G6r9)q`{fS~u{5sF-T0^nF3!||e(cMiNP^IAp zXw(RkHDNSbs0pKimLW-{Xz^HXpgG#%nqD~4WytK&1khtdyi*hVXE4i6W=-fLtvyi@ znr|C7IYImUC^Kt{wcN3DyAMtXtp`4ho1nWWX!)6Tuz^Y~Db$fxB(i8ZLmk0$-tBc` zQKLQD>tuclZI)$f9;&?y(?`B}7e1SZ`T&xs!v{J4djLmDxOhZPo~<@S!Z?y5kITH3 z{|7In8dpx%*h!N|@vb^-=Ut@?UiZb}HX52sRF^oVbM!QSelYxHx#r=ID`i2t!5{TU z`%d!8M0ofrjez=hXytq~kbiAWlB3=*?T2;_@dL)6@RwO6IbwXUosWPYJCIU$gXyF0 zDk*Za>NA}tcYF!c&$pQ2ju@Bi>J{W0q(4`|hAMS9emXbGi8*k9+n%9+B}shog&OAMvsD)RIA)E2rU=JZMhZsn6k52C!Du6X^LjR8u_IRp#*|J(_dp+4(^-b=SY(~1<1~`M zy9qLUZBNWH7oA&7jJ%6zMUv-r=L1AACKR9x1t?6!)m^@Yweqh_jguTqY`JVcii5k|O19Trre8SW#eP1e)qeVd>6oSbT-#R6RJlhl_Z6mMtzdwg{gD z&|=w5qaHkj_|7F~LTW6~nH>+DjTKpVamT}mf{lJz<4v>3ASDLOQm*!ROIW#a0Twn( z&cKC&3vhxi^+iC!CVl9j1Z_fLc;5S|?`IBq9D=l$qI z;9zZcec-H$h^wy!pXXWjK0#1)EA;`gTc3hr zvn<#7t59V1;Ag@B&J6ano=)}n2}f(;efUa>nae^g&DLoM_t;otLBpiewj;Tm96Zww zuoF&#$#W!-gU`31qvPBgJ!%@8Zk)Eaueo~`Yb#Fo0$dNC?h6~l?CH_~xHF~E?SK8V zaDl=hNzz;;Yv>G&YP$?D&Mnwqqb$EzZnT^RN6{Ynkh6y>SStK8s*NZgMdVKmTK#X% zIsIVPza&itu5$86DetcD4nu-za6&%qyrJsU7&uBkZ zB6TuBeZi0~?X->Wsy>r-i!?%yjWncGAFc4N6;lLLF7+38&HBIUUtVRPv_4-m^lM_0 zLy1ZDr<<)XPRY~=?|20!`OgwrFv$U9kw(CPvB>S#{}_vSckTw{=zdP{HIP41>Y@IO zU$jmsqST~WzBEw%t-9POIctT|sE;H2S1tY;-dwh^@J3#p*X4`cf;?Z5b-k~;fx8$B z!8jC&Vc=s5ebc~CrdU3%k`qjevV`5ixXn$-eRm$_YQU7?x@$L50I`N!F&XJ*xGO%n z6^0s#;X?<(Y>9I35LnFp4Pg<-Manm9FJfCyjh;EP(a10(PjO=Fj4Y#VPZ*E9hrvkV zkw#A;l_QJSs9A*|Z_Eq_%0u&>;fg31q`%DAJd??yqR#4RlILoFBDrS0&J{W=A5*9b)F`KfiDEEB!GB$);s@=Qq!elrioaM}ZtI}gTij9#bBvvgxT^*kO=i@N3k(J^XJk{rouZj*`q zHMa>&;b51YCKSK@K6xTt_$F|?+?4+@w!JiY(*5fudFi2+l{L!>#mOC%?PH3GO5Ekv zx|kxEDL1KOf$1DMrtxBy@{Gu$p~@5-djCdzq2`a8Ym?sqzReKNRGZjtl+NMBc z8D?gfF!}zsIB4~6lK?X0oG?4foD+{OG$1#lfx@$ME{vX`_7LLA0!u|;%xC|WwX$63SO-QpAew$c)|4ip`&mg>#j zFE4A57s=8eQ=uM~huM!4`(8yFO&(H97&;!(ugT+JWrTzWEa&lFdbqCor#I9Oglnv1 z<-6Q}rA*2m2cvZ2OmmcSmLF&1Im(7viXSMvHyLk48? z#2Af;k_QIzk7HP8dKmBfPCI{NMT~UWJPxo%Hzy$%!2Hp6q2&LD8x6A|`@KKMP3&X74pR7j9 zhg)Usg9=Y%o@VW5$4Kd86_8TvHs%n?dIwO*DH*?T-AsX5kW!r?#r-U#Fm92h)xup2 z8g8P4%9O8#@UOs^*a2@m zix6&rg1x`3Yqd3GJ=?m?rWlsS;0@)CaVu>HJlyDt;^Tj9ua_zbBdP0aU@8T0f9-~= z2%RI?Rd~UPt?pl=VLBIm=mtJvNYx_PRmO2%*A_kpWi(g>4>#s97p)P~JZ2m~m6iF6 zZWADxiDfQ=QagYu8A9xq$qXTOPK{EJ7oMqLY<9p^%3OXus=X7`WlT$;F0)7xbBjFEJ*2iO8aA3DA|w?Inx* zi~S|=6S87|3H;kMG*|^bk~}d7(<1hdpiZ!95qpbjYg(^jZ`0h(qb0fZjrN4GLd$Ub zV#WX^*Q{Q6&{etgE7)n0+Pr#}0%JGhXJ2Z$g?@IA^Vui-C?0(&Fcz!~Ly-9oWxd~T z0JGhI>)da!J{L#&+BbmN{@RTSe*=kv;vNg1oDTs79J5Rp6cEmWG0O~O9;9V+ zupEb_fb}dxCI|_v%d$URFMs;WqrT2%e3+MHnUBli;D%J;I(GRKQ0}A-#pQ-UE+k3p zXk8BajM1f`%g@rC#!LbSw>I_n>fIf{o^SR>TQ)i9;i**)fLLtwL7BWaPUd%V0L0=3 zGR8rg!CaF3Z!v_^gsBIgsHx&Gf=a;3gMtwO|-g+cQFmzdg3L*Al7<=aZMd3@d|0vhdZ?Dr1wdmg;JJ8^s{A`l<{6K@ji$E9i`)3p_ym~dm@{N?G z_cQ`b6s%;UKBlcLGgj>}Zq9W6BJY7ACopq{qy3~Fzdev1TO5_kRvO5!x6b$@$i*Rk zz))ltUG&o<&RCV>6%^i!%=7f_vwhi0@DsJa^SpSGo0ZoUI4y95&(8=6N;;>Uzo!L5 zI!>#aK857{iNqeq!B6yyhuz|4IjRGJU`2HF&k~s*ay*Oy$f{Vt4+=_0gRa~0ty};o zCXk&tLuLwjPD9T?W`vyS^gUF@P2Nth!ngp2xoJ+3jF(*R)RP?bIIel4#+(mnAC-}_ zqHGFM;=COJ&SRWqHHSkOAP9-PEk1mW7+M~>S7a@5hC^JZ#=5Tsx&d}v}R>a`igcRkVfN)$`zhxh67Oy(^7XtgD?L(pzwwR>L4}l3v4Y z7cg$M1_4(`;m}`$hN%^L>}$4l5{!Dl^%9}<+q(w35v+MiwAEVNJTkc*7yVkwc%}J| zwb1P0l}TH>*^1G(8g?TvS?l~)dMLg{Q}PxzKe)~wHx63My$%TOf)zLG+~V2ef#8%g z(YTJ~i|`uu7O|y|>uvi&yszUDw->KRfFQT`sKfd-Pw2?LAzwI1==wWA8*nzU3R{UQ z*}wxlVQO&0Jdk2c4Q_zf<$i1IYT7cj0k-hBN%p)?P+T|MLe+hw#ufvV7|*fAW$OwI zXO=8is2yC9g~WAv|Adakm44HdD-18FK7s(^Z2ZOD4M|Q|{D)@(#LUywL#*9o;0_1}6U7kDT)MN? zyKnpoZ-h#H<6dC+8{926S`NI?+w%n)Mr7sWZiJJgvc|e3rCnkPfbzfuWiXLM56ajB zN%WuzN+bt^Bzj2GYPmZDJfMHzeK0wx?MkEPjh@(1^SBi7?rA`CQryFyb5P;GZn5m~ zJSF5lZuHD1cabL_e~46zO;T+(uP@m&g&awn41tJ{?>B)P_kvu`-t^#Xd#2;Gj#uyqbr}xw8z{VY zrkcdpd*&ku@+)2fitZ3AyIEOqX4Zv|Zw@dvpAXfs0jeXWA9KIVpVSOf`9JxQx%>W| zA2|>Ck*D5KrudPH#e&gf6WWr3!})b;=u~QWDsNGG1-iIpsivLKJbsG~W+SQRH#S_o z05gmc?q(Pd*>cP$u`O)NN%$Rc^uHUFY>K+~JNQ{pR;7KXl}6X)^&o6-!T+!N4z|My z@V^`vfVq8W{1lngy3>0r>H-w1Th(O}qsvx*zJM!H%BVqr#F(vP4_8~K*I36giSc@u zSQ3r9{A7b|95y|%ZKzCSY}~e6u7B!#8{~A{QzJo6z|T5E=Q<(p$N(q{hr72TBt|lN zd&B7c5^KP?-jLMMB@HWLQ5M0 zH)_l-ncrJ+*JftTLzvIQ8bYyF?Ol8SqP$A8rhhEb-;*RkisSdInf&Yay<5s(HU%dmL1H~QcnCsSrrAG3#2MD{|O+jEOabNst#NFbM|$rn;HRSqt` z0AC84@&)(?=3d5vgZf6_EBH%Do4zs*T`GjMdHInm4#ZxHduknYWBl(At9Dy>@3pS} zdbr4T@8V=}^53{=?!_czoX~dYUMLkdFu&hSm~2u~wHqW&;)h8{bYvd;`&m@maz$1y zem2b?+1iKNoC3|3eL|~Bzhb5bm|d%}Ko?BS|Eux>4UZG;PL=*9)n7xa;QL!9Ox|$@ zd?D|k|9R7-cBfJPm)O^GDXFozlGlE4-$b`3?&A<}5xoyQx(oMQmwl$}udeS)tFb7K z*q4WOhhz2?zCJd2bGzhmaq;N=y3pw#2rVua>^Bfv?C{t>2Wo^l%NySh7R{U96YIVo zemWZU+0RFH{;7ClzwcaeTE9x^`j7Dvv)qH+2AJhBd3i;-p>hZh8p=^jQqoqKI7w;B zpc%OXt#LU_?r=Kr4EKLi%l)^IIR1Z{-ub_CUhWJ*D;wQv1$CD!RZZ7A4dZ528;sCs zg!^h8O`8040~E#_Bjvt#|6LQ-pC;L5Gy5Dbt^?DH4Fl7QmcVWG2G(tvm!z=6y%dvB(C*)?L0N_m``E)kgOqvTlXxD&&-(J zQ5Q7r{xSZAg3LK(b}US&{L+=OmFJE7nxVEGP)f=!m!)Q$g|2w^0wXGi0!BD=9&A#M zk^e%(3((Nx3wZ%``oN(3fr2=uC@Kb=7NZlC@q}gb2m)2HauIkCs5J(zbfS_DffIl_ z0MR1?!E6KYfWVXlb<8%qq|PMZ8xy2t+1VX-;NrLgA`5;HY~zDPwm~|wK8JVsNP!sz z*90+T$ISa=2wIX2!-5A{Ew8ok4@SXZkksK2tR=%7v_zSr7!35bhFE`_>0O@y10*Mg z45ruSg{bFAh$0t+pc(2x^MN6UtF7?xSUvV|bVAXIEH4NJaD$xiLx-ko;H1YbdwA$> z;Lrw)w}*zZ^L}Qe56uI`gm$88dZ1KlbPG0cNvMFxC z@vN{4Qbu7p1UqYcm0EaJUaX6zr(seiRFIS%caT;g9iJlzbNs*aq{V=xypb=|(uO zJ2IiRCu*mA1ZLLf!i*hJx(hT1bwY#`a)+1`huv(zvIzLaDQ%*i+RF=Y5Dqz0S0Q@M zWbPsCMoLN{S5N}zi*&cHg4MTut zD_FD__1cF=&EGo}LC{fl)C7;hU$IfdC;&KhprUTz#sepLln^5UsPXZHXhQHub+`3% zqcO<78B#;^V$37#@f{Z}EkLA@L39NTZ*yvYwNA`oF?tKZlGxuV)4DRGBc0 zWt?4ts7Ed9VI}feEJa9Rv3~HBD4kb+7Y!$ujYa8A404FA_c>nX$Lwxy<~xfEkK>sQ z2zh5~#;p>%IvP;i86^aLHS2=v&NE#a;kuHm27^7Xlo>UL4S~2AXSxDmX(U%qOvCW>*UIN6;UvtX zq_ns~3H3G(#p@Oc3{k;ci#Hf4n2QyYY4LM_6^I}|g}gLspTy%11(4(0FpVtI6p>}xF?}Ee z*l1jcz!*2)O##QC?o>iRf5i&<F4YvU>(`Ws zb}00DdvT&>wG}8=Vx5i~wKe?1^#pT>E+$d}w>uKJBbf>%P8wtfs+FV$G(lmKq}9S_ zp@pz|OB8Z=-X)ol7lYy{3N$nNMU&vk7S^~P4?2lCq z2y^sEKFq57*nC068@;k@>J=w<;qSn5PH@mjLc;lpp$-g8Pwd94y#^qh2xTRHRwNjE z&L@{n7AW}^;2H}bObYs+QP_uzODbhM23Q_oNp{Ksh6SBr0ye~+;@pC|A2*y7&}3Ky zv-&zo=`A?vh1nGhl2@JFivuOH&N>+g&JI8Zz*6~mQtK@RCYgN%V<$cF)J9y*Np0nC zPC-US_)OXzKNH z)I=>CoapXnz>FcH_r;un?A$lzj2lYMOnjw0FLdib!CfV1K#Y;rcK8ey&rmsBnhIQv zqQR?F!0Hc}i|S0p@)@drQ=u&D!Vc!1dWRV>#JKzTOON6Mq*1C9T^?!6VEek7?c13K zNDY?hmRDIgvpDS*3mCZT^Wb(M$UyphJOu!q|0W%f8r+mlw78UROSm3nuz<;;#V+f`2~OO!#MR*MUQ_P+Eajlo-(TV zpPWhMq34vQaP-{ei6+vrL&aF&{Ol9W|Mm!7(ynjGnAPz=#-QR_vkPixjV9bi6`d4_alx|| zPz@KHwJ{ZZ!xqZ_l_L>x)z22Y2&Q8f`7Q3*Yb)IPm(^r^r6-tb8D)Vn;0%)xMIkrC zQWnQ}zQ#^48+Bd=dYxAEwW>Q2{1;lp} z1+oCo6JWy3(ieb0e)5ll84)VCI177d&>6_+B!R!=O*WMGD#S`IlP0xY%N~RMQAzCw zvnK#!@D^~b@bWR&m@Tudq@-H0jawI#agkHL#0ALiNXkyTpd-VWaE39#?iYa3C~r@m zk7pi$9Qaj87Rb#(2=_(+K#o>R5v`?_0;bmqxh^>{tf2prb^HnAn%IxPtVg*+U_E;7 zIXZXm7pQC>+_p!#nTr((z_Agz&WD*RCU(FT)7=IA5EesFx@#AK(o;mX{uhV3uw*fp zi@Qe_W#3F}Un0I3njV4?&DKbeB4V)fTQ7nSW4lk)MS!(=C6X!>2^vuV77x$cF1x@h z^FW3HNrBOh$yuDaOLjgy5L7>CCVL0uR#I8oMUXbtAPt)`FvL)O-`GLr&xU5O0 zqI`slFtVQi76^kUeMBcCm=vMI{EdJm>i+X#1*bLK{2o6aX2cTnANMyb_-!oR`5nAI zO*G^Ay0ZYl?S&MR0wnKE5Sf)0V2V;^AAeY&@qy^NqyUP>ZxE3&KK_W@h4XuU26BV2 zSN;s(-iXy0KYPm<-Osd-7Xct^BtHYXzp3GsHsjSUYofXy{tMd{hEe@rV6k}7d-U2b zpv3+2nl-p{6#N3vj)amdqm+_M_I8CDo4hZ93&W7nc?sKS2FA@VLCtt0-{!pa`EyTK z{-p=$p>Z|KTpDUDkeYJ6(3Wj?xWX?)J5c<5)3w62&D}et?Gk+O+``IQiyD_gP+>6F zTygWqr@hf9^27^{fnHXu~_e#q3{zY zwQMTZyWZ#g7$<8|U*^415e;-ZRF>KGk54-}$c<&|u+;iARN5b?ZfUqX=m zd>s4Kd6MbrNfGUPv;r(-vE*Zi7`84^TYy+{jnHPz@Z$Tn-UDi*+9}?;dYvl2kA_N? zSDo7DF4ThLC~IH4jxE#(N5C03>X^sqb$)sFPQ!bX43u}QKRk8`FWlh}=q0ix*)BuJ zJlbUl$GKO+$NSEz!5xz)@qr|<)I1-9}k+qCy z6)l#fLvsuGlA{4i@1BxJuBd{*&idv^YI*uC{M86nAqsf-)dE!lmJ0l8!=lkFqjO;u zqO|K@p`?Q;UPJ!@andu3znUsC_b5gsK#LcOE#p})0cI1XDHbyUomW!C`XnV%bU`UQ zp#)wN6kH2ROaUGsAn1Ssn>HDgRf z5auPdw^kr@aO0tPQY5bpLS422*Zej3N}eL);hI*3Ot8HM0EUV5#G7kC;Mf|j7`|+D z&-VCQkZ16HPgG^)5Q2#|<;pKet4wYy1dvtIni^d8ot03Lv0D|6LAc6!_q%%fEhgUA zIn`FsaGzWs>h{8eM9k~E@kYH-R|>8}N5(OWl-*rB^D+G_G~W#9oqM1+%KtZD@3@@H znh5on`P+8nlk{+|RIer5;%}hK7zFUgy2eG=2f4l$2>b*1VC8RE28JE!<6LgY$+2ZY zH@tAeq5}c}hs%>su&EDZq z<%jz#dRULiLxic;sy3YRHD*5VaEagvH=!Y$n2Ri$ZbFLCMHB1hE*a{T!g%4fFM4%3 z|0c-t5g0Ep0$%XKb0=0o3ZNvj7$?ElP1V-pL|j3DH!{@ma)Nur1{2$i8U^6lu7?E8 zcrRRu)!&kz4q4Al)vnl`jv$Nb&HV*?HTriCGeWQOs~PH=HK9Y*lhpS1v93uDi$R+v zccH(5?L`f2%9h;nZ|+FhlF3RFDIw^qLAv|_g;5^p$$5YWfA??d`1_YgDF45lZG`_Z zLm^Aq$(8dH%{KDpQnaKhw|tNSqliDrtB0#Yw}G`OV!Ot5;3O8k(Y?s3i9- zTygk&#E-*c$jWh*)RuRtHm?&un0K8wNgXK2eN$gj&s>sWvLR!BgI|k?S3FkWzW{DV zGW*y)5X-59f;2o~2Af;9PzJpahGDLE%TW#uE0OuFwJPjHs$^!vTX%jj(K_CmbxRBw z0X!m-pn6(B!&TA+g|MfPt5E0!AVFZj)sYaHg-nex6W@g>h~HN<|BO|wMW@KF+8X2| zO|(3Vsu zV?`m=P`5EA1MkMr+jW)@1krv0{8IAZZP-viWJ@X-k-bCc3uU0`4rXM?g0bL^=_^+M z5&WV}eJ7u)TQmM;bvA5sR|@zg!gP160al@6!@}J;rff|PWOLMuL+=85apL>%-PlET zZzP!K419%qz+So;+%t?MS@sPkWV|p$c<(HGIRAo71gw){0v&;nS|{F%EDqIS17j@= z{B`)@+}ZU(YHVaizFtcpbBWd?vgroxfvraXH%R>PzGIWT z^6WpL*HHh7gaV<(cUXRElBpn}ClP+nmeW*&*_PbXQlZ=DdeLL{zI6x;k5L9@`qv(d0tE2 z{6VozIM{y-IJH?6$@M>4YEg{;(G5N4x#u>?pK|Pv5B}*T_fl66X&X*#j3EFl%qL8v8!O=EQsq18cbVVx=~qE>}s6H2?8S9eGcEm1R+P(g*PpE1?L}kBPsqN zbLkBV|6TlZgeD1<6ldZ%{0);t3Fk7cP;Z8~_F|}rlbF9QXJqE!*c%3O<8uwpG5u&K z5IM+!L28qXgT~H(ZZb}6a+~zz#;?{(xIVR6S3hnj&N9)Y-|qZ!8)ki;UOX1G&a)h@ zDx4UO8fwqFf|{PCRXgozY5__B&YC@iemt1844U;} z9>E2!xi+YrkQoVYciJn06wMH6#-J{x1cL*}l%jdC{Cpwu222PX<3;|!UX1bXKG2rz zRdM`%?GAXrL=Op_<=M9sgo^5FIt#0#@9|^zH=^7Ej|* zB5mxIwqR=cRGOsT;sLC{=F8lc#f9{E31uea@8lJxtd`&ToV&u=)eSWc9|J!f^Zezn zwsR%oO=22uxnO@@Llw?9Ege+9Q$gjT5Gk*EA}D&#DQ4*8?W5GNQ1(GSNS>! z#J?I2#G|wTf%w+}lSH1;0u%0Qd(r^$BF^izQx*x6zdbMdYNF5t@&gHz-{i^GUL4%T zePfw;q>gLMH)t5~(ntBtm+E|r!J99AoZrmp5n9qHyo=G_qZRL43iJw4;UKj1DlrsV zqK=`}l+-bp8Mivw@GbKMQ-WJ(h~JErcQ~}3R7g7VbnpK9%GQgt-XWk+{Aw8G$fp3iLO z{=D5Zzun@n{t4Qu@dK(L&<}B(Z{C+N#-OY{Q~dNb`3toU6?6rl?4htjkNn-US<()Z z!($H78zqR?dbrUMS|adMuH)rC(kd|me;SAIey29yyewz4S*N!?S`1Jt5ld?&l4;|{ zjUOd6{{IPm0RwA|x@N2K%|8_@?C%a9`YXECBaYVX!d-W7mp>qMfc$_CkQ%GOzV40`_W-nYbocPB zR-2&YgVYsREwAiRKCD$LNp-s*D=6&Q#J7w!%cu+Q*~K?USI8dmV>YQtnAL`-j#`U8 z)GpK&@A7-Aa9GQL{Dt0IpHLAS#RPtDcT|rnE_xeNGj7A+X`xB?Am?*rn@~lPp4s@% zT-pZzGkkb1O&pI*MWsT>4i9XliO_iH*b9V`@bc}AqUWK zujKzYnf?UNqe4#a5Y)l|Bqj!++wXPvk;OLqN=<&>2t>R-^OMd?$+Y)>!}z25&TsBW zSB7SPkb<$i5y<8XPbGETvw_76_P@6o8|NkqasfO3?0{Oz$H+gD|DGH5B)PRum^v?xwrjpc67!f|>Y;$R*cjb}(A3sq z*%&Akzsb9k7EFDW{#EfJn~_a@$@6pWtQ@MFD2!WzHIrye%1eGT(DXFrtDH+lAMbKR zZb3xpO@C^@`uLDP)hVMT_hSRd9^^8K^KjRMWKniFJQy>)B+J7n)4Nr)S&3zvH`EN5?mHHOTvy9iPxI9~gJNo2SlpEE}gi}}b z&lLF{gd~vP@0R`D3xU06{{s2WMV}&(KN-37gFb_N-8+gIKYsqxR8fF2v-|y5YavyB zVz*~=pAH;||K#pRHPL)rZIHY9qz~-^bC-(UbQfB%bdq!7D3CmRsT1^zyo`1isZ%ax z#30aw5lgS#$&NwQ+sy}dl;RG6LDOa11BgFv6|fox=Acqy@Xd;W7+D(VD4aJRMFXJI zMC}~#DWGa+Nvt_tc`YM;ZZfQp!3pRGOPFj1uG^UMoFqT*m>@$8lK8(1BWO#v@t|Sc zi$K{g+qSgdx)?M#ftscE4B8$x8+a#VgVzr3fTn?rX7C^u?{Z*gx+MNUTh)xT6!J&! zzH#A&fIU)6p%Kc)+iI4Imcqm*t)!WJYJ-*n)Qz{tI+fk z2M>g?aB=U~8evQeGlNH1(3w7#@BHvV(!6FnUi}fr<&Bw{a8Qx!xt5{|&MD-{DMDUW zMa!niP`*ep0sN1Y;3*CUMiwO zDN&c4LqK}X>^FC==3wJkV13t7|8JKh^Vf@vOq9eZP}>d$ zJ4-dxwV3YW}c?H2FhZK zY6M+t@1h!&Mt^^kS6aKl6O~^yi1$ks9&J+K4SA7-#7C~;Vu&&l=4{gFFmh`%p^iOI zvv_kukXg1F*-F*LZdE$tR%?X5gV_y3ZJo8<%e571;qWLzb>ynSB^bg?s5@N-Myv*V zHcmDbYGOZf)OjvZUxFE~G}%C19}hYPt?nKkB-aR zbG3;h8-!o`16GS+&4sh};&S@vuj5NfV%^&CW5XK)JGSX3TJ)(yR_hYUR>w^q|Ile% zAeJm6L*A=$KlZUgopRdJeQ!unjBFSMF#~Rf;88<<>{0*tvGe6J?5I*AHIU8^D+9S7 zdo(eX05wMs`>{tm0h8n=?(;OXlJj;n)Z|3ivBiC>7YdyKR%0xWXLRPJjDZ{bcY#y$ z7zfO9=}r8y$_vM!Np@Gu7)Z)E!jwF*F3}^ic3t?ffk(#*b%DZAgtK&Kco8c^$VrS} z=qN=OI7~(aq51;@8#uBz z1W*r-{%C*@ab@b8({qfn{zRb3&$u7D0P>L1GxMfz@g5z}KSRdj`Z@A*w8TII=kgu{ zV+_CtQdA5qFf|WNT}h771_x_!fHycUugQeCk$JSM4!CQm5@0=$TxAGX+v{RIO+%B| zG!ossDKbp(O6wpCJp%mThiur*EYX8bq4mWGBCkML{epNfP;$!sLcjU+^<%Ps zCNu9qF?)b@TjULC@Pf<#pNNyBzC7aJT$6MItz@V(wDOcKl@;bFE&D8Ll5C9xKfd)} zG*jz~&58e-Z2v^x8vy4;yXR$~- zQiHYH)kHHk%aEC%I{JZF(PUg&ih|KN6FZ%BRe%~+H7F_SXX@tOb`(!OV>|wnGoenT zkeqE-%2IngGc@X2-|SGQOPAD?%tzDpha<#aW`1?59&HtEJ~p2Vy1X?)gWGLJ((`^@ zxaLLs#j!DKuU}uTB@0M#C@}qX*MGzltpZ3qF$LL0m$v$I4o$YDb_AFsy;YhnKKpg* zxN4(MJb6@6;9#USPUH<7Hp?{{HH|Qwq_cCy0rH-U`N{wK0#DH>@|xzE}RF2pvmu~Bmvb|W}P&3 zR`|Z0uI(P%v1)f3Hd?Wa$8I40X~+1nTU*KZhb&w$U0ltUj0IwY5q0a7=wb;eFx zng~SIMunR+PHai`+5nuVTRM4@g}siJ{&GR`E+F7G+JY^C+4%VeuRFJMF^0C1Ib&pt zmJushxnYq}v|7%kr5?A2D32BVDiAOmQ5<`ZbgS2k#uF>|K+=|w@vw5EI!9&vVxGK> zX*^^RJlN6WXM;WC&8UV!fWXoO1kH;W7yPEeRm91k;6@^cY?TQM+Hfgub7}-|OQp&C zCSddj(?SP;J5)&5d`+~&Gz3PZqKRz28<9@tMN9ZXh?j2#dKBkvIPh92$Rd zT<8dBvIcUWtYM!nw6^EFV+N*e?F59*#T(PU6UeXG?bxp6~fUKGfDVjK{CeOe( z0~hy`hFNhZ(mSsgPKH4)5#$-y2DzJOiVUcYH|D-%3bHiEil$&2BnJ4XFUr77X5^Hg ziHgP2o^lD;`v{ts&1n2orHy%Z{A4T_k=RU>$QLZzpgIKm7AJ<=oS_nN4qBHwj&_px zlP$VKuD)8krN!2mWF~Db$oh?(_zO3kK2t_};9nE)Z`=P*PDr_VM}g8oVCW?clZr!_ z%q%cCFaXq<3Dg z8|qJ&wLdRG%%)A?&^C6e%A;v~qh7Z?f_YQ*(sJz?_)nALH0_bF*-yann0n*}ZwjU+ zKY@k-aqLFy=1mSy;^=2|8`^0%^Jd~5veO490Hb1a(_RXy*<}LWgu6>R|lz zfH(b~N=o7(0jqMF8d>|=89!~*9JyC~8dt*O5C_RL7_jj*PYt4qgS<9;-dNGc8|19(roo5Vv6JpAa^G2fZL>j*`W;cwego`GQKO$4%4P9V?{Jh zx6_IF8i&j2`tY0JaqU$gkE?}Lc9Rd^bZG9#pU;R^uqIDKb4F;ZBX|UII5S|rc7^H= z`hgMnD^LtFo}B^jH3ZatZav|Tl9{juUIizdNjxU7dd`f>BEy`qO@v_J;mqu$=sfK` zj~ul%XRS&+nf5Zh<4)l$uwb$|V%F9k!h*@3uolHS+gpDZ>uJw2Yvs=q2^V!63OV4- z9kY>BT!FO_v)9qJ5uB{q;m(v3)uMPoz~0<~ybLP6S>il#zh74$>DI7cA_{(k!HJE1 zAm2R}`||8z3zWAR3Pr)}DK$671lOrt*vqX9s^=De-DyUD%mw z_H!eLhq!Q{q^$w1#>59c7vNfhlt=JfSgcV?=`wfuZh8ag&7kK^U(AIxL5L^()dG1I z#*v`w%e8jFjzCw8tr{E`i~H#A+8Us1W)1uKT}PTytO~jZE}e zdsqv|ZZG08GG#SlGeQkt=nXdB#Jt z>+OUdS_ALC`)_*qh^fjj`j%lnQeis7=^uVbs>wC+^O67Nhc2W2up05dCqDAsh-B5n zz(v9j>r_xI{)3^1Lfr7^#A8CPVj3dOwxqs{9%~iyn2O&rN^?}stHd5v>|xw5ou{}^ zs%^MI+iie(Y8eJa$Swww>>{Q_*urgx3Q2Ry6wQ{ZS)LhxFw^Ar=LShnCo}yx7dox3 zRb^@C%yj$Ln%Q~f|6Vg2>CWwEC8c6U6o3>r>LYvguJr5e37o1ja6vbE%P?omC6yN6 zZTehkVds6!O4`*5##rmE5_7>uu{5FDC?kUBV9hM6NA&OK2i2~JFE#MM5Anvhj$V(w z4aZ&0CDl$@uGSQHDi2}hYfF+7KFAMT&mh2Plk<~4oj>k%(`bc%$Hon&ZX~dO{Lt)X z;l*>Oe%+9RI+COom4WFfyx_XQ-Hk(aCYQz--BlXrAb;Qv^9ngsyPISYI4MjB0)=sh zWe|0J$A1F&U_3N$L?Tp~qIo3o2n+i>eIO4>JQg;GXfw7n-N6K^a$XAG-9qTGFx^n- zg3<6NT-Jditoaz1;;QR6f0Q%zgUsJa7y=A_^AC`5E~_>*3~(4D{cyM!i<~oizGCoQ z8^9aBQcoj@Zv54D^7AlUeg#Xng^*L5c!MN}$^hKIRPmKzpr;-K?#yK zU&nxc;G*;jcKzRRp#FN;(Y>2qc(@DJI7{}H;T{%XREq0>%7Um|I?kuK4Pk2m`U4&B z!qWZVXy+mTmnUq2Xzqv;c_QVA(le&#RtcqrPXY8~facjMpZ|B812UdV7Qzuo{FZ(T zVF|iS0^SF3yhTC7BkHGcbQfLI zTO?To>;^Gx{7eiNqkoCg^~K(Nx2HIrF80TP_AC+)mqTIc#%X`wVmP{CB9%6XB_Sjm zFt@|Y8Hatcs>TZl9t-8g3yy9%1?{^9_Fm|P?PIvma8VWlh6v?u2}BLkJJqb2OUz)* zfNeW?2@o9pWUi6y>jb*cpJTHG_KX)o2Z22FvXo?GZle`)DO3&cT;-(;h}uUlM$)A~ zaH{^zA84s-q z_eM_$?5)$iW%k$&=I8Cid%c#hS#QY8p&+e2-q&!8O7ELJT_3m9|KlZp2h!LEP~7pq zFGR#CxmaZ)W8vH*a6~FZF_yM{$H@E&p2(4^vxW`cs_vIGG~5sMX}SAoF6iyoJ>VFr z!TJWfy>hHVZ>NvFXAphq5PUoVHi~gWV&QUk%R;|8C2wX5#6pX-={hSV3{4#e!yu;o zQ0>2iq5OnZib6tsAf-Zws0ZzZ37^*IVES%X@k12+=~)%3DXA9Ccn{Ho;55#zj1sQR9*O4tJ3f4p({L|5xAEh zh(B>U=YV^Fq^{8D;Z5ekevSFH`U*4HuU!PLD=g%IaL_RARShhQekFP_)84x1mC(mw^~+spl1ng00{b;N!L5`>WE_5iS(!xi{9(T)0WW_djbr_UzrKVd zg<9bKL#A$VgMSJowCU#LGwURSA`U$?WEBK)fJgbNQ640!6;-)vr)o3lhj@N>6&Nt_ z52Z#B0h0Ftyd1F^QrxhbAwR9JR;QV$Ez*6XC#;eKN z8k{Brl;xen9RUDSw8ixV08B9}*(=Wqwc(eL+Q$H>3U1hO!3|UZ)c{lnlBKz1VQ(N@ zC;0i8AWCRo;ZMoJt-yDb(E7o4B1m0v6O<}w2Ye^QKp7MPMwS0+ttbflYUI?Z1I82d z+2p(pg3%h%AQCbkmtZ4$y(pLfD#^|S!*?RZ_ceIiaF4F!X?Zic)#J0B0vbk$nVBHg?dK=rk7Tf>_jzcvWh zN(dj&7_k2mr8*D?_pGg#2O}F1j3g^C=StV%YU{~e2YvWnv7Yfdng6hZs1iqT5>{OI z5U)1qZ3A>wvZ-tJf%U*sTFbN^dIMO2WIgayUfy^Mrpj%8>+fgBBNf^3=th@>d{RRJ zr?@7Mmxg+X)*eCwN$BEcdZM{rq`R8NheE5U+$jLn3&1}Bt04Sq#18n?eNtEAaZ18w z@)G^@NJ~?g8$vc;ge`za>cxi&gocd5iUNAlECBv@W`;c}N5L+xj$!xw?i{mx9G5?| z@kSwUh)+flK1p`naEJNizltH1%#Sc9FtwD75*V|FqcnOb@?mt~20Q;vD8q!HLYW(w6b@baGnhd62YFy0iXU|t*SkyG^A;PimHtIwzLto2oX zFV)WI+%WT6Pc`S}8B8cZ@HSc!op9rHW4^P3lhS>++>H)EY^X3<&1tQE7`<$S*p36j z!V(YSJ*o^h!z6He_-q_0AdH32h@ju}lTJ_ycNG`!D%PtEzewoayj6wAK|rD^HyL7u z@*k2-Fc6|I#%q%;kO!7Y%sD|mwy-uK(s&m-dn<+d&BVJ6R<>_5V7mrq;mx(yIs~Z< z4?W;bj;mn>Z$3jh_&GV3AqU`$vjyI5oN?}M!Q)5hF>8xO5IyA{1Q*HZoD#AHkUa|4 z?V-tK1bo}67y?J=k@G4`Il^Q}4ZuVbD;P~|F_&$QfOC74(DkRG!R1!s+MZDD)Ug$= zK{N(xZZ(jX5Rudj#Hi_8Xk z?O2@vT6+~Fmf4VN?0p9dCYSUEL(7tNpln{dhA!{bX=9Q_*y zjPKe|o$vu+mGAoa?r#Ln6_Eh{;cCIusOcabaE8}hC4*tAEIJU+8y>u(L^pz;M zL7Fl$@*Sy&aIEN0lO4iXt!Kv?ue7!|HSCO@9TC~_CyEVbNq0mIcem|ml5q=1ccgcX z-Z2}kc||1aIDYeOv~^ytj6&LOxDQ9bb+*&k76mn6W2X-e$U6Fh(_f8p* zJYT^6t6^tgc0ByFdv;>!Bg!dZ2fZpR(nDeRTJ@dJ$mI!J9}kZ=B^qpG&-b2~mqS)mP1*2-0|Kag% zmbA`4zBD`s8z0Y$49*`#xLIkXcsqZ$u0!yJlEMhDS~Ij zoKmI?1-)`NEZHFfr>xyh7#`pdd>$wDdrra&Wk@o@A z2`W@(wZc#y4=g1=T;fF!*L%!#pifurf&L9F%-S=9B0}ZKIamj_=OQJjeuY_7Hri`Q z0zo%3I#c!nNHGIa+-u9Dj5Y)46njl~j5!V2VhH`u-yTS$wY$fDy=&Cxf^gfIWn6 z-9DfLx-*c(JYb+o4cILQ(83`AY90UwCcHd-8o3B@Kgl;Yv?M}HK)XUu;wV1~fQ5-W z4GTxD0gNTeEg?IQeR)d>TA~byiqmuQ4{%-+BJzWJuH5PK1JINcSk2=801}KLNp9i; zawih9hUOT8ADFJuWBNg535Qg*ryL|Q@Rsz>;DgarI^Nr6PDAa`fk_1Zpgwe9k${z? zfMa_8>$PBqNwP59InEbJAi{Vaela+vgCHlpBEBC13rjuiBuR)J3WOX{KPLE?>~ti9 z3v&^0NsfSy2^OAAEZ7)dG$apMu(`=#!jK)|z;+BcA5V_dDX?H;ZMI!>%0{TG0*91s z^h8aTb7Rm(LJBFsPdAZ}(~S!y4yZ+`7_^04)4N`nx{iJ$dQw4y?PBF8^wip+6M8BT z^acJ5Te!=fJRs-bLjcQTg@?d|ldx+0(0Y2@$Iv^J2n#ssrW|@kMoz9$9JR6Fp(4%m zIG$uk8r%`2ekUy$6rGjc8PoKc2u6blN&#)VMrhNuG*j^3qldZRe-t}1jC`2pqm^bc z?Ueq*<;bYVO=AAd2Gh3vyC(d98$b8a@iSAAk?wA>2jHs4VkY8A&KnT7uUu}J3J*#uts(HODfxstSc^Rp!kP1VNiTXLE6{FmqF zE-&!5E?5*%d=?I#-+x0o->TTUYPbKU+Gk8v4EreV-=wTo)H2=BAjWa&uq9a z;54MWk&-Ug^x6dkRbu?EDAaK7rk4{9kG1e#7-5ku8Fp_ahye#QWMC+XB1f+bcY-M& zi}FRmFKXDf(HW(Dci3nuZjkS^{ZXGuIQ(ITc+{S@Q5>yxY9_xs|2(#a{;c;qaxzEt zi|KK!OqG11H|HO-6a|wL?6K(=t6@4+KIYH{NNIEO$r1%~QMzNBm(dk`tROp{EfTtx z098nD+Ho_Iv~aq696k~flsrD8Z8!bK!_F6tOU7~e0vT3eu6%*aPZ&p%B*#y{WCVY6 zf3lS$3eqtsx?RNmiS~7HE=gobnK&Yz048S=-`t6Dd}pVOj@%R0<`jW2jwI6Mi8EBX zY{>DDKb(`A5ExLr9)1$+)@r^9F8Bk65Dv+5h&OLRcoAj}=fXq#F+f2i0AoE)|q(nenvgB(WiN zMH88RX9A=#sYf^lkxr-bET+OyO9%<}S+Uq-0H(jIB@0po=2?3&r*0s&pt;hlHtc34 zwxBHFG`K)dwgO~7eB`sk;LvfDX77+ERFIJ&>twTE;crFRP;LgRvip&nL3iU>*%~xJ zO3&hp)KqvjidUjH7uSWeO}H-H#7X!pcrAuS>Stx|<-g-+C=~Y?9}~Kfs;)2mc_W7B zuh#ATd7I(wi;*B7Wj_O)f2wvV{JB-M&T$RMEqaLyuIa6c`ls{uDyAo@fVbHH6bfZZ z=I2JBwU#mFYxzzYO8Qok+V6{Ki1_{yGm}GjpO(R6Ef(jatrkn&b-706B@GX|0o{G3 z{TaIZOsxAKnfxC0xx7*9oUet#v^%P!_tM^-bwSe~ConX_!-mp*U;eq$#$skgWGPE) znL%#-*ON7D$2X~f23n9A@-or$<$`vEZO{d7+w1)}$8JQITqP9GxV`23y0vS>Gu<3} zeQbtuf1}cI`!5Id5*ABh?1%Qe26|%8>pxvQWb@XA{OHenUMD>Xi`zf&WM`g$bt$#B zPbwD^XTE?mC|;Vz!xh}O-X&)Vw83PRx)`h>$q6h3;N`3b3xPvL$o-W_eOWor8mb)* zw=^qg9_>IYyZL!fL<&#dOcpp+oL|Xz*@L<`F9xfOXWkH=#nYjwV!vp4f@wL_zP zT5#dFd9d-IhG(S{j5Zg%`L0ZX(*=L@jk&ftovJkCO(lMX3q!V$-H(M%I349WJJ+!G zzeUGOx4)Bwd2qO@eus7I;uE&lOePOq#F01mX*^@?HdmDp8)@ zw7xy>e%V@s5N~B31n(_m)k~f`^g)*6BP*QDj6KpN9Q{Mb#X{5(~*;mU$9Gt_PPEtoezfq=NE@Lv?Bu}O4Cm-*CzZ@ zAg4toL9%2rrM_%N2A-^{%W}Z2xQsgN4Y5Qno!fEwBshsQ$u|5ls4&JVg@s2ur{Jj< zAP!Dv0|jOikl`IjF4zGZ$lKHvj$oJtfL_j>+nb^r?tW?TzTO_QbKrMvb4vuFoaF@rzP zETc2GSRLOKP{U%BCxw#T*Tt|%K)y|ErlL*{hWn(Lt#}aUV^}Imm}gXpW>i(O38Q+l z^tuGR7y`7<-Xr7hbxpmE?)8!ns850e_X)S8Pu{(LT|vG(;d)q}kUi$Q52kISpb+DK zJcdK(Iy@4%Or%^#ds2ur*karWZKYx5aQ84<{8w2cbCeZR zi)pFJn1BwR;rumG4x4e~_gfA;}k|JC@C`KljwsgR>0L-6;fR0 z0i&YAVzSrp@Fm9CgYYRXWtZnMN{Jf{e!Fb zG0d&bf2Y4EW2#F3V%_K_1wbaLig=C-(I`mwOJt^x3*Pm zle;MNNK^Y%#moBX`fC)!hAlnm6C-#>OkLAwMLqB;kjHCM^D%q(9gpPom9t6_1!_4e zOTQ8!c|CE%4}}*H8Tswh<=(Qs)71|BZR$;FZi%l@I{|^a6T)B1dr2ZZxEv(=e_eH> zXCK0XH-6n{2%!9R8`ubrs!yA955GuaBX{`V*H&jpi`{@0C@`hIsshKVQXC)llZr;= z((pFW5e#5zr|~DJFpJp&c0=h4zFUHDp7=O*p>S_5ft{i1Cfs@xL62^(FDLY+N4OhB zT{r2mfyHfUB^58mWuA?wC#xz0B8Or#d|9v#we|2GY)R`R?4zunsEV9bjX2JbP6*5) z=~|ataFGyS^)3G(N+6+{6J62f7O)t)VnZU;6>o<-d!)T2C5*R+oYl9N7gBW|jZ&sE zCK~ZFI?Zm&wKe=^bG5(`$ORHg{kn0r2PE@lv4t3z&@DOC$GA6`eIm1r_&0 zh`e=OOb=Hz#`nD71@N*Hl!{2;^Xk-24+faj;+x0Tb0(g8(6cx;u~A45f-bT6uOLkBmkG}^Q800V$H|nDVm8XJtLk5w8r-#Rpvf_CV&*VXg9{Omj zcm$`9bw-Wk9l;$==XLxfxqXqSe|!M-b~qpTI;bSi**%tDYhX@JAyVkTJ7GQJr_iV5 zl}@k3Z>4A)CkxvC06qS2E4Shg=+LCH@sIGG(+z_a`Q| zqrCfA=layH(>{~>7t6;eSQC?B`{mkCb7S0jbV0(}YXzQr?v}=V zIjj?U{XlfW^mju#;js@eVb5-|z3V<~!WO?0M!sG)vD(Oe(}P?il~?`X9o5kg0_X1q z`2gK-liVOhj4suEe$@^Y0)-%YrWCvkGv_%ZvGGMN7wp(w?Z^Ff)N|hnjdHGAv&ST)l)*5Ch?%Zh{zCB}Av6h0uZ3tALHf z&oygSm7nCzBn3M)8!6+c*%nuHdIu@(*Q$AX8`hno8n~gs0=vEZWl3Ox4}6DM-~(vf z5GlPLgmY;L3I>&L$jR{m+#ldV;w)k#j%s;G29+2=JS54LxQq_3hwFh72&@TV+gTU> z5Kd@Ucs_avprky)UIESyrBjXHZV|90k5=;C%LHtfN5O_dPw-OM^$wDCg^wuEI@C5g ztxRY zo^f3j-+2vO9>}W|oR0@iJn@-H*bw_M_lX>dX7_~I7U+aVLUCZntPZ9O#KrzlvfCmv|I)w!PFEFt9ez*)Q%6+^ke2H-4*G)gwu zAoBPxOyHJQl z)SLI4!(-spR@Px8pBBEq5S!6YDQfVPd-e%NBXSNI?2u84wW-{&G4;`FS4r`7yxD zGmO_#k99VUd^Qf)X8%lPjdliE3NaWxI|O(tda6|dH6KPMp;dG#Ziv!!`-M(oq|V)Emi0#ewAIJ&h*v z<9A~MS-F7IL!<0SHEWBc@q|L^3Nk`(8d0R%4GUQ2+a~D4sVOTyRyG-60=~G{(PpG$8<^6dM(T1O1EGYYOz^;-1?vGIgVeM#Lefy;UyV zT+WI+7QI_Xs^gWWGHw%3tf-`K)vU%n#yyBZ%_y#d6&=*<%!!+Jn=>lnhLX9J+VV5U z+ta%pBV%oc*STIe*nc4bJ-DaO+Y{_XmImFpnQzEqnhQ0YyngfMopX2`2oDNt@DFJ7 zZv1P+J|Mr);lS~6JTI)IhSMaAT=3=~_{^G!QXZDIh*1uLC{hRNVE8QBo=Cmc6{7f7 z0IDdlM}Cmd$I1hzzpEWsqCq}qc1C-{3#Q?*cGf_t(5ty_ngweQl zM@!hRA9rTd^|b8ZPgE6}#H7&}_p38rh;g^PqcQIO8#KnveYr;DSv0UV=w$@oe7nHJ z>t$3kX+?P3BrkaA;+u;Q>&7q1L&3wVRlFm0A;yR6Lq_EHYrfh@3LfI5TfAOvn}!~T zIBYC%+5b2C9oz+at^6!QF^+Ni%}knN8jcH1>IT_uKyWs4^KFZ0%6)7bw!_q4 zJrFlHF0&46IR?kJ-I_vs4({{K#|TXx-4WN}NyrMC+vnyUc`~azY5tz}ud_pa^9R-j zw|gBPUFVIvs?WbJVoL-jh3!?@p{S7X6NqcSA{`a`I%cATL49Ct$80ygd3Q!#aL0nf zIzzX)LK`5;v)5zIx5>T4%YD!BT6NmRdi-H}_2&&!8amm0^NwHo_IJ9AYa>_TwFwOF z%%^n^dpk?zx2kBsz2jD0lklLO1SVx&_Sx(4T5$5aIO5tC@+MEo?>bNKsF+qwl$D7e zhmv-WDf!)&A~rXpuCjYNUbk1yv+}zg4r?XRE{kk=bwmNJjwq=axBOGLG{tS%;GkcR z8Q+`}CopN~vEiHJML5tilF(3`RN0^^k90$8#cnFH+_EXS37S}fh^&)an4N>N=K z_kLnQo|Uxyti(!MKhrfv%!=!NZ#CE?UML+*{rHV^(Za#FI(gjr{caT;+Rgt)*MyE< zgMubDq%w?6py|oa!&m38WGE+}4a|%i+yAMq#$=F_FglAA!u)6itT>@czIp6k-4Q%A zJ(%gFS!kJ~>cQaBH1Z`#i0%2q#Mp~x$<@xNktk+7yz*nSd2h-H3o~*0v)0~pznVFB zn1t09wR)@7d^Zp(94{U&IIGvY;N*YaZ14wkGCa6dlj!CJk+ZL^M0P!0^xl`227M<} zwDbK`+uRjijnxw_y;4JNEA3&Zo>K8ajXyO+FeU!OsNK}^{9)%dXHo)$3ETnxY@8QT ziH)Gmsro}0TwWg;d^TbyA8XYg5NcNVaXb3RdQ^(@Q6<>(F`hpk8Iwf0!?@?l4IT$$ z$d7|mpstWGvD^?YX+Oy(k#7-34HBs3FrMY^^&oR~zjl#7*@q&T-N$!U7qI$j6(Y!8 zaQnhU0U^xfoa=;U^`ZG1?c*`WiBtU$gc}arv%!5(ZoU*c<@T4;V@f~5EfCp^`{^)~ zmDL~BN?kEcAga=&0Kfh&>Y?n_k=NdSf?!T7X+Leu-i?t<{HJXo?KCbZm)JkS1%g59 zjc%}ZPy{ImGMTRzN!O8qWRj*doNg9#l7S(^_T(p}rKt{n;zBw%A6SCH>y82Sr|5wk zp!VouJ?6mr2AWqQRo5hnF@P;FnV?lKQAh?`OM?u~Xw0)4xw4gfSD4J5Wx>{zlfo9A zN`zh+>>s#+q*2^|?~revG$yw5V|&}ij=}kmEJ?%UAl%Wiloj!V{y5|lp>q(r1Wb+U zgAZ@gO?VB5Emv>H?_8~^t>BD@ftrONZ3Q%5b8eoCuh3S&Laq&;E3c)4N#)uK!x_S% zsCMuOnEDPtxwunS%_`R(@ii$`6S$7>qK&YwdF_2rDP~kV1&@HW4~mqn&^DXe&WJ zJ_)^D$z9%1)~u}r5=||#N_4G&Lq|o;+@?4bOdHylfgBmn#zzozHk5h$}Z1Xtx zkr_9fN0K24u@PFGGj!Eqm@)Fqovm?FM~TJMFQDWF+FA_FaM0HnV)lHUTKlR{GQ*iX zuWP%>FH>dc!-0&goW)iOW5{B;%XNWZnLRt*OWbF0$2#JHRkMb*zibye%2# z*SvZ!-(FC#^`B*2Cgc9ACG7%Mac%HW9o_7e_G8Q*0ZVlp#Kk?T)_@?4YtmGIBG&IL z)iYt$4qxU((Cpht&1prLyCej!LmT!;V>F$O9BI)80K(MeASpV-|30qHcTq4?y6=8` zWM(-r;p|saLDv~RaQ13Wkl!BJ9k5GN?4xI46%7nRW; zS(7M9dQC#II>;#o0L*lvdgOPZ(^Qz&0n?&gicS~xen@%^DcX)&MV=%&5=LzVd_2vG z*BrI2&6!Tn|CF0@MnUgb4B4+cOui;zH1K@IHNA;8qv6etg-SL$TrAlY`#J;%zR|V# zZNzUrdf1+QgLVk_j9G%X%Iq;d@T6R=d!Rk05iQtqclH?K&L-ZRF*}}<&0egR!y}$b zUC-=_c0YsyrMfGBt~imjBC0|+_;Y(UjC~FuoHL&M(-|CyI4BeqXqk?@!CXwe^eq8uU?ofyB$8@fQ`c*3=cm6Ja!| zNOucqAT|Pczjzg*V#I-F`;^Y;%8UTuC& zS$vnWLd|%GgShXZz#)e78CBy!EJ>95;QzRz@P9Noo+GK4$;5Q1r0}QeYR0)`Qsr|i zHKu8}EJxta<&ws+#~LqBYjAEq){P%Kw2IYA(Qt!K`X!CAJ9;(TO(hw+2_`jdv$Vcp zEH$^vn^0DOmY!ZMR`LHZu$d{Q0~`10fu(kg%inPxidVf(#k^+GcatM8tvfO$Ccym{ zUzJavdwH~t6SB>TqlYm@r(icYF?i~@l0=1Za-O|^^=kScuyT*r)g$0FJR>oQYH{irP z7{r_0_*XLKo55p;Jl1Dm9Ky%QTrT|FDKtmEkhqi0O?t>p8el$wdiw4d(bCL+q#o-N z=M9BU_>hf*w2tWUc$;yspJ22;&R_^OfB>MLE#sj0K)+8v5Bm`4s`TP@Y=+tuY=OlD z9N5q;Mx~Mdsi;beonEx(0iF}=Y~`fh_-wJhrnfoR5*BOtY|1Uwn`H-yCEF5?K>R_n za#S)Is{xmYBo&Y!CYqEK40*bIz1)K>{6aqMCUVt6&D$?>3K6KGGt6qE$e+4VP zTsaYLKr&USnh2d4EV^Q%+zv=O9{$9Qq{;ddiJck(UH1_yyMQy-f62>xYwbH!z+k;j zUXUQQh9&UC(JmCj23gno4J6+xvW6cJqNuohkZ#ABRJLSyRve7>civ8d;*0=b{G|1` zw&Fzt@KST-Bmgh084WLWO7sYROor-Ad*dgAOb5JLTR0hxz@beHlL5O(GNI|Ao(`TH zgCJVM?=?j>AN+41dHNK6Z@x=+$_mjGe|gGpN+o_`^c0_m^c5gmWBh2K*~S@vzO=yx zcIvX6_yab8+9 z)ZoxgN1M$$c0tyms^cb4B@kxCE}y)Ip~-Nkonq$il@#s9`@$qiT6c2Q7NxCpf7$d9 z{-1XCrEUy#%&af`R*ibg%^BmXj!2v>1__{Yuc zT0Fc+;TWuvrKf%zTBK00qrdl@LzHLfb8MZCRL!TwWTckA^j%ptrZ_+p5WQ)WNHZDp zoYiQ7>$HV)bgG@arg04EJm!3Zz-j-q;yGwBD+2=o&V6a6&_dQ77=Jl^EEprgF09-y z9m44OH#>g&TR7&vl>4*&O48^tWV)G?7jJriO3DR{Vz|>W17!#}(`U%X87G~%l0C#6 zJRSBF1ZxY=095dpW*BA>L*7)em@yTY0+TU(DMa#~vE!V04A@%Uv=ZH7faOeh zQpPH7>7BPu;G{fr+#&uv!J@30)_%f8sIN+cyF;4d?>F-dff|RL-LKaXnS7Qe>=zkb ztE*Gf{l)_YY$$; z3)bG_2)A~qG|4X95u6x9rWl$rc8FvXyEa|`Ola?j9D&jH_fX$Kb29TVbzu?1HZfnb zkOT*@V{s|x1F_oyh%PJE`;*H8F$NjzMG!=eEbLX-n7Zbg-sv7TFdA9ggm#bY%`u|y%3^wV*k)3p>5&PzFEclIQYOPZWf z=nU%xT#{MN!T7%5z2?`jBdeUDbik;{LSE5;Y!j&ucA1ZN_O1(Xc@69hF8)|Yagh?# zN?c2^ojlkDX_v?g@f^UH`VTjR333EHkfRhe6qLpcemepwn5EPQna5aYiK+H-#`l+) znHaHtCzQMtAMRgMeFj{T5xje75 z-9ra))vx29C@76%n0Za}Dxi~^_?NL(h}1-SMqJ5O@m4!u|4X_UiRy`UqYEz-ol{+1 zwyHgUvtw_pO=gXQ?B>1eJ&CWp7$4p43O%a45;2$X5cow3JtFPht7jPsT&B6ZaeIzn zqo(_U^SgyE@aec;anAkmYLc@gqdUvJJgb_u}Z}HWW?loY*JF?#GL0&OT@1gv?mU6Xa16%z7w-#>rS4KhZaKZo{*%;(7S53KXeycrOzisr-o2Xrg* zpv_f1UsEA^0j(f?Ur&{%l1TuD_-ejB z2Nna*c-|a(N|Y=Bv;y50G%SGIn#|G{SO*b{(AzY8=`%X77cDqLS_fEOIf>-eY4L=Q zgn*kTm@ZlU#?*;^87SFw%@p-{wW5vgCftMLQAdfc0e20i3t-N~Ov(K_Bq2 z$byN*AiS?}#*-{o?~x_Jo71rvN&|GroVSZXc~1&mxj&#SHIN+mMT>#1HPuelgMdl& zOme-L&PO{jw+{B&tdJEq7G~2HuOW{$4%H!q6Ki?37Zjab4^%7k>ccC5LYbf?3Tea& z)m60w;0hm&ulkZ5kS~NBE;ewDCC?{PvV^$)NRWF8jl!@*{V)TN`Sen#$LREVUbS>9 zan!SNmvZp|!{xIH;PP^9K*Q25dZp@}_IhnEu2jp2;E=CWYq#K2MyF}?G8nGSGJ2%T zkPBZgb{$yY8GQ?qCP{+0DVp$4+%-ff2g8%|~gZ}Cn52Sj>hKu`_k=NoK zO!0BS-te;F!Y&50#6kqW<)}w`OX@t9qaNvMvA)Xk^^&}!R%EHsav|w>Tn^@ovfhT5 zury)GB<{k;6L&F?{C$hhN?b!6U#*k)1cN*06@(12JLRe&W_V~wRwO%O(*Dg1l@w_W zqmtGFY>Tnn_n8(FQBe&)eE8qA(C611|F@`kgpxw0{!*2R=RgV>j(RFq{a+JO$PB;8 zTwc#OO9F#unSy1Ezsa~}C3QH)h&5Q7$LWgrsyd?2nqqy}=vHWojS+?la!pbHlx|M< zBBSblTZ^Gh41;QuW_++Ot+QXd7}lR0_JzUylo+%rVbHF#_FCYvsVbKPZ0!mr45D@{i?}Dzlbbw-3X0xj&DNcmF}D|w ze^y`+-7g-l*75cATsZiA&wU5q`O|WZteG|=QR3k1omTDY;_DC15CUMOpTOx0--ibt zUdK2)cv=JWLNG%==iNJbMoCWL=)Ce<)STX>?651$-L`BpdtQz)cd(pUA!)hxXNdihl z_pOF5(?o!AwF8$Zokc)u4E@*PA6$B~@UIbvLqMrk7hq)n;DUm({ z0NaQO2F#G7&Pkw-EWP7y00=Rur6>eR$227n>N?1^XG`EjzAM(dxCBlGMTg@ElsJ_!LQGArh9N(g2n)cAl?Qw!Ub3a$5D`V7@fWVx6#;ot1t*I?L>LMbv{{G7AXk^ zbdzXxFlp{k5wLz@&mc zFpswH8v)@`bO(i)rQo@OAd@;YP~`aqUU&IW7Y1*9d6>ljoCSf>u#4Mu~&-F4ezZ=|&sNs|{etlPtP{tXu>c z>w1_BjB&g)45qvLUSV7vdesGjLk76h69#4sk55Hdulz9nZR4sU-QTb2mG1i%BfvU{ zLqXpHzGoGrt^m#bRtDji2)f_uLpd%IvM>TlqFw4*8+`H5WM#SIr5o1ajwBnx@la%K zpN-?Brm+^E;Uj1rBSp8y*5)`f6R)@Kpb~RvX3f-}q%!dlnOHA8Ol*-$OC#ldJ7VS^ zwTy0nU@B~pJ{m_(YjfIs^`hg3{5y(E3{Pp8o)YUPWDZp|{xZv?-iG0uqZ=*XqUHX_ zV4IIh7tA{bCM0LAy`eVZVT7%+?MTwtZSvy5$ZZQ4n&O7|2tVSa+`7(c%6O)7Z}_U5 z@V@TanA!D75x12L2Xobq`mHp%-E=W_#^)BEz=!r}1?SkZfX_ajPU7Q1(AxPz*~Z)N zHRhcb&XZl*vvJ9Bc?FNa!FppL6vEt%cwdQnCoJbpUPQVh!k(K~vz%VW&!EBgv~W*M z70{0mzH)*`wWCLPuourCjBNEGz7EeZSfy_FRutri!y(v1QZvrsjQ|b+=%)E|>;*V5muh99wS^yk*@*`T=b!!P_SB;Dg@=QoKH8g?;We&NTYd#CttLkdk@5Sl?*d z>|*|Wk#I?wpDc~U*S1@Mg`{_n+&&$N$(%iPS=$|UlV{zMEegITU{7h;UO7?m$8ut0Z%dlj<$|C>eluVYQQA6bk3^u`Md9)}ma_k>wWXsN*2D zLY7~qxc_%7wFa1SWk6b@0C4q@wH(Z_+|MidH{x9`STZc}(I@7RU3{85 zM@TqnVxZ35o$vu}Ky~3xgSH?#M#4Kz&|s%NEevI)<3fs85wkdqqdXhFht-;Z`S+u6 z9$qXv2+jNZoe>12*uEc-)B8S_h7sqbwhP%$5U~Cp2)nhKBieUBsFc9LFPbT2!!}+Sy}6oQY8pK| zn(tO5d`3@~)b_DLhilMHvIjxF{$OwfUDnE&SgdTtSf;v0VVOD8MP(V8 zFMr{&RY!3(W1=e8kaWyinJY1NF%vE-sHz+oqnJ4$R@tqx@Pd>f@EW0r&`dfUK$ur2 z0ZO0fMMqKvkwDw{joxE#bNVuL2nYG^D6O zXPk3tD9TLBQK9`bl%wVJT<_0`aX-)R`Qv$huiwml-<W9o7+JCa$O@9I>1$1$h zn@-OqYtBz>ze4w~V2n?98w6q0Ce=tZv}ziX%Cyvq>@-^@)0!^mi|x)#UkviZA_HXX zfK&x-@Xb<)QUa=>bWt23l1^Y6P zF)z6$V1}Gv__twnkk=!%5YGu&Ac?2g+HXRPyjW2ivO$v(Pl;J!bTi0Xh@#@UdD?Y@ zsUfvM8C)BC=E&L#hjJdhK8n_k^&XhGQTp%k#v~%8KnrQ}=~Tg<=Zr{HLJy#Uj8NE8 z%F#y-T?~Cm>@*;Bxzbit2~&!%$VnQC1z-9oTy7Rq`~2Zv#7i;Wv3DNhH=%o%KrY0$ z#;nXF43O`gC}I(WPc7nF$k)6NYWl0#=DV5ufX`)O$B=!lE_9RzW^4XZXh$P*`_Q%| zgN@ja?JN-Nhn9}|Z&l5HIJnz-!nylrGk`It85z3n_rt+$k0$japbHr10rV{SRj9uY zz`sqN@&hxJ{5*~B0EquN0E8YPv9r#HQi#Wgk$1Zn6gO-#$a$9GSFU0F$;?L&kY>Tp zVKAKJ!$J&K5oF4P3ah@%2vA~Abn_2Vs}duHH0N>==58Mr0OXaqaW#r~48Y4q^KMzJ6I|{Rd^d;bBl>+SV9x7?c>r z!?44ZV`)SirRQ}DMj}>xIFUU`jsZp%?PVGcwD!U_gH>hW!-dnkk%bpNT0nKCe?fTk zWO-O*?b_Y>;qY&Rdn+)(_<$T=+sh(g-_8V*MGS*a0KsMVB1RNOE+yAN1kBr}dEJNi zMSvUQq|7-FKegfzjZR16G@ervX~Y6C%p!A4O)iGeri@7329IY%!aV^3ehSGB%9x!f zg{t!SMWKC%Vb^<6#y+{1X;eBYNV!&ZqjwY#8z)%|s%eVmjsi_SUJ#9359k*m(fZlX zilGV*i{6P}bVp){ZFlqmM3v!6LB0-@fr97`kjL;N>RS8RbU~j#DnxJpw`Fks6-1RvzVv5TMW_z0!5=Tg21kN1+=dD)<-w-cN{1 zB@gXJi2Luc>s~dBL;ckCP(mlF4Aw9*zywV_kwq6q7AtOZ&i{%58jdFV(H(kJr)rw( z#11g$yq{8`GviN0G^Pxgz0z>?Ro!Vp?S=;~={TfAWenQ(#k5Yd5$@)XQj7zfNJi|j zR^2|F_PXc|Ji6J$BSQ z)-lY$e~7m{8uWO!%Q2N?kUm(`xW{~aFa&sP{EkAA-R_2Bx&iCdkyv>EY`Gg?o?VF-3oT!6a=UM3Dc5z3m0^JUO*pd`3( zC~AiAI5a9@EJ?0^1HI@@+i?uWkg{)m9JsniY;)*-@olDQ*N{^F>?V||q zlgl$0(JBBSRNhtpE6Z?m*~vfIC}dfgvPwS%RE6?3vgs6Dey>s0pK?=SIy+GH5fW2s zPKoFvIXt~u9De8&%7xiyF@Z?9 za(0G{*-O@(CB=b!K49p782(eCNoelyY(I z5{@NQ%370CBXo|34n_C3)w#)lqq2A`crj1SGW<5)D1}vrK-g0(k4u(7(Hzv; zNdOl{yS6N0?6_ye6o!}p-Qf}E(eU(I2)1bnpu`9-Rsd!n#I>x%hr9rog}Z<+y)c|+ z?JkTC#*qayU5KU<8G3m4g>zJIUI4}#+e;h)LB9@wUa0l!Fw$QfO}l@^{Gl>89TQAJ zcGkb5ANNwi$85~19JYZcv74J6Jp!-No1bXZcl;7fE#fTw@ji)r@je}OYk#y(1kR#9 zKZWP9MPAY*RaE8qNqW+?y%gA+WQ+oSb&K2+5sXPe^yx$nn;eoLJ*<{YgY>|s9D?@l zZgjvsF2bgU&eWcZ`uIqf?|kaAMD+=f$NO5-BtV|{NGAJ&8R2TwR5M+urpYn({wP4I z(-xRcJox12RPy3bO^`>h$6=#YG?Ww8s#;h!_&@cfFDxopkNdv2JR`bDoXc%loy+G~TY``Hz;mJ&Dp z(qxXsPfr8e-E_`db8@$H=hyFTW=5ag2EA9ts$N}oqt|6;aEAQYZnkjiK(*HG!JGk+ zZ~A2qC16&qzj-q&ucbY}rs}fTA+=SnBN?1>uNs^@m(&Zs82otFr4k)L5HiIs{alPR zTg!@j629-AaYxYr;~xY$LBTAO7Y_Yf9BrPI)i4Z=a!A=iu@?3z0(+?imc@bKq*SecBeoAn0d#{bwPTQ( z68uv=feKhcRF=Al!h0NCQ@0A3Q}V@R6yvGznUMO|8c*N0EDb$ObV4SjQTQrND5m)q zg6F)?MB-EpxeL?!CK_ezqnBM-AoY@J+*rq!U|;cqLJ4$tzOq3n83Mk~!}EEi0^T)= z-MCteFN0*H&jqRzol1wy?%xE3HQn2XNrpmtyuDWXbLHbJqsj3UaTV?ob{DvcAP*=S zu2+|5Gj@m;<_45%S25D)47yr|t_0+)3~FHl7r)6cnva!VFi9!gLIR7F>?4D=-Uh`q zd1r_cf+rvfW#a@uxryB6GF7a4%cR5K|?a`X^rLA(5=UovTH`jQo|GSoveEnc9cEC-$MAFcO(Pl`yn#5SrBK3e zOvZ76iT(y3I|zOyE768a$`1bxr6D^l=jh1Dn^m4L6gFi+!zdRyUTMq1^f)PaS>VV& zRVpHIqGTm>cof=0<=vNsNTnsvBLUyN<&nz}xFWkrsY0|>bC)b zen&d zzo*k6z%Vazf8r@ z3QYknhO@gNX7M}TLsR)(YpsCsw_7=Vg>-fS3h5g;9}8*hCm*`^jat|k^tKbQCEZ12 zx8;;;m>r7`4ZEYN2ViSHt1sr?S)qr>B-cB}N?-^AFzmk*aRO$X7{CIct{e72s!DQj zTT)k1(|+ubGgrTmQLCJtVdzrlz&(wcBso&X1j~+$!ZA%6iRyJ&33FGS(hH`YxT^#6 zAmHon&+z&Txx0s5jWKqSZqsffChtv0lAw;gVg340Zs80 zLZg`s2agB~-^pe6J${}_wsKWV9(tIxKr(M43?g{=?rQdM=-w#uzsWOtC!888vG3Ak z7HjW&s|(SKy|)GEfsEyQf^qD@RTRW{E25o(81EUi2xoXpT-sqQCXl!fC|xgd(!Rfm zsDoYn{jI_5IdFuKYfye4Vb(v8T{@ZdZgTR0rb}a5wDQ;C@vx`m`zmR9CD619vc z>Vp2gpdMoqRQVSGO>xa?6?k!SVmdVjk4e8 z;v-SR^mkC{evf|TN5E6`2YMeqfL)LFbRoj}d3T)UJY1^}*;W_(y$=DY4{O(7v?y*|@TsEGS+7llB2wE@( zo4UpH7xq-k7D5jG5YwbxI33QQ^1I30!r2PkC&9D|uYs<+-ECcWC(H0aAvPZ3KG_Yc z^kD*x#tb27F-mdU03lR|j?&@~;Y@Tz@^B=C;Kj6CF-HhZ&l2t!@M2{UZP_1a#!-;k zA5O4K=QY2-{|Dbyad0>)(^W|{@b<}WAeGFe3ijSt7$Qq|43XvI=;UduC@>omr9MQKZ+{sInULbfhG2_MCZfkk>P1E$sH1ok*yfJ2w+4#Gl8O6b2w6SFaVmvlz{V$uX3nQSsyr7M>W7aHNKt4@i@y#YC&EFR#Tsu*`+(Jk$YYP zsHS#A^R*`T9k(rTx6agAXd)-+)aI5Lhd9FgZJPTjt^2ZN=oh}U36O#tH`+S4+vwaf zIuz%mVYDZGA*FVImfPr3@_BBf?c#0QeEuu9@mF7tWN^YdpdgFv9v z^(xW9|0M2BoKhN@bv9s3%antD*D}u_qGxtqM|&z}U{b%1b`7hu;`wT4v3*9-$$|lbW6kkJ@jx6PUojV* zh#|#B=i8PdIH1_H0f^J!6tGuD8I9qWvc%I0ijTn~D4Db3ln1p^3jS0zfratUiF(56 z{MZ)PKaGJmK+7QKBEHt2$S;{8aTphqOc&yTZlBneyO~eWu-pk6`9!~%3gTf;b|S{1 z5?fiG9H1BGmqdbWAc?VqH4L<$sssFb!uj&2I+!@b?4QE;;A|3&`%Y zHZ~hkj`>8E5uxEna=rhWlFd@@eb~crtEnf#t0Vv|1FXmiJwbW9H3ZV=t(H*iD%ftR z%00$M3rc;0kAvovB3a5X0UG)n))OF%MyV+;eMf1l_SIc_uhvla=Gp3E`2ob%&JjEV z--V&`nP4(&+|wEt(h{G+UA=J{=&l#j9-n&xSsKNT;mZF5tKV5D#FXz)_7@PGA{VgK<+aki_c!zm5-K15J)hl<%l>vVd9{w3U4H|? z$%^^6h~1GrVC}<>mN@|Z3VZx0S%!-63Md*hcv#_D|G`rkF%AGP?>?+u@sK@9j%NUA z3n~HL=-I8xuY^)ugYAWta9wv{O#>0jO69O(ekBdLL{vg9=*8lU?ke0psywQkgj2EF zpt@=%wmqaNX=N34^dMkYff0jTbms#T5m{UPr40MA)Bb51YhG3FH`yDNpUN>HEdLPtN`mE{F)v;Iqae39UyZ82sruUtt{s*crNtYu5 zgO`=C`<#TAkrVuS^&df3#JtYlM^dZIK?+SVPx?)i&^GpObtwiJ-~A@yb+vr*0j1!G zzs3PS_uum4|2_4wn^GU=&*Pl&k9n_tMtf+YhPtlhA|03Ae|G7*+}3f}G^W#FA98$@ z^V0*RdlP)dnbY`m^wq*|Y&gU8ZWgNyQ+EMYeLddVJgDlzl+X{0M?7~p?{2eDt!FW> z;=<}+`EK*4R=un4&h1vTE*A5a40vf4t&TJXYZ?9fTRF=!IK4HyP({ouewkt-;$>%A zNO+xj2Wm{J3w0f0OI>S5Ig5C%HDg^QNLUyir}+o(cZ^zd`-#j#YZ8Sc)O*ziJ|0=K zIMq*L+56tLcJMfnWxmL;cDT@Tl-M%4cJv8}r6SKS+T#z)XUEEAwdaJE`YPfvNpbh$ z%JDXJ1A;}o{JLTEXkK#Nh&Pm(;CCol#FN&Y6k1|xKK`7ZVnb)%qgPz1Q&jA8N9$Kc z!V$V+-Ye6T$u#^OxL4|g1cYNO0U_;_S9(`Tuj6Y6Rg0KX@7H?De2CY^n7cPwhcb zjXrplO+9PN8g~dSkfpF&Mz4Li4u6XGdWS#&32#kTeTiw;{$CdLx_dOOmO8e@mYOw9 z+#<9v7xS8)3F=E0;3%v@j%Ka%$egB@g!PsZUS)gz)1O&PL9-`b>&}%A-p!kY7H?xq z_cw1v>H&Mo^%o((1g@6w-mtug*2W{c_=F9;{af6XU!kC79pV-6Ra$%zJixwmiSkR= zuyln6Wqd+uuVJg(0;yw8o%8-yFP2SlpmoEM0YQuCb6uCPqqn2tYJbA6h#SMA* z-DPvx0dLQcEfZQGA0$-f9n8MEP?@Um9KVBvC#y_O|F8+isqH(wac{)*{cT9f5Q}-{ zZ9A6NKW%&Eys+0jqpcvid#zF1M8sv_pp_jN;FN+>=&Q7Uke4wT$LOE=$WRdc<91|# zIsFzB?)F}mBUhtCBr^Rm=bxuX21Lb`UcwLk*8Z{SPaQQ=2fqDYc^16Il;6{2%FtMv zEp@#AkLn+pLII5=p_r*aOZ^iYchk7^FwW^UimRel=2=lvL z9<3|RUhQt_zsh|&tMa-vU$>raUEK5GN|Rre|FahDq+SlI^%fv*%jWCy=Do*zo13)f z1ZBDIzei1rGOOInw(f76(#8VowCj5L-R~wyr!xn0Q;GlmcheI#?wZ)Mwfo)d`ezqW zKcsKCCY@E3`qx2;!#$Dtsv++lbsf=TH;{sMTj`v0xKp(|r6z3l$kM#uKC{T;PLF?F zOjE=*RyAecT3K4LYqTcUMvGP{7~L7Sk&A?${K>9aEG$j+E8Z23 z`idQSrQ^3`t-Id2$a?gWQGVG7aLno-X}EFs-mRAcZPJx?Nf*skkB@Wn4EE+O3jC&5 zi+;U@EU@R2wN~pJ`R*y(+;w|XE6wM)Yh4!e8>g6bd?^omqX|YTw(FQY>a@kWo#Nl$EF&JaUv72+^|sua$b((He7p)(lm(~nfrZGOAmwCA95NH4 z){|ML(c*&%97U@{4jv!i+D2#fgY{Tf;zm+N%#G6oIcPF!(OWI@j$bfE`|0o8x+titkRM|doDaw85h93o;&Qm_LE57An zkE)V=^^j#7U%AIPn!YloVVDjo@4+|>w##S7WE1mT1Fs(uFU3T-QLxcPk*1Fo$>?Ay za(2ec56EfSS`o%fQBk1rD3dAkk1SEW>TF;#r&k9otK~g5z!dbth{Ec*-aV|ID}&E( zCft*rMQv0IJDr5Ofn|kUJrxZUbRYId!62ler?T0Z1<%>a^;Dp9z`p`N%2<{sp#Y24 zDYDnIRsoAfW2~PFwv%B_uUfAkw2mT_qy>8Y5R6Sp-*Ecvc0iJy=Yf7;(|lAW{U*L+ zWU>pXx=i%(P+eUO@4c$ht}Rd%xUhv_s@3?hsPnH1zcykd+9^hY{H=(Q0G}3ct`=nF zB!+0~rKxR72zeRX8mhMSgd&pW1=U_;8rXNlwB)Nn`>=;B2=nEt0Jw5I4{)Gr=73h4k`(S(f~A0KkelehsiBj}u0fB<$pUq7YKnGHck`mrB%?j*8_=o& zOO@-XOW+rj>ZwEt9``%=x()QwxQNMH9kI+3Ynf37&O@^ zGqk>!t_$38_j0I~E7)*QxnVGv5*p-QIe9Gy3i-`;2x!0tA#fY<(J!?O%Ra(_iL=856n z?Ta`z2~*iEXPc(=#Vghtw^tg5U=h|#OCunba_-OO>m&#Jc?Nqi_h+ruXrq&tWpv2! z+DgpZG%nHY+Gfqg!i430Lx8RaX$a7_n1%owZ8*7~1>|mD%&ofoapSd~R<`P7wlb@p zHeNqGp`yn&UW?;HlzsC1K2`;r;ik-4m9*Ed^_|#mRd00v30{RmQ~w%_I0%F3s2KW} z%r2x-^u#sjjS2nly%E_hcm(Lc&jAskb7_n#eNzMr;}o`^qHd!~tGCW_)T_**sv zXv1zoxS^d-xN*l@XABe+8YtC)-vlMxR2{w#yRt7OcbHpXNKmSSQ5i_jISoJ#RQdy~ zTnopzaUYyO`=o3Dva(T!4zN>Ng%T73pxgkMP0&UOxCx^Ws!H9Do@-qj?9qyCcGVpZ z>_9rj>B64F8+>(BgwE5TppT=Hb-+LqSwZ0#jhNYiJJHP@2nijPQ|Q34kO1J~iNjgf zir|QW5PMM42~v@gh(cX&@I>dr@no{mgL)2Ix8CSv)@6#`;e%jaJ%+ki6;n)Y9l3s= z<655_*8^jl^-D%D9iSV5t7r?4^wmGf3^wt~+@Xv?T)@QMLBLxwa1JtcVK2Q8C_xda zgD`)Hi}sWs3+o;1t4cNiwRdlxAV~54V7-KpUAp3FgN^fma4m9Fei?%?h`3tB*BTr_ z6LWTcG53Ip6^}58cnI+cnFjrZGq8$0-a!8iA@W0mofIZ%uVoO%9_|r^iZpUr3gF1V z4Z=kl0K(8oT{r`hNSUEd{fDABEBZ@AHw0r_qnU09k2QY1gRD#@`UCX>QUHIAA>g-7 z?5JU+5yk>M*BC*+ct8a+^ks@)56xgV)JDHGg<~-O_7K_yY1iGx7(zUpKF6N~N@D;o z)yu{^Sm`SZM0zONPR0s=ZUErH7%KC(68oI4>pbV{pH8BG6nu{Gw z+%SW<2q*9Jx7C>j8!L}D+d7!QX}wy)Z$~4z@!`)DGdTp<%fjJ@z;^+~@BWajeLW5_vusB{}7IM$%^tQqObX z2=Om4bB2l-ZZ`kzmMxEGyPCNHL;x&zWwOvRB=3A9b{c0UauqpEHG2vG0lb*on|z?n z3i;+xaWEv~Ar2j_g@rP>=|~fBy@w;=KY4|9#3RQlEzFg1%K40ufM3{sQk9zt_kS_i zg+?vE0O!Sp68gpH3_7Gy(KI)L*y}Go#$NX=B^)&X&`D0oQE+095Zg74vV=895q=k( zaTG8ZZI|P1qfQE^qC`XEvIj$+)FB;BV=u7Mc#H-TTf>Yz+O%&w;plx}-58-6Z3ga( z<^)V9o6_;|8f9O?+eeG6)}H2QKR`mC4s-@SHp#2zP`k z!hn4YC@^|R)noK!7lWyDGG^yX`fR-&vCV;HW5R^ff0xVz8r!FX|2Jlg0TZqf@$yhR83%I=YmDD`Rt3%fdVuIUl=)&WLHUu>xgFXDo~ZL0V&5&~zj6 z3wIWR(*S9p5!5k@DZH3-IZwV%TVODzp<0XYoV!Jq%W>{xlpF^? zG3|&O=Zo7cv~Z-|v7N!=YS{EoL%p02arf${#EDF>z%l^b#sAh5(P_aJ8LTug!WJ2r zyN}W2i&Z2zV2S#|P=XwlV=n#Gj&TF(If+QIO?<+Aca>%WKj==?IaQG|aM~(NyqFC( zFbe8(;qbnEPIG(sXEtvXQow(=?=c8T;GpxOu9O_~V*pN-Su8irQ|&si?PI{KTr;^!?c-oW7J;8>P)1DvpwiUq1XrBls7K)vxh`@VG+`p9 z3GrZY2Dx)LH%){`BvT_?bG8$f(I7T;8ZDomI2+YDmMdI4firj_EPmj2@#WfXjOF7+k$HQ9T)Kc1@NccQU_0 zK%2G|K+_f2R4^Il5OABEJ{YjGA{anigdIg**R7q9Y-d+UpI;77AIa@@O5?QrCP09? z-cyiv4PE`#T{r-z*udh$5zm}5-h^c`(hwoSQ}U;zpi}u0l(zoEq}~=eE*|?GLzJm4 z_8_#Gv90&)$NDh7XukuH+70iCJs_2~*Q7?X)|10vyp{sr!vTYYKcNki>@YeTIMY=I zRK@I^kpm)9X)kHgk&#oBtr17cx<)0J>Bs|x$ugWIceK=GzfhAS{2-L(dIbo0xpDYcQ=~qgBBA-wdrujBL zEYnLPmJQ$=cO)wgXD9?PXLg5=saW!9J*pwN-&^3@CFR%(#6J8# z@jzN8nEc;75P9Efnku>)rbku2)G*+vnIc^0`&O z;DuZ(t4@xU%BcTfhv1BMjU|aa+$G$(=ReyI^M6D$Iuuk}OwO66Ib}Z?cwAb7r##21 zzxJ@nl}gIzkW~NGXxwX`+KhZ3LMTkbF`jjcS8c>_%-WOc&vKo)6$}J-zZZUmW3n}B6-a)4Q(QP{Dh8*g zooFp%&YSPtPatSfPr5(S2z?X^j!^`2B`k7p?o}S1-OQ1i59#O z+Y4rSh2Fbe^-Q?q{;_#X2v&Y0c#ez}a1sRHWE?sIAa z1b+X7D#4kuauc@bWcChpGYLXw!;VrUc2t|~=FGlIZXfHI4Ks=+%-KGavQiN-$3CH_ zqPzR>-Z^lh^x%#@b&hK^`%Kxcg+pn$dJaZj5Rt^66H zHTy{LWSa)T+&T9?V}pf#8aNgzdii!3>UUY%a|A zV2iZ6=Yat4gfKO)&(SxJ-?zCCkWGYR9u0weBda6%rXiW2=fjEp8gF1dA5QF*Sq8HC zcJ=ae`=hF;Ae|4~-iiCg{Hu9HVEBkvEoB>n6X@)H(fKJkHwKWJef5d3XYh}pu*(H~bCVnXp{Pk2`5LWCpX?UJy{ z&{q)&S#1&Gkqm!9xY{CM_#`a!zPHFyPvS_^D2tGgWJo(57M&E%fSa%h)c7@Xx-RCD zO+npVhRn#X$)rE*GONY3R$5${CLI^Y=nUW!)u9g z22t>qhfDCA_D)#xECOq}V8w30tr@pZAb46#i;zxzw!#^D|h7J;>b%7_J@LB8O~Y6zr(xPv$c+Q!ysgPeya@96j{ELu z59iE;P8;2+nzPzD7m{@Nt?vv%5F9(8>R*M>{L3u#Iex|Ztc6x1Zt-kd-WeZqfr0?s zjGRD#9Z!;Eax~t>)xqZB=|xqFQ;6pVr4U#Iaxz%taFAMvEMVu3C)O2F@g}S}rGwl~Ir#-EdUu5%2FQ3c zvx$qe$cc-r2IP{z(P8y{;WQA;!g{%R7poW7q}dinw;;dU)_RQ-1<5pfteJ^Y9>;M_ z8nglu{@2{WEd>-4hCet|a7SBPIY#P5QH5(M+cztx&1!5FN9M?iJ-8$eejYkUBU1eE{O}FYl zyriV!Dc$PSCd+{$`!DsMCcZN6GsJawZft{4zqbm4IC%ad&c-h!AC z-nJ8lM!5AVM3Aj}Af>_4>He*(U)K7(WGX9mZ#|K^Zt`bbbz8G2O3K+S?{Y}9Y) zzgi;U^*1k zd}mq+_zt<=*uG^S#(FfGtJXIxa{E%*O}@~}3iE~ACSUSxq>l3Vl7O!(Y+d&=q$RF6 zrDAdVWjFoQ1(dhb)0sH&PSWf;*cYeF=#U|k`yDQ}9rV5oJ~(RJh{|LNWJ z+zFem50U-Ug)Srn9Y4_;O|gBMAJq|A22=e6Kokg%)#K!QqWU$f$G)#yAL`d4{O$+I z0zvx;MKmt-EuM%T8j`bnAMgLPado-dggb9u-90B~tIQA}8&wHK&E&A)}#T@~ic@H_LurAeSG6 zZl3?M7fLm3Mf`b#7kzJe5sLcQmd74H1CG&rt_7*V2ii85Z6n(T>I>Sq%{zlYF-j=) zHoq!z9KUVlbH*?#Zku-O6H3tiUZDTFP27=OZIDl`4RXTf3v z{aqX4+0n`Ns$Sy(wbLK8cPy$m474Dq(2C~i1hDWC{S$!sitE-46m(!+m$FrH4LZQt z>Qahk5Cq;Qjc#UPoC#tUS|09X44ALIlQavlUB=Ft^__>5ORsibQRW@(yn}m=#K9x~ z$jC`^;#zTM-UTdvgoNB(9g`6a9@|vCi(fByWc2hBZiIp0w7as1`13swR4rzUugZS0 zf*KIhGU%5b82)bSIaK`%sIZcrlK*;TZ1a#`GN?1--~k+ll@y(!fF0<^QN0iV9xN8y zR|ky$_(mjPJfkt0fE22Ar)5eUvHIDmdpB6{PHaWoJqoXd+v@JIREx71?X=x-nTA+W zzUtv_05QcaVmoRDq@uvV2&ym&d^Q{z5IKR^)fGh$oL&$ZooUcQ3_b814ndZosYhTE zmJ|}P4?<&Z14`|TAh6$4$Z<;xvP54w2C><(?;{u2@0-oLBl0*T##K=tlxd0`rvrULzwpQ|2 z_)lXcPJ{;qXOBUbS%UJnI(xjt!6fEQwmo@u+snF>!)n2xWP7wV;nfk_?HSYnsA$+6 zwr6KCP0pyInDyQhMqLViRKsMF+`>ELg*Mn55=y>m1j2{vqVb3id*`K`|eSngpj<?47}f7&-$u=8afwIy?)>g_{Yk~GFHSB4Gy z*ZiYbI23C8elz&0`8|hS_X`AUs;*kuKk(fTFGxP*@OUCllMXN~9I$<06Z2JXc0I7Q zkXqVpP{KD*WY+<3UoCK786CX}c^Hhr-N*ehg* zFiD6NC-H~8v)L>inW@38ybr-ljW?)NfR6(Z4}V9nf?LwzCAg}QhkAI0a*y~97^k5R z7#*JYgmJ%eRM&BqJ)l-i;mSeqq_%L_K2G<94-9v6^N3sqnN}X2YZBe~07*yTuzldz zrolSB{@g86_#y~;-LRrH;(GuhF8Q1Y%)Ug?r(m-$7z2&y0Qlm=i4n=VG<^<-`HvD$1iL3umMy?b#m<8+Gr` z(Y*3(F^47?jxYW=h-(yJd&YpcewYF z4ECH`ZhDc+XaN4G8LEFI0e2t8#Zl#YbUZE=%#19mwdD&4gV92=YM>7MxSY}h( zF>v6(N{k^KgAHwU&*6e&&>(t0khD7`?N}Uc)!6gvdkiCm_)@7ENg=78Ri>ZtFRzav zUhPY1r2OuT&k3TMGNlm`quLj!fGzv~t1zM%WkHRZpVPw_bv;DxSoHBiO-xH8(e{X{ z2FM{t?+eI_m(|8_#x3M5nFVoi8ON8q`!Z)Pk|r*^l-%cE{-R3K$b#wusZbCu5b@9CM zKUOXH;BckhreEH0MiZZC&Pw|3pH&TR-|&?ag8DIRLU6_J?^gITdC^@rcS;GzVxRc- z60MJ;)PBeRRTqG$JMNFWwEInEToliC%%4;u|psQAY9*-HRCn2ydkuIdG9a~!%mjdL6~ zE@TySkQsIt(jf0~)DXCeVj5(`Y?`_=GW9&}b|C70*oleS!s!EhB2MHCGP!983_G!7 zr;-MofN%qSKRL>o*jCwlpbKW6Pnuy;@uw_9*-0IrS8Hjr)X8o58|Y^zoq*a25Z$Ah zkq|9RHc$;WA31?eX(-1J%T7USr!a?8eR+Ki)%1~eAW@12!cTQ#`vJT+r>*;GvUbC1 z$n2E(czU8gn^p`Q$6j68zO;=vjY*g)9f?yH)|!yx=L`&t=+Zh_pMi&j$l@76Fn#=t zYUjeqXg013IKu|N9omywpXF?=rw{f0wa>EcE{Fv`yS13!p$3SJ@kv|h**7dzqcvSl z_o%jW@Q=KXX|_o_w;rA2EQ5jPeneouV1E>a2cAO$6>M&D5Wd>4xL-_~gO1RzV9;p5XZ-4ldo*g5UlpiqlG=WS zkb%icaoH*aX86}6PN1p1L^vl1vl3xLqz%%E3Sc)>-N*7o$i}!m`&8(L{syszbnk2;)P(0XklSnm&h`JU~c=B9(dCMnqa+W#|~L}bL5h})t0Kk_FI{IPFeb-Q5z)xIhu z5)#iWHQ#odHykd-y$g*G1X*${tZSB7CnVW&x)vD(Jbwo_&g~h01pQZNw_dVY*}tLP zdNz~M2X?B}rfR zj6mZ%dv?WnY3Gfbk@Cc^SKi9$xso`(cb?eJ^SR|26$C?2-cE{_R^eFabP0fpbQ8c9;iBRqb?be15TAb^0I+Myb+S0u)Ro z>0zwsa*c9ftnfWfM#^ST5@GeLI&pr?+jkXxOmo%NyXvuGC&Q+zSQ%g`nOAZUt^Cs6 z@P>Gw6wO%LE1com6Pb~raWAeFHG5eGTzYTC{D=(faKTqd4t>K&VWYC$o?+A}oPmJx z%zk=bF*cm(BlULts>n&2=`Tb|BR}wynwXJUhwz2&h*7?o@P@2Lqz24(N;UCX#~PRi z0n^vEuz2ai*O~-jk;@a^rvJ zi%4ix8_Kb8Q`as~{S?rMwSwx}cy!k1Z1GNVHDbNNJy|s4$5@@cBm!PBQ8Dh@G+-Nj zN1&poVWyW)VPTN*KuYa2RF868xJw7AZp29SM(&RstNB=%u#r1zJ7;bpR9lq^uqkujO;Sq=P?Sk*ShYedlGrZR5IVWiM65aFG+|3_dKWss2 zqi^v%H+|15Hk__`wu_I)h+E!#jPGx^at3t(q1T-Fq&k~<#Y153~uO$gPw6XCK3Y0LB@(z8Er z$hbm>eVj{@^S)vykGxR~V_fsbqA>wnjq`|Y`N<)VjkegO0(Xdc-Wwbo-Le59;yr-& zbhL`@jRx}c+59$jhfD9BXGx3~mAXLAeb`a3@I>oA50lxbXYN}nRRQZmasbPHI8gu} z@yu7aIVT^2I+~CV^MTi7BFZ-nBUBSWPQQM`a9&w{-;x)MDWimv7O46~H#@p%47JeZ6`4|FKZ;niAd3p@aB1Dx_7z?GH?{ z%#uvY0cs;ImJZqDg&2*&QI(g;O#)tZt-{MKFh^RK;zoPD-c(! zI7GZwO!-Lo`NdC^Vu!dK4WrA1l`_dx{1wHmN#-*Hu0Z1Er#OT&Lz0VgAV=+;-%8rh zkR}l&gdmO}5W>dWI2n}GB85HLL%u~o?ZDU;SrJ4Hbl3S;dq3rPHv$q(0f{&NMZmtg z`SU$6MIlXx z(V4vuCPc$!y03d13=hoLaqwIO*LJ=6uIHwY?ivTAM1d);v{nuBWJ{>^ie_X7d-!QC z^J5WU537e`zn>b`V~O8Tt!;5sZRydKKiZDW-K_7IMeHzk_r6sd8{7lO^vz{H`8N0X zH>r`g+yiX=N9i5G@HLI})tIxKeu#dRs3P1Ajo|cm0U{)T7FE2hciAx#tN5qlvKc`wXVZfyVqR)*P)^kg z=_1VY*9%9@lJJscdKkNIZL4;d&L4#l?&|fT$$z<4|A=gWo}kQ<%z=E2s@EiJcN`d7 zTD`L2i1`Bv&%M`OE?p>{g&}t@Ddy1eR??Llj+n7`7Z*vVoBz|TI_$TT`Td$k-S(DN z2uFDLHjS!~No$Xq+COU@)!f?Av#zw4|MJ;f=~OGRrN}VgEJ^S^-?M>Rv*K!f zU3rm3itVSCc1<7syhZer>;QtPi>vC-hsWGi`UhK~QTlP_~3O;y5oa_o-DkCIhXy8^=$} zEg9AOzHx4MZ{+(Qy64U94Jw_M=3DS4d7UQZRy=VTp8PZ5b{MDJwGVDDhorAaG*_gz zi+B_sF;!2*bFH24C*nodx(QHJBV=G`?qs_{T`@1aR&+wdi?4kePx%y&>g?~0n`I&9 z$?Cqp7gNfqb1h`)3E5-AL_FWRhe8V{F;7q@mc^AKYT?y{a~UzE8LwstEtdAIjej-A z1h3JXf2~Z!Gk!JkzR*G{;T1a5yP3Tn*Iz}%d+mg|euOo=p6Nq>s(od&G5&Dk9rnol zXbH~4U(();kvf)L`EdWuWEOr9`i7s)9(+l#O2jLBlf`lxa;n+O)?0lgb;P%*|CYUQ zeEk$n`UtBNq>hv@ks-9mm+)?liz{8!``)9$4A~80o_B){3u16?81KQNC(a-V0kH)s z?1eLfm7k(yGGWVsjtsl{|G^5 zCc_if`v-`5u1%xm>_UlV*$b8D6V~fXco!=z*kNlPfDfH7<~8&11!GGGG+U;)&`UQR z)+`)yP{KQj7eN$7yq|c)pR$4?Fs z*8-QbEN9Tcm34h*r)u_+f>q^$%yMM2$Z+L$<{Ff!A@_#lE_c``wm=t%vH| zO>^D}ibnhu^tuNl3!8G@Rabv$W!Vcy-%1fqz6SD$Qu$ zdc<(kIjqu54{d*uaqpI~n?}<$8*{Nk`?LB40q%OTyrPl+RG|!^*X?l6R7J?{@r;i3 z3A+x#C(!ZZ5o24$O#|HdI!d3Z$hly{H65%i=+Mx0#N;JZ*3`UD@wd;RMYaMSZ!1lX zx@s1jeyaVnF#3mX4D)*bkpGj z+yd_Cvm9^E`-LVQSe&KFJUd=tR;C=29&p7C(G0v2)l|*?=G9$YWHVybK=9KM#22S) zC-H^+#LmmuoK-U4u)*=tPkkrEk}p#N|0}N1YVfDXkwHr@AK%c>>1yYFr>PCVr3 zrezz#q8nA&&|iJbBC4t}k$rJuOVFRU9Z^%)?>5M5AFH}VTQn48J9#K~x2}X=TmfCt zr8Ya6PZ673`CLIwHacs2>v}OpUH0J#BVFYll9@PLI;Wm9LFv^A3n1dZJKdDZ zqC>88k)yP;F9-W#vcw+zugf0e$3F&iVKfHev|X-BQ87F_n!+RUGP;m}jeXT(IgRN1 zujz)KgX(EjS@#U+>ktdN=U5OM&4iePmc~!k-B8T)p_seGHBoYY&p1u_;q}Pa?!llT zxp8`C`Z4kckBL+RRTknS1U*pA(J{|IK|F?yRpe-it{8BM{{CVuz6)`YK4-_#M(Q>~GIns{Dv^z$v%3b}ipSzaKo-ze`+DxZ#p^X_z8V9ekp2A}x(j9WI<^9ow3r`XxL7 zXl|)iVoV0oMvdxumRIO-F?z()nIarAZnSz(2KA$Q`|GQlMPl?uGA)+&Z8_C9 z>!R~_C-c(b|S z&xopIS}AuM0A{~F7anT>UN<%-o+1tD9QgA`ll35d4^0iFB|vjC7EcV)Z_?bI5DY;v zM02kulXyU#Sx&Gfx^@!=_8ihu^rJS*9jU&S4Z6FC{Yrp~c>L_9wbC zT034TJB(UzTcC~AjS(3NoY3zNnIaNP$R74bgD{Vy-(NtE%N9A=gZe|Mi0nRmUe+I2 zi@@E`{_Vy1pLvQ;uvgUA(7w~x(Ebt-n4@Hf%J3Z(gzs2s7^`T0uVJ8Os$r4Owf>}0 z&IV@Q!xQd)MiKS3d8AP)waH=t1QN>)SHOxN@Rc&IP7)YLw=&gFb-Zi>z$!J>imd;2UT{dCc)s z;W&ip)RvAy3he+h$nCzEA9QvdumNy_GP%e@>@aMAGSJ$!7D$3$S9fl3;TSozJY5Lw z8^reUy50a28cj^bq_%DuGlzIoA>#yiH1Ip8;=*rik(fFV%}W>|od>R%%<{ww@DjrY zV$#JK{ep5Y#Ub<=^=hYD&~VN=YdwH35LM5uA&iCrMY)gx%JeXnNSb;EVbm~v`Gm(_&jD z4ldXRL1 zt0)zAD3F)(Y8{5cA(1b37;1`65EJgofxB(gxEZRPP|P`n=%I&OdqJOusZy5%Cc|NR zPGLV`=DF!|o zn|5Fs7rxj*7$<*);*$OvV=kye9BNFV6<@DgyWb3ZT|Apwwrl-&^?^QAE-r8^_rGVP5gkS=U%L9~Sz+ais;PzzR=(J1EOddLkvo^){Uvv< z#&1d7KQzwRbBOBcn>CIo)?Ch2moe<=9M^vgSXAKsU-ZA}cf|uCZjQWN<1|62|)=x)$bERUu-xZsFyZY$gDBd=w zYk8{W?`$-7?^?3QW{Iudkua!-%)&i;@!qq%hnSzS)_QKE6Z9*`f*;;lI+h!>%f`0- zi(XYFAG}PK?xz8NpLNr}z08L5{;cidu@#Bse*#M{FXnd5!vY#D(NG`xR5mjswu?6R z_jr;fvWpJ%LM06_FneWTS2#NLFDTgWg8z7@BeL&ez_Gc|VvP$e4dhsCNj`td<*{A7 zg#hq@m44Nb30ybVS(UluxgP@Nqd>ZrLDD>!|-JiA=TTEFyjGhIh4KF6m zcea?ap_d5Lvj+{aP?TIpAZutE1pfb|$&zIao#HHE^ShH9yDq}=Us=OI+*2(f86#v@ zkHrCqBwz$FK@K#IgxzSua0s!tO7hAkjMb%`&(EY2fX3KEu&QZ776ncwoKhHVtY{A+ z_10K{{?-~}drv+1?>)9~OKO#X(RxPPuK`wwynvkVgHGK8H!B@6J-Sk<4T1*{v<7UqhN`UPSW#c5!6ZBci?`F%WKlU zDtFqpm=YmR2@m>Qr_&8iNS%zg6b(2e98#%H*_X7Cbuxkv>YFQ#P*>@rWTve1Z|Sfb zk0}3=7fvd}a>36VVS1IrFM=?gEC{2nX0L7?&iP-n)N=Jtj8NB9d*G<_L3+J~j3;%! zAGEjh`%$O#qt8CH;&h+Uk6ca5w0yZYJDm=!_nn|p>$jO3h`f2NQyLrxnA#(hBJ22o-P+Gec zc?8sfqwTi6_Lqjt##XGR+v= zJHWsPr?>xI2LLf0yBrpIFj9CMfJA;f2gDG`t^Ra_qRxQ}g9&VExgA_`VT)Y(Tt5VU z+oe0fe7H2TBnu1|4igYPKTInx%M+>~Batf$%uE^L(cjg&b7mC*%M>EsDAl83kR`Xiit6sUHBj!YRrm`qX>Nk5)7 zLhQ7ziw8)C=RKI`zK@z4+VAq<=A*4PJVh2Uq5_p!Yz{4ThLtarbMa#ICD_WH5lD1f z|9lzWnO9eOW+5g!&Om38(8H>+GoCz-WV-y?t zTyoY^O_D>g3!hHrcJjg&DKafE5kZ%-U5Z@?&8|o3nYenc z@8QG-Wh>~R7q~NGI&9d3ux(+|boprP>XS_eIwvGAsKtjqJu_Z|%g zrpwQLXMX4LgV*8VQ{9RO@>7Qc^`lqH+=i3E(QRy+`P80Mu|RM)IdGb=)eTa`R&9}! z+ZNDfCPy^6>#)C=t-IV9&luo70@Y(wLgEgXW*g$%0n<1Kxo6XzlKFeS(j=k}-@;d* z%grzre+8ie^_d77%3*$MMLFqL(4*<^MKwvsWe097@2y`{3&P>}B|xx3>3=STQ5=S+ zKu+xXn&d=Pl?5CZ4a+l}c_Y9qyESH|(=z~+-Iw$F4tzQ2)Y(C? zpG-KG6T;LcjDqR#XY5P0Q18BI5c?I!%yG9C&ah@DFjt2AW*)chG&cd^K8rrscF?eZ ziWe`UbLSoOLfV)WqarWIxxWX*beL%>akBJLNHB9)%5!gk3}gl@b+)5^!VVM`l1?;Znd-1N z;D9>ww{=DEz|P#s4wZ3cjOM*=^sMFv%mnUoGurr`%0LfL5KrMsO`Og=;itx_I120o zW*sOpCUR(le|0DB@`QN=CXGW~ho}(a?kr3-v#1eZ7R)2?@8!*kC?mecZ;TO4fn+^+ z7Nx-90eSb5y_DG$f}v3LY=ACKGTyT{>5^O-^2>wNmOHehV0H^>k30#~K_Xsr{OQ`~ zYRw_j2<5HktPN&_u(p?U%mM5|d?ad4VO=ly{0J+&g0cJrQmfYr#W-IMAGK5!GC-8_ z?@Rs`Iqva#Mpj5q-1NW2e{nK0!#|WO>i(#cCqcZ+_ny@h5`bzhZ>$-3k@2R|dkGZ8 zsCFq5E&1MvBL?7aVBuX?vr)624L-2S)c3u=x;uvN>0)%a&d!EiW4v-^2eI?(Zk|X)~QeMP2-p~o@wSR*ir2wJh>5gyi zu}Gd6-C?XzR>Nm%T?1wkJ~02aCN0?Ix=aQ(G%%C?ezsN^WGe68{|tiYjmhpkP8XEgbTa<#^IK`Y>gi**63`l z^y4u+!9+!Vx|+Mb)$dwP%y2RHeF@!X5+M8e(?VLp8GpaYXuha@pye;OSF||z=YYjS z^c?2TC)vea3V$_^T1gh~4KOXxkA~N4p)>%>g{)1wD**KzJ>TFP_2loP@DAbryS_L8 zkPL-YUci1|^8b5ZrUQn)~*{z1~vBzRtZYvhts1Zwq{pZhsm-)4mw>&((}-S2z$C%~eM!tL1;v z7nMc;LSgz*zVjuIT(C6n3m%CxdT+h=M=XjNn2su*;eHyEPs%xy)y)B?0SY+HB{)ST zW4Jc=i4SJZSg_Uk5)3hSypDeSMK3se3Vnv@rZ##H()`cNU?_(J&9j{6O~RPwlm{Er zyj4~4ALG9p1QgO?Uf*zMpY?y5Xg3siB7qxy=p&RR5YYAn>zbPwh2FSE%jb=b<3&s!;iwuj>+jcE70nk8zjE~6@z}mPzauMv= zQYekw#zlt#He~Bstg^q)M;s;>4*;#fVREq%zy`_v=m)8_poz;Y0wJWkin%F39B5u27(Su)LGAU~ zZCwX~gS7d~AsDn7_taL-Uc*dmhp`CS#r9SQ?ME{T)i@vkBSaHpPn9b?KEWDFioMmr z>zaZ;X0)wX6TFjTac^2E*ef=^!1_ni~;7+<5*ae3uLrDbn4OzJbN2MVl z@S(VgdEQYGEP@Eget|b0qOhSX>cW`}7xNNm=s1Z*hb{qHlW}xOgn-#rpr0>?*L+Dk zlTP{XB`3;4|&!hlSD5&H$eM-434Z!Eo zo%rtVQrNRci@2>zVb507HPps7`V(ha3d9C8m7&8glx?M3HZRZ{l^V;at^<+6Wha29YgQ%8v%}QAP zDnz`MaQfY19C#b-*}Q0Q`jrqf2H`=V&M9okjAYeR7^<3AxzXW!6<#(Sq*vu%)+{>1 zc1NxPX+}MOY?XkPcG0%4*45U%yneNlB3-%Koymo$Cb)M#K;)FT`X&`rtggTW>?6Ho zoi*byu$i=m_H{X2HHcV)$Rg6;=oCMVPww#*gFb7nk*CQ{Qli`bfoSwvbG#g#wUhDZ zdA@XzY%PEpHIYqgb118`7M5aiIsuh?^?-Gzy|?Cd0A*BE?p+5^{!mcOt!enYV#^MY z_W_W1_y1Rl?8C8C@>Df*LsO%FUp0UCZVmq%oVoARPJ%t2)bNU;je;m^oToLLlZzT! z*E#5GG?)au8jj*H&HjhG4ddKV)|hJ$duuw!Y~<2jzDP>q{&^M)Z&>z809_2U`F5=B zu5ga@tgTm&g?2QkqvHyqj-)FBMgL_y@E_3WMT#Qt=LyedE&NhZRIIcfu zV`ji66~2HgRe$@k>iP2in}!Xjay9Kv+*D%(+<=`LU$AY!nN7*;3+A2SOo-pnjng84 zHF7g%mpGVg)+-HTXWY%(bKD(h%&DXFBHMtlHTtmKA6Imfk76t2x|hkL>| zM>H-SbQ2;~IAn7w)Y;$2=qrsa$%wH(a>b?tQl>oLDg6EtwOed7~{+qr`RSY zfBkz<@pHYx+MlEOTRN+z&(C<1y;Yg9^}AbFk_^bx+Palkt(|vN484H@pe@bXxm!Vw z)zO!lN0*ar#1_CUxk@5{wiG#uz?wqG9x8%1iP!?jMM6z40#)I1&mia-XU9jI9>z1^xf8l*V1JJD1YJr_`! z*9{hA@k95F0+7z5nBl^EmssY|E$GVaIKFx$kGSGh?u zM%c_8-TJh=7 ztkmmPyhoxV&7uYWri>8BBlO;wS33XT^szjgfJ2*DIwH}UbXoy->5dB>yr7>{Yn%$DxL&nL#`61m~l z(*1!zt9dXZR!Qr$0Hx?ip*%ASo4t&Gl_QJ4BBBJuqhjVjB0H3ZVL^n+1Dy zFday59~rq9_H3$f*gFC!10k^2p1l{D#Tl4@WaqJN=hl6gbwb$F+Ghw%q7(8ylLzeQ zY0`-d>^>+rgfNGtEQYaXze-W+>nBtmwSNGDUMPg#Z^Yt2rqN(5q*(4xCb;F+WOxwN zw{|OfU=M&0&(CNLKA^XO5zhnL@#9fIV%Y(>vqy2E0_|cmadVSeIqdLV7)&yWQDlkS{Bm$$UoiR}ZJW8JE3%ZiH4tEU_rxJHk z|0>TfjxIDFPIz#b;9$5M)@;g5Cn@8yl_k%|EVMjnr5Ug_$q?ExU?O;e*Q{{$9U4F`@Gy4@!_lrUeNQnYV#?wjU=qID& zd1?TT=cELrqCyF`u)Nf@xCNi<3aG`CfFP%$(hm33Rs_U<7duiACJjNDC>pP&1>?>M zi92nDKrxs~LyOV@+NF~Qio74;Ma53Q;_qe^=qCsr!-ssgkXUtlzweenl6-=uz3+h3 zC|lF}&JeZ=kk1AM&m~s<{fq*AjLpOEevc$fPtx~`6u(UNJ*GNA((fMv9Xq~%iq2YG zR_Q=!W&%j}!q47K2P2LOq^1pfSvnAUKE46z;KZvifoe>D)QF}{drtQeE@y%~@#YB3V`+xj_4jgSVb9=rcfG^Y1t zJX}eYCfHxo1e;?#Uc+*p95Zb5SU``eQkaLPhQG#KTTZ%}f;8ShOXI0d9hY3q*_;!l zZ?$>H^kd}@sHKrCF}l*Zy6M^`oHP25AL_>i`A|{g(1$4T{ttrHTWTlFM^EFe-k!z> z6v4LgKhI5cpr)R13(kkv|8#g$L!+<8lVh;#xYFp{Y(VBziFfJ{wWRN<$lKV>+xtAl z8vA($c;8eE&-Y!z;XWGf#r+#f3-bEr4xBmbhpnAg%H~8(UTn#~yjbYoV{%#DXy5BT zs;*{YyK=OS{U`uHKubJmjgiBe1RkL7pi|#$n7G_u<&o9p==m@K0)u@H-W(zj+EqMY z{WAPR<5E-q=+J08f#4ti&@{jhM8-orDPx1Div&Z64IaA2*kB1m2-_bl%p0q@AwR&` zH$cSwp~jvegy4jxU|J`6bc9(;;BYtsc?RPKmm?EivSYTwT2jJ40(^yYC100vV75n# z2R;yTryLzNobk+~HDjl-flsP5^*O0YNBy9Ws3p<_B`2VpfihAHL*9O$x- zjo3#_vl-GjV}i$e6Nbl8JPtAOI3}2;oUZDmKQh`(Esh&jxz#^kmVMj=XLvja_$m^* z#2v@}0Jv`=jv$~6(V;jC_PwW&ZLW1}0h^-ygJ?@veecE#B|dmo0yxWH3zQAEOqvv_YdS~qOpWV9va z0BP@(oLMKy(MBqWNLLPOH$?Oo$wAnVn6RXm2`iQ>oEWB5<=P~2J)r^9pQ5S%gdA*` zPUk1!9--4Y>?4Xr)2+D9p&3d~z{e-T3ez8vH4OTOov43Y*~Fpt> zK!|xb;!01gMFx`e%qJnIK#A|sP3ROV>4Ns0dX<;ihPt7lr{@74^-ep14X=K_)cf=b z+(W_aF|0%1EQ%kH4F{_YfC7QeN-)OqyjevV?f2{Q7Gkjs&bWC?KKVgIt5DB}$>mRV zd7#1=UFJ8i5_EEXo&mr{1)_iMj4zZHv`hw{S+qgKJ@d-xbCAUkFrf#6_fxjACOW=3 zDodutDEZ<)YQynw5SLaKe#sS8QN;65T}wmrJJftnQq!vVq$>7Rho((U-=Z&>;+gzI z|EsF_spAfgZbzjwz5|IhXv&Pmqo z{YCrEs!-T_9$mTfWaRdiZlUW3-LozMP#HO@h!mdnDne!VS-&!>pTOZLtu%)0Jy3Ur zqZ)+{d1oI1Y0$}Y&LL001u;BlF;g?W)#cn=T}C5|9thx;ElKzmSauGwI-jJ*vU6h0 zDIV26+mJsM-owy*n6P0+&ad$drju&GWzdj{d?@Nfrv$(n2#M#dJ){ZL)_oqS8C0C9 zopMo3HQJpMw3%P(1XF+$e%LQj8cHzJR zcwFR^(Q)BgmD_$XwkbtR-Y0VCC>V`P18<{Xf}%j^J<6p}QTsTeAeXtw^NlFXd$Z#bOedggT9=07 z8i!(cY3wU!I@!w8pp%LmZ7w~|qH0I(sZ0zk0lt?p$AmHP82>VOaKu&p^2>1kO^Bk6 z4LX+-zzMfhJ8E720lg6@(nq}?wxjSsBd!3miQZhXf$ap1-I6ONjjRSqdIh6QqUcxB zS-%1I2sj*@R#aZaZUVL%;OYQum`|GD@2b&zYsTNNMzgX1thKL`7VN&7OdcQi{EW1b z$rPpBz6I16u9!jBoSukE7~1UDrx?^G<kPOAV{nFAiRO4L;xtI<0i7hygb@AM_Zm9he1MQ34@^5DI=gtouOzb!EJ4@_t>Yyv}3{ z_=&D>1vwPE)`1}_mMnrHXHmN3`dgu+edzTr1g@>v)7%KfsO4{?LoQIvhi)#0hsREKa}7)Y7?$7ML_8edHCF9GLd{Ku$D&xm zirvuQ!7m0cwht{{4(58d7|Jo)Zn=oz)QTNP=NC7V{nbH20dXfqF-6HdJa;SS!z-`) zHmOEQ@WrNOe`2>{$%?vsPyg4YM|#iO2DELOhScK!J|u%Q5@(#kG47cS=Of3`Ufo;| z^J%47s?I2Kguz?s+mqU=->Ul@N0Z}2_2@I|C%@CtQSaD3Q>u2f-|QzhH1ghRyB{++ zAb+dFo!Xh9zsq=J=RAYRXR2i5F=K5Ht1a^voBro52;!?jdmR=Jnsh@y`cbcg#~zTC z8@SOgG|+g=)*JpB7ks$Uilr|DN~#Yj$1G4BzB#(jq`Ow*@cmpVW}D*h9k(%eca;Cg zuAt9_-l)KR6*YR*e*Wo#lbS(y-WD!>oxjfE-KmLL)pqimyGv)4W#3PuPKoT&g=Rvo zb?K6vU)d)tta>SOcu-pBA+!r<@Qx`~Y)id0L$^rfo<{BjcmiT?`EJ0~dux%RN%^z4kBTQtwD{4H$=#)zH zOIoL1QBDlP&RdX*`}fx7N*Z6%Sh*6#B@~aTbT4895{xbZMirGenR;DQ(FnYLW_^2H zwqP8+_}g|ECczYW+gX#jY7lin8I8m3z-u>$c3jrix@}PcUl1u*u~0)z}r;{C=hPPgAlXV5+c`#8%sn=ixi(Z4Cc@yOjUbsAAbBE zjT|C1XyV-|Y9j3@Gzj0g0Zz3U{{>fa#y^zBLq(fuF~SuCEzvIK@|PaKxcLc$jqm^w z+LaKHw-L(`1zRr@Yp?-vh?rI&0xmzZvS7N{;sosi{aAkE=cJc!95LCawBPg#^{TAoyq19cAV|%~@v@2nUPhi~x zaAz4draiy{#9A3OubVum2Z5%Sko4dUvuDWO!z=sD_p{Ye3cc}fyuE5=ZQ2{7_`gp{ z=?DLlPtH`=R7dl-nX1LViXCBfRGWxj^7EI3@@MZad%t@R<7ph-{{x{6*X9=LuhLyy zryKfdR8p9^FiAHp%KU6FRtPh0-Tf>WsBHQ`z0Ihy!< zkt+OE>Fc^<B*Uc_|@ckv&=zl~JkPu$o?D}YM4!&YZwnI=w0kLua!$ojb^>=)1a z)yxtgdsAbxu*w5 zt=_eZV%Ql6b5F7v)jlLHz?D43iHJJG9>Xw*h{B-9P5|=t*ueVOPjM!~$P;HGt2K{> zjHEnLn2erm0S=L>_+%y%`dyyPHDmMRv_< zk^;~{PbNKeg6iIcu8)^2I@9qB9D>;QUGWP5oh$&qIP0<>r|cpm!e546L;ga{gAwI$ zyYwAE?pKO0lJfB@Y}@d~OMbQgblyAxD7%UC_OD3KK;z9vJN9xm!2Zn?kD->>-{9NE z#=!F5(2l)>C5Yw7FxLH*KEjdv+u15!h1jN`u2A2p=W1eC`1O+Hvkgz`6CXbB5vLEo z_|AVvV&}mNCF#R|LD$2x!RUGr89#Fxjj;07{t?ftTHfF3iT&%t8MHc_jmT{BM}j-I zCuhe#v2lLO+Ydfs;~xKr`hiW~e2XN1{qU;M`Op0u>&K@3$Cv)q6Ioi57c%HsIT4tQ z8J~&}8(%~XM1xL~=kFrp$_C?{@EwnnykZ+hWK(uLA)#porrC(BZ%RScM0VOR15f$V z?x3bd&8F%7|5Cvc+(B~X;+%eshBtFI7WAClZe`tQA`zP*G~XECsW++cnw;uI!z4`ua@Hl399%4EGOC`;>p3PGR)~^^rWlkw;1wq4tm#1z zKO=3(o2M^a&-9F!HFY;RNxPR-{;rj?Dq3E9BldT_)Xdt`c=^9?|F{ef*(bC{V?;_% zwe{Z(ACug2M>ouctLKdIaI2lhw@!btXtkFxK40lAD7ZJ!8UZAFc#utr6LgQU8-WUmuzj|**WeNTN zT-+Fs)`vA{f)E*N{JF`4HB9_EK@$xVf3~p32FV7oacz&|OKyO5dTq$dr3AuXsK`CDY{(z44?Ul1Ad zU;E+@hw_Eji*O;~i+>xX3HWn9WWV_-pT6}Cp{*`Wj(0L@cei@s&2=vw((2<^ho=n6 zFKu$f!-Q34vr(;5gU?R>rl8HC$r0BSzinof*|v<@{%vz_37#NDiN1uOkhUV$U{QQ8 zXF0xvT(Ng%EiRdM8%0$^d%auE@`Cdphqn88;tK5vinu&2z1FI@y`25{7D3CSg7$}A z_&sl|*()0Qrrs%MIj(rg8!Qs2t?6-m<9D7FS=>|)$kvLLGKt1vzZpX06te`>CVITIV zC)GD_&%LQT(d0Q9#{Uj}&N_n}Xw2+xdiO8WCa=C4H*<@L4gO_W^;M|ITJ5hXbWeTd z^!{kmH?&jeFVxsU1TJ{fQXfEf9-Y4jXJ#XC{`VM={O+jAzpYvt;Q_)yQdDc5hGW*cxTcFl>&`t1<2vl zeZX7~TgUf&Flv!>b8&21y!S(l;^{sRqsG%^R9i#?dEp>(kazzcl>biam&YY%%BARg zej(yXqrJl-2%(q{BEjr3#1GgZn__-_qo5w@ngL< zO4Y9zyzjpA$sXz0Yma>NZ+m3Tmz+~cMM(=A3stpLhg{*9sheEkSSU~Be-biPAYyVF z0H3vA^3IQD8~#@z^Z#a#)X2j3{GF=ixjfDOE>7d%59&6EHQ_8UGb#N{6EjB6Fdt~Y z)A%k;r>({etu;JwZ0h|$c!JJN(CB&lUu(K%ttK=1Ri_k7&IO0XRz5e(Wj}%_vMz9n zY@f8=tdf_ylTP!lDkoibYo2g%J?V8o_fy^OsVObd2?A;UxVv+m6kd(aokIeIc5%Us0=7DtrM4cq znJ9K}>5LI_%e$2Ku|DfA;Ytsj!MkAYRvg;9qWFxWy-4#MroKLX@<&vhcCmIq*Djck zT*GZ;!q7t^rvMo=9}J3R+mzT7G?tcCb0xAjY}@kt^5c#U^d-~iUi^~G#oe%Wqv_eY zdlOtopq<^n>K;k`gH-hHmcLt@o0qC}OO8j-IQ_1i+=7%CmM>=;9J1wWvsq{n?rxkX ze+rQIy1NBzun^KSZy#*p>22#mdccw|U)5LdS)u4VtHy;^%sKsYCj1@zo_Z|J5_>#1 z8h_1j@iR3&rCAuS1Qr^^>M6l4xV89?r7?O+OPtwEHIeaGlrx*X6!w&2bt<$m0*MRb|JZZkIOv4^?BSm|n#t9g=v6QUsior0wH5zEg zM$-d0vx!0=)kK)a@&+IYH=f{o?A+gC7xKt_?8v`15Q#yNg`k92IvJ10_}ClRe7D9Nn+kSQ}ds z=Gm)Lr!8e@dR>qobhw?`9_zaG<4vGK#v706d}w@UYo~?EIu_g3>!+gf()ud}{%~{$ zcv$;uf*%eu@{VQJZ`G;Gz8gPAKeu#Mi|a1S;a$!O6Sz-bU$3+N)&Op% zfer-SS$eoewjqoZ|5Xtzs8 z59ol4O=tVvU`c#}BX%If>g+4SWLqaip)y8(*q4_^r?>uUiVcRZ=q-6@UzcUQR$6nh?cWhC}|<@8%eoyfILSE*{cETi37R|CL+3r=^vl4mt9KzAoy z=G?e2x@)Jj{;WQTc6#W-7>L6+e}HmoW-Hw30}@wyqo2pIP%>a^l^gnbqKc?n+VsV+ z2rOAZUV1>U<>Uf}5vVfP3k3n`qqh-6qvBd z>>XlI&uG;1-UOl{%z#7kdE($OFSr|DZQ^4z!=)VfDNjF>E~g2A|0&<&DdgH zpqnpp1e65v2f=~eN8~|#Qd1j8fbN%nXQ=f4#vN^hp1lN;F(p^k#Ld>o(n@FX`h23 zNYL(_kiqxZ`w`QM2C8n=8A5^t!OjqXEbSi{g4kkjZ3Hw4h#{zrfCC%StN>=j9=w8~ zlp`k-6n7{DX0j9vb+4mjYcmiQi-O~ZLXMyqW~Gusk1z(pvNhPo5F}u1tTc9p>WQVc zcz$CtV3*>{Ym9gds(bk*-c$&&wb=wP3l-a{$z(EeHc9h`nbZSj?-9K|NF#EM+m?^#(kd1~};#-DUGk+L1Cn}stM`7&I8g`bENJ)W&wop7vG9~YY zoP+1-!CEHMF=%nWS#qYy)C!QjQ0xToHO1a>kt{JN!W5%DBFJ#GOW8{|)5m=dE79+o zAt!Sy(G2Y#n8$Hz-^tRbS=Wq)N*!jWprjNU9FyCB2ECYGdidnu8SJ`G^zb_s6*VO- zB~5cxE%gCktD30~Q6JlfGwPoVHU{FHR-oq7FUX;u+3m1@$YFLTp{Tlwxr!0T^kI*( zDM!~F>ZFpjiMo0B85(!ja%QEmYVcQ^(cFk?@H1*zeO1jA(;v;V^R%@JbkZ(ryS)jM z>bSKSes8#Jv%!Iehohz#?(%&#L4${4Z`FThJ+G(CO2(ik(1P=<`-YXz=0#u601Z67 z=$-uCitkFe2TE)8%|3E<;$9zPQ_f=<7K$DAJ zTa5uJEXqL|C%50`S>K;NITfq9ENk`n=K;elw=P{Qe{$u<)>lH_IV(;=1nbYm`RIo_ zq21idd(>p(a2N%_fo#^Wazh(!9{m=< zmJl(w>m@_rF`>B3DH<_mBQsfk$R4vzmxyX*Bm74}p<~{#2Hf|V)5uP=XY68WMterV zSPVWBuumj=?51G);m}`!JUA|mea{SmT#2Gjq;(t&D*=BjwOTU{EEsv9aclYPJ;SPE zatrpRal>HWM%fqqfC&muQs|6ro}nU^W973)FqU?%IH`2n!%qTF$_O(%byCEPdg> zLGwkWCHk>@StRV+v>w5d?Ay>>pY|?Hod9cK*2&n#eI|G*Xk_sOKeX(~QH*FWn?Uw$ zm8(gsp6AAH)%Nqdolg8mUfm%o= z*}?Eo`=EzE$@#mH_JQ?>FP=MBFbPhK6+*|tyOSU&gSN{`0y5j?;C3^4Bt6aK$ut|E zY%g}KZNYBD$-rzJw(d^mv;P^SxS{o$0jT8^R>cGx))bK9JMpQnLZ$$;>F@b$=Vuor z234FcVBRlg%37G^XsEEgGANr-QBTFVdN4L>0pN1g2Wr6XO#pYEGbu)*c16-9P66N)EtoGrZ<$cWHo^|u?eB#!&wUc|EPSyjCdLVk^#l7WY)w$!J zrCRHiUoXAl(7PYOmUF{wr|m@!+}>vLd612){7?H_a0vGsaMhC2?hsz=H=A(NN2A@L z_K*1^e;T7XbudhceN=bB%rkY25%}O#D+UhzGaH*pI|5D1brxcQivk*K*63@d{(%9* z7@Oe;80OiGWw;=|xquLQPa6hYz&S4dfnXZrAJfvS zrsJ4+1jskc-7g% ze2r}uW~;s_p$ z(P%M>vHxuUP>3;^6Fh-1S$^5M)JfgJi8NeGDl>IJ1giAi%p^A zyyl(TlS)O2yg<}3WVBV+@zzvLkIZ-z!GpsD)_CY-K-wdT^P{zRE%e8-lSooCM?`_+ zI0I^J5r2z!UY--{iyb+2&TyGvs{xplb3FhTA6!tKGYr_EH^AFfrSOejsC1!nC2-wh zmk`8Ct{SSjguT~16-)a^U0@Mhelj+?!UgmgLAciE))zcy;zs6*LJuSbqLW+~;;D+C zFLQBSg8L`jjsV-ND+bTBT1vRUE+gVqf7fUBcI%mC7SIx)0?X8_qz~Jfhce zv-;>)oLOVg?bxm1b%S&CN3=WEavf_NeFy}%G@b09yv4zST-hU!>PD{O0(WlEYgyz=*wkvUlaY}-{FM<}1 zQ;oEI=0CD$_=Zb>;cC_%DI}KpRjlA#8+8OZ}sDjRoh*7 zwfpOlW`ZH+DVP4Y4vQ00c?g_ltn9k2Jsj6r>wEHTcDc>+O$QyJ&%_7;q*uXe2P)~a$Fjj|7K zy&{6YyWZO=rqLE%;f*3ddQmBjcu|NlW(y|MN`$%UIPzw+mCfy^$;^t9xtj&jT~BF8 z=Unh$K-d9mQYH3wNK#+gzQ!i(dF0^+ah_MK0j7bLk9l$cbv}Ay=S2ai@gCw7CBt$6 zHEt4#^HB9sjrA_y6f5Turr3TX2UJ4~HT*`AlR@qECsWZkFYpX#*p;zrs89TIAS@t<7tE(SZkj5LUpR{Nx3wK@9N&R^Ll+pDFc&fL11y3pv$S!yj|7?M1}cIDb<+KYC69jtoR$;`JY^dih-BVGi3flK&b8fEs!zhLZ^Asv;a6wlE4D^ zDX{ohYk{Btr^q018cON1$OTY|p+eS-$!t4^Kj}ik=}1hl7S4o|eMfgf#KO6(`-t)~ z3$HT^Lv+(Z2*u#+Vvzaf?!>NJ|453D0c)^Vp`Ow%0o zNilRgq@+uVVL#7oWweQb6QVh}82%h>f9fYK$4BGY*0UBWp29I6mxBdZss*1*d6|&=qd>q8O^dDf3C6&9=e^kJC7LJ} zy8|)&Km6xBUofPUlk%gs$Yt@AVqKv}CG0`00?;ZV#DbarM(!91nZ zKbK?IRp2!;j&UU=VXPiDNIvohy){B7^eq#r*#*_u11oBG?mGb|kjD9Mr|!sT4+!G3 zVM1XM8zuys(lFs}Fu6%!LJXb)uz(N;cc86<$T2kd99ikOmyT<)Ok&7jfynV#LCBbo zm#Hx^#0p4(HCWJUn$;6Ej>)_7(z3HSbn)K45Am39=2hI@LoeLh(lo+l@^7y!t*3__yLKT z#Tf2LRw_d-MEiQ^N~jL_T~(}uoj7xkR5ot#ZEFD=7Ru1t7v0w zJ=oDIraQFvTeS_x`8r0~>sB?sY+Q1OGTf^$iGwKl9&#ac)}gBdZx-nPNtAsx6bRb5 zy?PT4^xG&eYA;(2y_jY^t6PZTt*!^+-Xm~-(zGT3g6Z8gNX<|$fw#g-)Dr=LWFQ-}OmG&>Njx zzP%SAv>PFgQR??d>{J_`y3xj2Fox8_jS$|U9_DTI1n@vryc|YFyL~o(GIaxWP-C&l z8f9k^ja)yJsFrVn?oK^>Uk&KF@F3MpC%w%L$~SlV$C*Kx$ku+YHS<9X8MdD(>jN3aGu~3%E9&n zu)*zec(~CtHfg&Pqzo8Jw+|b`=yW_Fh=vl|F}OtX@(5x?&}l1osOn00vuxZB*tY`^ zDcE5IwhoYo)ucMTZDGI;tocHWxfos9DUi?zdv>7e1GPO@3nGEonB+w25oyUBfeTnC zk__B|p!tyi?Nz^1(oqT+frLj}6=j^$R&(&#_TQ-vg&^Zi|DFA=8L!wU0L zK=eE062uO&$C}LR9}|jkyk3mW#wusrIAdzIS<{WP7^((la2Gpb-mro;WM+c?X2l?} za&To(zNT0}x5;jtxi+!5B{iD;iqTASEXI6DNl9*{nJ>R)KuEd^lRgU1=kHqHwCpgd zp?0l(AWtCPy^BVDcu@YX=A78!V%`=g8XdHlJzTmQzzv^)-fo+aDrZxW48O2XWE5O^ zm0x$_TG{S;)J{rvKleb!GWv6M&o$uR&%nRm6>dfhO0Lk5TG@a~Z&lPWVZoRh#biid z|98)0uBL|~aBb4{%rH`kbVT6hxV{FbY6r!BvT>i{SdQAo7~xAaJ<9zJVt?eAS)!sG zO^-cu(cHtUJ3qzqf7CqsES%$`6JBEA6X@IL!zUv*?Fo9sIr+H*oEm$~W&hpSqZc<@ z6FuO_BT{3}Lf0_w93r}!OE%DXJKapRe=BvfwuU4G*-!c7?cx_LD#I1Mb$a}5WDT8eCTV57iJ$clX+wVe z)0dfVaM|to!v%3ep<|yt-k2;v0o&uRII?J$B5Kk?Kum|iCFp6kZJK)^A-Y^fROK`&j5X8x2Qi8ri@|YxGn0Xy|k^p}-^1Rsz z_SuXZLK24#v@SFOpbBnS_*lSZyouzkhANStXbFqSRsDX6QxrKva#jbZ{`Q~TlG>kl zC1T<8yEw7uC8Oj8#0HWEBgHX6=(;8e*eZX%G&{-4nZ5CY$ZCiXF-yv=a>KLa%fnbI zFF#qoDTF{Yc{oj_?UKj7N~JgLm(~%x!z7+M>^t+G zkC|=9E?l^q3jN^#)itE1(}CMH0{)PD#|v)(r_$tL$CS)Y8-R1;RejU6_eP&CRX{Dy zjYHFt*}iKoZ6%mX$?U&@v#jg&JK${8^U%ic^d2at7~gHLPfc&TSn%DxoY>=Ho-_qy zme$QaY5ESbF>rfb$oJ|9XM-JoU);|uvkkPh`Fonl0o>}ohj2{atpm7sg0}tzIgy_Z zY(1PC(<4a-F}6}H!A@U~0kc864FWM8VuVZ;jS&bWMgwy^>(W7t;ogbnXDH*&iS$EK z25{Bn4ZQUkj2LreQ7l{2l<@+;s3HTH3h$v@mS$c}MEXfcCaRV0(Sbg5BiegK+@?(E z5Iuy^?`Ul;H7I4i!7037=KEkzx?hDJgjkH>n^ovR04q-m8^qUa+SiltB>Zx(RZ*p- zcNX3Jk5zFDaPE&!vuH(=qsD;MoFQLh!?hVlP3y}xaMBf@;^&`#$qNr&{IU(ahiIot zpZ^;#eD|-sb;Deg^L%Y|3njYd9TqAL;jXh@{p#V-tZ4e?=z6r|&Fq3gD4E+w!Tcr+ zwi^Bh;EdulRPu!P$zA_PXA4X`?|jWa=dOe91YP!zwD^>}2C`;3tlF7aFau@Zrz0n} zNBwKJmd}OW-C8TsUiqVm$Mld}z=pgb>XABqM6QRH_k}cgXzjeZJbZoqpETDVDw;rL zJcpX4e{t1+ays;y!N@x45A(|QJx3uAc;1*FLd=9*iyy+ytiJ~Axv=|(>X%r}`a?aw zwMtHiz#RJFIrKrCSP#$EmF(WD(WZnyVbkG-bdcs9u2}-Yft2-qIE3yVhTDXMwFaOG zRwW&ofrCD|Q;tAOABLq>M-~a_J8OM=pU5Hf2#yFKZciDKtjj;@(vnlaX&81equtTs zs5h%>JnGL5`^YWgtRHbyL|JCL8h{AUn#&{dqjG90uU5VzxTKu2M0s&#uRQ0-2EeBqAH41g*8scE+p${y>26 zpMNYp$j^pz5A3ZZhAws#M3AEdC)XS&RC#E1C+5`H=Mk5b0fm164CVlY@#BSxsu1Z3 zQ>HcPofwA1qK1fjVv3a6o2bQu&iN=k3upz7hp{I z0W`iNPXzs`JB89D*bh9VrvS#H?j+Sy!=@>4;uLB<=(v9>ot5y&j$qEhgu>IR^xN=` zP7k1W^jXb?Nic>%9 zm$&`UM@oBD2$PbX_*(Evi7X*d9XNlp`EbuI)5^=6MyFL?_MZsBg+u=|Um#sL}oP`)n3d~t~ zCk8*#bIRBTf{(uV+{yy|!?J`D{yFekv^+`9MWKKRT`BGBK6`a2#_~4+?#2Jh>OfU2 zhh}`v&8#oR66#~W@8*nEcb=y)`T%DxM{SwDhPmvF#w?E49Mq1be^fiFX^a!9woR@2 zyL0_rqcDti?a#GUbz^Y@4gb#m$?x>z$jTUJ5G&UK1018eb2P))AI;k!*dpw zqIVkKKW(ASJ5QyJPhimQHRXKr9TE$i<8y)e4xd?awvsEyT*h2EY`Scr(mMe^_k!=8 z>Z4-WhxT2Me5d;#?`xoelQ}XY@7oGg{n44D=9_$f>y1d>>l)FV+9$gCp>-HavxbTM zjnmLDk-yEEIe(k(BG8cF0{iNeH^D;g`%__JtoQ3UA6Vu*(M;&za()eBfiCAafoDM1 z|J7SCDMh1pmk7zu^DR~G__nB=mlUz@r`4Y+{mN-cmZWE<#DfiJtb`xh)yY$=q( z5kj1=;34CD5l^89($8Ol^i7)6C2yijNbOznH)Hx9gl`(*T*7>EF=#@KhoZ&%G8Y^a z2mi}66}0v8+zssIT*sMQloY)K=fKPNZqf1-ckv-BjFp}xT>-|LcnKY)SI8j^1ia!| zMk;OrAeNOgUIER8wl2Wf9<|#^SAnpkxiwt{!d~u9biVqPE`3b*&I4%>w&q_gCcTkY zNhw>_MN@YTAWM4VwJmUfpa(>H&ACO2>L1LT^Y9?r#&8WVJ6z25L!J=2;sof5g(LAi z5FJAb!FTaq%L?sLE`&*WT_I!y@)R}~A|7s=BX%u>7zhGh1hA!uV-flGz}btYDzP^Y zzKg$wRdW%HI40nhmr#SSt?(}u0Ysp!3`VQ#!=M3o zz!r^v!8TV(Zs_Ad>1~$zH?RhVQj0fWi^i4)0M|$8z<+lq{2FirhZ6iTo167AsJdc2q^e` zsaEm(Vfc7RoC}XA-otKmQO(7Wgl+MzKzv!Vu1<+6?sXvkvXTL`{h$QtaD_QMhHk!? zv6UpF`VonRk_<)fPYKW#1@_#!Ql!JH(UMV$Rb0^pH0v@ct%o9v8PffL(*0~n4e%Ca zyto@}gD6aQqg(IQb7C-|#s)(BX4YB^pl zoTJI`CeGtz^>k`;lRxNiGc_C3RW=(2-_Vc0aHdl~9+?zhE$*VtlDX4-)u(&~5aVvy z?foC6!Ah#!IL?6pX7hfiYPZv3%#A6Vt-84d$1KN}qMZjE5!+aUHuU`b(P#2&U&}XU z?DYK*9-g4$i%W~%)c8$`-o=jJV<+Ze(ZbvK?L6tRosLUON>`mZ+>ShqH^=P!iF2cR z27M}T1m+&g-}R}W=blQ`Gb>IO?cg#Vd`9GYR_8Ax7v@z&hhmu$wd52s`4q+zEp`h##-UkEge1@bAEIf?NCZ-UH6v*&r?%ZR11l0>kMe zxVvN@`}Ih;FzR#{W6T7xop%GDRMgOWm|?P6#62Wj@W7$>Y8C;2zySf`RBU?hp@L)n zqQveh(*-VNn1>;sC-BC?0nBXk1^!LHEq}3;x>W^0a`*&4R0uG_94@w-RRiJv-W-Xp;FtC@`Zd6+VJrADQt{p zHz+Zd6@0)BD{+8$0vdF>6C1@-ab{&rDrE!Ck{5%3Ta41ec z%M*aHYkodl<-7!nF&v4!7oqqV9zrwb;n;5b&=8_A4%H6{+P`5E#2)<4kcU9^sd$1T z>OE~Qe*_l2{(_j-m5g&Z^_&@CCl`7im6Tuozwk`;fhT&@ z%#{IA&<;0%;1=D<{IGtV?J(sEL`>CJe#RrMa!y364NZvG3EG9c*Z%=qRn$4 z-(&ypZuR5RgxQU)6&!fymCm2NbOOOMTlyE16%p&$AGkoM+rG4K8R zc+0e)5>1O#LLDKMrKqMR5!tiVSlWm}$~i zp}ib2YG(Rg&)39#&gZv&AKyQ?&u#XZ_xpNZ&+Bs#WQNhqk z_(^D7qjFyWQLwg;=1Cs}|I*Ks?GLPi_phIjS2a@u?n7w&RpHPM$5cVaA?S<;Zou)} zw64TC7m+#0c&ynO!+w|rcba5t6J%fqlFR(dy|enB-TDxGIARpThlZNsb}odC}vi{n}m_#B)W4#npcYuiYAmqHWI`&f`^_T21k zDL-B0&}dZd(Ea@0?JcbZ8L8c79P{p?@`~064TW8oem^$n>B};w#vE^p?x$5{PF>x7 zU(8!0UUFAx@**&O+t%&)-w-!8H~vWMgVWaJWvQ(uCelOLMEZaHW{KyU2u=PYUy{DL zJ*Cp^Kg*J6eEr@Z;yI}zlWI()$BRr}33=x`y)?gytKo-Af^LD-TDjl-3J7M0Y z9WQB3>!3W5 z$(_<6`1~aj9|{kUi0#C#{e&iB2Y#t}*XfV|@s#>k*jtDv7mLq}U8jmn3JTJLhhS{J zIN9KVJZN6GkL2}8u`9l-+SiWh!TMQ+3AbOnt)pAMNM2`(UGzjIS98*X@fw9k*?0WL z+fwWzO`N>_%~;IlSLQf-y>YsYSBS~`RqTRi_RCEV?k6(2)}7q{%{w=Iy))48Um?1CX6vU-9Nla7t&<-FIA;F;M(&Ncu`=95e5R zm;Z1>y6JP>#H{X^?03oRQK{)GVZ}8$ldN+?P`Lm7&4%x>5v4t(vyn(Ag@iZ#oph=q z_;E2ulcO_3b-22%F-J#r{`X3q80P>yp!x5N^S!DBmPTbXeU(*|R2a6RVUr9`qp}_x z<6xWi8pGt6g*nxQB7Mtr&b*VH)D{>UQ%~v`s-I|y*Sex}R5Mfeql#9s$u!Mk&8ujT zplLo(O~t}owb!40o}N`#<_vgIyo6Uu2^}NpvOQ%cxea|v%^ig%`3?OMme5(WyJ1T%?%A+canB?p zcF!->xM!m}yJu#jHconZtGF>jsm$bV;|`X!uwY*Z%Y^tSb`i*eikp;5&EFwdqDey$ zR+85g&JIX`sd>7{Bt9Rn)xE3a@yoqaNuiq-xe`nJgF9zfci3X{p^<3 z^wq(#pLVo-j%9SY)$hLBp1Lf$hP8$sEOkh!9hBL+p6aizbuU8Nc2Iw(wLU!z1g4_x z5h4-?`OPv^Hny3&mgTN^Rv|$?pDzPpzti9bcwcDGW(p&i6>FU(f|aZR1y5Zuh?E(iQ#L zKK{!kBT-S%%%udzZBWiYi5s{+>n;UKppZN`)I2g!0-FUsT47H4n7>|K7xFILy8jgt z$pP8^SNFe;75m(z4E3*0h*qK=wo}trs3g>w`wBL_7i<~}ClsM)owO|%AK-Chr%kCl zyvEg?_TOSGPvrH#h5q{8PDmxu_@ytp9Ugj9D*J99Pl1%#27qiq1+FsC3N2_3b9XB? zVZ#`Ch=yl|028lh4CBmn>JM6}y6KxuN9oi8EzYSyUoF*6DP`0{!kiu+q4jpGW7&e_vyqtsAD&j-0MB7pjOA<5cq`q}x zVY%DJXPg?}5*;Dr`Ia9^cBIyj$T8$JWX6i@Bs!^drCjnH4(&*WHCZ|Y1EXou;rV3R zC{B(n8I@1}Kef8d@y9c@Or@6Tr0q|tBbFvJRXDu)X)+5ZdJFEiPe~`>88wVSV_8#a1P-`VndQzgRu*N*Ugr6Ii>&`Pys{eIWBTJ8K|cO zn{~f3zFxIbf63vN#s-^6JtYV|m&#orMgzdzQ0^w-OF$z8G7sFnz4Vj~VE#ixLRs6B zK4YSC1XLq<8g)#ItaYmGrj6^*M$S&v+WW?~@G zsceJO86PD6Hlw0VprTYDtDwJ$agn~N%4AG#2%Dg~Dzjvye;F@QH8MRGieYAqE|Wh& zjVXmp)vTk`9V;576WGk8lmnMFwa7VejbP+%2WN8tQ`y9*wlD%N;K1+qJN(ti!}X?( zf2(u#)cib?>X%X4LnuCW-SR^uj>QG4w}_dp%Kf%%5Yej3TSH_e7*HpS=%eVq$vf^^wnI z%7R$04bXe^G6m+ET*X?nvTM7Z76khkxEv&UT9<(F0eV_@ zQD6&s+qFu+-JlJj0^ycRBDMLAd+b{qY}EC%r(hGsW;TPaeI9SHN%hk>zpu?U_5wZa z0_?k%CF*F)i`io2Ks_Dk755SrH|*2_%3=kHLZj=^p{9qd<(>nGwB zVzeI7=-uD<&e&5u3fyZ=Q|^t)K{XXYPq?`9KHOr7TiU*HOe?mxaFvpw26wpXP<7)# z<>B8)XfPq8ri?qc|BYYj={$G@90jGsu#Ecuh6Pfsr%SEr-&=d->An*?SAEr)cvf?= z*83P=gZLcNci)Cu4F6-HlJ$e$Q_93Gv+;I<}kd}Ilo^C&b zHHI2TX%)@onDY~4)D9{fW$QO%OHV&rP+wu|Q?KY}pC85Pnr*w_NK{tK2YAIR7HDkC zuvWRjxfIveGDJCd3sD-X+sBsV?w?F~8BTd=Y&2Wtw~>oEY6q@FWCWcLkKkpVKRu>( zzR=OOBG!Ce8zUgCu04PW7F)2B=#F22RKTcOf+?@G9(k4JpCsXJw&;zu? zMxgEZvAjOyimXlkoO;nJ3k;wTN8! z1JPeOzJ~>(OZ4GdAzfYH0fUZcZR=MU4EiCy<~yY&2zmrlohY12QD)o}2)xG%N( zDb*}%0?5vsl?Hv#l)0j>YM`e?X)!g427q-_2yKxDd#Mx8OS1aAqdU+L;ys@%;D*{> z3iL7rt`ibf8^X_x0nB}o^a6c9PH>j&p#**-rJL!o=#UDGG}7b=K$IpU^c4hv1|y8m zL@$Gz8|0<&T@WldMjK%XEK@{jLpq5Zpxk!2nQTAmXK0=NbnoAC|k=eSzY#2E-T_j~F7YqLCSmnoXOV7HUP=2F5*8iFHF?V(71`K>kBF0iF|e9b{MK8n^`rQ)o;u&N#k$LCTRvig=PbpgBGG`B&~e3dl2@6!Nr6T?Y}Te^A0R- z&=bb;y`R^AT~BX+w>bcQQ=ZUethw9^ZR~1uAI}RjQo-=ei_mh4Hy5&@obBcn_1{v` z2lMDsTjau9h;7)z3A##8_l6J=qkptXU zNQWQ|U$`2QkRs#{F^e;C5nG;HAf>aWFr^(XYm#oRT9K4-bM z^9`e9Y!R2M@3OE^`~m&sRR>r%u& z47!c6wI6K239!`t2YUt+eNTKCncELVX4DT^ti^KCOEHfz%($mw83vmm#e)yC1x%o6 zd<0wtWNO%H#vyaF)7}M9Cax7M*tnXHw;~I63}U;ihL=F>{xUduPl<8Np{779c(763 zoKYByjE3uA<}h0Q)SsnTITAVCl&!!SZehgS@C<2jcn^m=1%)p!U6F7;q8HBFS3sE& zu%-fpCU0mr>TE5Uu168Xe1+`p2IA z6gEnwlQ$#W{jw;mAH1tgYK%cYZlG<4!VW1-wybPt z4G+7rAWbCS*?~`E)x<8l%|BaK=8^NzH_=Wk4s^L$=3;O6xs^zh`)81*uPoGNv>(2Y zTe#+pMxvddZiU9^^%x3bFZLd=i>p!Co6$XXY}^p6uw#$uv14100q*+A5{k!!DUv0| zcvqIWyl)!=I*pALjo+dmfVZ1*sErN8p+>E%u_21Pk1cp)4lBi25NThKVi4)>%?B3I z7MXFg6zYB5(( zm}|cf9;(xEukP6UqW8jF0<`=V_Vq;jCyeV$oiKGYfii$qGYOMd!BAjvKXov{zn#8shGs%Z@Eqdf7y!9AGXW{V6v{>~vC z*04o}eZe7#OZNCB|38Kmou{KM&PiQpP8}i5O^>nbOKV$$&VdfCiKd;F+HfCqXuZwR z;fZu}y~rNPXVHo;*Gpa*uM36n9pIB~*^HahQiUjpuk)!%kM z6uQ<!txdUbpC|Ckl?4m*9YV{e(yA|{Vd>zHI zPrfO7;+yM&;`kQk>{DSW<9I4v`2cay(sa)Qi!1RC-Fo32k}KZLkodb}o0}PW+gNl5 z40GFs+t34D!*hcSa#!Sj{OFlDGC@xSW#;Ex){mb^PHz~&CZad$+teZfagQUrdHl;&%BlXjF&+=G-5ya`2?*GG(TOA{+COD8uGu(X;7{|vI|a{U^BUY4^e+2w3!Z4I92dtG(y5{3BASY68ihCX z1%ymW3787rY~z>Gd}nH#g7$fCgd_r8amE|ZV4OIo5(Nl4Ny)>YaPPj zacp#*Tm79#(t?_gIQ~8>Da_{sttiKvG)Zo^s=BUh8rxE1FkE98vL}YA|2?nli`t24 zn(7>tM)j%+PS@GKp5&zT#5&hmumqjvM&%eY0a9=JEHAr0ni~2$7&tA-9W!Jw$6{H& zom~E6%_00lw?QXQ}6t8C9AD@K$g{FUOkIY1t4^AT8 z>T2xAcEW=?FoJ?5b5<(wQ-q5Di%Sh)M9w9TyW5r}4>sbU*IgBTheMF!X0KAAZ!XFZ?ooRGNyGsIwUihJSMZSC>ReAJ)#A|ExTqrB;CHQ zA71Vvo{gZX_27%MXGn-I242dq7Go55=j^-8H5`cya~IH}>^XMgz)09b=J1(~C2r0X zPdWh)UVwcBqT?I~Oevz$D_*ByuU;eVlCtch)elX72+haK3}$YaHN7g_j#61E$rPmV z@hXO12Cx|hLPUqL=nCG~T+7(ZC)=`9S{&wjk4=vojU1x6P9KPv2L76vAMAoxnj4+R zS_=7ox@-(bGH=kM+nqveTN2NMeEuU|WuE;6`YhrTl1B%|8w9~j*!1#ctbU<#>q}T8XJxUrNg2YK$O4Ca3jDL{uqaaW+2Rcg)R%D zEqY8PmjJh*Fr%6l9!rlMrf_t#B`+G@y>wfX%HADm-gMX7kXjurYTjmyt)U(XhRG0C zC;w`1n7Z+M9#1>mjCsVcCLdJ@nJLXD^L&7~kXw9=6fvS?=$1mh5^JI*2<^F|FHcwm&AA-$dyBL~ zsH0RPSrn1SCDnP60WdZlbQxDvQk@~z=`2>F;)SQSSmRbc`Y|o{7t=J&&cy&#%J9Ke zrW;ezi{-F#gR;GnEbf9azI}-bjGdopX_?NFRroy!+m=M)`4X4Jd|%QHU80@yzJJW3 z%0EpDmUM_$U~{dhbP48a_8)GJ!9$k@;o7tj=~qh;@Zs!N7gW1+bF4f8xGP>NcFWb* zFRBZ2SQ-tG#SG$Se80KjrkH&{*{Oem~wy+(YJxV_0Z}u z#3q+y#9eP(-sARBTL}IL$B*)t|0X~Zjg)+&k>3&^52^Q2?{}GF%o%Q=Jm$ZxZd|}Q zwYdM+ztc#+zJCt~@9|ddRng+;q1Qc*qbobBtjifTPqF3jvC=&CP4((0QpsGYma6}4 z?U&}}Q(Apu`-Xnfp3IkEB3sX?L#sK*a9```A5;ytXJsPXr@~_O5&ftJGgb36<_@y; zSu(DE)Au!B$N>N6ny-ij8n4b;tJn-qSS85+mhO|V#Uj{f-kfIzvtUgXSY9vCMh9e_#lF)&3!=aoxmU%pTblmyGC1_wj$3ROUXV?x>RX z8IfyNV?e+x0Tyrtz)rXwEq7kufMtr1#J7JyPsG?+Ks8QX5zp%tLCvP(z^RZE69T<4 zeh5=%psz0VT>^i9l++Z6Tp!H#4Gc6xc>z`QIe-R$vTULRy`|7)UZrlXDNUKnd;(Zg zq)0(@mKi{z$2GkIf+EgU>2m6UV#C++9#8o4xw-6|Ay0V9bQ{{Gy&P~&mT)E!j1Nk1 zI9dW-qEFCdyidrXK{J);5zEo|go?lQO%RANRPxdWD{9g-t$-sOoyN8me72Zx#T3OH z0!fM0Y5l88j;13EaPJ3NDv4TL{~a01ksglY3$jl(MfY=D-q(#4}=I`!V->x zEqe$@Fru<;$iyG))JC5dn++5;@ZdZ)hnbIBF7jOvt$hv|#BAM`)*)~V%CB$(LhRkx zWUnOTq*Cp2kov?B@Ha}hxEMm$m4T~_6;N!w3J8tCzqD1h3S@yzkZhQeRhYTKr^w9C z$F%&-_SNJ82ccPwWNd_o6s(2?{NUlCWQ>%qhDmTUxY_D7T)RM~fzU#hOJll54x=D# zR#;;SPkTyJ?HUV=udt9tq-!7$;{1BsR#^f+bj`8SDa%B2)9X83o{BucVncf&XX#LD z|IjMK^tem1g8`vt^_}`8JiF{dSOn~s14A(Zi5bJ(XHf`4z8?6$d^jM^ePv1Eo{+MEsNs5~2wb8D2%2Xb|SDl^%ynn;=XN51|Q| zr-5Nx%3W&ihXJRDhcDj{&0+p%*E$UZJwji}UKgIHzfYFne}COJ@rqk}AtWnq zkgfw_gS;%l%1T@e0)A9Ml%3*p{78#*sg(K=Ix^ykplO6R2>Dsj-wC1!IM)K4>-^s* zh=_Y6g7~WpVLZ(GXwW-@YdhO8s&cRiY93v^AKyugc77Xv?&vQtKFraUsstFFB4Rjg zK%U+Sb+sE>AvX0xjv?6R^oXaUhP0{@Q~YznsowlkF$=!(PIbCugzsUlahS#_Ok#4q zbBFiv?_m-nv{qEfR$Q2aiJhFF%Q-U^>cr$6yb#vYkV&$khRmV)Jp+bku>Sf_w#)VG z0*$WObv8MoD(8t0jeiO71I6t*!CYCvV&Q;Q8 zuG)#~{nnKl-%9+=RLEbyH1ts!-)sGPun*{UFOHUY7?$zZyF$L<0o+`?*0%#3Fsi*l z0GBg`O>Y4E2PN1L#^AyAMCAwU&<0=G(BsnfI^2XHM%{?{x@f8M&#xmVcm%B4K*r37 zkVp!nuzQ4+s#FR`^cYUkfW;BxH*SPdPN`oTdz{)Emz7X28o_|%)V2)Wh*?JPs8^^+ z=p{By%`Guj?@G|9gY>q^8|;8aXg2wRd*E1SJ`>l#O+7x7Gj71{o9&VAII+pX5ee-E z^DkwITtpXkAw%v2EZ-(jDN!PZ!tLOC#;nbnmvptziRA*9sqY*M;W!v4f9&uN>_bXp zfwDAl8Jos_jtpHEukj`h2n$4r5yyc8=V9Gy95}F{?YkhfKjLWG27@kwIIv(ypxH2F zE4)S9p&h5DG}qkU4mk19X-SA#b_7x=!gYSH$b&{4kc zn-!eMA%sHZuD``RN)^md5%Z|7rlfh9qr&;&uz@`#h23W!bCI9qoM^EEDeT~+=4kO+Ll9?Hqs0f|>m=|~*#j4x`4lZn z$LT-#buakJjmK)&@CuH({q;_Rn5R9DcFWouX8c_6@NciK|Y3sYy)i!(?8KdcIYZ*bFAfxWi-bb3|^knu- zBR5dazjMz2*j*WI+cTKc?@&eR+xpAbot-4x#@Ar0;g*v{HFck}guF&4&0XOT345u! zn~NN`K_(m;-=?I^F1k(2a!ah#Pg(RAxp(!}Epy+kf~jYBI97JAsxGnDD|6jFGjc+i ztJm%rH^%1PD<#!Kg-YBFQKm0i{SV!Che}b?pxWCLv^UiS9v8tLG&a#t-m^K0HI&7# z0W2Cq-X#pD?r9~8!~e{tpTmsy`oVRCN^`FRJl$Yud)L=8_3}kpQXLZV#_Tm{U=*+& zS|OpxK1l0}z*hDJ|HVJvwNGPTSc4taS#o%~1*-8YGYW++;(c$aG!5g#5DK zdp>PdXo=qsaE4#PYkx>AeU`jO7^^^>wDo>$i$UYM4q73?_KOo{g_JlRqydhEB`gaf zVVPpM(fbcY7DNJ4sgO0ne!D^K1C zkOpZk#-q-X&#~?2k<^VyD9rsCL>LyGpWVKfewm==r~ka!oYK6h?Pt*8b!)-Tg3mu1p1|v7V*EF(c$1>QKj$he*vsn8pOXqiD5mG=GT9HM@@RCrbEDM z32g;GWP>IKu7`*0S(T4UGZ>H!`=M>%p)8i*b`hCpiV*V@fcAk?ahrOjkedSfuQUay zjWKe_r%;T^dn8FYO;vPCHZ(}+=Z7H}({dWa!?ZI7#sbOV;gAR6cQ~w=ME5s7oQ46^ zy(0Gv)Z?Iik|R3RiHlC=9f6%flDJ6Yh(#-+jjnR3VRIw_NdD#s(3<8pF@^5xN7$=# zv=^=lxE8k^#YqtJ<|s5`MdHye2)dghk3t^C%%b|yLQT;J;z2 zz)-`#Ut%iq!GT317y3K^W>S_}Z_Li9^#bt86+LM!RdWkv6;&1GzI&LS*sW}U3Rqqb z716>IIHxqPQ)A9q8RrCiiqvNQcWj!eCdXV;Wj^VIdI#}OY+U8ArqW>Md(rAYPiG)U zwCQngf!3OuA-y}g`sSbQ?<+xEY-;+Ro1zH>vg0DD`a{I14$8XB|}R-okjdulKl7#(oqOzRgD`;)bdECW<>GA&bqI}%B?>+=31{$F3{@Sy3Lx?s4?fU$pAKmvq0lU>jG<)BW1?A zvJ#~G#H*sOa!l)yt3~YahmM)Y^ne{%HwPcv(uTM)&b70HMV@=TN}N=M6OSbcB1E1M zgCr}BgcCiFt4EfM1a2JHCfwLmd_2OfWJKi61IXh(jtkeMbV%fkmlS^tK>>UMUcm<# zyFQ`uh`>zyM0ip$l6+F8?FS1x!Frv@&<1v(IBv=Zg*wqiEdt(-lPbt6BcfxW>qgK1~%TJVs=AQY> zW+;XrZ*Y{1EprY01%?vHQlDA<9wtqNp@b^C)KDsnd1;`g~0l6#9}F)F#=(9fhw`^|6O(CO=fRDScd3csq4OVMw?`kJ>`Y%wL<h76~UZMk`2rR0bpnb)j>{l&iS5LIKPG`qDgnMgf)L zj%~$BtdPi*1A7aOG%t?Kgi=iYg-kb)c17$5HPte&LLR^jVqtAK+n#eViM~U zM)ovnEdIx08BS`98uA{^KkKr*$17SX+67vNoNBZt|M^vCas$`N+hDi$4lLFm{p=t8e$c99>{4<+{(x?kWLLMOa%%Is*YE&>ZK zJlF-9Q0u~>e9*-Ur;guNG^}^zs3P+WIY0P`bNbQTVvZ4WL%T-BW&+!C4l-lx#GJ#r zjPuDJ(GYi{`=CC^dZhvb+19yB^(HWBF?WlkWISaRgy!xob#sTn9>5+XF)uMDpv;55 zPF;^YZ2%1*IxoVrWF%Epc_=J!{O`+URP^IT=mE`Y7lk~2=tZpiLOlv0@l^X_biN0O$BifN0b^nK52W%L7Ei zbZB@9)8>=N&<(AS`V5zW(fO#kFT;QW&tKf-&1sAxih)x(poo`&&!C9apG!!x;tHH2w3tio= zhPlx<^q{JTAzo#w1+OvWUC?6O|Jr?~ zdzr7u=gEifBs99tGCU(c5 zu`d$0Rhdql`%?xXX-! zyi(jCIIx)BaN%eSNCy^zH-nZH%BTEnagVi=?F(O$eoXD;!UCr679$YyF`9X|M#9~h zdkdZlEFf&V1rzrgKgBMNTX1ndl-xp(55jKYhhMJwKn;-=Sz-`YQUu^W(q06vyhcuT zE~loVU-P&J(f8_Al&4UJq(%8OGW$h*dk}TRZ`**&U*Yz>ZC{jZ@$Ov^6%MygBH<+A zc9wX>LiBMidhfaVibRq-#`GcXSYg1nZn^3m+uZKL%#TmMr(jU>Em}1yihVOE$(7ZW z6ds*^D!mXWuIN%LsVwFgXbelHKDEXFSUbb{SaYr~KNWVzDy}Ui5kIF<{9|v!xNZsr zuP_-_Wb*s1CkFAb=~%RJZIo3NVL;aEUyAhOj1d83KS?*azsiIRtI=91qei>zmuG+@ zJ{_eMv&LXwq5ehv?eeD!b-vVFYgGLs7JO;jKVre-n-Bag7F;o)qj%>B!puU{w&}zJ zRZUJm${deDL(Yh7mFi3n5<(xV+|1#qbr#)>xLmUEM#7zlD2JzKg&tWsfp@t_^XbY)&Xty{`qfD0I9ZrRPS^lX!nC0Rome+=sY><0VO7`=vMPFA-PB?aLv(WoUX7+4YxdO?oF zl4v%rde`lc%Y)0DS9U3Kb4pe0nBpoag;>5^wJb69bK%+ErLK{slhZ{rV$kVF zYv(>c^v0U}Bgzua;sOza; zhwi=PG59iGsucDaMa<-r(RdK-T6drD5zu@(&ZYXv6r_xv2b4Xz0ILqIb$9}Y1;!#3 z<1<~k70{F+habtSfT)~Wcs8P~g0{>2f+YP5#T5{i4`A8Z-3mC7=|P3%yw;YO?@bs> zg&e7(im5>&lfwXpoNtY4MLeW>KTB1_Lz*jDtE=5rw*8(OP9rlzaCf!8Gs%iBO~Bu` zkr^3HW~-{~Rq4wy=&91l_5&21D&<%_R-LCl;w)#*6V3_KuG4BKH2$bkPc33wW^{NF zT6}+uS38eDNTjdDm3nKH&KWZ<>sDIkdY)I`Ik^~!HXv!7?sO4nn69%Ca)3MmXfrEq ztU7sl7djT&Y%`~N-CTQK?=}AkzjrRY8S7rh`fd2vwzDyITmReE>3bk6%D%`@5t_kL z*iIkPn9YqR(&%#bM4BxHu_fFtdIAidcI%yYFaK{rytMlDNkKOS@dCyxbE0x=IbBai zMK!)#>MwL(7@_%VH7az~yXIKaK4D+HW|?EY+gYz1y55^tnZuc=FauTD1i%bbWgo)2 zd?(K?f=TC5Rn{JC_qv#bJKr4q)Yz-U$_!yZyM?@`kmxm(RQo*SJ*}{12xN35vSb`Z zL_LL51!qTgt)k+t=B6cmfXbdwJ(RlFyvXVi=~kEvL*-E&m0VIXo(7bw)2`0~Gt97K zQF@b}=|DHg{#fQ*`^>bIKUz59(K8D{xkJp_qOa#)6bNQKttybnTcu?aKKV4xlAbHC z3s}6+{@J($BMi5vmO6+`z-&Y&vR7}O_Y(WuLrTJP!&2LJ;Rv_qW|=G{VwZUSevwJ! zq0(VUi8#(;7R+9#{*rX4GWP{$+c7Zy!swg?Gv~H`vEmuLl*;NC2U#!#7POjVb=%5i z;;HdSpU}b_d`hM2fAl;RsT2iUHGi(m8+caoKsme9_&*!>rvLP&J7I=>=6{mbiH6FH z1QPMYNgq`n4!v8ZU!z_+beM3cpho-X;b+K5sEH5>{uugOn60E{N9j=OlxJQw`)=b? z#I%1DyS|YH-+8H2Iy6{lzT>6F2R5JnGMq1VeJwJHE*+{TGTC_!Q48I+yrxHoDg$43 zl@7^EdAj?RO1*enL2K>DFPl@U&da;oU#-G(3C*-$DaPNcW9nZ`rNMsTd$H?5#9v?l z9^Z&`&pY>%okb67gYd7i75swQpAhI!=dMw^IV;)V-Qmi!-6N&7VzJ9!kx46yW+?bv z|Lh%oH6sOLzoXKiyW&tx?h8$%;tk1qc;bfcV=u%m`h8O>#eNOx6dTb_u@Q$W&&zXi zUkk)8uZ1SXuY)nypHg|}by$6c6J-;ukyh!Jne2T1mc53cG4fWzX?bh=oB3zEV_v3I z=DrCmHD4qP%6$`p#0bB4ZVlbN-#k(zO}s2M$Cpy~vZN~KZ1>j4x3l&fs=WJl3DP1q zp8uNrc3FMJfdi9b@PM~}+$^f~r%gp?zy2aJ5eUl$U&(t{k*s4QXWv%jdtT&Qw_fk3x2CU*JRPj|ey+Us zf*n3&;QQ-o(x6eH?@LO}mx)ZulXZLx-nr3>d~guEAjMzbBHP;ZDG}*7Cmohx5WA}&2K(FGG{(aAX)=}Ad{>$bpd0bOgQpwOa z_1RL5ri(2{%SRNc6SP059?|<=s^7EUQ*K`RJ?_iNTjIi=Wnlu%qKLm9y!gE2_s3sF zw_Z7v_F-*Cl%q`jg@+)1?lG!fDw9+X=ATuC1qG`~oBc4yq=vIaAM5EOrfR)7w zBgH;MAY0+W26s$nw#4ym-o3<2$U@v^m>z*7Or5q0vs@9y@9z@(wW}92Ek-gzTN|52 zcRyZDzK%_A+F`;*Wo+2)-7kGhyKJ9E`v8R$5DSt@LzL|hEr&?lY-6h~0> zPq1I}yHY$ZfzjlCie@&boftF1q!dXQVP$;RuF{F!b<6K`sJJo7V0p)CCZE2F?11M4 zg=P#cs_0rl!7y!v2fYIwJ_s8}rAZXBcmH$H*Mv24Q2NhsUB81w^BH4{#}wwj&yX?T zTkya885wy*n?Bb|=Hi!s8u*1mw<(kE%UVdC=wg4_fJPKK(p*T%sDcCiO9PWm1?|a} zgXp(3l{5+3a&;wTywmLxSTIl=7fUd>Xw!hc6TZCqDuno))7}Xx4PtzxtrNi?650Mc zouJZ4_MxtQ=O6gZ$-mM0j0K<7mOFLJoxAFSHgwo`$-$^e_UMA)4QBl?K^GXckSgz| z;;wt_AnM=c4FMW;$aCriDYi9AVa#~I`SPZ9#l7_rxl%ZlBd_SINqsgREj)X(b;NhB zX`)aqRW!a4P0ha(O;x1-V6aBX@Uc2qPYu?+07ir!4r$A*H1+|%9rDfs7gl}TO44CCKy zh73~O!}>wJ#|O!OL#6*%KR#k8$9(b=wJ8PY2hD|*accdx&Qa>F_WE(0#pWKjb(1Yr z1p7QkkI?cxEb|RxzM=1{zhwH`b8Ix^PySKkZ&>?}8vn%Vzt{LP9$F>Ic(JtmizDR8 zrq`;K@nmy9F!%CxQ6@=w99fAb+(YFUr&PFyj)h zN3xRqq@wgwfz=z__F@{Hg5y=?#_;z+2~fp+jUikysui|CAfO!#E+ng}_H3qUW2b+R0SCp#`clX!2pdIRFVD!K%Si4P$ z(X01ZyK4`(q~QJnH zs|n#5iFSdSaJCq-hIwThR}%>Sp2oQ~p?|;(Ls{Jlvm-E^`e?n>VTeX18A2uINhYfDeGE-)NQWdGi@zY$t@?*a|UnK%lp>y1#6OQhlUjxKe> zLw2G81>X(o(%w))fNd+RdjrJfDNVV3U>!V0g?(dNpVfGCm$^rB`$V$pJqC>?2EKp2 zr$Ef#r!`&{x z5zIwsVGw;XI@XXyjd;HS%`=cU>&a0MvlYB!|EZFw!? zx8sErB7%;9qB0Txs}qdAB@SAhFx)nut`C=>iY&wTr}LKDT0BW3Yq9U*_Jt&(UzD&& zuP<$1&1?OWu=hxXi^V&`ZJ`1&Y zYTsHpx>BtaeX05>`B^xDDojQc>F;jv8x@sfW)VZdx7?^3XVAZS%HOx}tc}XKDa16* zxw?Pc4oP&^Ty2H=T+j2F`Ra7+?y6lyD_(zAT~#pBL|yIE()HI&KHTtLksEW)+OlCX z%s_i`KJ`ABlNvqZhOw&o&ny}!W2xUE&dF2bzAb$1cc>xt?WgR`rU>aB@1xTa6Y=VL z7CC{8`c3_CbcH6RqTwDsR`g>t6F|)r9WJgRy6$R9$R^LoOE4)A1waH4!_v&8(us|0^kGE&f zreDa=sE1WG_(AmMLV-jG!}Z)nrN)UFFN7{T^h!v1^T;eGu(&DaI#dh!_qnjGU{Gua zccvS=|7E4{M=b;vTsXFI9+!p?aF5sDIJ(^c()vz)KyA4!K}COx!g6#0*g{-IAC~PO zz#fYM6=07B(0=ly2?hoZaH>Gf@-lF%Wds$n4;VwZwZSzepWebtK*lt*ED5Fy!(GF1 zSxaoZf7>2s=xj&thwc9YWdHOKTRaVEu;wqkxM99P!61zQw!|QfKEtAdZh%pReJrs~ zDXD=uaNQfnjwfVE>06gB{k?YxvGw*j_~Smy>%i_i!Ey8{sN0Se5^ zmN0SEn47bzlP64U(EP=b*z?Jn&fQ#NwP|?qs?ash1bfXvgO7h;_R&aF;4Q|o4NT4Ym%3wjwdsI*NemVmU|EaU6rd|S z(%iMv^dR+l_|n5<4g`3c$t0+9eARqi5xAq#`L4=l9EKO^XY{54}NvM1gd3exP{fT^i1 z1uv>${T(WApydri+uhy;q5a-&v4|FfS)lW08V*U{0&Mw#&}IJj#Wv@AWXnE1E=uJ5 zsAzp-lOI^l&lS!Os(mb!`l*fyRMz~S^K%M#S%W)k|BXv>Ey5vM{vUcM4WeAxsG-rr z-m*crrh*Zk){k$Tg86ILzTks2+A_>!++y$XYdY;G`Hxb6m7yPpTRn#MQA{2}d-P~~ z>`~JF3GJWGQCi_x{h)vGskMd=1|Gxzv9%`j5t+(a$`3}i4+;N~@-zAOcU5IoTFw1lq1%pli?DyeoQc@6Uw&_uCgdVJnJt6YY|P)Ph^xEY$H zuw@RPD`Bf#t^*AG04u{wSPf@gjwTh3-Vv}8QtxN^2w*ZQIJXfqJ?VxAmjO?-Fk}Rb z-e8WU>lkw!DTjqcqH_Mni~?DrcqG5nBQK>@edLs*5u(`j9 ze4BtS(Hj0qmsdi*z}k@pBV2o1SGawk_urH$4kWf|eJwq1pU5%y7W_zX*Npm%@a^n! z*Z5K6(&M%@$r9a0IZt58=t$ni<)+Uls3&eB$94Gu>?7Ei*bh(yidz!ta z$n*7I*(s}G$Gwe+k&P)W1MG&T(wS~5wHrYmLy*B=fXsYb$QE{hX0Z4SKQH>{fusB4 zJEx$F(U>Zai^T5f(H4=6)F$8ov@>Ef0=J0-qfPQ&j%Z6K=@>Y?pOI^I3>@B{G3`CZ z4DE{sG!(bU;qa!R)iFIx7#T%1c$Kka@P1k7v!V!v#Mu6DVW%{kjrAT--w9?%L~v|u zp1wY!RI0}wOpis$FFo92Cl8jRdY2{Gz8OcX7^}m_W$T69ItwGBVBA)b%gNkvd)YD` z0Vp~KM2p7(m=7Fjj_G5sPL3b@rP@Gp2tD?|WNP3D?01v_&+Rcp<5Wd!*PJ5lKc*dN zwrp}xPLB<)3v$VJ&=k4M#zF27j+vRe4$yV58*Npz8ogeuY(Q zD&tiI3Ukx=)p`00!Qyw0kHnlc)cqJ-c1h8owPR>vjsF%vomuXD?m|x{8hBVdseVdo zwfIX#d!bq+K<_pFQ|F)Akm$~wqV^2OU>ce;9Nm9??{8Zu%~P5a3nEDRm{ORhmTH)~ zPa)@2i`7@PQ@$Koz4mlJoxF2e?)#7sS!L*y)0fvtA(0!j_y}B$awd;>#5g5nMRqD$ z(cB3^Azn$bAZg_9N&2Z|u`b69M>8JmW%|$o0;ag0h9y5VlQe-j9vG; zU=-M~!7Z_j*A8sJA?LJ6qzUH4>+j?M&W~H2!WcXZs(Ni#;>dYVu@t+U;L}E?LuTVq zktfPy=lKHR#QVZVYUh_UhRv(P%0yi60(rACv1XgM6s>Da z_3@VL(tCM>;TeoG&ld2Wu~pi&fCRi1m#N?@EGohqxQ6xEF1`W8mLl(wmBVGMivf^S zEaE*^Oc|EAy3;6;%j{~*kh@`bvzMz+E^)+mxK8e?pLpqvdhd=aJlaD=?lUW@MXrzx z`GC}NX@Y+wA0F)ovi<7(c?!vpFqI@jehGP>d2QuZpsf+(-B_>`=0w~K0IIfV&AZL? zVlM(vMfqOT=Eis~zci9Gg&N63fGXQMIuZU76CrP6#gsJ0C}9g+1V%X#fgO+uH)2;! zUW^3wu^~lXKI>8AGL}2poT8GP?@k8UjRwb`Y!5Ub zW8P%gvELkNmff8UJ2qIj9Q$hSQ8rvewFmszd1xMcKwj{T0)z8_9b2*7P%Pd(1Qxo#IsHwxFrb1|V&0N{rvRT@jryLkdc@3WA${yfp z)~zt?c+vz7n3mWRigCLvLF|d&)E@`wG*#TA|1^jGrEYhGj?)I1vv8?5(_%s2?@v33 zR(QfRP~IH<&*h zKr^tRvHR{!WwxcRcBZE8>9|7q@o(kugC1Vkw}%&!H&XW-FI40|PE#_3H?q%TBzqh8 zSF%Td&v$_maLM_CNA&wUIh?7d1ffx33L0oNSP8Zu74@Iti&WB6H^3$^?1df1an}FG zCNOku)KW((bsuXQ{>Lo6-t>OV z2t+{2G(L86<3?V_&<%V+o+@_1cA*QWH=ab<`DO_D7j6|dNzx7xvlKa6bqP>1&r%`axjA^&YV#7S)cr1qCz}-+ z3sdi`md3^Mr2riu-RvMNTac)K`uQVP+suZy8)l4&vo}|Z++q0< z9~HU|nB7X}iKF04a*Co~Jtx8Mc8Mz;*mIz{L!z0pVe8qOj37k4_y8g|2QxJwA?;Va zU?pkU<+TV47uf8n7u0t05POAzgaGj%wUg=<>IHW<*jghX2W)LFbaqAv=fXMIp38BgsE=@_Q9RjP%sQe8P*K+gh^mpygSq?C=}CXQgpNONDeE2dowpoQMhJn}2+UrJ ztt|6;yee*oTcG3Q&G)2w+-1OgZ^&wpPa;87uK|( z$I~rcfR_5ZlxF?R1wQ$t(^dnte+2JZfIvXdsEUoXY)|(>9_a(X&4n{?>R;jVGZ)U& zWZENKf`m&8f!7rKP;N(dxt9ChWKIErG4}SLYmR%G_e^kcFrLdsSM(rxg|By0!N7tv#Y=$P$7KoI?k_=L9))ZyvHf->*o;Y2jdzH}+Tn<)UG#!O}iT$;vyq6|FfHD#eRw)ytOgHoDh_k97~ zGfon9D1BDg%5_ThPBG(uEcrW-dmgVHVJcgjUL_g9kFg^1)(htG~zQZ;@v+6T( zHaK$qcENnqeyDkl!0!;#WKS@M<{*E$DguI{{2>(Mbnze11NZ*1d0aZ${N+IK6;j%B zIisc~ucyzV5)Sx#3i#{qri>9AVuozq24)_%QUMI{2VkA4x`lKahHMsU%;C#?IVa{r zN^IbKtm6E7Qj34gBfDv?SSWJ#PhIc3T(ljq8^_!qi5Mp^Z^cq~TrUa_;%f9)Jf@L9V4 zW>rw`pdL=x_gH7tn4+FV+RVUHpLi_>6N64Q@v&DD3gxq7#(zPp7rEkcm30?veVl48 z7oEk74T5xYHp?2y`Q1ALw!(o(Zby;hxV_K}hj!q;Gi|IR68JGU`R!ko!nMeyUxsVc4?>U3Wts{h za9KDBfy*Gr48w`L(~am(WU~_1zAb|ld#I3ibh%0htCyFrwi3DoM=ggD8z>c%L@2fU zJ#s;d?k|T65X@t#R8fZn!G%qANOcfw*q~8C6`O16d7IF`lpxO{sEu)s+%EtF)R`+b zqI*d*tt-~z1VTT1#RfYj7rqb&6sw<@8$(oZ1$@|`f)(um1fbo@MT&u&mGJ+;Lb9VCh!?&PurdoZX>0WwOdNn6MOwSny}`ERmz&798@3-u?qLESdHf3Shk{V%`Qu?m zCS1|yT{{{{4Y+Eq<)g^Qvir4Da#_B{+6%~!@GEm!#aj!X^)iurak6DZ6gF@9?hXU4 z^8GQH8s-RzI;B|>Hj#pG6?+@~Q-v-*_rsw4LX-8rg^zkwpYqnifvf1o=!I87Ww?aC zaJVx9$l$eK9{yXION~x=UQT)(_Cw}>OMO7%(~|0SFk9ouT32N+ayg&XRlDwFKrMx@ zk6CAs#kL<^#8z|q!hSSHuYxX@Kf-NIb;*ymZB&=!V(+>SrcWcbN`C~7f}K~LXHS*; z6IbLC7WmUpy!ic}M$~tXP%zw|e(t%L{RHldtLB}bGU_|Gz#ERs(0XdJRbAHawcfO` z%*A2-ON)AmqVa+z+wvnV3-7LnznEZreR@@X;o15dD|@)1ngraCyxw~Mjpe~s85Qm~ zdib|=M|GW_RgKln)ve<>W4=j;`g~N>Xho~&|D7GKzxwF^!0+hINkzM*V~DEeL_|Ew zG}=40CLZY2ndq%GS)bEs4k9>8hxa`Qd|=H6v-|qA&C4SE!hBn;FAakpEVj{*4VzLE zVbQJ3%Joxn724-Dd$LFo+B0**3|r3A6xvc4`tVO!#Luq$`BsFX{n@C#MNj`1XKw=5 z)ZJ|lBLoP_q!2)5a#lpa)(Hs&P%AA~aRRg=AUM{6zD_tXsE7(`u!2-k!3j{nprRBJ z6dXcL0#-m;MTj$_L8}IZ@U8s|+VW(KbPjl=GDS|6vAt5U8kWYg2|8TkG*v0f9~hd3pvT@*yT0*{Mw@MA^Gz}Y55W- zm2>jWl$Jp}99-9HcRYeY+}8C5&H%(B6%^u_xf0d#^>zEb z#p96mo#;nd-&>U`3*qxEK-u;1YLCL5v)%-C4M1ys(`L6s9SS_?sGxSC9bnusr=u+~9O8G{=pwY*XbQL6!ffFgQ-ITxELLMl3-9>1w~Oj!@i0QB>4v*aXK3B7@U6ZG1)V zp^&GiL>#`vt0~^3Aaryp_+We{3M0i~n}OFDAT!x)wz6~*TC1BY)VUgNx0gqeW*2jeyKY? zNPBqj?vZL?5R)^6|KVo9?N*qzkr7FLt76h5G)dI?d4B!2I2=kpbqvr zWfaWX7qgByY(5=jz9PyCiHf*%qGrNr&KeHu!HB8D7A0nGpeA7V64p&=+BQxeh-ACl z+c4qi91bCJ8~ob)2zHKL0!@c{Dc=U{2JJ3=EM!}No3@YYn!Vf(yEcma8{5H&m-{5P z;1h4Bo*h|jw!j$ik;Zch$Xrtt)g{TfWlxWKpfv~!G(I|66W4-k3sit zvykq1K2n1N*l3 z9&11aWaORc2`#Hv>`5q{=pl1THYQXxh}A0ZAr|QVn7i0a%HI;(t8^0DIkCN&Txb-j zTrG78j_opsGyo?WTzyo?eUQ5BjRVxe=%p2BvI|>2aQVfdoxzrN#$hNC$R!jp%~Bak zk!o?;$PWk+1ye$6n3*sc2kv`e6}i}phV z$A#@3k-``s%pj-|7d7pFmm0ZH=Iq@rrjPJc7^1Ebh46q>7NET&+^Ar0Ab%2Yy!p(! z_fme0P=RW6hivi(i^SI$*OtdGKs3l(45G(FU_jfwNBmwl=Ge+188uKpmAe8>R z9*9fu^E0h7N2%@o^U^v-!Lft@9s5H$Ki9H|+81z?yiII&1`Z!Ywi4U7eQ|;$w@ zD>&B?7ncCdVLG3 zxOH7$m_b$TA!x;f--o<_-`JxOcxWaXZBQ}V4`{p)$fONQ3xHN#k%3 zBz`6VY{y`kMpFA!^zdM@{zX8Vh5L

{$cA0r5=5yu7_1H=A6b; z_i#F%pfQK9kR*Dzu&YKsG6-O*I0BG$2tEScVa)0)yxM>-1A{Rjku*MS-pv5pX6XOO z%s3c-WAT|sevWs%q}xNw^puu4$7;LQ7ZM3Uk|W2fd;gO}9+@Zp2Z`*OzK%zvMK@y| zlbN~$2QnPZ)wSbHSMPz1ZO};`29a@&_Hod@cbc}7I<>ei`i7G}+*2A(n%Sel;QIvA zJ*sJj{D4_-iPsOYdN6SLQ3u_^2bRB%`@HkZ!S~AOsV%SC>~$;6V>aZQKUixTk%k$T zS*BYatOZkSn5|nl%U;vpBW}b=TW{eG?luLPdW1QVd)zq&#(BG7A4gTKr5QdjVnpHa z-J!Xu-MeQ^|86Zdz3x~&4aq(uL}z#Bq08_=)*WAk7)qpG`QY88Y&*Dv*V9LGLQ0e997LulPkD0#0EpyCl0IkS!I%ems zVa?**--Mmj}K&c6BHL%#@9Q3 zm=)BDR59bGDeZc@5}Nj=V04j+*A#1o+EGFQRMEdZ1d^nT1yrZXCMQD#!11SQRZ9`d zk_u%8!7{16)v2oMqmUYf14*^Ug^e0C5jp_GK~=`jom8!#08C^1yXFZK;dGe`$n}ZM z-pU=2pBz@4*i8=tfe_*#ifrmu(y-S_*ht`LPC01+Y=PQ$675P@2Lo=O+`-x^6|r1m zg-uuqro3e8ka?=xAI}gG7c+tYw(Y-au~>@*;H3b=DbI^(r3mx_!RKMT1gIt}Z!h0M92z5KE%rAf4h2*u+z z;(VU@4?gkp5cAA4Fkio@DnEpK`3y`XXvEhRp8-r0xBF19R2!+&REYV&JOAmI2B0oL zCQaH}Pxj<`n)V2=A2;{vj-WRz>qH{wBE>A`bs9C zWnvj{?Y%t}H8LopmZe0zVB3mUHJ$xl9yGViRqyP4w7|Ji!P(#jJc$wM^jnUp^b$|C zeyAo%2Bt9+sMO{)vD~TrTHsc`CP+6=dW`pM&ih zk1VG@MXEj2i^}wn!Z4WW4Zi>+LGMgoDCn%GN6*T2#hFrxOG8{{CDzrj`yeI@D9t8b zv%E^(aYrb#X3ASI&p5e_&;&haENT52 z(8jU*Ob~T8%tC@K1Vy+KCv4RhOo5u%XmsF#e(h0xeoL3d@cgJii>V&m?h^@(xPt45 zr=Z5gH)0I~miyg%N#B*HbhPz&xX zoXQJcp&wELR)XG%Xt=1f&qZmCRIpt%!B{eRn+P|`WSrsj>ZjsyFyx4?jF&jwE`-Mz z562w)!5YE_@(t#U#vp;zB_+psDP1YSC!-u;AvMWy&B6012LEYj=+GaUeblaLApyb8 z3%1^3XE`RZp+s%FFp|>41l||M06oxJI~pcz&VhDyfl{*JYrcR{LJ&5;i+xg1w_O~j z)~qi&0y_Xs(8g)6RnWyVPs{H-4e4{SBFm9@YxN~a<8m6tcIb!;yEK4F*4~%w03f(N z4}i2GZ{PkBd?Us1jiAJbLZ`?za)W^<*A==rxfpW?0zdF-m_`thaZQwqoGD~md8d{G z?GX)uZYK42l$Wtj20q^&mn*E5%=?Xg<{y`MBQh^1u#0j_GV+H&d9KhJ0$Ln(1-N?) zi=(`+^!8-$5JlX@x%divBFk|+iJ>xQ>`7AL;?CsM_B-%Aq*IiK#MM1g_ozIRB-X5N z&D%`Di>^KLb`$BsI>zgaj{sT(A54F2+X3S^ShN!!gr#Z&mB*D?`rnu1A)n{7?h6*axC?BiVkF+fM)yv z#J7AiU~rI>e-*ZAbGDCEeihR%()PG{)mLGg2E0TZ7+4f`>*3pz3JUhp5B7%=04P4#X5Fgb!j7SQr85a_r91>=eBAFvvMiE!6w ziwx1kYd~Q%$gi!%@}Wa`&RhcsGZKFdR2ds#fWau`A>vpSssO?i|4|4}-x!;OrowP| zrqzlilw_9hScm}_^!%F|5gCGOy+{Qux&2^MbP=TFN`A*4Wf3lQyiG|_IjB5X+s5{y zT3Ufn1kWS={dEv!(BUG*b;uG zK`C#5BlD#$${R@YFc*L(`^Vg%)?5*$oNf^EBEc5AL{U0=aE+p3bm#DNEG~wj0zzkd zF=(P}m#Y2)A7j|0(mu^qwb zp=CHs!&IA_Nz{>2&@?)LsL$~eR{x}q#j4Q%!x#+6<7ry?>iJ)baFE9aOcm<$Ywsc7 zEMH&X@Q$}d_p|lew!41ike>OLH!#_mTXg57jRgefy2;q_f`5tx?buZ{I?56U+a$-}(kvLk~JcosH7n>w6gUSGNl2h8KuRl&qU_+kQB- z#NgW#(C0(8M1?!Bop#8;L4-T>Hd(JzW&A<_4UqTFU~WQFQO=#wENJGAv!L3v0A&(x zjXNt&ItrgPX+5&emAZ`Eawn&BQnAb{FDX$$wlp!UemU)XO~e?LoM@BqT3j&(a8tQ8 z6IMT3!yrC~SXg9BUwEb3hnuFfpijE6fwl{m4lA9wv14yasiQG{>|kV6-70hWL0Ni+ znTfK%NpNTUW(un!M5=<{;JqFI(f&6(;LN#Ceg}{@ozPt7_Zxs3Mx`_~Dv5U7HNt!Y zioLsJy-sM-zB^FO*L~ucljDBaT`XBd`bH|~I+cJDKsD`el$ax0@DBv1No+J2jsdCB zL>MP=O(30+pA4mK-@Fdgpi(Vj7Pz~ly)bsOAlFnR?cF74Sw|TQ>Vm5fhAZ9Qm>Q)g z6C{SIjdD^Ia17oS*;rUm1kZyNGLw|crZj>g!=wvYvUN`a-k$Ee`V;JqE6R2dxt<)02QtVtpn4zTcALt{*#|3sIoC~X3n~u< z93(VfYb^&^-UX|5eOqmNi;_05mIJOa`g0M^K1z1Hr-^288a{t^$vvPooQ1V|_b?JZ zQ6}(1KsHj$QPTsf3B*MA5Q+ymv>i{2Eworr_xF$#=ej^@iv7L@iHx{Nf8WG?5kkQG zJIyP$#~v5`u3$d|sP6n)M&iMJD9Bbt68`A>s+AJ{>h}9<)jpd0#FuHm!+n@1TKCR1 zy0605a2{oG1(2GAl`R#}HJ~1DuUM6SCdwBVOGOomWb+CdRD@TdvHUU*9?9F4v+!iG zs69rc&4n$cbQiKji2>t%Fxh$erXDHkiRBjy1W z^#vbJn{9!6dlCgdb|3WTY4fO$7>0>j%iB@o$+6{s>pBmqkPsLvxO}72_BtQG<3)iV zejmryad~Taj?$l_|J_vi(MjUMlm9ik$6X>faDwMV4H(!JUnGubz)eUWHT+38X}zbIW|8CEST9fHgS zMRlcdVkKs@|KRf})Zh;<%=Akw|Cl{4duVp;qL=Hmy;Ea#U6(r+#KbDV8^ zNUuN9^rUg_Kd0_uIUHW6_<5qvY;= z-cT^Zvx(au3Q7k|mk#iL_)WEJ0OA+2<*vcVK6qGgymUav65WS4*K54+Kn%d6;mBVo zMhe6P zkp6g#7=K@+Jj#Cix$~(@xH8KNis^}!NW7@+BX=oFsO(!ic37z`;udOWJ6h1$$~+`@ z*(I|)!;%#8vfN~rAB$q@cp5_49jT>F-GTwBOMa4Cde_-4#d(a#IlzJh#-^0o%4L>m zLdq)GoGCYwyZCj4l*p}8mn_Ezmk&fvLzOB7DGG9oiMt?+;&AF>`17n|PzM8TbI_=kAod;PfOQ`T$3k9B;VpCyJtoeyWAo1-aDnX)pY;9vvZ1 zt9sX0%)nsSBBc1;X;gO5e^8e$SM0mz<7}z~?%pwr>+E1D_kz-#2&8ZllnLbs23nCNfKK z@D{{F2OZeDng7onxr*lmg&4!ROm(eVb~ zvq+6*xyv4z<S6WE zcM_{BIWOB~R;%B2M!yP?SZ(e5YRxB!)!0|abk5h z%;Y3b0b9&K&f`{n{*(`fe6Jo)^j|&5FpvHO&v*1+Lo6BxE}G_`=|6j`~MKe6xv>B$^KZSWCZUv&kXoe6^ z9p^tmP0)>K@qnhddPNJW3mS%N@l~*w7Kucrw{;}7)A3AJw8*HC@u;+*k?L`;RZw@R zoA(;x4jPI!uYG2|nighDP09)Fuk*pdFq8UPj4mLkVi^)xK@}BEQ3yx#{Egbk{!KftJs4orILEBfMFl_1NcMkp;%2xE1P(gyFN9_LenFVnZ8 zOkm%NANaCV6$gr`Y=gFO5np{9=yE0WYEfHn3<*JGZBs$qpf9G)4EY{8P?d>BW9io> z71%Nr)*rE7kqT?YM-%t~h%J8vTYkzZTQmpmT$_(rOpYKrPhqvp6VnLLHy|ob3;Trr zpT5-nQo=`r+;6;juypLW2BcKcH->SVBgQ29@9AYxErS$j9?`8x-;bG=n@ zm-~fnca#W47ZT`&aFCI}_lBRNHe@GnqXnjUUJMuU5M5KRSYw{SU79^U_#* z&B9J&Mq{}ud9`q>9*&NtW)$P~4uo?IKDb4xN$kPoQ;DY?`k$YMG~AqI_;P+-A*uSbdGM=C2?v! zBcvMmI!|M+xA56*-P0qXZ{Yu1A}ZrY@ibOJAVe)(6?Q~Cx{SY_rvVoULWGQd6Y%mh z8tYhr+ig~gjK9c_*KHx~O5k@}##MW$7mMK!=~jD=Z*kW-z1s^%VA<6m&F>YZc1^jt z&2V>VG7GgJMKjosS|lZREilBQ=?eb{ii|q-;*=&WC^bsVCiAptd=LrWTK?&zV8z@* z(cW9@J|UZv_n+mY4+e9f&)h+eshWdCY<@zsH^&$9Ajab$&){=8tl-F)3#Jn4ASwCU zNW-QZUz^Yq!!Fu0J=wA61C1batmIcIw?x8%V<7Em(X(yhD~$@7-_}$knT6H*jr?y*j<0HxmfoaXoneEP$n1fN$E83PS3z6e<0Tz%*yJo z^vp&x!;GIETA1o}P_Rz3=|(+xr}Yq{A==Ek!QEk;7KCdZ^g5k|+4b%tQ={I&86fWt zqe$m`!m*(4P#RbQWp}oO!0Doo2HW$J*#k3$a4y{LF|2gb!j63 z964l#KD^UUAP?gp_(%itk1>Gmz=M(DsR6<@#yLMqvtwtN~DS&qdj-9%03p?fjX%JgD?T|Ufpo)w|jA6COs>itaA9m z{}zKf0_QXbbWOrgu;ajgvPZ~_hBIjc;Q^gKS_8C)6@L~QyAK3Bl2LNdKd2+G*W6eC zdkjX+9QObrIRj4-arEI#p911Ij}xnt>_VB2oa3r@`h2U8SyWDc!nn$Y9s_?4wdiL1 zGb3L82A&EJ>wlQ$P9im|)pI8UHe&8%$oPPP(Hrtd_CMzaf~aL{eX9G!^eG2t+Y}1g z68NVky=?K~r$uzxd+L3+_?G(49v0z1QMyZOJ-sPPcg2wjTPKO9C|5Ol`SkQP{2<`` zZhP>8kKQ5goo?#fuPa>vJLw$T7;mg{9b0pv_yP*<`&$vEhV|EGlA+B9+{ou6;~RfU z32WEbrlr--L=(sZvSPCQtEJ+h*i&s1CYCszi~#mve(y-Es0du^lC$m_lYRmhnG$vX+P=FMum`4(7qAO*UuV z+E8jT1U+&T+xh7v?*sP4@F23&UX|7))*3gP>nD7Ulm;(9ifYBAwOPfg>x|KLS+z;G zfg^Z9qx_?KEXZe2ThVbc<~$>Fw#6&{SL98?=`OaeDiU*vGgP zs*Ksoxw2^M8~A2=BT_1=r_A$D6&9)B#P;z3ZMZCPRrK*L^{9r@*2hnSwAlTC?{UsB zGxd>C6fVC~HB>$L)))AVTSU><3$I6{dEc4dOuNlDZWNi&?ySCI5=jM$GDN9*yaQaav-jX&MK)o#m*#I(G!RL1b7HuoFMLWgn8wGvr zr`g1b_z`=puCcG8{U%x@mwC|246TO)*$WXt3)cz7VT-JR;OZtp1_21%f7U>6v~>C8 zC!(bhHURG>2b+f!*ns^8cf@YDaTc=A4d@nhg3a2n-7$hD82p~l7*BSIEztWoMXuSx zrQMcmT4IZd7c75pYS`3%G^H-s4_;T>OtwFoe-RGJOtoXMUspg!zhNvFdwV~}dS!$f zRSrD~n0xKsaavr_4{mL8No64roHl^>=RyAK)!!9X3c1v&za8)gq@e$2w|0M+D3FEs z7^8~neb)ol8_5ItXkiTiW(%-peL&_wXogU=s|UcX-Fm1wJZJz+Z`jyF<8p)GIl=16a$ z2X|pCYlQEv^FGBI;lfwm7gAWmWy_Fv5hK>cB48)<0*u?;*Cr>V9c+4C5pGv0WV+?o zg`V){#Qa)BIXm&_wul{%KU=lcUN5bTf9~T?%Jf|?jDgcqry+5=++D9xkPR_3rVD@P zkab8Bv(W8`!tT=@*ho4f7X1X^(;KCD#C!?gduTU5%+UdBMER42iPVzrNoX4!nK1Hum5@^#5(~hvs0sVK0ZV z2*Q@bJyLfjvIsx#2xB#-L~3q3&R|{r8;*VmWT~pUM}Z8EMe4P*jxs!{5K>X;SeQpf_xM_Bsd*qMfGiMZ0b$)73ebd9UN-? z3OU$F2HgJD)O2RM%=rpnOM}*50c&Am=a-gaS60p5uK~7JorjvuzJ}B=G2(fM-`C$@ z1M`zID z7OaA48V$?^&u%XaWxRaM`oYYO`Fq$H_$dlbN5zZ*VfI&BX2uZiI-qAg2FY{qV&}b% zA9xa1e|E`O+Z@xPjk&zsR&?cHJVbCnX#F+JV}Up37OjP=1_^OAzGK;14I(l~%F zM&SqX#|pr*$-$MI*PXxYrTLozIz8Fz+VNLlLxFY{o#Xd2JM76hqFW$*C=D0^bO zj~!Sc6)fwN<6)zCd&$(RdOUb?dqPvt_%yXG$BBl+GdWcK@PAnyz6kvpML2Q$J6DL9g}y zdLM#OEM4#A`R6whr{tM%Ap*D_xI1%<#ZJk(mBXci6z5){68zblLD9r0j3k1fEOp+W zByoC{m?B1i65^#)flI`Eh#`Fa9;NOY)>=O|r}Iq+kFLu3n|Hy#gFAzd3IooHbRjqj z#;=qjF<%6~=mCu2yC5WxrhQ!ufk(hWmvz<3INGw}=K^OS5GfWnJRa(AH3AU6;|l9G zN_kiAQWgUi>>4URd4~w5D=-`{j%M`4sM#Ag$zG(fdcY>FCD6=c`Dy|cOo8NH6u^#x z!^w=;wWZir6-!wT0(iI4{k`iacn_v3bM83CC6V;D8|)~w6v}N)(aF^6pPLQbHg&B- zgn7F?>!*u|(-*lZXd|s4%9oq2CKnRv1j0h64)6AlxL7CPgt7y=s|Fk8 zBsc)UN7Cz{8Gw#V_VkMs&LOk*WHM{Rr#jjHI`gap&X2^ERD)4QAZ(*In z6Gm;sF=DK-)}H#%s}%J>)-@7&0C>Sj)bsiGP_v4W}4jqz;Ko;qed z^h12tnNy*5u-z3?GnvAE(c(OvyK&#EFy09Lb3)MfFv7vA)#v*PM>kepC6)1OmEWHM zsJ4C&odeOIzJ*0!96x74CjSC3+Wo)vU55PczRQ1gLK^Wki9OP?R^t+VladOvaq!3N zgHz`_OS_J9yqK2LBPW_hoL%d{iD)q0a+sEE3HJD&Mo42HOAU7o4c;`~vf1j!2glfR zz^>(skPv1kT)IYE)05eBINFW#LszdG{bSamEY)oL{*}97)!DTs9f>?^w>q4kZI@jc zKBTg%`1Vgec<$6FwnE_)$)EpY=ho4Jb5f_y<@yJ_lcwknI110k=oI9Pr8R)BG^`R& zHwr@y&DWl8Rjn;?nm(|O`KROFpr;V)0_#-D^dlM){)`h`aK#NJ-g*!d(Kn3VSZ@J>pQp!7+joCxQ@Q7w}!9no* zXRn8UM5%M?-k{f~qvW&UAwkGrbOWFPHWe7IB@)*r_(z6Fox?Q?*wn8mq0 z2P5Jr=_?{$k)OLAusZh=Z;1UIz^V>l)tEl*IG?zXaGhR(=R%F^awcKm+ob_`=_(OlaEfyLK0yp#AWS2pXS-MEiaw$S@;=^ZLzY ztRv>H1y#{PUB4w@9aySY;D^+{;GM#)sD}NjP|^>Uxbyv<(ULExCJl86#9Sb&RO%wD zp1ZJgBBHO{=PnycXA9%vsJC556wIxKElK7y^@TSDtUsMM4X>x%#d-5fCqc0YnimZJ zF>hK zMtl9e$gtnC(|;!7hSaHx=)tw2wzu~OKZdedhGKjL^BFDxzOvn93qX%4gJ;21bz!}L zS*$VYyr39G>{koIv6~BF+%V4skeW>WqX0M3ZJYxGrr<0vFE{DQIO-k&*BEzKLXa3k z2Kfi_z~RK+`4O9&N4l&C1io5JT?zsrN}Po1p1mXk{QX%-L{K0IG9`Z$*0&v}+~Mq! zAW&qs$0i6Ad4QDP9^_2g&IftWN$k>TYL7DsdXL#dkbXocDcfZHt6#9Mtcy$zCo(w{ zAZY)YOs1nbtgSgn!xpP$w76OhoH0F+#Pg*?LtaOUwH@(KHW`%9BEJoZP5%GvUc=SX zh?I)I%(g_4tY{JK*}ZSHd0V|@ziF0*wKG5w>v=o!Pmk3exzUzY$oX9RGd}h@{^wa* z>nBdm5+Zy5Mu?AdbeU&fx2vkNEL z3cZE8xrd47nvGQG6<^^D9HZ>URy1g7E-OjoXm{M1bM|~>fK<@wAAK}WJk*wP%F&q? z5~qtyOI#%m?o%fo8tZ~}>?y&+FqfDT>C!NzazpHv`g$my3E?>WuRT6SY#9lF=`RInl#$I zXdti$_!gJ0jHAUgv7G9jd zu%|s)xm97SR^OLEeJ9V}5;MRy#(qUhdhcSE;3d@3B-`E+j5C6OCW_dTp3Hx$Zbw}< z5AWDly;Q&Sn2nEnC;M2XWbs(n*-IOON#?t|xqpd7&~F-{R%nO1~lHb2*n0^_|N@$BRe& z1X8se8P~W8qSZoTc=M~*Pi>_x2|3H*)F$2FM@=!~=|3*tB_2*6;ztl;e254Iqueh= z8!4vkbnB1rOFdxGuIK8D+7kY%(@czy)dCEYUNtti;zxyxRsC0yoF6vTdyQioI@7vK@(UE z#r9Qm!^okq3i)=h9fYk~RmXa@@>NwS%02Lct`1)HoVl8t)D3>+>RIB1roO8gDK;IG zzIvG>J5l9$8t4Az|L}BFQPApIlJ_RRXu#7LL^>4kO+-3$KKgafL&ju=2FDLVke+k|mBpH}Mwv@h&kH5C_wW9_*&NiwDG0fSO+@%DXGjkzwnFe zaSmXw5wJJ%-$OH)2;76Z6t2gC8YWtr-82R;`EZg-Q{yXr?XM=QIA2ZB@%_C+*H>pq z250I?gfw2_WNB9~&^g`tozZukWdHlfY5ZuEuulI;nm+$^`u~V(tRE?|*ZgE{NqZxC z>$1zeg9J)-7PI6Mf`n~gRY(B!K?zWt;AZRt%qE`)K8oULz5Cl}XZ?Qq$0ge>Y zRUB@CB92&$ z5PF2`gE1gNF)!Stj`cjk;RRuH8R2`N#N*3|=Q3)h-tsc2AVT-;=_QRyUVDULy)w8( zNn9ZU_GuKXo$s5KJtD@!K79_KCL-344KGEke~XxFtjddou7GTg&DD|UTb9wkSw#{F zZU^Q^T7v)w!9<zH=nM}ESQ`^RhxL4gkqx_a9htd#AD-MMWO{%r=)ql-_NCXgW7 z1}cp9_=nIRFPq}&u{{7?T_~ttPq(iu^*}6%_x81TI^tx43op6EyCT{S|1@+otW2zA zvZ3ydxsg9AV;116-vPxKPU?~!>SZsKx`0+3roVgbFawlA&uucM%!O6$(X(JDkVnH= zA8{Yho1TT3M31d4b&HYmqcImwGt|GMRNgs_`XM_Z8Kb|X*ctpK z+Tkt}Ud(n94+f{j*Xe*8B?g+YfP%pWwRh8eeAp&tfscIAt&aWMVzA|=a`0cff-#(OeO|^9YJP_kR6p`kr z9Y0gVFL8}pJ+-*=bhSBFC7NI4#z|drQI;)b9H~?%%rMXYX-MlckRFGIj5%SyJM@Cq5E0WUKRR>GmJdK zx0>yEh2X}u{kn*u<*+Kt+Z$#hwDIE*%Xg+xzDqf_27L9{;IL6_Y;cU%ze5|(ej}s6 zp0xj&=smjrpNZb{U$8{)+0paswae!$I^df>N4I6Rz2>1y1B~;>12R#0lOag#k(7?` zT8=fhETt>nJI8r*zYHDieYq`bx7a=SHuQoNK%u*5T;S6@yK@hrU3Wa1qTczAP*jv~T zq zNea20Y4rOt8T-1J=tvEo+xz?pL8ju3fK9ZNA>Ilh zkhwf;N@4lJuIqv2Xo6M815xq&PuNnkw&nH#1o@#y9&q~rTASeuo`<9yu<>MX5Q8@W zDjZmkvBjQ<^;i(PZ!S3xf95d0bh`CtnEQ@MJw!ia6ehMsNhS5qQQ#AgVIBGzHWaip zd|>aJMWXUs06I{o??HW#ERdFiCZCJlx`Uh3^UjQ;md3$7tfdhjZd{m70CbS{zCbig z&_!RT4H<(8hF|tjB_QAf)}iB~gf0sTAZP}Ar?>2#7BwYeBxWJDQzvq(cF`h$RqctP zY>C*26^UTQsCTjX#f2tp)**(8EQaSFY`xGCXOxIB#!0K6FA6(^ImSDQuc?eb1YDvNFP&Hdc>_knFp_``lR{9FQzt12!0wE--0ex5 z>Xocl^Af<$CM=U!2T<_gG5ol+V&Inmda&^Iepv}|Wg|?GzpO<>(0Z8*e%~*T&~E?g z7q*n3{TECz#=Zz4j(Ql%@sWc~MT)~qP!~Ntyz0QffP$*>1-`yd4?{eL6`*MJVV~!z zQMfm@9d5$(r;(n9!y|c``3N8@OnHL@G$)r54f4@pCld$wK8{FS*OXI1z|49?_p z*Y5Y(Vy`yt?207g&fd7Vpb1;!a;9M3FKvW=(L@dguHQBOJD7L$3G-3OkyRbj$<7;izLX>K7mn^O&EysSsBL6Jm7G zN?obon9SSj;A`(=l|TsJ4HJ*MScr$hhpadbAqSdr%JG?ztZK}66Fboy>2WceBP~lO z7#)`)8MhP@^(k;tgJh;mRo5q%7D6`E&B7u;{|RiaCKH7Ipdo_GWa@u_YQ z;uD(OQ>WN6N|((Lq9`X7_zHZx=}866hTs$Sgg{LJ)hFPk##@|l4^_FY|dUP?LYNEZdv0L+dtoK+Ib|$5C@($Uw zwCc-d)D};J>F-$k-YcRsE9AN6nw6vtLhT#QH2kJC*#6q!K1@5p)-&->MEzddv{Oil z`spzJl^tvu8I*2OIw|M*BCT{A?+D~3*6dA>fgfOanX5cKp>%RjnIQRG{Gg|-Jj>uz zjTbhxUgAwxW&mt4V;z(MuvM=-j|vY=iO7+TC_v{{IG$6t|9>=cU_y?@be74BsoS805<~i47h)F`q z(KxqWtskC)a!kJP*rh1uKPdsTZ!y^ImkHhsLw8^%XtTNCMKERpGef;Uy?Rf`of)of z_eA3s-HZRw|98V5nqN_(Hq8|_%Ul|EWCH9c73q4GCdzwSi<7mS`Xpf9Sw0)lvChnj zWcv!_S=6J$Ln#Yr>$V+e>$q=j1fabjJ0!=nhc;T$Z>zJ{luqPGCueW+V|y8&oXg=Y zJlHa5OE%;PFu@PVtISMn0a-r}sZwl5%dpR{L@~UdUUPWEOg!#G}=h& za^44m1S)AP*$EQOMUsV~XbE+{MLyurvruq7cv_XG+(vmmBA@3t-gZ$Fs*jGi=CfZy zz%O@MAHVL}X-z4Qqd&9Cf22HWna<;w>kQD-`bz8XxtVz2XDxnU%s+``jwbfD8q-$m zZaSSpqLrF@Xq^B}HB5e|F~mK(c9=q3t22;d&UB|92d6xsaawogDr%BwarL?WoKubZ z?#>u1bl0CW2Ru^$*);R0nja0j2qWc8Sfw^tuK!Dal2up4<9+izA2y8{`1fkSFVQ`2 zZPa(3B%6Zpp7T@J7NXVTU+*yc_Z4@oJ{+CHS$v@3hdH_rSnx#ho>?AsiCd|8?zzzI z@G(w5?lMnO6{*!DY|+uI_Z_M_6q=iJoE$>4&u=Kg7Or`sv-uLf%9(SI%OZL}!fK%# z&Ug`u$Nq+i7pB2j#n*|`)RTH#m_Jx3aZSGv4A?pM$?-0XRTD4#kq1L$9Uup{$VJac zI3gP#0eCO^*q~`WR_pHM^o#yL9=!fmI!uliD;ODhn22f!KXJ*UT=;T72qBPDehKP3 zw)ZvHh&zn;0j>-$ie1_;w$i^I2Xf{qSg$;@_^eE z-z_Qi{5$eVPunPh1&k&5-&lN2eqAoEeccU#6JBMQo9s|aqzEz?VOG{onM{R zME#_?8PVg{9NfS7EV1;^5m??7Q-g$FGg%((di1Fq^8$b1gbzuycIbuV$6hi6% zu)wG-=qyZ!Zcwxy6d6yn+OQ&Q>1ywYyk*jnJ zOJG=^|GIwZM4ay7e%FE9Z1_6n`eyvw)l0nS>wDC)bo^%?sYD%n`vwquq10J%!%$sQ z-&jw1WH)xGn=P1pF=x$|8#mrM3L7_tOZdz9#h8781+2Xo&vSH5ZWM?5(KlQS3k5E( zXn2aAQqEnYq8P*&yglG{F|-acft6@>k--v*SAw8ZVzv=w7Z|bn%}apLg7eUFOROOo z$H@2{<5}mZ@6C|&uscC&ytxJv=<{IRo15MTR+P7?Hhr;&$Dw|vr^8scZaoOkbpGwm z>CLjf?U41|QBQ!DhS0`KoOF#If9Wo0w@R|@M<EaC~B?o*2?sLZ0XCC^jm8g5pjG3 zyWv!=OBp?6UJd1YC0?!7G7miJ{BF-p626)*@$kOAM2WPq+pB>ENE@rVE*^Rp+nsJd zFP+3sP=wuXx|hj354v||QQFv@vFK*rkUHI2=B?UG@iuqv84F+LfM@!3>{r}DTol^i zFTw=aLsDZPp2pI~#PEthArV&u0}3j)`rU=)uviS&A8ChmOgjuN^`uD*(7@6zJ9VkQ zf(|GLqD`@m#N`K{=Zh7k70kEr(1KERYm~piCqfnbZ}5qbeD#~38~upZL@>u6uLf#N6 zbR`J8CVRJJY90OZRv1HY0bj|HlrS3m8<){!DYY%B@ga44IQ-WAd(Pg{DUR&**-rvq zK2JG%t@7SBDIm1AAeVPTdJ{8&5MHZg0MS-tGs-4l2NJN$UFIIijtU^k%4yjZs)YDk zXebb$q6{EvwfbPQybK`vwj)-n%vnvyPl7FngDI6^nHCZkLi3;(;`QagP?ls=?ojHs z1|f3gW9yZ7T9kHxrE<&>S`}eRvK%l<7N3ir#1{MB>r1~27N2{dz>ATbcF!@CwW5;f z^+;Q|7k-9BNslbLzxU1EfTHqup1NS~@%sQ)0*Zczp^pW%9s>~;oX4cz@2*f7Krhqq za3n|geT)!7WIQUm4>a{lXiB+1$e1y6U@2Rxa34Z3*q!pkcvUQw@fDaLL@w~4%nC>h zFFN+zsIY;U=$Gw9vx*fY80YdTdsigj05ISP54FuXbvAe<4ZJ)HSx{XG7jetkvx>!J@;5kCeTuPE!RKmY#G zPp{c8V%h@*f6EEw@zZmyUh>d_iE9sYcP6Yn}6?mhU?ES8dMe)zMRCx#yE) z!q}?E@%4YLVRPtJO8G2v%%MNfEgjOjcc#sQD#Q9WYz|%iV7+|$beU!5j?^%We7}#4 zw^fgPzmjY)(H4L!QXbDZk{|8xKgNG1ic8U<=4gJ4y~Wx(rU$SxrjLfbsdmr5nPM`SViSJC z>7PuoI%Dbo&GFcQrmpS~RV8QYslRuz!ZJRyM<0FL(;VeAPWpz=#&I+M41InfdNtd= ztkr58*oo1Utse)rzkc=M`}T_2=|xjJ^HtG1c+I;axo#M|#@scxnwe{|BlTmcUpNljkmhOM936xmM|J)>c9%P&N;9Tc${-00k z`}5yOtd)N%N(WdX{(=8NC>}%+0mJhi26#&>MGse&_76*_OnJCA4*>|B#gD=cR+>B< zi;?(LnPqDo3q^P|HwC#8kCq~?Kx&!tXf;9r@X9K`HPa~7YF-sDKZ4Dy;;ii4GU zHRwCqN-d3QmYwy(TRHgLle-ioR0h@5BCbMa`QmIO{&sWb<7sjiuGI4JeD>;;$HD3J zd!O7*dLUS6E3^EAy}0fe0t!AB-Kq6Nc7W7URO?e}yAj7*>#t--aVt+^84y-mft&-G zW!^*l9HlsT)Zu{ZW~455HtPsUuk-Vyc!<#JT#02+os9k7O4y9)rE(X?gOx*8$dRP6 zKC3N7J~N5^+I&BWrMY}8qBL+C%C57QJ{cF;9x`af6C`OM>fy=M`um%!3S%=mhcA6{ z2_YS0OIQZOZ}stR_bXO^5+z0P^X!|^0k-gq?tCw`mM@|B&K?(|qhXYg`lmMNuHuEogCP_STz{q@Ms z;9ZrxC^3>gZ)w$(J|DU9?o0Egdh67sCH0aQo$mF6EXu}rj$w4<)%flYcGY|;s|svc zH%99wi;jM<@}u%WoLxl*V6k9``tpD(p} ziktx{zriAP#k&<9o0JVU_0`gsUJq;2r2Oo{;260VIXxQ^B(@v+HYC3;vwdHS6zX&5 za~e7F=@=c2h22cl*ef;kSgyWHSYz+J#AZCB8lyJLXHi$X5oQV042f!Q9VSbC$=lUr zQ0iX&{D&J&ruB8s>ZROGo8>?3A$hZDPwKiOa5lx)KPkJ+9sc3g=Wfj+&_*sWZ^FMD z)abNeli>fSR7d>-IwTLq8+&#)@iZU(yZ*DI`tF6u8;hE0GqcfpNPyXn2VR54gD<%= zq3{&8WSLg|pgjZmI+nK!?~l;=P|tJ79rMCC9_$ZIW)=$Jf+#n8z4M4^M07V)!*e5b zJO3Kt&@j03vl+)5VU1~){r*nbahRPy$@-sWoUY-TCZ!_1Pj?-Ay?RY|t=`SL-uYXR z4q@n*?!l%Mf8Fuz#RBY6%_&;_zTv^;TWN8HKZIPaZQ$q3lX`6M*KJ;J;SRz{rthUY z!X!==vEVF~TP@x~2H78rdLX5xTZ)7q*uqu!Ia@+$n?YDhxLEi~ft@n1ueU^(v&OS= zOEc++M=B#^{HO3(qZoc&p$Q!k5#g_w=b_c|dZW6!jl`S5@BOk4ZkyL|0N#>0*L7f; z5X2x!v51SssS4Bsh+7A~#1j4((AT-8Wo2>X!4Ox3Mqs)muQxD^%> zSP#=%*IuXJUQK!p>c>{HkASJPzG9wg`J1`v)ctw0wA3B)d-|Kz;3+tks`9$Pr(~Uc z^SpFou}si}3I*fPZz%;Ee&=3q!Ia&Q{`|ILSs0G&2|3zpbURmH%QFvta(U z9>$UOOQz<3jRj3c+4@(ohzX#S>&L3Bzo3Q}%RK6;nMFzY4!urdz3*Tdp+42S1saSp zs{Pafx8GH$x7Iuy$|&G_kYw6g@ZJn8nL6h0{k$15y^fNMMmF9fZUYt*YHb#g6Upks z3YYQX(R6ox09QshoO$~Pn5oq*2he47msbaWfY%S2e%WQQDi(645^VW6ZZDA%R_enY zu`UHl*hadhLzHBu<{am}UPKkJvZQpF zLlhTbZRa5}NL}}~5p#ym8D45<^_rTGV9tx%<3{j5Vu~EH$VYdSz$ZW;vtNQcv(=Ix z;ijhj)rFK`$Tn$x8k}Pqj=oZlPotS3K>L$3QVcWjbvAB9497)WaGx$PGTihl)J`_t z71(Yo7MZv-UgGU&hlbn%iMoBPVm zioj-}j&R4%iI5PIneDv;Y6NWWS=2~T#gaW-1uYrv_-GY|5Mg`QRN0j?rQ)dy92#PE zM35>am2I$2Qy0mdMldO2MV48o${I_rGCQG1yr5ju2?d?lxpg?9x#o5!ENfWDb))kH zwk$Fz+-auKoqn#2j}-?NI1jKWn`x+!$I;rkXG_hO?)6--N1C#B?vfhGf zMN%UVQe!*htD-4Qg8Vu%2oRc(7)n-G@%}hD0Sp~5wNOKRmgn-g! zEzS7x%yOt)rIhklXu+?I&{8eKKsMb`t+n1(xf9iEuohHz+^(@|?a=FWb<}9ET9uB-JNa2aDW|CX8EJ=)!9WOr)1e_Hev5wkW8ZwgDwV~e# z9FYE?4gCf-y~y)X0bL8zwV~ns(H`4F`#qIpPKplfQ3gQjs1`xF1di*#v5gPYLubLJ zUt-pfi$z!G2@?!-U{pbK`9q4jRMZXVs=0MB1rrOWjP6=7m8wRMc0rNQ1=ptezg7j^ zuUCV(b49|YAT7z>HMjMp~tmQtZLfJ{tq;606>CMIr z2He_ydJBI2K~MsfRCB$o!NZ3%IO54t?X+!Dc~F zkBa!vclgi`9a&6ykiLgP%+GZv|tVhm--^)bhYNkQZrS?Q~Q-UXL<=K5wxV})#n zK^TX}XQC()$pA*}jd&viF9;x*|1g*dqf0tDC))ttFoaL@GXQv_`wXLYkvh}Dkl-6C zh{6y??dn`!l;KpRdV`IjI`pI1umpLqGN<*w)wkua(PSf1JSc+4$PA{xAD@R*8^NiK z&JNl!=keR9Qa1u@Q-%ke+P4y$(D#rV+mlm!d&A0W8NMQ8IJM{Qoo8T7*qugJ?Uxx? z`bRb@V~EEcI7C>roz)YNJt2LBVS`OiwNu-#r}L|Q^QZ;a6IN{s#VPIy=*FmJ!LP^} zp}?PBw&+=x^a5zF#z%ShP?)+ywDY01*fuSU#hCwD zWI3dz!aT}_GY=M>zX|-HX9HItXMEC>F4kCOwr7*elK;|h8Q(+=m(lf~yUzb*(2uP* zG&AKKNTSFdTarJGQlN)ikf;Q@uxZduq%Xajp^fm-Yfd2Gek1h#(d5YdU2sNEzg^a?zMQZ~a z^^Xi5U4~0Bd{i{IYqgR(7I1Mu?BwM6R@d2bxnbxc8+ZMMdX+4ZJWnQE6ons9jAQzd}o(_zN+RVn2;{RdoUBIc%`>$cK z9V$uFMkApjC8DNCHrt_t&ML9fL_+B>F;iqp4y7m*Mcgx;G=zw)(os=LTiIxaG6$if zW6^O}+xD!_cdP0CU(b8J&vU)+eO>qbpJ#5Tz4!08e(SqFYpu^*D5?hF#k8y2U~Ld1 zqW7SXqFfxc3_b>Zo@(I1s(qeIp)49M01c+j1Vb!Q4A<_7?=VCxhV{&@K_&)taob}< z5M(^unt*e1I2(yZrG23>&-@f>jE0VzRebQ{5){0T zDK?v$I0}{MslqX^RN&FnHO3LqpOcYr$!mI?S>h14I=JuxCHXcOirm{Ow)_L!6+DwO6*Iz%w1p;@T0icsT#*0q%i^r^KElZF ztL2#h+;jME!q`{psH9<}FhXg_Y!w|9i+*YXay~A_fBKC8ZB)m1IetwW$M$pi(#``R z6GLqLM`b1cq3HPU(rQK`l@!l$zEw3Hg?aVA;~mValT|Kpj-C1)d$`WM*WhV9-K_57 zje190Y^C1y*2q6o@7BvV*y8Fm`Hf+{<(tp$9~X`gza1l`3tSWw{xSj+{ej= zf=TX)bbt}aeBzwwkw?t>lW~0VA08S#`#FYrL*KafH~zOSSDvbw!Z`&p>78K}abeC= zH?hsqn4^eA7*T6aeBR0(dX>DyvjL*z#D?~bJE4s}X2)^kVk>Q~kF!h^&0!~-!ZgGp zgsMjpaQJK*cT$+xEoYA7PP2ihwW*m_C@{wq1{U;GnAVyyED{|+OcBlrQ|)x-rpd;g zjQREW!6MOkT2eaROn+>0hvRr-OFDeU#CM5wLsicC;YNuW58?i{*xq6M2?4sw8wQyT z7={)xGi_MBanYOA8bmU%i*dkCL|pJ@n0taa9zLIa=mn6-9BMttgN2zn@Y|{cFP2i#!k{;(1;P3{j_?u0D z8*mj2-s!IlUhZ24?leL6K|VRR09h8J9nzv$L!MT&kcB+*F1}jBqSzL2c=ty^b{eyP zyaoz+q3zESHkIcI%M3y-)k@{-tStfM#7iu}mocfS-PJ;I#SRqyp{e{&Da_cJQ5#(+ zDro}iw)jp2lHbO(wSMBy70&P-MS_!HFb^PSli7*zqfl6}M;=z3L#@4ro!6p4k~I+h8A8%s)|d{$t^PN1iSkXdQ=ReH?1lMu>LLuodlGg|1%uwg zjNQehc#tC{&6^7K10I69#QQbtah$OMq+8oo+xghQ)m>Pmy~75s?iC%(m2dO#av>MC zp$H!vcrGw?ua?iSL#J6oJD?Ro(`KeeB3RKh4YhRMIG;>A2LgbPNjeRnepfi{jwMlN zYg^ewF)y>*7IFs;ZC$nq%dD5N{hkurf?G$<(bd`r9gSnNwEQd)F;09-n)=X4jGyU>^uZklT>JT>HS5?it5eLj3eC9h#h zjz+-o1O1h57*^R_5FP&&OzRq(e_Gq!9D{N))wlL|w7J+#?o!ak@)tW>IYj(+QOw(I zcV?K#71Zw%z88;9d&8ka0(NR1MEZdzh|qAqvh0vD`3! zxV>e?Bz~s(HnE+MPx}X8o#b1lv0BG8R0SsRA?P4eCpZmYz%OvndKHNWtzEnW8BAaW zcc?X$Ljx?=6clqvrvbH%YqlI290&IygQI?hGZ?R9tue3x)AD#+Bjt`DB}5cYti-k2 zQ13KA-p=3zOhr^!(aAs#3o!&!$({Y3Qt{BNQ*9K{5mGzfC}%8L(O7&WV!;31x8q z730002~@?IyL6_Sh!w<1X2N1k1w=DH=MKB5V}cUn5~cW@t8l*Wo++)Ej^$N~vy_I- zmF)+#&RR!xi2QG6h4+zU&_T(e(KH>N)q={#loxg7v))xqhv}fBC*Zs`qzD&xf40(k zmkZ2(*jPB+#T^O6*GDf*zUrN2owsS4=Uem4mku8DV6k3D7VCL` z!deQ|x=BK{C0icPhpG%qCn_L3m~Gc*z88#`1rL8AWpX~WWzHs0T#u>0ki zAElxeIO9@y;`iXj0*WN|?2TF=2x3fg_<~ZvqlaWc6^MBE0tgflUN0n2MuiK3v2Z*U zbuFBW^_e&~FI;W@2xbd_2AIxVynVZ-! zy{*WsU!T$lGmxhN%s_5tAGiIxlPmCOyI-L#b@OcZyRhQ-9n1e87IL|f&RCLRBindy zS`@%H$4&Lqf+z2S``;bwYP}7Jk%J()LwV6Gd1A5P3=)gDNf*Jlt&C#ayZlA9H)BC^ z8Y~x^xxnJMSiU4?ajhfJrfz$EPEg{LP?f`>#03|_zk(je`fK%US<#X)+G(OG-dOIw z1O^r!Kxhe1fewMqzm-aMVw#b#1R@TdvMyEJ`I$CtPLsN!2k=i|nwte(%eGN&R@m%L z%Q@VR;?g70%8k{T@XvMTcj)fb(m1brcTiw7PC2@p0&XDvL3TIb6lC4=7u%`2r(?U68rEPBW7$iOhk)yO zm5!kv`V~$cVmk*9W8f{mCjml$*zQQ72joQr7f&z?qP5^502}t8PyxIvw|nAs1+@l= z^kx;uVA(Z>NO^)3YK!6X4nrZpYgh)vwx+}KG8ne2amaOD_H7e8EyMZu3=3HbmnE{U zz*Nu^dGlfDGI;-pntNJ-3?oqJ$!(QHNO$jF0Nu@VTc4TI6M6pw;Z@lMPT1+1Gq6$l6Xomm7G1?6RWB>RHw@NZ zGGrcavCO4c2(mFGBzi&Tz*CtPe6fZ~4R4zMLEsMLc_Z}*4(bhhT$0W7(SPuG>+)`= z{EIhL(1OCPT=uR;H0?EQWLp`4Gj;dMKuE3fnexi}IB`d>d@4uul^yU)wzk=K__#H% zgdCVs=(7qASS*?LS=$#KD)zZo$VJDf#|@vxX1`vLRYV>m3eR(~%e8(L=73;+b04qz z9(pgj@S+u#W}p*U7o-Awi4=S{2G97A4A_*dnQ2VF1yO9<6`Z?%Nx ze(wCPbs0(F8qOfj->3{jspA2qh&$o;J&a#O3_&Lkw$h{=xv)R4%>$j^&V7UOtmv$8 z?~I8(SLLJeaT9}&HGizO$=COD9;Jy%a>{l`G2d@dkZ2;dMEeOs6$aIUe!LZxPDTF! zPuZ_3Euqq;4rl;^=5JTNQe-MGl<=Qhib4th#UeW7I$w@ug%TV5LGx+i>t78#06?y` z7K&^``|Vo&^I);VYO9$QGabc?R?iJwkxs&p8-+8*;woUQISR5@TeEm|{4 z0r2VY-5Py|iWwO1u9?Lk!JwdOtY|!}4{NT5plL5k#6S= z>1-}gn{7yJR`LU^fHZskK_9h;1i(KSyRg|y0svkbcUAZUUIbhoCxYf4>cG$6H~>S0 z1hw{#o_M}=Be?-_YTani-ue->j3mr$1gfG1?P}LX79Y1cT>5}mXni116%QHfK%&6> zo;cUQZ(Hbv6arLz;YI8U1gP!-1xDXk-XSBnzI_4#s_eiXG>FmiAa^e7^CAaj5I~h2 zkyfH9inEgG@Z4Af+?@N1!V4^B*;0S^2Q1~*ukc$BSj z75<0>IU3wk%YTBadbHRn?I$&?8o+bzCjja(Y5dX~KfzZGw*7E73K?iQZLs7gVCri; zHGhK6uw_Hai_lF#RqT`H1&`Q79d*U=%e)1ffT{qFNDL>kagDWqXu&tp$Fm8Nv0fFg zM_&9O*$m0}b#muApUtpRKnmLY5O9jT3Xb%f??0-x`@w88z!VS8_agQ=I|qBmigZIV zqKr2Lg9+nKDGmmf?q{=hVemhY4XLhic}U$olOcL? z)KoO4aYp#4PWr4bD%0W^Yz|eN{WUk-GF$V1JC?a(2Bk=V}q`e z;R!?u)uzu|zkRYZRXP5#^u>Dfe*Y%1z4hBMkv5g^;u^l+a%8E-$MFqjs+VK*lljqo zUEp6AIl?-$Ch2XiyL#^%GtTL}P5G_hjc>Md8$Y5!ZC9o4Jy+L67uC8uRs4WPG?~>t zyGZwqW$1#?*cOa`$A*4ikM*vhzMvu$h&kT1%RbI-F#0|x|D{mLYnGR%r2Xt7f+6Q; zkBT!Z*?8t>FH5>B2H)z!zCjh~*@H;O{#+}M^!*LwK^SAJ^Dwb}{8m_0W{7QEw+bQ| zDJ*pmS<~gc6+=#t!umQ$gh1|XcGm(h|JjD)0}`a$7RyH!(#wg7Z6pwG6Uha_Y66`t zkHawAglaRlK5Qln7>3O^WWyO&L4~7r7>wLF6V~1V<^YVgPm&)!wA1u8SwTeX zVhSvio`^F&Ot)o54c-p#p>x#?;mx!|FLAgF4}lql%AmtxFc=>-?UgaS)ZsxaaVu}A);sSoIHs*i+?o04Ra zR=RAUFUU`!dZF`GCKu{OVK zCy<-O+K!!o?lmZW*=gJ=*~U7$WdQHu)K1UPosff}be;&6#ZmAvdH}wK_S!@PxPQTt znrMSQF#r~BnkPqNu170f5QO=KTFLUucF85-RN*cdxR(`ickcSOOMb@O6?LVMdt2#T zLT*t}W6$+ni7YQU7o^zw0iI}Y^JI8DN)!6;9)u628d-3J_8bz-bATRZdXKvjo+%VfeBiT(sTf#)z2|3A(e0)Q_e7$j+!Vim4{)2`i;B8EFu=_ql{oUy zXgUb(1#n~H7MQnpQ+gC4JjeHL%_buA_z|Y*ICTj20=Mx5c#mUtPowy=;SpzRWksOj z9K(LW!I$d0z;jX0$q5BeGH1=*Dfx(lfQK(~7xj#*x_u^P=XXuhGKUvS;%A)6?(d*V*ko z2H080!Zq4)-lGx&v{YBjrRlQv4_)ibFkRj(37E#F%YpMRuQ|JQsoB`zQ9&1#VyBr7 zNSIa^*j5m3p1+%MLl?EwLEe{2q64ojIcY7A)hzBEH}Tl>tmHQ@ThPx_8)n^5Am-<{ z|M<_vG*fwJZM*KUN}E><4y@x3FKd$A&i#8RhzK{pp^_J08%T(-UGxA!!Ae_B{L=uC z$BrP@@=%}P20So(I(T`?OJM>cF*JyFj7nGwK@doHPY{>bR>>cj(8x5tu4kpBAY1R_ zEr=9NrI7VLaD0*+_xZMvWzJWRgj0Fwi$Q;6XbrEn#A^JWHQ|EQQ?fK zcJ_WRI;?*Z-jWE1u0|ep!~WU|2PdfBD+#3%5+QkGfg8^^5m4Hl(xI9tkSl|wfC@56 zBqCl2A#q+EJU@VnBxo@)Du++3IFsP1o1~8=1DHX&CM{;lVDn{|en_-Rf?os|Tv`o0 zKNtcXur9?m2AaGcn2A2gp17C;^9x%V^W<@h1Lqix@DdyVutIRX<4U_XuQVT=q=_Rw z@s5KKzHz6k9Gn%(yh{Z*gNB^b8h8+}>Hts9&EtnU5gATQHd{%`gLio{iZ>n=adonj z9YyqZ1?8Y-3^R`Y$rt4G_F5NH*-~zf5sGvFSIMqh)Y+JdJu$aZUg)RFVDv*{;wO-%)Z(E-E1nZ5-AwF-=X=Ho^f~Y*(KKti5$IiVo0e4+>rE=W=KzLxW$p1ijfRteHpKxRH7Gf*#{)AzYJz6g_qQ3c$Hf(=5gq((rYDiD_{ix=k)en;n%Lc)WC_N0M{pEhm z1F|F?4ugn^i|_DWV4(Wpq#%GJ7pd7UFl!Ij4; zj8Pfr({Jh*LJ6Uf(}pje|23gJrhE}%qoGuO(pH1p5ohfrMU`GUY>&Zg`ZR}jS5qyx zNfscL1*#!9hBt7IwW{+dNd4dYS(gnMG4t#FtSuZvv+|J)_WrxW(QfCz9ged?J$Z5R zJRzDUinRA?o$ULA7_`E<6SkC zPTB7PJveKpd#Ax?lMaXW4j}7v)%0KrE8~%sjQ?W(ABle`&Tq5l{ZpFQNpp(ycIKyj zm2`i~T(+Y3#IhC~^-gHRwhi~?i9o;*Tw#_c9%zbe^Hjy7$ry10db=8|+o8187sV28 zQ&T$suKx`N6-;1$t6h7XSez6>JHEL5H|Xpjiu0ba#Ny)&%rY6T%z$s3PPrMrUG$o| zG0D8Gn2;0kRLn2S_^V>Z=&A+tnuk?Q2i#`D1XwLiST4x)sF8rGHYprHSceP7IB}|pL8CZ^1|Qjr*`5@%CDI(^T`ATuRNF_NtU~(&s8t>2 z5>ywRg-WXD=d_LqvtUTUUwk}kaT8trSYY4-{x&H~yMjgVYSfrhvM}4a*@t%WWrG;Q zzmlCj-;#KJ{kdiz*aVmRW|yT$88lZp-GNp}lhzziW0-yh<~TrXK!`VI7Tv4Ya>(wS z1J57L+rx4o6N5~_scn4)#r?q}PRYzb?2~Aqx|oh?JMB~Y zAjsWSd^oiu9 z5Wsx$z>?33c};m%_}}Ec;YS~NBjNr-3H@8_jIl1PnsFBX6?qHnY4ByNT6}-wH1rLu zzg9kN`L6VS4L*R5)1b?kzxfxRM&=RY{k+pHaPk0W@&Vy+TQuhbz;Q&0$sbRe!81R+ z;QSB(IA-I!^3&xT8ncs(_mKjsQ7|~S%5G?Zm41Z-F3AGpmAm)jL1A4G0@WD#ZmR-0 zZ1YaU?pwA32OZ%6JhjP)FC2ys6d6gO0d5<7pwKs%zet$E)ZAjE9rw$nk3vorhB$@a zH2e3!rW;zQ%k%)q{#2>th0%LhAvBIvIEH_&)s?xoWY3`sS#SoinPQkI&OkV}vtaM( znXp1`15-cGpec{mO(vnJ+@s;k8do^PIS+9wE>P&FqzLw?uEZgGH{9LR$=F)n`NfvaaO1RPOn#vQaI7_yiEZk1&KiZR z%+@`)J-vz-4T*439QX&O6|ZA2Qq8IBxqdB`HkRkqx-QCN2`kS931gr5a$9TtmbuSF=tlwX1Rq6O2Dbad+l_DhvI)z#n`K>2?(0+K)&vd5bxkd)C-_Qbe zkOl@t&}cxSdJ^S;aNZv~3?-_W)o0;NIFFq$w~Dj}oUh$V=k)FMk3vP0NhCbq=bwg1 zNcZgps)Ir$z1fA0c=)56>q4*^J0BqM0xI#%1*>-Epne7GG1W(1ggR5M5`Dq+&je59 zivc)ICwQ(nelZY7b!ayahKZ)4zqp;GqWh7TgpvA3~`a zAc5>Xyix>TZit;qQFA@vBs`z(U8R82=TH2Kgr)N84FML_h-si6EV&Lm1x!u4Za<~M z5#4v!LqLib;Yxe(8hE-!8lNJ(eunjRbX{^i&m|^xV`L%M&Z3&vd;<<@YlEKn8?{!U zbeS=kIDXj?;SE?Mpq8GcG1GZ2kgtp?IOq&trVS*8*j;8RVl7XP%ODkF7f0@{GB9DX zRh$N62g2Q?;CPC|@j4?ay!j?HW9z{^ z2{#`?ekf$sW6ifT5o9qF^L=mW!>yC@BIXtnFpX?Anhe7o#RdF_Heg1E3xailc0 z>t}*EmStgPE9fW;QBtOjgJvAAHfPMgX=<=UWmp>(7k$kV%X4D?uVhE%#mdkLPbewe z7@%D7J11iVU^7GAa;dtb)`!y?j)P`-X{i?scKY~MJ342?Y&kppT}{VYXL12Inrd;Q zYxPW0ac2L68)X2mxOqRhSA5(=^*W1B_@DP-;OsRyEBK?C`uNk@duN5u3GO^2%`^k{fK!Y0QasT|W(EG1yJDF~>}Cf@AKJ+nnRr+;vdi$}?2q zlmrVHbk$tX$Q?hwP2ec!?z@NzNAm|cVqWgcpeT`i5m%K>V$7u!1*OVauBo6Q6T=<)D1{H-tF#J}uR~G@qq)65WAryUZsBb2>Oa)|j zJjZudXh1N;Fw>_ZBmkAs6$rtM!r?luhH5>;?zqwPV`5z8h>9o3jt3h?#SAib;6r(L~P$i5zFMb`FEt&cT{Ac4=Y zz>pp$H2`e_=Z+c}ve#gfUyYvv`v%ZnKKNC94I~VRwRz1^A$w)<9`Fu~81b^Y_tlo=r$6TQM&v#Ct=Vz5c(hncdL}){q-u2drRvEqOO}X7_w<(4 zj~tfmctvpf)Af3b^o0erf zs&NU^y@h`nv7G&1<8!Mmk@K$B`?UVcP=|btj{uU36-b5bH$cho9LgRP`)N^PkgcuO z#}lx0zg2f>c=L(7>j5fjceUw_ovU}N5gm_LhUnp# zsIBnV0qp8Be1+2QLFo<(gBWYfMpGC&z)o2;`@44w!|%#esCM*Wh9Mw z3qEM@ah|kbj#zJLUE<%GY9a;HH~g(1P>FvUQ~g1&Q-Wos-fzDqR_ZM}f#QRn#P_cmg111HQoQ>JMXbFNu}O~hl45%HBk7#J+%`5a@)m+VTNd+ zc(|8+Wl}8r?hoUe?Yy2k2pd%^3_pnVni@5PhwAW&N*Y6gD)k07qR+{&O3yW}9)DOw z;cFBQBsd#vXzEvCh{A!U{t7ZbY9eR~YPK6yrDqkv`WtqSrPsQuQ(lT7tuWM2sjF{R za?SsMADq{`zS*w+^oK#sV6gO~BSYDbZec&+;k)$JL-7CiI{wT7SI{GW{CP65UfH8f ztkhx9qphbzcr6z0kDBdpH+7--02K%CyY@NrQLFIS8x;oyPaT>cZ%ki3!cnaEICvO} zRC;&)Q~$&vRrt8Q!f;QO-YfJxbiDX|Nw0_N6Hf)ap-<4X!Aq$NdJ-5wwJU?4JQ#~l zKT!9BnM>D4zr5k; zt8Wj^TwPG8n7L-!hu_xlZ$9_vH>x!w;9B!@uOy9NX@OL_os9qut-}XoUd}4cy0pB< z>tsMyuKXc>UoES+IxF~8Pk4s-x$Vo7mwx|}Nv&7UdXnnNjc)vq5l|vq*Ox^Nx?XGH z(dw38m2v5^v~gMH+LBZ2)Sj0Q6D{tqlxNtQH_70+er?4BC9%!(zaw8)+jwJ(5egSt zoSSDoXJrj7iz=*cr?khk2wLkK<*c`*R9@83QdMCGJ55?$4MnudTkV<`4p4o0^)y-< zx?1N}%$$lgu-3(`|Ey--EkWz$i+CKjRxr)^VNL79ZrNT)oNe!{D@Kzd(e`j=<>((e z+U6&55z)rryr@uN`&mrX+se{|8+ko@>)Y<2r-9 zF!_`A)k2l(@VZzzc1>|dN%h#Oh&2h=LwT*dlkzatFa&i@sc;hD^>y;9$8GFABIaNI zxbL?@74~;_o@rjN1+wX-PpvQHezlGlBMVjcQS#sgY{fgoycbrnaC=_~{DO3`ePnV; zpV~;lYBxEV7!qZ)~;i{Qp+c%s2k1DzZ`P11Pwn)vq zYz0j<9L@F53Zs~{M`=rRMo6N?s88NOXQq?2C%7PUe;!sVd|9>CS}@W&(IaEx=hX^y zCO^WBW(k=kVH3BMpiv}$=gZ+i6}soPYlvqUz8qI0vUU{DcxhQr+puENXm{$%lix^0 z;~|mAn+-)>TBtLNFtiyT$pyizcLWf>AEZ? zR%{#pN`9aa9L5S&$XBtiIYN<*3S@9x#LcgVvg4)7YhAbsoq`RRMuU{eYe+OiR8t*@ zs7ia~*Y2A^bnWtVvfpq6ps8NzeiQbe-}i&;yxGf6;;u=FOn-z4n-x2}g$X;nC$8zO z20Vpy6TF3`k7W3_FkzFKJg$lTc$oQ^p!QC&!V!D&cE0P6)FD{_-@$nD9GgWqyaNw* zf|hPcO!YQACkC9C56qtyX=$0ggALmYf#LT`g7ezc`TTx8tR0oeMZd=Y6L#{*NH%S6 z3B>#fNB8&dNanD;-jn^z4;$_uOF)M)TJa2(APrtzSF>A!cI$`^Sv*dyp^``Pj!B6& z3a-I%-%Iv+Qrq|kaAE}927cJcSl;mu!G=ubCq1Jl-qiO)JIyk9ue@B?xT5%@C-zm~ zg?|Jm4kt&~$G{*`IzvH<(YWCK_#<>+geN=TYlejgl=YLFyFg@1-3p%`!o^qE6X){@ z#%nC1f|Pa-XI7G&KS2W3ACave5SjC$wNdWsjjdzT<3({Wx4CZf<@ z#9H{6MkVxCkebLVuEB1zYdM&a@77U(ra^s@r8)}8wuGxx+Uh9CkZ!?Az(+@+2r?vQ zU4wKK%IH(&OYSgx&u*Q5lkjaimArmda8bmFdHwKX;f>r_uhS2@MxmI;(dl;;f9E$k zdHtXiQ*!ij{+Hr1qJlDjoI4vx110;ZC>Y9UoU%tjk!?J1>7|Va2ia8GQ*|Ee?pDxI zG{ceF!H_A098I-pE%Ng2L5^Jt`iUeE_DfYdlA)rka`F$AyGIoFxJU;N8|-{q<#5Ct z%wRajdfNXt(--9XjQ4tf)Vp>JuADhq(GO7Xz#E|7XUyRZp3pPdx!}u=)%o##J65qp zYkqOgyyic6aa-QtWc|@xJrJjAtuqg>NULpvD*vk?Ob~jN7KGU}EdJ>|3Le|dIoJNM zaLY83+J1es9$7gyN9dY32emy_P5~D+E1hafhS3(KM5QtHa5vibSDG?rD(Y&Lct8!f zb22be(2ui+*rPQ0%*smMCAM~XV;E=kv7VA|61}S2>H_ZTTnFs%$amRnfxg zq`(a-M)J#9Wjs(rUWo0h^pAc)Ps)oXmGp|~L#yUy=R;A%kyTX_)d%nf_QIL;plVPo8V43kk1{U4+RfWWkB(0W6WX+5Rs2EpOy1mAQlb4|h~!d#Ps5GI5e+9Q;^ zCN=!2dckhmClsn{Y{LDDost?`5nXwH^g<&bPlGar6Ds*(8gPp|g1rQ76E9CjA^%Z7 z70LrHj%`!iI}M-}uR$H+Bqg)brMFQMthY%;SKlNpXtt*QwY#<;-Z zsIc%9XYn7LVeF9 z)f<=2F}ST0RyPX;J;vY7shfPfb;^7%GsU+NPTyBqcey5VITrniA{xwe^?ZWl%zT0C zHFgSDoG|vI#l-ySLDxYK-3A0-J2k7zJKSo;AdI>1!2y}2-H zFrsL)kI%uD12B+b7nuPis08-{F0XILG|jE%3BHBMj)gSe@?6MIW{`14jqK;q-=1OG zclISBA6I;IM_P(ZB`UQCDvGo;boDJNoE*hYx>j;np==AeHR&2tQ z;Sks{G_B?3^sxn;dPZQH*g>i{ekv`-ia8)je0qy4 zf_mx9!;y`^F`0BmXd-Zo=X3lB@?v)sX*rBAmZJ)Jv6DL+Zj8VS26^P2zjRR6iw*ly{0R1lp zx}RD{qcn)D+XiIC2L2j=0%IaGFVz)O7eZxbjD(t#ivME%e;of%T-IfXbmFg>QSX}! zxd0cM*cyh(^-M#|E3w(jCh0gMtsn3^Q#w7$M*^l-;cv|wsljexj9E2Ch5(Rc$sIRF z%89Ww4#NpN_eO@mXCkfS{T@0>5e3|JHL9cf53_ydkC#0P)R<+AMny2&%aDXloNA(~p2tVD`g}y4j;kC{eqXvjAk;7#qFnuzRD~cw^Z; zkG!%?y2iD^c>LlFf#i3g;;r^+{c0JZ|uke81^C$vcw&%LAdV>iX6SHzg0HOX!~%IHk+Xbdhl zS&Kak2&b61->bBHE;MOOkJ{gpFrwH*9!m~R*dlKe0;s%)H>{5OqzR}L=( zI*okC)i(P>L3a8ZPmG^aJKH0^oVSTiw%}^<{67o;Vp~jxQnu<+Z_Wt{0P?3G1;bb8 z4aZ3Poswq%0b2bt2RnYu0UgZHjM0kDU1GfF^Ke<`ACsZpdgYC+<|?1w*bVgjJY)eI ztmE5$oIIBeS&bw)-|?^O?nl{k0VpeS$WXH}k$fQn!pr4@l#AnOGXx=gz6pZK~wewGcd6kFT`L28|j&4>>{0@k=xr#~OdRW_aQg z)nm7u5DFV#ft=vL@ij-M(klDiX4Vos^1$BBkb!X+h_0R5`Jf~yuqiT>7ucA=`iC>i zo%6A>IDCWoBp0j_F~@oV2WT(mvxGD-7+er!Td3)E>p4|4nqOrVjTdj$6GZHuV3sDD zfje*41b9YhN;1Jof!)Te7u#+PZ^A_)sJxP~46H3e;HZ{LAm`iazC}}M9VJrWEA)r$O{}N%lfR;leG^qEA-gp(TbAK8ekfw(AhxGJ2gSm6N2&h@GNk;%`DZDB%=a0 z{qM5%W*<~Zmn}H5nwW1Jj05{gT+R8wo!cYIXrpx3<1F z{&|7L7raaABaX}ah$9WFzyCa}mKQg2hRjtM$kCaL;>IDKoYDQJ{@cNG7-;zp-?8Fr z`e>J({eMp%GnJ32k6)_J)A~0+-M9$EaQ5&U++B@D^3ukc_tIQueugrmM#-G{9DUAn z1-2U+osbj0wHWmhvmhWZ7P78ie))%45B##Wyg|)Q+lKjb)twq!!%R@b!%{L~mFwSz znY|wcf|z(<(b$RT*~v*>M70*=?7<1#b~DE?bFz1T0^7|pMGKF1EXPJ;<7sowCk>8a z&XY&~oHs>H*-~fw1*O@#-yGa7=Gn)uFx)%iF3-~5Z_hk=RoUGZv8|^4UoN8g3QkIu zHqEZIip!>LS}cDyg%C3*;MC{H_dsEpBi{?cA^27~gu~(dTDs?WJNbVDZE){6I8y=< zGPnbEvkp$_bf^^oagZ7)g=AdrAh&AQ)&XoVXLhs`icCAu;_y_=D|4KO(@szPPRAvH z8(`_>dQ|K<%Kd#dH4aSglQ>OP0QNb-qiq6R$!R{21_$vXIS+Bt21fh9o}DO*BVU~} z%zdGN-R!u?1}Nn_-IkWQ#5qof)JJWJ z(9@5dSqo>d z)QiofNVYv9Vdh9=B=GeKGcDkA!YcTgR)4OsF1VHhN-)#?DEbth_-W6iHMej&pRK8~ zgJxMcYq(qm2%7~*HpywTEJ4_FKMB&1!;r>%h0X#_&w|{WZ%8D(&_xTsoC4b}F!HI1 zc`oDIT*$QKeh}+D(^pP$zM=Il$`bj3`<3 z9AGt#ERJZN=Yo?-=K`te1DQJ%9|*3Rxw@e4@L2<=`HYDw1ufHcfOyJ3rD7R(NSw1gJ4F2HlWQMgbEk77Jq7lr_%=_#=g1_~<1SQttA zFWa%YFr)>Kb>zPm0;9>Z&?BOD+l^9J#J~4J_;!ViL0NKF+I7o%frYM+j9H4(wVV2w zoE`$9Zy$O=VaY{6Xgm#eE!v1~Npuf{E((S@(i`@3{+IR$;)=BRz)L=b)=)C!^i%BD zA0ucOxY=>}M6Zg`>@RT`I2nx;wx}qc<|L~|)^Y@`oXmYv<;=yL!`{iz6xCBsDc}IB zG=j6)5Uq`;)g7tE*0GNJS1UKFpoW&J%KK%9B)h1O&K@)LDhj}VLkG`+@q^9PWec?T z9^}}K*WQu8n3y6r3>=i@t`(-B4P^pZpXl!43u#8%0apLPdO}te32+$HMC+YvpH^dxwr! z^Mp&9qx`!ct`sH??gUb6rM zWQ+6C*~3IG?@$D|)T6@5SL}FvsaGV^Ez2F?NUdLrIzKW_XII(N=)xU27{*uJQJxCE zlINnkcfTP1o*KBq{QP>L3yVpgbUuTU$uueLUvL8KK7#-~*gtmz!`4dQ>nz zXj$XJxUpcW+OLUE$S^Db|HW|WxL|$|`&)`EMU!r;ob$IJWl2!RuE4N6KnMJaWdK-y z0cxa|p~i1Z7%1MdS*48Pk#+hHKWkJrEW_9m-kG$UEo{8%iK!*T#oim9fLRK5c{*vb z-;+}#n#5S4C*#5VlBvv#)U|w!1n#@#?#LCwd*ra32XMgCC&MtY#hPlwmcuiRcj;2> zp^g#&!1r)Wvt;r2u=uT$!C?Hom5}{{qd^tcaS6Y_#TKyv-^(F(#@Tun6bBC@!qJ|&4-`v>xV8xg8 z3m<%u_QZHo+m1Q@A1nWxEV9x@@-`Z&sHtf5S20sk`)aA+7ML5UZ^!3rzUV&>Rp0-X zPO#a{|JYW|AT*aVZ!{#wrJSuFRgPuB&$C%W=cCHutoIr`klaZ``j&oQjyc>3?@CE(O(3HY)d;T;6U+8zK; zU4!Oof6^02XBvt57gulUg8sOAE0_reFOM#Yd4pDW4@0_80vQnxIKdikIGt&>vIed{ z$Z-y9g275~CpV}8HrKS{!hwtURSjN=;was?3v?c2#O=14Xm0ge}N zUqKd+{($ly0M`s=egF>!0r_D`#i{Uc<~iy30S0aASNoxY?p2$v8q@`OVGgu$Dgzns z`i-z>)8l{R{HEV`VShDkoZeUjrQkkB?;GVk*By6`({L1(5tw9R^kE(N4ycW&qhsJK z5q%Q3F~>w^#N$RFm@)Lwhc{a#uXpbchbR_=G&r+P2I<>YIN26y)dxAXewYDSU_~|K z$65_Hf-bTNLve}2Ge1%Xe>4F%N$GqT`Xiv5Dg=Mz0WLNmEx01)#|(ThB{=K<2=oR+ zEJoyIKS6PO*G~X&JO@&{eu8=|z%1z}L|)MK$cIM~3UbF2zo9?5BkzLG=WKWr`6{n; zZ-Rup1_-ms6bK{c2{%pr613h#4N20t#v7Zmd~U_(M)fggG*PKAVjy zrgx{jY~GC6;s`24vO9>gxqG~)F>iA^0l!T)_8JiH3l0qif}a)JeHR=KY2$TILQ^nI z+V~~}trPG~SjS+&3x>FXZ^F(*Z!t%wOhUlR2&HirzOGjoUmhBWe*6;lNc8G=yE zT}j9hEF~nrZgA)pXv*~d3APMHQRY*>>sxeP$~OV=t+zbff!4-zTKUmiK$u}Y&rZd& z8nb^W8cZ7qg`zP;>=ZhWn+B@B<#8w?FI)18bC!pO0=aQikS5V}`o1|7MNQu2KO?9Z zXqECp`ZKnO|8ha=$fO7^boTb5+IVXOTDxcV_ zq5DyTpGB!^&M_K&&^jI^&C%-PhK|-59IXEQqx$rHpoeqx)KWA$Wp8!%ULA9Cv-XaZ z3926#a>E)yHmR0Ju>tD>C%68F6{;SSUwL1);N)+%aBDS^?t_-Nz^^6vl>dS8E0(Hm zIc26ekcDe>ehxulSR~jUb$ci7QadmLf?~WT&fz2|CK>H3IF-CxY#syM*1lE1b8;;AC! zhp|ukKLE`vrX%I=qM`()XO64`a(rBgsqBaZXD+QX6IxC9!>^ zpIkny1P#ELd3fYsawgKum`Fm@>^_W$0_M{Cf+(*mAkX71Z8WWAfxqOfped(7bcrPWSiHPYH@Umsqmb za2bD3o>KHhPxNAz?X0MnzNScf@Xi_}PkxrgQ#C^LR65=B`$x|#!7h5S-OlLwkyU(h zsz(>$sNNG_R2a=R!tk&WrWZTqsaa>&Bpm&>CBN|5*f}z<0H^P2 zJCm?oC{BVPdQ); zcwp>^0$j!M&?KrcgN&Dfe$c=>9whnkQ@`!y@gT|Y2-c{Pp~B^8Xeo35&BM$FW&9Q}>HjrljPDBi-{xU@Xc2X?ingi=YQ0TP zb8J=T(Uh9AxZyJA7%=nLW|cc_oR^!`d9#q4o~xF^IkCM}oo@?&&4tm%h6$V&L;WxM z;!u}SR?ru9T|euKqs=(VZ@HOE=bH96AJwSJ5;hPTXHH+YotH7|11}@fE~{7GFwvss zR^jP3?%(b)Q}rK0f;TMq9G&qy=bgG#L*{j8!HPLlzJuLeGR2@&+T5D_x^Hu9#(mqj zZtCy1UftHSl(X=2%6f&x;}HN8cKP%k-exdgXFU> zdQh5ZJXPxK+{Zo6n4)N3*h)AY?NAGUeBa(uBovcCPXMPMVnvgn`CQ+x+Jf$i{Tf}q zB0wG{0I~Z+gtX-)+{8f4+poW?!U+zc{k8GX5$m?6y{;GA7be08D8ZOKu|HTQ4(o|O z!b$`Zl#m#~j;GnX14v1%e^F6NdP*V^F}G4WHImGf5~&7uP||wWW1>k!OOluekRN%G z`B+*AyOKL^B*BDpIZoBm=N10=Xd> zW)#u`k^{5pHIM_4a4ne`0Bt(lYgofjiW`^-21f%^RzW7fc8KhhwIJ*Gde~6T;z^X! z#JEKLbHge-FzVt%3z}E{j8Z|fLx5`#(nEeAEFsr&aN`#rszm1mMlOf`Vzj-!`9qDE zT3}Yhq|OG*>8<2VN!5p_fzKhe!z*o{CsIX{RI>l!wWn&si&Ds1t>fUB1pK5qf; zGHnqa0a);oCV1FFt6w%s(}3QzaVM>su)#)hAFYgR7V{q&@EdJBb3^|F!i=$g(|=$@ zdBDo?q;R63U(^%ra_&>3yArBp{1xUus%8AOb`~DVXhQ;J1~E78_!W^x%=X{i zVohq4c03Hqj8Ikh5@rVb?m8at$iK^bsB{RRKU{J#|cQ1rjsb-2WJ z-?Bge=@AnMc{asIru;GX=pQN(*N^bzW0oVb3^2wGN8r7<|J1MP$R(7E^x!HwIucJ` zvh5y)_JNj0gY2VLxOH$@V+hzCzififQN$aGI79b*#D*{R$A$~D=S%?=pL|T;w89CV zNuOh2&v1o01>2>)s~2*y$|rZ%v8-nQrTET#$QU2U_B%~_TpMC8NcVBlKHv!IIu1++ zQAhK6_(4<{WklsiA4kHmhooj0h$K&ZKYWb)Yy~1!@^`%6)&I>adzB3L_EXjbLS`ro zRng{R`)Vu4L}i|f1gvuL?=U*<%;7BmgOg2J!EAZNdPZNwdWSmyg{M|nPd~;Or^^%# zAE_HZ${gbru@$WGtxn7lyH#G=5xwA!{9ta_e8MXCt+npHxo)bLmzyaDkECdgrcSyh zD#KJq2K!TMkCDD`>t2o#$M_<{*JLHdaC3{X*QO0YXAe+y>Cv?IOK+Vz<6)JYEO} z9%zeNBeqAc1AtZ^-T5c`yRbCu$sgg4a7P8#Nf@rlp}iYN72G(`a0*o_?GM2G%{z$z zInMdnSxPwH<2aWEUkSB#Wx)eD3f~f0O5Y-drQ`#MXcm<7HC48*JuNi&ewKSs@ z=engm_5UF(7h;6I*iM?e4tC((iF785kpYn?o+zbc#ytN6`0+_LV4)3fo@CRFk^oQ8!2xyaK9n<{B$`^ z@?;G<4WtEWcSi;h#{!6j`5{n|X>gLi2xW}}Q#$h91xdy@5#k^ZVFF_gZ{7TAI!ic( z-ltIl^^PH9)8!Ii*nJVa1yLOWO*or!Tuag3n3>j!@E{^t5e#Xi2#d`wJGn9jI zM_Ho@PWL;`T%@tQOLhsKsJOz;niO(v$5cA<&LRkd`MdBevg5~|`B4-6*$j-{X?%7T zv%5V|mI2$SBSsedTsZRMw=3cwhj zC4^{3hDz#18rO2vZgcL1w|+BCedESf#lzg(D>DZC`BA^6T`TOeBk&Yh=QhAR^OS}8 zf>F!e;1l|2-Y+ng>5XdB7w5m7?0m#r<=SA5VbRj8r54&4S5GJ0gXEa$_F%zuk-W9X zLnHSOGu6||CLUvYE&B4;L8+9#4*Q4l*Kd=(Gu_l>US^!e%c=`EXZ-nJtvz>j)ry8+ z1b8a#zyPPnbXcX$j-rWxAHY>w6GKmQT4Xs?@+RiHu&Ks*ZMFYU(OK7dqco3Yk=c58 z=bWz{&j2X)pd~^p=L#%9QO@f_-~n|!Uz-g8g8lr0rhwVcFX-diMceTO<2FDLERqS4 zG~5mhLq`|2hMs7lF*%5(00?uIm>Q93sA?4t3x3&!9&}_X zo0?pLEYHWrd!I{v?(IvuKp^;HpvaSobxH2twoC;AeWl1>hJE{6v8~`TS6-WNIV|Fl zcSy>dKT#HS*$Uee)2n7AF^RG4Lz*P|8O9k`;0&aI^_9V}DS}OPT)_YoG98FQNtP($N)?s> zyfLMI#SmijIuO!Q;5S>~P>Q5XBRY^u-C+b=LbAqkTQ3DMtX%%zkc36Zh^LP&RHW<(1_Y!Bcqs#tLd; zm@B>EhhoO_T0KC+yc?A$DL$uVA-(Yz>j{1c5HCF6I39ms*=z^{ckuu&!ycGhDP23u zVBeNEKIFj(h_SrMhBAx-AAvFBoX-?U$xUYrq|0tDf^T2DXTS8OKwkQA6E#Y=z$|@k zRx_e^A4KmtTc28g%dSae16d^g7BCzYEBd!&;LDiI0r{_>LjqH*TNUh)E~zB>gcbM&1Y?J%kfw!C(4Xsvhr|rfDmP-p_!Z<-UgP#5S!Y4 z8$BR+YOlOK3-<~=n{F2ra!aWE{`NK8T=-~#<~samzIZ1@crZaY5P>j559$&*p_V*c z7I&)Mw~sX{gEcCL03p}?JBR^eq*k6$sO14`oUJevd#$y{tD5woBFY_=7H2337fV(GUcvs96n)=wl-<{yZp?8rJ~b3I z;ETW;_YE$J-tbk4&t|p5$){;x^S{`8(|9WP@OxPHHgDTJWG;J~MTN*bBtuE2q@qEi ziUuVKDO84JK9x{}GF6mDN&^{E5!F#NB1vVc4A1)BI>Yb({9Zht=kvUHUYzqe=e%Is z!@ci&-Pg6Qwbm7?6-LB9rTXoN0VfF-IWxU;^xAj#z%0nDRZUc?Q6mzbtXlnW(2cbw zxQH=t$+2rNX4GEH$Gqh#$`jmWA5Oa;P^(e2tw6oB7gv*4qX6bIMsDC53i4*0+>4L(z|Lji3PO|N^D}4Erx;_ zO?15^xWqV~n~4(smVM0zv#9sgApE-mYEVFFg3(I@ z8wi0G1+&kuqcLI)1~>=N7@zJ>?M3(1+XzOdlsj44%M2c##;KTmr}X7FDgh-hu7S=3 z>CWDsjnJ8(%FVEtH2FQ^!gY;>0$(3t?ir7E@{tT>6f(OJp;juqv`j)(>k+irxZ=|i zNRg*Ffr`W%mC>`d}R1-_-X?T?GK*%b-KpDjQ(^_ttvtsmWMaNss8%y z)2_}%i;#UVlpO>=_pY(-{vE_d9@)IM(@|;_f*^L*DqU)rrO+I*SBdhMH^1qK8ClUN zH}W-m$NWaQ8zaXQkl+Bn_-M<@PV3v>IAwo?%q^T!_;Xdu*6i(bh9+`?xmp4%6Xn0IB~S4z0*?vFo|mTRI9wGQQ7lbdg^mn=AU_`dL}>bf3_ieYfOWZ;4}Yo zRm3nK+S+Nhxj|{<+51{$80UMt-}?=vw!*vK>V}X8WI2qsuF2k{+8{UDy6M=|qs6~c zzW59O;8fz|4S zFPWHVYoix@EG)nKF~;K2-G@I{wuxPhe(>Hfx=p5FX4s8qg)I{jZ3_DfdcM>riMG8; zxEj5==$mI-T3%ZwT->ZccZrE#Ij!4Ao(o=$7HeQX4;6nNx~*~gU>Mi)5JU5>$Dx0+ zRYRU1dA#FIqvEl=XMy9!6Zc$OCd{9Q{>W=tTlj+K>Y=46FZy3FpYJ`=I6W5u8!vX9 zZ8qULo_6^VikU^<$f>U-CT8s_GPt2mMLQdjbo3 zq}2H6>j%FpTYe5ywnRtiH$@QPy5wI{;;P^$oBv(nA_Psuk4K)(t3OV)%HXjDqpu4Z6fydC-d4lpiTrBS$Q~-?kc0)io;_C4(#W1L zZGX2msD@oXJ)(U_TfJgLdvHgiV$X!Yo2&~pioECer#4L6(EgR9e!ANTZ{zfdVcl0g zH4W3=zS{cS_j^j4#VfcN6iMtu|FNb=kqvbU4a%EeeX3Qz)2R8)GxeqG#Bl#>FX;y5 z*w-6sRTniVI=}W0Z&Vx$>uj}nq|EpF{n1*r#_6TK`Qw{gCQLgD-8Q7Wi0fEA`OuDy zP2`~$9(P*5c{E*TbI0pib$oyiBaLh%P;@$VT1)tk`mO3*QLAz(<+)|2uM+iE>N*}x zXKQu7s8zkwIK9&@^@YpCu;&~5PU{QFFC5z5EU8t|8r$Uj#yfy|^820Ecery#X5iEm zdV}b5wMI4Fm+6fxl5t_(X*H*$a6Izu;%vWmMg!}un`afyP#>g|S>f^iA#WS07isBg zoQ7P9cdtx4tw!soi@uXwP^(I7nD)*Uxgm-ZQrG-DtwJA7=X+QCCEJg$k=c@`ChYpwL7 zR;Ts)dX~V)xh2`iMN<4|Rnq-p^0F!Dw7%59>O^GjdYUMw61^l@BDt>)35SiAP> zkCn%~`qCe%R6@)H71Mp3@-T}`KE5gyLTn8em~Ke^0Lp}{cV>@=ln;>uN{KA z^lN9Dq;%SKnbdkxO?^(MZ+9CU6aTW5WU?{4k;A@tJkOtP(hV^xW>UmA0RK)8;?Ud*NB2ecz&Aj8^d8+r z$=0t|ICa9VjKNe|a-k=^({tTexc_KRUUnejCB2Kg-%WB4pT;FRmlh^}5dCC;DNtBS zujePt?hly$qXJFpTygxJn~%(=Y>IpE%4zwP1wzZ$dm?@Dk^;N?A% zL}`}}_9rSqj~O%Ke39~}A5)FL=$35I9j1LQDWE)?KOcfjxB2fXLp82>m7YtykT?6* zg9qN_S0>J%)teZ2Wb)edJG0`@hshrQD<|pkzw#*^8d{7Fx%KwffR6qHUwPs>Wk;AWp+4TL=<`h$k8Y?pa{fjOz=M%u;Rg*7p~JtS6yn{z2ANFSbW>C?^VM`XZM@qoF1;jghs61 za#-O-traTmPUl8TTs9;2WIhCLV1(@XDwmYVAA!)H%#%PSUaB{X9KpC9J#QP7{$_am z4{JQ0Yy$s*CRBsjVDiJWr-l#%ufQWN2fz9QL1t(csO8dxmMpIR35nB*u~_%}KNo=g zgp$4YCo&sOqk}2_2!#`|U;P}aC5oCORX>|7$o7EUZ+}7Kgx`e4{j$aDLt_66qJK~X z7s`*7g_6FIx>oVr23RThXk_|KlJEL z>aWJ3ar&D2nJh;f1C?k#fsETR^q)u}j~>Io21~n$yb)X?kvEQ2TtENky7P`p`o}PG z|GnyK97X^*qTBC}L+3ODX(QF+5IVs^11I?p=$t5eavZZ7%t0V?Dp^X-K<30Wus7cK z^w(nI5{+1__X#DEyTjP7*1J_C2)^J$b1#hb(5<=szN) zIn;4Xu-;CZ0~zf))a+$fIw+M#CY;h7d6Rz$!4oA{4-A?D!4uhcHb;8%6f|ph8qB9? zQWK>)(!EolSH(1{bPBpPIPCKSKAqjaGf+x;lv5b__NCICfM0kCILQPa!@PcJ&bS5> zooZ=LgqBp&r8!Uk^>Z3Oe$FKg%QL=C=~0>@MebHh)1u*zWk}Of@vcA!l+uWU3x}%@5=IDBlt2p7q^NY-H=vDlFNxpoLZA8jz8J}GStJ=~nvCHTrAg!WMr9q$;N={1|L597JAP{e^PdHC z)`x2RFv%M;JvWEOA~U1ex1LOynYrlC5;v`JZ{cGs&JA1CW@>;t4fI|0_bC2~Pg?M; zond-mzkQWN4;3rdF?$NUF9=&PH1sP4J3Yr^NX#)4nkgjT-YjKeB7}79QYN^>mT*w-51FfS@h!AXB;B$qDw*bx@V7YwBSZtgS zc1q80G#PEdC~I<)fiTglCVzg-C%gbxG+wJ;7<^(FwJ2v7&>R>fDjW(l2W8w8YDayn zHW5SKrbbwUt{)VE%>Xj910tXkC%%*7NnT_Z!gnBZYV;JL)@+zOO#k3Jh<7JCYZ44~ zHj831gw%M^`ICJ7t`htK=;IMljNhSr_q>a3M8x?i1}tBHqhQG$F}MugKoBfOTn6|& zWGM6+!5v~1L@5taCDI_7hEte3K(gfXmnz#=>0?iv5%nYPLF@VSBf_#sl8wDRf z{S!?IaXh^qFSp%d3N)Fd#H8Folcpf5)Kr0@Qy=4ZgqyR-@IAPj4BwmS%ev|L@B`&j z{r4~CJYeMU|80vRHGF@f;%)xprWTJ>^iL<#)g_W)VgFjB=<2p6!;R--Gvu zKT8w_3%6#3YW-{Q-Z^)l_`$qKM(2NSi(8rH5zCXy!ufcj3Vi5klq)#SQ~3cFQB$S6 zP-;$9?4Q&iO^t@4D8>{l;{K_r!r;cIX7os-sN3o(LvO<*QU;@&C{j{#$;eFRBy5i0 zNO)HW$@+Vcr!onYPo^2B3P_L&C$j&9hfY9N5R7+&QHQK}0`)4=vLVhjW;h*3WU+J_ z9n7%`syh7s!Q+A_yEH9DTzXi33Ggnmp8Rvmp z-+#r>hZbT1UlQtlW9Ox_`KSq}L>wveq+#PH?;iT726t@r^} zO)EA-{!gYcFsuQr?;W^=O}Hhwf^zm_ka>C04U#&Lf|B? z32CGff`6s5Q7NN<2b($#3MVRda2ilHqQPXEe>yonM@jB)7-rbh-cK$Zgq8Tzc+6{H z+;le}MJS09pT3%+ibtkTIss&-lY}#GsNtu>3V?EP^G9K-i&O^C5_9@nq1H zybC~!N(+FbJPx2G%chipv#8!WVTHLxs?};1a26U=pF1pwoKT8&u)GgV2GX%0a=MB- ziiOyAlx>*UU{p;6xg%`oQ!il`hbJ2liz6SzM#LGl*wC~J?~Uw4V54M4=vn_W4l>FeGTVLo_!C?U!U((Sx`IgLdusY71!>c?!6z@;T@_BVGl-O@|Q@JS`_+ti%rMa zJxC3KKBrd|qnjTM=J!=?pM0TnsScF&KsdF! zmGs&DVR#Ak0~x(2Hh z10_P*mB{Fw+M}3B_9#w&PxdH|X-}E+TWnb8SSjm$0#SM z1>BHE@zu#_$xhFL-A9`b`)IW^IN$`=nh6L(sgz!WwoxnZ<6}Ww30lRvTmXPdE zc%K<#if>CB5QtI%{hJgfJXWlCIGsszYt`8xSg2Y3GMijYYx?VT)RsmeBQ3 z+0DRK5o>{zFEg6iz@e6X6>%FH%)idS>>VA-=_YCcYJv`b0-0N_H_?%vR1xVY0)!A2 z7hTa{{8k5(AxtJS#+5FM<4x((fe$1-LszWU8Y@<_bY*}*IL9L>;wm{GEhaZ|!zTW_pBiW|1bPjTO4q7-90s843_Xxl z>58Ji^*i*ch_Rb$*g@vUCLHw1k=iwU|JJN50irLRQekl;{h6y4okEeWYzi$F16*ODBAf>uM#9^(S zriQ3e@0b!}Zn?Oi=|XT1XQ?W$94<4o-zKI8)wR}N8|OWQG@l4P=JuMw;}tX-%V%Z* zynOGgKWc_*mpFdRFn%8+dqB)?l6uzUE=tBXM7B1!*Bpvfygi)|bHF)z0COu`G?hKK z{$3f;jG~due|G(EPI9WH7U!0nSjer4p4tnlcGfX!`tC+|&!e9dlQnA6 zajnJd$dYpyz*AA^(ud3oI-u8F+Xj6TU9!T;g6Ny*EZ$0_p-*mTSa)vyr6nb9n&+GX zDr|^qGq5~&{zpXhYPSeaN|;uhJg#~4T42bm-ILyR^_k6?AG@)Ib}rT|jul20C65)i zl;6qBnTw^54dyF&EL3?di4qAyBxehK!a7=*05i~7=jhg`sh&s7p5Da-Bvj5yuW`UN zy@JPb8aT&U$YitBslbJ2X)xKsgq#Xb_^8GG&Sz?anTaJbDoHnKd5JKN*H>A|=0kB| z1%JhAY$dRoNuh>Ndehq)JWAFo58o}EyTue}2wF>wkh0Mlp%2)2WMQod%m6qiDb<)f zBA{UnkrOs+OHH0UI_j1H~0#)lms8D{5XBKt&v1v&f`zV zoqqE?_>a+m%~PV%h$&Kigm%)jPBnB6OQ*dW!ZvSapBDZFd!pi{-XV3u7dFc&RQELFdo zc|fR&lCI4jp5ucJM)rt#Xep#Nz1Vwfqn_(&kUgR*5xW(I9g96MoCc2rckAoTMiGGa z0S$oz4dpxOwjF@FA8}Md96&I?L0BbBfRmv*4nSU#h`GuE$P44?7-ZAWh%j?ZY7J{rm?MOl^_cWRU5S^$p8W`)e8sivL&OQGwFkPLSfO=@U$$l}oA}J-)F**@4 z{l7B^N&eovEpv`vVcIkC{kw@&^P0rLt@_&8ze`5dt3Or6+_kt{KiMe%Q!37L&9%{V z!gj^QSi9YA^LV_)o!NSRtES^Wwc>^xvRAQ*suc(5N+DwI1v>O)T)Ru+6T(QU@7O-^ zD6_)D0Dh+vJqLOrz~iAM(-48qb8l2$vs}`13DSB{gDh!=odVLPic??=E-ZnU z0(I!6Nhzb}D_J-n;kfh!S_5}DJ!c{S9Z8w#D2s>-+jG)?tW|753`KEEB~cVT<4$e> zbsD`C1P(T+SuE8AUjWHxQuekPq#jv{KsC^#`B=>g%=CIE>^!-S%nN?F6SyqB*cDVm zOfVb4t6q}8>{Td@J1A4pGJewXqS##q*%X@8tYxqi5Xu0PP+TmL%Tg)Bk0%TTq+nl8 z?MB6YWw{ukDNMMQ6DI-YSmV&863O`TgzRvA6qhg)km7Oz#m8V**xr=SLMsGegyd_O zw?h0?{$&X~*NPC-*&awXSpi}L6hJd^6@VB(kxW8%yip#n!B^*vz)E9}s03WC9p1Yt zMxybyd`7$@DK%HD(&Tn+ZwOPV&|M$STI)Ty&-n1!#V^goCF=uaYW!$yd8rS)1!%Sr z%o7$ud98i=2t|Oqm=9TPR4x&<5`N#X#iUAHwGs+dDk*Q}@BK#ORaB0B?@EYNG2hGm zx)Pa{@T?Y&b=@i;s?$|~?Ab_!T(y&$z;&$J3nP07&dwFls~}XRO88aaI#3}(Cq>p4 zlH9k|NUk(SDs|~VaQXwusjb#yoWz}F397g68w)u0CReK(P-<5%h9s)Xrb zqf_3C)-8Q?V$KcUj_m#G#^SkrKYlvK{t)kV1?B%*r_NPJN8?la*FvkhC(es!tuKB# zSyV!)is@I_+qKy9i2|VWQ={oKHi6&jI;f0QrJBrXYK03a6}^5?duk4&$-_!S z{t(TD?g=G(E|l!K-P}#h^uccW$#^VN^q^<@{A8HK{}c~%V3_YN5)Y|715swH7N^f| z3UR&lp()N@D9p$-T6l4niytUN&wl4US-;mQ4lZE_9w2RXz#cpP9eZ}KF7SUB`Y#$W z`p(bYMlB&)uYd2Ir9|v5$)`x1Mi(;I24b%umsf9`PQ_cgaMsWep8;{^E#0cpLl2R! z)@bs`R`^JBy+i&pY2eHCRU1s(8jPbhEHM1GO{C6f!va?eGHkZqaGj$@CWO0i3j%4f zHq@@Hw_4mpCY!t8ZZv%U6bpYNH^QaH#X%EF`n<7uVFI~;M#`N@$_40gRamhfR*==p zf}3Uq#nkBIp1g@HF>Nr)+BCm|T%pw^StAFkd5TPrluO8)Y`R6v*yi_m$79K;#ZL=O z`x-yvr$_G9nQ;19OHKM}(;Fo|@Wb5c=Rm>w`_}i?H$^2e>I<448Ems+J=)2RfH0HQi23|jz@GY0)`z;8uAwWgE^&;tdCf6<0#$2vV?6Q7trZqkhx+s>L}^d zwnIw-40H6{j?4yB;Z@rq?ML6W`|WmsC@I57wgW{G8juflKS>;!021J1?;uJNb8H0L zAzn(63?n-rJtZRa9lH?Ofa~yBPa6fs(4La|btey1zwQ(Q!Fb{9ej+5_36jBl7ro?! zc1e+A)XqrC@p2=UEc~{^NZ>m%AU4;Vbp|5gO#XI*Q6QglqZ!-_L4kl#DE=R{1!5X^ zx6y>uy}K^ICKwjjhie-_(p2_uN^jLJT2^WwiIgM}XALN?R_)qTYlE>!IMqgy!S*h) z7GoFK-(5o}uVNuJ{n0WB%DcfAQ;|)(fuo==+IFMzolLs~VSEBW-oG2Cy-xM+5sUFy zFT6_7N_`yu&GaX=IY9tX^9d~B4f;fpB%=tSBpvP^%;vy))Q#)` zYm7PNo=|^u=j3;-oqK?z#4NT4FbZB}6eaKR4{I`kh{0q+NBq6PYpB?fj^ItWQcwxp z2u8&l0}XItFg#muuz7rhnJRwi!`6F$=_2p^CLZ?^;*l)c4*9Dr{MQ~uZZ0o6lP(oW z#?|ilM}mZ!lSSM6{#_9U57hiWrX0Ckg3-PX(KurHQ@BFtIeluQTsaxskLUw)+ZmR~ zG`H+w9QP2i8v7}E5V>sPqt;ZjyOUQ=ok-39+Mg(Qm+Vi>oN@M_Y7nj+tzsuFKFYP@ zsEZ{JfqB|`P{d==!}acs78%$bu^VUm~&e{ss|BIk{qcfcp z(nN*WgBPrCuR<(F$P)gn`gtMVliI4-`x|QJ)SC;0G{;o=R2;vT)W;q2vWZYqbM|Rj zCnWToYB2q}51DDGJhJwAj*#}Ex(eiA>^=lSK%wIKOo`e<8ft3RF%qqSJvm7D2{l+y zYpsbi@=#YG4o<7(azh7BSX zn7BWz0`l!%6Anrynp%?smNeV~reH*BhR;W*Pet#L)UveF@LN>2|2<*q{qG;uYC7bJ zp7Z9{{orJ<(q{jDD?_T}BZExB5bg(36Na#IC8>I1n@4gjWG(6GTJK-~up zB5CIWt=HXY8TQT|)cBOWf9crKtb;Sv8XeKSBarf5y;bBv3agDgVH3b~M>bLtaTTvn z_C+FMXEm}kA~pZX(uhnVSsKQ7A_3U`q}Bz%P}vtqq`ddYbZ%!9_Mm=$zm_|ST|+tU z0^A z;SamX2fO;RaOQ~Vy8?E%R=W55sN1dAExqrxouW456)T@{0>7Y~n0 z1#=EJMogc@j|kEPo5mQ7!jIZ8FP7H*V-8sofnW^awfv%b)3#Vl_HfSM09SE_yvBMI zy$@18?|mDKq8GQBF?=N>F@)#w|Qv zFh!V$W&aa;Z{sK2oILJ}#(3PpAgY5n9HgI|hw#Y)p)DEB_2(0l^RK(z)qYtJ z@brA|#=qkIIiF3L2vPH1TNK6vkR+_6%phL2&G_(_RLr*GE$yD zvTshFHlq?+o3!De)Lv==Y>sk1ZgUFZ2vB^K`54^zqqBXoremVC$ZzSlv@95Fst+(p!qL#uY zB)H{6?P$iAu;vag*$0&LnU=7LLJY;n-oTz_BK2m5Qd(3IwNQ1G~F_?=% z>>l$4SYW(SuOyJr%j1`EnW!C)K@G?YbMd4IDACuR$|vGWgc=Y70-;3j$xbBpegLsR zuGLBeB@PSZOK5;dkCX&P{Cje*RFVPGcd#ZoX(%vF{Mk}3MOHMG8^rj#px{XRPG)#C$ zE!Il0oz&{2EX*QB;sXHg2~cXKDHv$tGd!%J5`n5yXQ5AzOPzERTc*zMryg8F?7jE^ zseoF1fP2!Zz1Z4Sou-Ak{;V_uV=DeVt=YMeuThrm4ZxGbK}14RCD4N`cUR3D%DS3LI*B%4w5w4Bi7}`w0F6K0Btt>dTNThuh>g8WSRBFtPtX+5$aP!{C0z(X?`YQxeVoHJ! z3*4oowb{Vk5ilXyd}ykk*Hbll%;)}`-!lh9!n0VAu0)n`^DN37qn|8R$Nq>GhRqqe66QGj80LB6`^~kPCc?)K0TnT5R#e}# zk6|+(DQ>xvM~a{56y|F2jC=npysf~n7fT@Yy5)%&$Y$2hC+0_ouK^r)nm_Jd{vjSM zytf-q`)g#kIi3{d?MhGBH#sz?3lEL(9ap9+jB`v$I7xNz1*u{P0Ex^rgl1(SkCr}Lk- zz3HmaQ>nMvaOSIBz0raZ=SCY`{W&XzZzT{ZUC!13%xH1~agG2X+YMuC4AEKVd=jX& z;ZUH}%^%76mPJ)Hxn2q@N1}G|qzHl;%pKa0q-F9e%{kY1@fjswfVJpUvd1Y>I zf9e;q9Qv#q6o`Ch*HHXg*4a(c@9obApANTpe!h1F zAvvkldyom`lk6S5%*&3{KBP`Y6IqZPx3k5k|+pL#+*ZujJl+W+IY5g^t|C4pFQo< zwh%lykDN++!@Tng^NGk=kt9?Sk@NZLU*Hd6Af7DS3wNPZCNs)HRLM}htSp@0{Zryo z-w%{>g+%j58sNfPlZDK^?vanAm@BR>!u*mDh5bdwphvL^tjH=Axtx)raq;pn<-0bDd-L2hc2CII37!wl~TYs z?l5;s^RSHY4N9`^5mOzCTf88N8lQm81ws!aAn$^Q=3mbBBs?7nIJyA&1pWy5I{PM# z;TJL1BQEcYKyYH0x#$51cO}HFhI7)BT8LVwE2^+aI z6-N56my}2$Y==&`oL5W7r3_?`67sc-i`+*MlTn7z-VaDx%VZ`&GN=p) z4qNV`kunTG(^i$GWf401DDa!aU#==g{0t~r{_=5g5>xzZWqHV<8e<6(BVB%^({}>n zvSZ`@)R6KvB+V}G*ABbyv1kTV1bAF1RbVsrHRD= zOKfo1Y53H)nA)MZDa@}WWajI?^*9K_a5|Yl%~;&%O6!kR<`|4`Ex7ig!RQ=wfMc<9 z0(S^AqQUA4=St^usQl_yUCH6w;9NmYzUnL6oP(@)^mOxu4&(Y5uC^jmFiHy;_ zc^pL*IK!)J!5O~2y7NTpy`--KSDW|hk`l@DO+PrvtKh#$ocGlc3JjvIt&|2vY`%t8 zfNUqd78p*7+R}E5WAC1~E4)Mo_cbCES=Bi2TYl;nG77v7nFx_AT;D(>UPf8h{hJ7j zxduZ@N@aK*d1lZ^_Kpx!`t=JpAP{+rwJQBL*3?=;ew=k~H+=)hduoF)1e4>~n*dYXo`X7}IwtSu78y;F z8s*j!)F=>M-17ddI))*JQXSty>Mv0pzwC~q(GqDqRj*vTM7j~#@(U3 zFv*|2Jntaw7fLIOJ9Zqu>tG*6+WC{~o_NH03EjDsiPGG01o>qRseKT#tg42%?_SRS zUy;?uFsC$_M^qz@0gDTvz`1np_kxLPswg%YLC=Uf9c|`aRb*NGf`sp`0fuaQ;k>y^ z^nIif>h{yPHy z9fALjz<)>Jza#M95%}*2{C5QYI|Bb5f&Y%ce@EcIBkx9 zM&#P|d>Q3rFy^H@7Dch64owv;|$6!Wo5C8pKdrN+q&X3lVRjyt^+nIW&_sL!QBqyIFt;F$7udj#EWx2g$2H%acuPi0H{ge}P)#T;R z%sb){b|rFDz5Rq8!#=w>Dy{Nrs^M}B(-{jZJPtkK4`4m?Vr-(_!PbFfV{69ZM@2a$ zHpLBvilVYU?7Qv`ADCz9De7C7)tU|Sy40T+XXGp$H{_R?_r%|OyPsg?JY9yjQlmk^ z7Vp%R8@$iUFjfa^6=k!{rpV5czbC*LSZB>X>-e8y+co608^p84rFN|4gaSRF18Y?^0GWj%q#|bh7qO{8jNu zG}T6ik>p}kd|BN5iSnn|jZT-2_x%uLqNDIQa`zACa`3UbzS4!pJpB^se5tDF%z+j?iObfGrjo)9@1YVYVMt} zz5d{4jh$Dz7@7MzJfY`gV+xclq~3 zb5DDwaksLu zQ&wrR*#Z_U4z2KbKG~}S-HaQXG*mCv`k&cX6BFHSP}x*v$+}xRcT2pF8a-v2<{x)G zRqp0Wt@K^EBO#rC$v};NhPX6$Fe@V7+u%7v@YUT2MtziCy3_RAw(*rI`j(8QQ~HNX zw(`fOs>z;mOIA-{G?%A)b6(03mX`-!3g)6KNG#^JEjPpWz4DaL6HGzLV6}aQ z+OaE*XWA?5p5awrUMH)cyvvoDS^Fw?rmB{<_!s@>pL z2Wy(BfZnix;K^BasX3+tq4r84@dw3QvJEy#v#q?!szsY?-e+pq>uyuKkRB4TyGPJ9*2%d{ zU+eYZFR@*ghQ0&6-vb1foG9*Ar}u6YxZNwNFQ>fh+{F-emf`y|j%TjN%E>4A)Zbq# zCvRpSAlmgxcAQ_UXH7>gb2_7lYnWoG-7qew2@J2Xl#K*eBRvQ#v^IdxZ{;im!p16@1KZK`ri&#nzmr7fS$ zWbr->N>s;AR8C9du^_i_o$c&Pi#F1YOOy=-L;UiyQ|3jhOnEoO>}k+Z+VhqvjtjSg-l;W*E)&(P8?PBLS=8G@zNDdzI=9B2_ z5#0O-^RkzNj@SI{C*r;w5X@jZkGZ**yWGCI;Duwc%-(Yj+*}5y_yhy8?an1gE@8Vq z1s=IQ&2@0)cGX*JZ#I{Az-7luM#PzdO(F6Q9F~dRUL4;HZN=quik=)&D2Un6`@EpvXj4)zI?yF}pP`@5rd3A8bjOS8^B#N1 zQq$1d7;Mk`T5W>+Ex(q3qgC$KWt;mJ6)U?aWw6`>p11_v%g!4*@Wke&!zy= z*LoT#SvPZV3VyhsxPjr#Dc*V0^!c<^7u%A&mlUoR66|@`p3aDA{BVpz%Yu(J{h5H8 zZ{N_0i6NK0mRzpi1xDzuW-;@$SJVrHoE%neo2A|2u#W5El*@+>d~Dk@e_!Q`xOIm< zouDmr{$(1v^QZV}oHevl8-=w4zYk0-X7fLh^jgsIgx6Pvr-@11;9gj#x~L4xd4#7i zdBRkL**-?f!UeqEl1|x7fix!_*4zcc)%F$=TLX0OCDJOT)i*@Glh(POo3!|L(nZC5 zrckj~&(P=L%QOeN%@d~By_<2eDt{(&KbF4dCO4A6l={;-a-M{Pq`CdYk1SD#Q)&_%_F1D2=a>RQ+MnI?0K z3eVNbT-7@|K$m0wX>m7F(NXx(#+dDOJU?Sxn2Q+kb~Z1aH_3_IoN{OMg`}Od`XJ|R zrri%DjczxTyV=6ST*#Me>nLticGO?_d5-7GROcw>;%Onq!S+f|13HyFZ^ia+iC3{^ zx*P3UaZX--tx`$)l*MxLT}u~*sw5YWixrD2Dy`^S_u1gRHD8i)Quum{&j$ff`{X;k z#T*l7tT>Qmeb8-ZRTFb>KHvQIRYld#kIzv#dJ1#PpVfAe z8TMxGNB7t9PjBC+$Q;@BBIxAYt@g4yTlt+mgJWgWnStMjt-YN+6J5&yQLH*={T+f$!hqI2XO&1qRb(FYXv0l55MyI##9?#H*KMo3y+v zf|;1)Jm5AjVOh10xN2CcL%Bh$y!x}KNN>s0PwWf@<+_gFX)(xc{Am*>VSe`1hv&%w zIU3jE9p9;~xwVdE8!cL+QQZI_F-NiyB?cvu(JXKwEQM9l0AluO!my(k10oWGWL2JZ0roaum<8Zm~G#uMyDJ&ryy{t}b!X zm(vQiuiGO#%l+w@2e*q#)6C{_B)YjbHPy8R+>$LAj5;Wx`{;|e(13()qF*1cV-!nGLg1U0Tl z$+=aqG@`ki9nXG`(77hWr>8ySJt(Cp#1eGW<>hxjCd|#TPkFCS^4VMuUzX_uhpi(* zB7UidpRl^}hNQTKB=+Rrd|hYqpzvN+MQ)FU(A0#s4}wLu480*KA(s64Ebf?Sw(3`r z*{!iJg9oI7gr-%dJ;8PBD1DT7&$`B{CL#8-4QrRGYwL0;hzqHIR`fk}w|<@K!3n|BAnGr-V?B!EEL& zmR^~7TiK^&(m9E0+%7zyXUwq^n(N@4Aup3_Ba~#b^8QYCnUL8!&Ut*B>}7Wv&T&kU zk?6Dv8jhTkc;3vK0TcB;XG^scfKa=N7ymh)MYQJ6b} z7L_J0RN~^&5~R=NP%C!umW`cSl7P$Q;;?KXk6!(4t#0;Dthra5v=mxK(><)Az2xeu zeJ6jWt~MzBWYxqR0*4kE|zbhoPV?lh=qs*lz7O)b&_#f*M+I^Pm zOF3hQeNm3ac}0h&y*E9s+uLUHZAsMptdTF=La#Iu3Tw_N?3H;@wqV&7hwhPfobWNV%;Sx%JvTB!;98=Xg&Yo8Dss634=(cI%=TExq z^467m>$PZ{*7^kTCmXu5;#%C|j{SKhz)^g{Pr@!ICszfU$3zp~2&*yrqpw2wH!=<` z5H)9Mjm}F|SKcw_@Slav?J4I~0$8(oTlu<#N_+I9*pjTIW_#JgbO9mu# z)@B`>e~ec1?t{F<#ti>Fw&ClUm#3LD_2{sdofu+=_I?`>vJmRC6`XDxyL4lW6>aCY z6&@METVRlBGtOvuz9m*?(G>ZMo6ZhvSqKg7RFc$?P_>sVb1k&fPgDxC+MX;RAoO!? zj2@>w|DWClhId_P#tX8$#!qVxmES#eCV_P}b>gg@Fy}Sr>oPuGQm46ZT%0o4pldI^ z&#i>-VV^L!Lo0KNfcG~puCy=PpNRFqHX+R4Wour`S$aV2YGrk& zfy9c)jJ1rZ4P~<{nF+$0+4)i0PaI@*5>;M{eYXhA3D_>Dlc00eIZRGRV=CX7Yby4< zGZI>36}W_FKj}8B5TBj2)tly%Bh0tr<^kb3AB(PfGb6l)#p$k&##s#h2+bqH#^dfK z-Zm^p*~Y!4-|TKAYg-6gNO%-DJh-QPG10Y4@@1$@l(3C*s9 z>@Pi4j;VPdD!*L0Yk|WuvoQHnnzlj(vg+b($DFt89_BQ*;oZWKKDr z`VM(TM&OgQ9|^M0L}nIUYGr(KF*cRg&pv!*^iYzFWzP5Husgyu`tI+-agFNhWQOI7 zgjNm9M++Z&A8O-tncv=8LO=Fs%BVN%)xe@MadWHVV(hdM+st=6IwN;P2%pl>-PtT< zlDIhRo0P=t`==MhCS=S%=*1D`QWqv(f9C8`z3?Or-341yLyKg;uxJj($(CuB!si0i zrfG1sYcKFq)~mIvoVwwZi%)`Z@geR+t#xMFg}uDH-p~ISIeU(p@WoS618hB+uQnwI z7qA6xZFKdO-?04h<0rp!5gp;1f zdSyiFAAWo1*PmQYE}t&y6hAPQ6F&JsG*VHTSB+!7SBA}Lc2iWHdVlWOyhQ1(_sX}d za#Vk0|7_b6t&OSy-1=G*PtSU`j+ASyq*;n9s<#IFuMqugl6KN1^^chvKUiETw2pz7 zhuKXUwyCu{9Cg19h|+{#XV|Y0GJG04yH3m`k-ByHWAf3q=U}KDi<}(r*l#<}*@7 zf);*Zoj)8tyq0^g@XY}cd9J><_Tuwysu{6poQD=~G(0=RSs^k_xK}iZ^Qz7F)ay@T zQ$5_X0z}xVuS60#2M<*lNR{aot#M_EwQ;x_NeEr+vJ+9CH^WHEv0zJNxs8H=wOh_; zlZduQsH?hy`cy_*%A7~-pDjgZZh1z_>z#-Y(Lbn?maM3=x!mBCSFNC0OaS3@?$u zXBX3YGv`@~c$lZul*o%1gnQX0J#?NLY;!tD#LGSH`-?NKDksX04>Q<}tg_XGXRG-2OryPNwbgqR(>{5~K9pTgMG?plCs8h_VuV7#L zy5(-~QJn)KyM9LLtL~GNNmOg87ExOx&IsEZ%q%L};=kwCa`8E_VSVh+UhJe7r#9`6 zP8K;}D*RyEUbbYd7|n9`E0Jh7_t(KH4=-gp6$bN*#02}bI2M=)4#-D|9F0)j<7IZt zg*PoSK0zeu4^Iw>*$z1hj_aZ{of0{mjXott>6vDvEx2A2rJ+q**ez@@D3v90qNb=Z zO?F&i7VEq`ccs0gmC40VwJSw3UmMWzXVZak;gHZmb$rZ>JM;n)!r8N{tJO&uOnITk!T$q}yGO zERix-HKAo`-1o#Xb7=DwcQ#dQN*Q##{BU989f7nP`(s~aXvpU9J})eIEyY+g=l zt4crQki%&?|9<)W?XvPL-m|j~WQ%Fvz04FFu-RG*>1-GADgpq>GoyXH;-?kgGciC5U$4D4wC9eCx@2)JY)ahbq@~2MYSJ7`C$}`?y z+!&`YteA15TB=5*J3LM!evZ?2zJTmDE9FC<)0{&zBu?6&X|Xn#QI;so$Bc~6d`DBx z3=Qs6vg7F};_%Ms+7a%G>C?yg*c)xgu%n2LeOpgDny>tI(`u+d-m}yRH?VJr` z8^&g0&W9YwHm9(W!&0Pl(1{#EilH2PnNcD#qPFQRr*bGANTf)SN-9dF^H9Aze0~0a z?|<;Ve!i}suIqNYp3leqaeF@MjR4)CRn?1_QI#NoWTy0N5UFA+{_)Jb%6Ci5MKSV* z?#66%&u<04MZwz!=(CiIaTQF~S;VlihR}`+D@vvTPi{RK;z^GpR1KsKemf2T?z8wz zUXzOQcZ9lKTq7f9grdnKxfuP!(_%FRRVh%{5U>rzdd6w8lNcV%!i}M#T1@ZdoVP@X z5c^?@@Znhqq?#Zg_626f@Ip%vn8P`6tS3PFomnn|?TDN{iqIB^oC;gf$TbyH>48tP zH4f_j13M_%Rspgdr+j4P+2V_*_N;og27)lSMdf$t8OpqH1sRF-clQy7PkDE^{50I< zu(VpJ%25IdVe*aN6}&s2<5ysQl~bgD`ssZHLp{Uxao57EExRP0skLlrPnm6M!+Pg` zD+O`R?O27dNwu{ivOx*)C-CQY5G=?J0p^D4Rr@MbKsj|r6%@8R4j#OSszt2Npw&Z$ zmQZ>K_k@h?iF-Ij85t|t9$pBq@v@4f)*U`U;ErqrSNiex?{%#!A1q22;s5%E(4>tP zjk_!{yI^%J3la2$-#pzaolr-u5Bd5{XcAa$kTIbytU^Sv)H-eLRl>0A6Ep#n4&$Z9 ztrE~0wB2YF-Qz`*o(84yBK-Iuj!%3(R}MkM*c6Mu(SrrX=rHNmh-<46+x?raO+lu} zuqG7_>C`pcYc=CNlpVWb-#V+)B7>G-78>gc16CpSrZ4x51;WzC`=@XAGqw5>QbiBB zGO3|x(aNbeIq(APri~Xs{0X|+6(zykqfdLK-H=`~QWp;D>WHeZTg9EpFSN?aoZ>we zQJ!0Tnq3?Jx7Xo5BKyns^6%V!2V;G8VH4t5AasVQLuBiIf?ESwHP?>S^kaoyhYF2V zZ;jESjJ)Hme5fZn3;CWoU zq!Dt*ttw5Rxx*)d?Of%zMF&+^TPjdX$`!dI>d)(ZVpL+{@K8dr6MeENwJ#DeIM8hp z_ASsms82P3Gx7)>a+s>FM`2x7z9<>A%+U=5 z%LrL@*L@>(%jI4>%_No^eZH6U#|TvE`#CJf9lIJ7cMi#}2O5gZS_93khJU&uMgW28 zo~va!h_NP0n!qD*sOeIkJpUQB6jUr{vFYzfkNSv3WoS1|e{!N*yVhH?#I5^IOwDRF z*uGCIwl>oDcg+|@On)j2IoNze7xAh^)oOdOE&ae5Q)yZW;tiRete|~D6o?Ux@0qvH zO_L9e7l+xw)=e#JE!r==nT7bo5@-tbb348DUJC_NOofHK$8^>z}`kJ33)JN=_H@p-$u&k_NuoD0xRy z+nULf4-Z`Ztc+YWT|ZJoTkU@#UY)0h)cE*OXjZSW*~4U!Ou3JQLwHR)r4wvu)kuqV zJdo$844k6WAW^zyuggKsGD(;-Wej|&SAHLfvy3?w$4ge z?tzpLxA?vCS8mnZhKEUvHMJT}kW(m5#zvi>`mn0pgP!JOJPg_^bP^(sb)g)s@ZEVt zvcm}o@Is@3c1~z2P#H18zox=Ls>+badr0%%CUXZJ9g*s5B~CK29z1!SxVx5i(Wn4_$KeLKKH7sjFS z!R@O)Q}2BsgNF*@wZ$onxIpWIG1%_N{uTDWG818Ct#bKazMjGrxqlt+E(7&~9e7Z6 z6dq!tlPWpL_MM>LnB9_eI#kSEqee&*h9mG+<7&u+)F?wC;N1M($0)@uBgs6+#504g zGNP1zsNMo`2?I$#Dia6Lg8ePW0i}(Q3HmQqPQ7e|L9`iU!-RE%3YBJat@>Wtb-Y!_*B5@g9WauJ+)c3L>8OmF*8by9to~j(FNhvH5_7-{` zsa*TfTEzGP6Oc8+)p$)id}!Y`pE2*u{c(uhKLRzTMb*~vX>Ur=ZV&Hwh$E&EB}|Ix zxxh_-9;_M%_`SyO3dG`oN5cwTSnn<%bF34YH>X>dfXhOYm{}JTVlEfRcpoN}} zPn3<*oO7Gq>u2g@Z4154-WWC5DQD@i+sN4~y!j$K8>DD{G`LLwBAbGJPU^w6^*D>8;<@CSHZoI=d`1Td_u*CUARyxgZc!wT4`jV$Ee< zU06}Z^(lne4Xh_B0X-UA1r+Lc%~nb!_?;9u5w&(cK-6Y5UjhpX79HJ^iqh5ec z_0aT3irVI@vPW#=WDogjlv(&Up*6sK9eSfX3IHV#AEW4lD22rVUisJJ{SWJ9i$o&I z`Z&M5zI;k^Z#@$#xT1`*ugyCkz0b{3@zOOd3)qWt?(Dp|la7c?u{(UG&TbOrc5fOk zvU!d7616L>-h7nx23K|KO~QgVpz+(;2HMOlGDnHHs;U#TXlJ7fTj3dnI#&K@iORVN zk8j%I2vpEVn(SB^3xT(YA>~Q-5m6y5Rp;~wYk*j8p5q=J1D|Y2%|V5S%PtBUHn4+y z2&BSI`*}42!gk%B6d`Rx^fCD*g$_2%XAAvB@wOWNsgbOKGw-iST&{?G=k#AT>}K28 z&hNaO{ZxYHQfeM`U*V`+GQBsyXM`eZE6(TwSr*!6)O%#14!q7Y8MpBTOnai0Ih(RA z1Co_+@nq%eyKY8$W~?|ayti^m1@gMuM8b_x)NmR~xc>bT<0vZAbea@I*_P6tLk=AV z{R?sI%67Z|cL3oyC&8#>-)v z%_@JW=t1_oX+bXh&5k986eT)2LsI6@4-YvLQd~htGs&j=O_SqruBb)`?Ct z^!P{H*P?A>mB8d+DbO#osdT%%S9;q>8HjT~ol{qV>d7rj3-K&;5No4FUZ{e;>iJDN zhIb0mPp`CENN-(R3bPv-BD&RW$fM;t$62C0mujuG2Zv?P%3(^De3bvOyFXtsD065dua`fDVGVC(NL2jGq1O57;ZH|J3xLcqmN0)DRgK3CkxPoRf}^b`z~?G!a{ zVfF{ntbj|7Q{We7r(Jk9MQ%YJOKv3i%MjidR?d1>6yo?W$>#Ofy;Wb9t=iglCC#AT z<>Xk{8D%k5pHcNcs=SUVKJb-Ds2Mw1;EYcA^%dxtaWYVseph%{ zvWW*G8ck_WDwc*O7n_0vOMu}=_u=3H?VqoDcmv^{yRH?n#5K!S=BPhEg^rA!RdHNb z6jy7>3JqRUB@HmJk-%bD`oW?t_tC42`2$@Fnl@S^bv;Fi?qwc+4;}FkOYAIi* zU;UsNND+Nv;nhgIGl$VgPO{+%lw*&YnPcG>`@$#lXsqzr!s3#ZI~sr5#*1zSV!K>H z>YKeFq4f?&Jfwrhq9B6LC1}zeejg&3>~P27K3n%ze2pj*f;M{5!@s$^hBo{Xf;RnO zPmEljzGxAEHisMfa8yQ7Av+WHP&Mx8B}te@ewCV-dPJ1R8wx(vOrH(^K>P{+raG&O zwrzLLv9lC^v4DlX*1dstlMA2l7>bist%}H2d_^Z}T3>{&sd4P;g1F zZQ}g)>14F3k?E7>3n}WT5+!;(kOMQy;iI3L34y|sd9C~6R}FtscM_6 z*}smUC;BgrU+~0f_e#suRJ&%;PaNM(p7t=wdCn&0tvCaZUVOd?KfU3x^pqHvs)2M= zn9rbRHC@IB5A=Tu6vro^-M~Tfr1-Tzcg3W&SFz1u%>h%M9apYG!QBh?RX%(zA4vsV zT2>DZKzEFO-oUTAu;f8RE8?tcDM?&pWWC`)X*xDWDtGlLxWh)bfc&ZIWIVc!t zjELhecOYyD>>)2bf*r;9#TxAn178KKzk^|7jy5I`$RMV#TI-3|A9}(=E&zUf*aOZtsck0IfUn~n6WM8 z&bwl^-Ruj;e_vrE8q8vEv1?;iOBN>fi;$bb#>Bc$dYrsKV2tim<8^V%lXR?d_Ymcy z3VJTEbF;=l19rA9X4}Nb8O=<3>S(SLypmZJjjw~{-o`faIbpK3Snuv7i1HH_`EQ(sa98A z{`F00@jBPigL-U>(U}82pY)5L91NkX?@IyNcHO~UFA&c`&BkeeD@wn}9+hM7RkjVw zltsiDT2oNqG7t)3sgS{sFt$bVD?YK1y1 zZDk{~aa#&PAehFO<+7Zqg^pkOvRnKtj5Ljy60E}rb2MG!`RKakhW-UN-s6j9@!?IL zC1O#v{lL>AH*-wud7)kN^0^?*6OEmHHkBVT@q?<*+VoX0-IGo7tGszK{?&ngE1xyj z0zU~%Hr2Coz&WP?2ZBTAO{cP4%&k=d^J(#7*b&U2u6Iw+hZq(;yJ+J|${Ehkc!@WV zbJr?)ar{#&cD-rjitcl>WcNH~Gz3!okRk+d+NS@2%T(5!Ss5;Jq^Jk;FnY4Pz?V2+ zEw33=y{*JJnL`Tqi+OyAw=AzI1z8a>za%G>G0)0RCKeVNid`m8o%9VqbNfY;%(Wn> z9Z4oDZv-1E=C1LY!W@@%6RKrrmNKc(f*#DbJP#Yf#%)=oI$?)ljY2n5v(;?1{2lY^c}MS-V-Z*RZ2|># z6&CZTD!2}z+o~B8TP=yzDDx#^wLb)OmZ5`LF92M({=r}6<<{5)J!Hg^g_HfVjS>7b zsY2f?(Hu)6xcs5Z6x2CEfAx+bX@xS@$WpvFTj~d%TDcosgmQsO%MPrm&met6<5ae3 zr0#3dplT2jU zY+(Vt!!a}RKpSQC4cf9Z7{h@>?pUt{;t3NS8k_VuWBeFeFt?km$`6jI!1`#3ZN_OC zJ5hdGIlHj|_>~7fQgbg?E+xbV1Y6it?reoqRi~H|eLOAH7q_!XBZVGzF#j{)cM+Ob zW=ikGMnnniCs3XMYyb=uZ;SKBMkUF=nplQ0CCHF59A5=6EB4d}e~l?#(Pg~qrOsk8 zL+-VLM7F0k@NDR)kk5b6D}7ArE}!%iu9eJfj$%8otn(eacl_2y0dhU?J?+oo#Az5d zf%bk<1nn@6LoMoHmr~lVghQ^kw_Xc_i&>egja*)&Yp;k%_)B3Pg_Pb`N7f1T1cuD9 zjYpm>e1%`@2U5gQIar~$XNCZ_4OnN(nZao&=+pDZHp#}zScmXyB(JKhkGU7Fm^D5v z?!-{;pGYlw=(kc`fhU@5HwQl_V$147_1W45wnyn5^b1x$)_omckH?<0AB>1>(^Iy6 zUyx4)OPGQsY(muo!xW%h#lYYX;-schNvy9C=?xDtz1$}x=u4E-@& zVt(-Oof7PI2^_3r$kAv9G%$85J%>s`SkFV|{Wq##yro4yaBNW5quv=4*F0-~P;ea3 zxCvbgdMyECSK#i*+eoz`&D~e78$!d`V2-L+u6+si0XT6QdQ@5+C%SzzX76wTmWZ9e z@!k)DtuntHk&)7!jc)g9hK5TYAi!(1!k>&V%HoF~4*}o>CF+&W{(27j&snm{GmAlk zjF4kxeTN>vV(V`2y+PMZH^5*ftHsvb- zRyKUY6_PLPk$0)P*n;3SME8~--~*&STtHR12Cn?w#&O53`VIBxJ*mM9%59A{I7#K+ zy<8p07|IfGLC-LyFtKeBuk-Vn;|=Sc0(dAclekXA+C?$jTX*IeilKXsp8XtDK?Mge z6BOs#Wz{YI8=oGVt=g@DyCAunOliq|NygZjt+*?$HTTYbxK<9^rXiJ>9hcQ+;V%6v z(46ii30!fV$Y~gdF;+bvwyR6SN1NBwZDMcRW>8*~jZ7p5@tkeg0Wk($4n@Y-eEPc= zgIfTHqkBL1CrM=@0xscZC?OM2S8_Si=$SYh+Hh$Aa&u1r literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/__sdf1 b/bsp3/Designflow/sim/beh/work/@_opt/__sdf1 new file mode 100644 index 0000000000000000000000000000000000000000..0c07e36c7b9474435254e96f4dce8f366b5c2ce2 GIT binary patch literal 26685 zcmYg%dq7O-|Nky)TWd)!+oGDNgb?e}y@QZKvqGUVYeN#Ii=^8e)}`DUN>ZvpNT@;R zK4VGgqKRU39i|zhrW!NTG`BNze(y8wetzHnInR0Koaa2x`*}a_%j^A$bnd6`41c2w zbH;X>t&u7-v=^;r&FQ8eTRi9D52IWyKZTF|*?QB;Nh>B<9{<5(lGlsG0~0Q9-sCf8 znd|4|o4-w%I(669E!8J1uOGdB$wT@g`R?~yx{C&ja=NqnC{!VS$USy{$q`M*d-z*c zT+E4*-{4jzhTea_qd`Y$(TQr`&qxaCSo^9*`bNn#opK^ZC+!+K&=Paf;X^p*jERLE zZ*gS%gS0zM(_;H8)97w{zr3E?lD{EmG3T6DUJP_*x4B&Cr1oX^+BUk@CL-^As6&6dPy9%NdPvxGY?4ql#|l6koP$y}Gl%-_#_6gSiBbyWKuj9d!`@vY#H zH`hA$M}OUVf0^)VS*@7|*?GvS()~*A;lFc+^btel8<8D_Y5BQ}67QsS57)bea;}PK zvpaQn-i7Tqb!;K?xtlxFqI=f}^)Wu*x-6nP&!>64@5^>w!7rUgvVJ>2cVxY6>ipi9 zoYQ-)OexaXHd!Fqezrp{wz6{FlbFto_V+#Ad;H^q=-TE`%=x2B13lkmu<7|s%)O1b z-}t4<{4l?R9RKS^y2LizXzkmuFHfB1dz-_CTiSLi7JE#-VBUM$r-43ukR~?SNBGgpph;;5irFQ5{iK4!FOr z9TR_~mo?jmPh$Tdj_B_guz%uma8lGNTv7T0Yf?z$rP$4az;nahlMA{cx(kM!8yWEf zI`K}@Z#*Tf$I+>2;~nIB)xa^7S2b*O_{gtE4pq#oqSSgmJU8$y^j4(NlaRP#G0n5` zTzeV!5w8a;muL#z8kp?%l;^j(|D?oWwG;17{xG#|rM|DpGoQ;_xbw%a;m!+H3+Bf> zE2&u6UZE~6(k=hX_38ZqUgCxX^M1)MgR7Vow67;sMcT}`QB@q>VNrf@F+FK_&@asq zUD0oyV&_#2Ms}R2kcJ_*a}MefTGcj+ zXu1+>p9>TJvPGJ*Hgn>)A;n*sr9_?V#|7DNRK=mrr^drNr}zYA z;jmvfNl_Z|E$%{(`(di{!=3b9da>fSFE(Py@bmAUhPZsVWjeGkNzr!U{&11|&Jfa) zJZ*cikX2D7s|=W%($G?&(VP=k38kY21(<75%jCNqr~6|*4*mk%dbzRO8!`qQE5MZ!RLkaCyVJJ$DXa)fKNQ=n0+ zns%(;Dt?r*bWr>!Z?KD2hbSC9&}iEDH(lbZl-i@(zS6M@Pq)E`l7~n|#Jg^<{v|i? ztQp#YBJTGH*3MSAPpc`;D@oG4#o}9DhfemlXx)FXw`Mps-tn#cdgm(5HHVps>4kZB zHaH*pa6Z=d&Edbg8_PD6a|OR-F5RC>b|3tS-;9T2S%tkzL@L)8+4deyUw^bU;|V%P zJGm@({H&TSGP+p2`NZTDYNW;A*^9^fII`+ue~CPDDEx(VV-v|TB*XAHW*&LuprPyB z#U&p50dUe6evWBs>4o(i1KITn9#Mji5_^qEig~X7|ng8pY@V`!y)&Dx3{_PB#aIoky zgN;0>Sh&iSlNsOGSr8rhrP3@V>>0k7RYm{n5DA)WH(s}=eTi}HvJP}1G#89CmqXLe z(4<_VO7bpuB=uws*w>dR-_{MhjCeDA?L)+(U`=Deg~Qc`d*+V=p`*$Y9gEs%Va>U- zFB+aNG5TMp|NlCb|9etz`M*x%(F1b}!?odo#X9Te+;?N)%kKv~Un%g4a@|~Ae+VHK zPIBHzgYjR(rh3zGG03%eWtICi{YYE>^S_<)xc?fz{@YkGJ>s%%K5T2HxuHRcI*N3t zgk8G%jsAO)aUcO^I+a(LtV6A9F$-gbKutN!RDBY{2{I6vsABcxp zz|21{O=S5nBI06}+$T>?bk7U><8$eaNE<@=oNJ*cTwo@P$r8uedFFJ{rK8tweimT7=vu$ z%crgkiY4C-g%eAlHdk_;P>|D{9w;H6=s z>{~iGZn1HtrT-XiGXx!UA2EY?^_hCuO+Cwudw{)}l}Kj&1oDt%Qh90igba)X9ZJEu z@W3uwbKzQNRDBvBdJ#mqsr@nK+Onv@y5hYXvK*o`oy9di?GgE2OUK!s&k}Sy&k78^ zsXqEtOKN{F&W<(xzWcYD3xj-2W4Uu5S5WoS(TYXXEor9h?_HaM?c+1W=VjZ1D{Fmj z&U~j@9*)0^h>m}^nU+vUC2KB{Vmr~M_SpVgnVZSi#2ei9a=jGK&v^Ds80e#2jmg5V zuP9j}+dCkb?b)<`pTGQQC(_s+vx-wn3}T^VCTPEuayad=qv#!Nb$&nMtbZ=JJh`vk z<-)9MkBy|~d@e0_>xhl2X)69cLsxeHVVZYlbJ1W(Wy{<0Hg|L7<+OYTzv#7QPqC!* zgt5!+{#%LQbB3Eg9gkhocX>eU-o`GQni;QFetNIlkgThe;+{y_+N4+Fs{-5U z{-2g>uSxOS?3_4FN4>(dG#c%%b;FS%hp>`AY%h{VGY6A;_Sb6$H||vSCYXOZ^w9gu zOkyX(-yG1Ihdvbe_aSEfB}jAcoSk0;?IacJOt5lbd`8!7Md0+D#SYUbpIQ@!dm?aK z{jI^1QpZs+UhSqr;g7e}i_iDuNL;MPYI1j-w6xZTE0ORlEd4%58T?5#A$vBO_FhEy z5;;LWcF6`OZII1>w8g*&Ld*F<3_!QW6C>q*CVd}p}>hYD!&eI+AsGw z|2r{Mhd+b2gnhh4VBgDNPnMBCEmda}E@!8ZvUtx!Wz?N7tJ-*)sl(*R70Ws5U06H4G(Nz^`bR zejo!oWN|BT4y^8met=}vueAFcW2b9LAc>oLwaYjf9R(q<6BKGU{a6^VOJ8HU$2@uR z_vql_{qM4kbCyb;1b3=uGG<05{OI$Bbl5#FPL}MhURa$hSX=bQ zbHeNw4ZY-c++{QuwQ;6q()3|plb8($2Q^~{vUCSNQGeT?B3kHyBzD%2$-YdG;8Jm?HGTj(G@vv|-5g zowBAQ{j~I(D4u#mck)P;u33>KUeXi$vDp}%unv0O&@4DZlMku-?I(Qwdv2lU*^=g> z5>nq^R-a4id*_f`uQn(*cP>+u&GPt=5uAW-nRI$M8Z}xwC~gtY4s~-lm|C$_|8d%8 z+qdWGN1RUU%hsQ2v3)b$swnRdb5qA<4Ee_n4ZrprJ;g)c)~+)i*l*EWaJYL}lrDrj zR8EmNVztk@Osap_;Ce65qoSO#$;VUge*JmyxicP{C#Nu-Zsn_7U8CHj_Xpjc>c6_> z57HicR37ZaVU7$3CtcMbs!=ywk6+Vsv{9U{>L1A0dKoL0G{T3nbgn(IwoIRS$JR;JE)V446Pim%hN5U?e?JERR1&})*p4xeI79tYkl+AKeXR% zp4^XZHBMZuGB)w=}#+3;ITOEjfKnW8f#~0dh@TnT7_&u3={4nX%xg@X^7_}p6fY1 zym1iHpWAjX6e3URVPX)s?;~1VVq*k9xBk<4;>$rh&{AeuVA6r~ilWu}jb&9&eH{EJ zGWt$}VNP$^l&ji0nDA%!27k^Ft!J^HRrkzl8}uZM+Us}d@Y0C6IMF5*c3%BEdHv=o zFx&M+`zkSSX5O0(S~EKl@8A2L2mk#Nv#o6Ln8gk-bJPE|B*l@zKhGN6JBY#p+{1)5 zQ&tDoBR3M?2o(wI2IItYbrjBR`q9z(UyPm23q@91zWn{$i|Ae2c(523PBht)Uk7q> z(i&p&H~h_w0!u++XTIJg?RZBFPT8%Aea5DhkFih^%DMfT&(6Tpmmsz z<{3`gY>iX1`Fiotqrjl|9c1d(+ku?%+2y={UbCmlUpfWB`FGv0aaBc@XHQ<`@B&Vl zM$Fa?wY*s#jSwHYutcrzr)hfkUMmMDQ$lL@Us!iOgkk7uHV(QsjyUAvBFR+UH_X15 z$>`0uHcg>>9a81T-q1#Y70M8yPANT$@U8=XT04WCP=}pL4jvR;A;u_fHqQB(m?kT? zHzYSK@57^ARd>131#9+kJB(k+lX~Cm){(yMd|Tf6h!NWxl-?KJ^}Im4NB`vrt*3n9 zoA^(y*t~Ysmxr0hyW8B1y(53p%=$86_26V_g6iWYOy{aR9sh@mhSbeuQ|@+-)s9)^RCUbB+A!e3-+YcaMf_UKAy+q@DO@-0E|8EAQ} zQDP!?cOP#^RW%Uak>q&%i%%NurF2=yYC%75Amb3JS9fu-B8*6UeCXzGrp&8#<3^hzUqKj5xyV`B|wDQ_Ok+_Na@V!Iiuir4@ zmNkQ$q}{!UCQ0uv>No`*7N3q}Mo;agKGTjV>DVN(ujCz2N`eR92}K0V{6CDnMUXTu96YqOP$#2eWsmEt2q z@$4%(>)q6xJsotd*0l4S;Pb`tRUIcx-@2R0c1DJ44u85?&pw>0p5bdHwPdv}LC$Yp z`Y9tmaHl$dle16AuWVBr;h)MO-_)T`B|#Si1H21UvjP(WgztUUYTqQ_>z$V^@2z13 zsY|l0OCF@?sLErF^g7WNea@U^?(feE&|ZgO++x}jf8&LBw|&7j^Ci9CXOc43YI7RZ z`PDqT6T^K?bGOc`LyBKX7w>&6jXLJY5(&?)Nk<2)wYBy6&I`1^xo=S`v#nY8gJ%pE zqr2IzY>DhxC%xzXH=m35U!pM+Hfn~?q@x{mj_iY>A%_CRuLA08;#LgSF5g>Z=dUZ= z-xIlx$=G)3`q9kK6fWW|jXavTPo9}deSnSKxW91Puks6NmAMbFGs_Cq2ND9AoA&EJ zAHA%LbhUVU>%(*#-a4N%=@qF*l!2;1y*9F2lkrx06`PE3!?=9San^yn!CS_UT*>f{{#d|`w>Tjnx;mz7) z^or4L+TkyLdUM+-{SBoFe!%!Ow#`gTpJSdcU(%!bnLF@%f(TR;99)3c?Sbj-gLZ^L(T+0D*OWEI~&trbC&HwTwcQ@P2-rtHQdiXphwPzI!MV_UL!~^$gEh ziyP0>hh6!BcKNdL1I#9wDHv^s$5oTur}W39axVy44w@}x`*P&*qxmIS>J{+v3=^cz z$VnTET-H6-In1PyhBH*_O^`>3DWfbR!d*LxUy)EF_D*3}>;5_(_B2B-Sa8wh?E(8J z_LfhNtL*cS+tPjAu=j$GH7#u+TXu?e4@K41vx~pqIOQBNRps-gU!+RYmG|fr^-P=6 znwDF+jnabgg}50m9>4GAKF)p2&NeC`YeF@?_U|xPbCTMpXoh3XoAdH`>gcRFP33oF z%FukW=MA$GU}tW~wD6Uk|8BPlOD>(7wa zQYQ!Ygg!>!#Xq5Y`J7?j1cA|;m>`Y1f%`ALOBw6J-{c41zdqyIQ-+x0WPbop+qkdLtM6Pkz8=fppCvmGIQ4Q*x%kMq9!=cZ z8%MT!>;4krh2u8o9I}ou*qY?8KVel!cNGeAmf&V$G|19kV`oPY`=s86G^+k?19S1P|}r)5!d+R4(#- z-ISTmb*xi!>M4|uEiEt2AJHOyFX~AVL?zBtWFyO0VE>HyM?WVNy#n^YquRgE)DGX1 zEa|)Qt6O%XI!QdP&0ZT~RZaO-IUQF2Uqd3x-dN0qW@Zhq9Ocsp*VvE>QXYL)DQnXX7%wfP^Xdw;jw6%`p#v1t<|8alns^L^=0FIojCbXOze<0lZBw6Vok+8)&&l0*g`T*XD>XKZ`QNf57x8Ts=MGT#7QUap@E=v1 z2Yiz0_dksZJU&By;3eHDK9*-;To$c+$E<2hBpcSt3;47HWT2c{x|kdzP_LNFwm4JT z5DfF+n)>NCCF6P9*p8XSNRvmvaD%#M^uH@7T`+73c@=hxR;RWty8i&3ZKeN&oqc!I z&byp8bHH9oUx`g6459OZXjSbjn&>N7NN&0-Wx*>{Rn^|)IB=sCzVOR#{OUFes9Q}v zG8XptMLpw;$~$qFkh3b`HtS+SH-0-;{_+6GV;n3OISIebRJUgLfj~8XS-^5n!E$p) zmh1NP`J2L)Ny;aq9KIBsCPf8y`;(J8%UNH!>_)e|=T9{mWg)*3d#!vhDnrTmJ|b`~ z`!svWbsPWu`%QN-7oSM@F2lCJ7ye*QnZ*nx+Ga1J8(Xm=(X*grq?Op#aet$r2;?`R zlytDsA}d-XzAlBt-FgN6o^Pv+2@hm>nNiL%$jLqNU;Nt`g;>KxG@+A`BT(!YQc_g) z`U67B@sZ0JxXf2qqTX(`qOHlyeh#P<<$|HJHG4RuK%`Ues3s4Gw6UsnhmT)7S360$ zWXz#MIaf*<3qXrQRf}tdiJ^-HAGA?y{hq<-F-7m$jpp;h3*jbpR7Up^?Fx~R+t}Z+ zCcFH4J?s3pm>p}ZXtBJT^+QVBNNp=?{;P3 zb?6hMf#!rKj+J&Pj}|00)Mewn2dgQkRrSi)+c`G+!en---ksWn+vpD_GZNUBxc9Nn zk}Z^k(Nf!5O{>?=5lwN8qh4Z3^JR-L z3ZHjQRWCZxk=(OhtgPi9MHrZe6Y@}o{;e{qm5;VIM@E@e65nf)HCF zbE4hK?UCmbKM_WCBHvDAluCZni>>HWW1mFHjBL{DVVl9cF^3jftnZ{uDXlc#F`(N6 zr)fQLnjDhZrv3gG0~n;CF#9Mp$Z(Qse-6BlW@@jE${0vjo=BI8B_<_j8OF|aM*`I7 z3XD>#d0m&S3uM2hIiao>R42yGV{cvU1qSW13WdY${#b?+O1@i&PlMyIPF6;{6gP}h zCL(3%*$FMMSbQqc-(IIZ(ORtISc3j-X#}mAE5%R4d)AVQ`Gq{trdCfsy*!ysc|gw= zD8hv7V}?Q5$s=PM8O9!2Kbv?MR1Y^cbQU=}$R=wA@qaL{H!{Uc}eUHB-%a=qrT_-S`m(tl|3>VxRPP`RZyET30AGEk( zJupQoVH>Q~mB>1`4t&4ik-1XBJtPkKc_-K9J)xC=Ee08uti$jSGi0zIFJp;XDBn9j?`e~MtpZO@a#R#OXSMcm|vy4aCZtx zbYnrS@S!`}e@c}`zK8iuwh3Zi%$|gs8}^EYe{yUyj?bM($iU$-Qb2cHSmIE_sW71s zM&!gqlwOKJ{Q15f`AwRxYpX|oFkDEW`Xff9>ZL}pYyxy{hd@$lFee;sPODs>Fo$oe zEhfBzpTR36qQ|cVCe{O{gZ3#gbQBno;&his#NG|K-85{W=dYzp5dp6weo}&3 z&+M6~Y+93E>*SSGI05~c&txz9mLt%-*pF|iCPjpPa;ej@(@|GGGkMr0Q;w+VeWTi# zFEv=qD88K%h5bdwOVhRN2Ussyj95G)9i0W{5`BbIZIZ0%@6@!UEJ$ zCTOe8afx*;I~_5W+A^lAd?KMc-%?+%(%yiKi-CDc?X}U{NO=A%)+8Ksg8}MKJYog6 ze)?(2%xXO2^~}1wDfl=OywA>#9+^5JPgNq*S=$Z|xo#Y!_rMLuW0*2sUYuqIuOykE z6-V`b@J&I>(YgBD-Mgy7+(BKi^9#O)5ws(p4F-_n^XjUOjGY7#t;f z@uJ203`_mlb9VHok@+$`vHQW83o$6~m@1F>7)S-R0#PgIF!5BfJ`b~3Oc3|rvtc+2 z?EY<7JUbnC8X4UcM#s$~*U9F*M@p<%GM%j*KA{>}^o4Beq%Xwmt@KwG6z{yU(H3FQndBy42dXz0ZQy!u;Od@@a$aCMm_Aw0B8+%!#~| z#J3YaugAYPT-YROdUUw4lXdLn5C3>1^HO5l;S2oMdh|Cbmwiii$GHw3Mt_i|v0WOp z$I|g7)ubcd4|#RVe%IfSZo(R9<{xTt4l!$p8c1srz*AuqOM_OZ&s@3Ts>n2 zwu@BcT2Bnlt4AkEO>3Ro4SP1Xni3oFkY{KsFY+oU%u@@HvuHsKXdJo%#TPR=pJoSg#)gE{)}s@o zo(l?o;+>6*R^h9$sS$W&s=WBU9-T>xBz;#)Psgd%Z2jX;B-=Wg#{IZ}DIS_KVy+5T zB?#R|!-^Q5*b&UE5gbY7!rklx__$oD?d}oRJY=f9`8l26zqfD##WO zzCC>@@jzM-(`IlwIJ2hpoy*37n^NnKJ+&-hk#ABU{v8&hS+rvP z{*Re6Ot}=7nXLQl$cXLyl)2%(2M@Zh@5~t&xRd^lsu+3C+%!wzHzlYnm{I`PVA?9 z*p=PNH3_%7!RQ+T{PA`u`kKkCeTWKpUUOe@wpCN@@9x7E*gDZ3U=hhY=VjkO$a6q* zrO9mm13(s;#P$B88%G>RLkaSw*<<_Xj zXA_5rkMFCdn8>dg?3{Hq<5w_whktto#&w!B#FJ&{UYv|l1cdG0cxr|}1p88SK8$DY zWTTHT9D4+e16WLhx#d}c(e}kSt1&^`7{W%6lSe09T%lNng|Sk@tjeT~R^6G~a`0U;QGba9=ZUSxv4_+w4WdX#ZxLZ14mjJlS1 zPf(kfzd(_VvW}_h6}v%CA!ZxU(0)jXs>=_*DHiy0xM|ciAo$1N(!2dWz3XUCxKdhT zTIz>FApK2ZKEc`w5Kyf z{=*I8M<<9#^hF7zHWWTOPMXd!idm|`Y1RI+vUD7>eBxp?atS6bf+$vcTnd9>$x7uA zy7!$lJz=4GiqB;Zr!WAZQX5y3`L-g)BygVpHW;8*WWnL?U5yOf18Xzg zT7A%|4$c|-q{^;G`6zog9-v;}eZI`oWhWyhRYw&vkE>q#+)vbJxSDWwRlu zt%L|a3{1SvR)kMN55nwBBlY%DE+~s8)wtE#!do3Rx!S+U^8vOIvJ}!IS<*~aq&wgq zX5f`g>hix_X57y0L6;EEScAwRQWjaIl4I#jp0{#2o;y#e>eR`g6Nk2clEpoaqy&Ac zac#cJ%&rRLv44yd%P$c@dKh8Du4>CiW%&LilrLWTO|Yrnw!?DpVJXSU>*M>+>}k?8 zp4Cfr=YBjKT;MKY&}VCHS3Nq8Z_5^C0&mUZ&0GfLE{yXl680eJBcb!~W;?tPBF=n2 zeaA#f8#!iq4gOoTKXjuZBNx+=i$8IJl}sVPKqOgJPn!q!;<}ndbyYFbimrlJ*-p$S?*UVx?CXzvEB9a+_ zj5;;DnnZ^Lbei)9IeG@SsP-@7V8@RU!K0_8{_c`_5Il;w^~fa1YQ^!JQc-J${Mt>W zGRf=|7zPo5fXFeGSJmpIOFFVGD?i@`hv95@`o{)dzW);WUYZ_Pvmakt&2S=+UyLj& zGuVngCu9R;C4@u3SA$a;U&px+R;AKf?48BB@9_2D!JX?nw~tv^8_gb;xx37Ww9SGI zO!_&ni7k5jJk2vymz`2-#}@^FCJRFB@L#I^X_QPs%O8PkfYVj(lci}o`w;XD`YYc~ z%XpG@XLK97oNtSkEG9Z9@JmEnm+G&im8m{QTh_kPBc@W%SK_&lo7qb{2rz(T^Gd|P zj`fjltJvR3C*!M;YY_SBk4NAszQqik6}-d#3G$7A&nnfHA(ne7k(g*XPsO_8RGbOw zfj^TmSC3p)C6rL(Z5_R&9@-LtTt5%03jS8C$0%WGy+A3bu=I0E3E7JF7!4?6%ngt2 z8fp+G4i{exknsEl`J-aYO-WDm_LfPr>F+e#&z5d&wD+mux$OM{#?(LCKXxUp|5~RR&ybI^GNtyVXYLXwi^O784qI&|n^#K!+ z0)#k>aHi|@S)c;!U!>{m^$T<>-Yk(1>Z0rbfY?X?5CC$tI+Q`OP4&bClAWG-jNiL7 zI6_FwOqxt5*~iYrH&(NYgJL)5-NNP$h_LHlby)WWl~-a~F}s>=Bu(Q)eO>ezmzO`cC9i^woE(yb_aAqh`5NFvzCj~}LUQE*`tIp^G0X;!iVe;Sv zX8^{ykNPuufal1b{~^PmF*qbjy26jWJ(RavOb_S0k9umfnq#SdxL3CTFs^lOTAZ59 zc)%k-@pNcVnnu5CnuJRrK;!}DGMDY;rWI|kmINn460`!dM#6J19l4_7%w+kZc>3&F zv6qLL_$vM1^RD+vX6#UdDHclg-{ussE+;e@pY3MyGA2^WNE<*GHx1?TZdPB7w^q1i zt{g_JQWmJu(bWiEUf**w&|H6-_@bW{T)H>RESq#Imn&Iom<8YqfE7UJlcL_rRefc8 zniK4M31L$GKFP{^Ga3|1JIj(Hc46n6ae;C1lSQ@X&MR8P6P54irxZQb64XyGHbEn> zgcgX*U5x?ik~jdofy^~yu%}dUC{zNi^>w@m#scLp+9wr zWGy7uiLkt{iL{R<;LFjeDIAcIJO(gTT3peQD%4MgTO>0B*-vCjVor}`O5&%~geJt7 zBUTcS1Q}9l?FDk!#F=0;?+uBAA%U!`~upsX6*4+wE(EAR#qgAHF@;|T8YYC+W z8x2@F!jFOF6xM>_~}0zX2elkXe~x(6Cx!L1z4Jk|p`C9lM!QvH=Vb5U=15owJ?{&EW#c49Y3Q>sK@p}loO)I2-{+$^4* zj?6F&N1%Yj$iGhF<-uYEs}7Sza2{^(>%V$U8?YcS;I}a<*HRysm^}-_sP6W(|&rY>siAg%$z^P8hj+FQM9U+oxDY)LMMf5{Buma;zIH zQ_gI#OdDZ`LQ^@4%dJs4N0#s_oEOw=40y(gD9hCVxWo?m6#Rp?#qFbIqa(=YP|-WD zZsr35dU$uvj(U&CMJfcva7LKxSzt)I^1>5>ZbI;U9|m>%*+?b;d-8hmonB_H?B|=Pnu6n8YVMbgGMvcJphM4quh9hYhv|zy<%|`^zp!TW+rUU3v9aCdJWfTfNdxKOKzu zPIj_yPZS16mG|I`%8SK;Y=8+N4ojp8Z1{>_08AshuAWCY`s#Y9<)!OZ%ba+`Lhe8e zXA28~gS!X0l#q3(oqQ`-nf3+%`)sdg_7Np>!kvn=LYce5`*k4_0^#Y_PcluVm*A#v zm2Pdd`BX_>#P6ECV4<<4UT`Yif$PQBCgT$j|x7+%n3&tw!5UMUI^$&$6$ zD_g%-mT-3yGPL|LIs@Wqhc?7v;v&d)L*lC`(Pex`z{Y1;FXF@bVanjo`Y5<~CnBnmrv?T;w!~-J&2{d2; z1RABAh@8Q{CN;^24Eg!QYJXP#pF;W?Om2U#vS3rWW9UaBpM*So38agXxpT}&jI-Sg zUJ3*r*jX-j+(-m58Pb>Bztd63Q$>iM{!%g$b$1r&N%k#lg=lOlNxn0nFP26J+z9go zjWo8TxqP7dM4EZi2zCi?N&GL6?I3=Gj{x)8>5e;1fINXgZfzm#|qHPA2`2M zZyaWSrKhB$llWv8rtAt40(9r=$S^xMBFwach!QY>G~I~-{1)jCU7i4Mu|z)9=4h~g z>Jf8@dbDNWWH>9ZBbAhYyqY9_`pjhBS%ds@3F&jTC$k@HCrmAbs9=B7AwD&1LY+Q} z!0R}zHzbU7KAuobcBg)UdIsf~s-Z_@45;urcdcii6K&z@1REM*1b>21wHPhRJ8n%+ z#qB+@u@O4wI`u#G06~R#5;$k5ka9+q7EBBOUBrmaX6{2T>0}s@J&E2p;g?mw=I}*D z{rLrJhXD{w(;g>KCkCKSwCmZrh^{?4bUdH#e1Dd9havD`f;XU>=G+RsEw=-p zs{pcok~@*TrbDXeU`3N-_jaL&0uyKoo9Y;me?-u-8VY}*U3ipq8^3!8B>p?hAn~7C zTBgYCk;QflTbD2{IHx)9)Wb>pb;~8rB}n&D8@wRfo*`o zTb-`P2@KztFUksVSEf#QhKdLFmG-Ce`40o*YZQv_U_Z~L6G<#lyq{>e;2;9%1YcWG z{%T{`eWCS6yZ82~q@HIB6qPIsLp>dwzX@1MQzA1EXHbY*-?lRL{P0-hQ6##RfIXZS zs6TU|uz%u$>UBgckM>I>!F50uDIE+5rtaYJ_0#~Yp>yh(g%V?jOCD*S1+>`d5iTKq z7D8(f0B*%6Cv!ak^C0N}u#D8J;J|Y|_nAFGh`a<+SAyGM4<_0k9NVdzN(QQ*3bi47 zLQOajg|OGTl(8UVrMv&TG6$s0}Qw!563=79%VEUg|*SQbvk!L>Ld;4fLim@+fD-`^kOOcV!snQ%>kr=%q+ZUpOqsJQ7Oiz5;b zj?e(8C!p>zLS4Y$5aROFhmQzv!GFR74oixGqA`LPz%Zh?6@BJMOsY!UK4t2hPG@!oh9C!iER87}mEKHohgt-uFAG9w{>1CdR}9 zLqni>UC)~=H9oI62jNza>ytg~Y-E@SZ3)p5VXeI%4g8rdRZb4{-;Vb~0ak8Dnz!kl zVq7Q~d}BWIs+r2icU#c}lu|7*GgtY@%FAExeVr2cx&vR*#3q?=LLIfgis2P7{HI=XOPcqr{_RK92S z2FPI4e^m#;a^~B{>K|b1C!*n-h;kx59p40nGZa0Z76_szc|gfS=jihVgL;>4J6J4< zFOZDbywAG{_CYQ9L4D4X;%fW{zI_pjZX`PQ03`uG#}yAOH6frJ?kv4v8v{y5AL{X? zV8cS2X#0JnRzL+*{{ly`0IV)!4R*`@f|U2LxSDeQUq*sHIvLruQt0stP{$EM0>Ig~ zSWQs)q5KVA-$1v{R7052JWJS{c6rzyn$w%9v(+Gxv zAFw72WQ3BLEEoH40Gns|l_LTFvJsBJRu~h045C{3XfS>ayL9S*atJQMwla!cxD#=) z50521iDYm3RD-=sre~vN3t8j9bDtnQw*yhx$&8ON(0e3v!`r%> zNvvIQiC{AsgTz+~vr2Ys+7IeVtEN1?J}aTYD^d%f1Q@JDYuz7@aZiH`8i#q51~o%$ z3LG!IHkr|hq!Sqfz?OYhp|%oZU(PV(wspGAi_vBLht*IIh06Ta9*yqbs{ZLM28VFf&-iu0~vuhUh8CY0}BSzpcJWiI~h(6QIyw0HD29V zpLh@c8N0b&m-sIk0|^iMX7nEup=HGW2OC6y6Vyn`k}up469?c>3_O$J$B9)DETRbo zB1~$=uPvWbNC%nYwx9-36tE}OV~6t$bKwA^tQaTEAqm109IB~`O8{Y6Du}Y%$Yj}s zn1-TzG(qu+^TL-H6AT2vbqyD&_z-ts-iZrALP$+WA;D?t1jt;o4uZ1rkS5Tr8A@tF z%r1#IZzF_{biy3AfF1O(i`ho757M==QQS8U15r7&vOv=VKZ2FmEo2$$^aEX$hH`zt zl+p-BZzs_Bn4X>g97V6BMdW0vsVn|3|KOFn=K|CtbhFx5-fbdy55yc`2PPZV%?8$- z!lYK{a6u|x4p~Bo9qLj|ap`6ZSTp_Y(D;!qz}1IE*Vg)6EA8*}JJ(5$eb>%e*Ghj( zUhgi+1N7j)6o4C_z*))zE0GVTV{?HhF)jq&3MGJp+t1&;+Hw1YK20ulFii z@&Jp0bG;@#=2Tjs(KfY_C5_L>#tYDU#sKu<6QDZaz6eYrB!~5hfBNYwOi<~WscoKU z?R*g$H$yG5gbL8;U>sTZT(IdZ?qR|{D>d*A(BJr!>3B+}p*W^B!HZP!jX4C^pJb&M z1kaP~hr(XIGL*K5ZH7;tI8tf0C5QojdJ{vz3?<6?_!?xU0%}})akG-+ zEd|83W1O9F1ThpNN%j73f`bgBj*$jDVnrI7Q;C?@u}*3a)$4i!bVsNETh!|vnd6U! z`WC^t!|)WdR3L9d0G&~zjyAk*EcIVAQXNb(VVjFl!%XRx`jh+EX5ZTZqM(1Z!Y~9pT>>RcGtJi2x#i z2M5&_0%?8!U&9s_&frZleH?`>zIbEiLcHJB`{%bYcnP%MV^}?6-Oa>4yJ=Z^WeO{~TE%hMSF)+%usO@qB69<@= z5iC_SC7svf&U|Xj!EfZt0+Jm*BbhyGP~!6#eSlR=L{)zPFQ5sMEe8|4u9WTQHQb_0 zO(lu108tm~1pc0gNQ41!7?^wl%@#Ob#yU$?@-&GhON&{XX4ni?fscd=vjo^fP_olW zRt~N%hMEi!z8QV#_Wx8KKCB-8K60OIj!-U4j{oyPMz-~Q;bc2}WieuKQOQHn!BJB92>2)El_)^A(bK9#6}uV-mX)?Q zG8iN9d7@aB1T~obLIj8_NvkkW6siybb|P(_+LaC3LNHUbgkL1jWIbkhyPul;eylG? z+e-qJm<;qhQHCxEFQok$4@iC;{W1p??$*p1$j4W8 z?uuYXrOFF|BY4OFpT{>{`;_@+95+9V7$|O*wgJN8g zid?ITFx2HBwSsZ-r6Zd5<9`Zv`oBg4oMHyW*OI77A4q}fo@8t!0U=P2t6CO9Qf^QH z|5tk?d$UOa|r?=!QHH;gODIro&;)fpHNtJjjHWuY0@kCGDA7P&;MWAm&TJ&?h=1GxJb2UaBLE18cFMZzEc10og>mgMeYJrI%S z?c^Aa5N|A$YZQ>j@ajn?2#8~lX!3wG2*vDN3OE=ZQLqP=5ejHLuvScnJ6>9e>bt=RVbEy>$4GIQiGC_KEc`c5-@kzjm3J%5(8+zf6EEvMECUfZ&OP= zFs-|VYE#h!{0{(RGjL}b^LdaY$Ai#n4M7?no zzobWDL_o^r7lDC0*@SJZU5{B!g#VH#VMX>tieI5*Y+p5*h0d;}@O|b%i2bxWClIJ_ zmDO%{z*TZTSEBS&of>V34j93;Bji1AL#&WbP~I+6(2e9&&t6TvSF+1*5_&knl?4lF zC)(P*#kLIGc*sos-7%m8P#6WW!@1x@0mPt7-RpUeDNQH|(hY)Bi0=jrFOMj9K#&c= z+W>GF>eMTr6w4EXF~)c`QAmzn*w@4)uny)~2E(yV+)$60$~fbOnfI7zfcjuH^_4t- z&Rl#QEii^C>4A-{*G&N9Vh@2n&B%^Gft4=X)aRh|!TanUj6pmK4;a`D1-wFx4y=}r zuD*j$fkUxZ2-F7RZ4SZ6z)28^=s}$L2MO&X~wnJepU^5AKRvA?r=Nr-bd)LKxB-OytqFc>2!4RHXkFXtPR?!7*AFXQ!rcBKtcb1(p)BNn8yZdQO{ z6RFw~;-68tOOznGkiT~BJCD>xJ5os4cagS7lxfib!dmi+&Wb{Opg1i<&#E$N5_+sN zH>%gl=4S6hF2|*0BtZq-n4m=bCKGe0ANBF)^$_9u&wucmb;W=bIwa$#J4W&9N)G`N zPc=cecT#iC$96RWWzgy-kjvGKPERRRSEX_nI2{Zk=yU`_KtPj_+}J9hjBTS&1NnvZ z11}i-VxNvZOTYY4uEFJ_KT5k2-*mSM^n2}~;s%&5Bg$a?4CnSgOcEu63SyEFWot^cU5HMu8PiF(RC$i%$tHEpFNHDjluUYCF zZ%kzPq_!Pq%~B`0&s3Np*N9hU!EP*qOvs<8b-Gj9%%o}ZbXwU$^Z7U3OOADF685+S zS5{g?a9PfckfCw_Dz?<0ze@bK*HdEh(*?G(8=?KAQ_)91`t*WEF0JxE7F7=8eI9_s+8>UugmAGo>aqwaZ7U>Wu{Y8yVvb+C#!0QxLaN_Q_)%`+%o2TNbA1$g(XnlLKCfGkn%UMLrmU5IX}1ZF*nVGZEcGaJY;W670hjoh*(7 zQJI@12s0kob~ur;0!I`6`I)h_h67l!OD^g{AldX)*sDht$*Fvb%n6wj$EFa8LEMUw zVk!!`vM3|aIHCS41iI+8uz6?cenebE#FC0*>45Jh8E@T9i^DGxtX|`DJTRG^K8j-k zIn4lRLMUjM0}vGYzBj;m#{o2G9qnfzGlcjJ){R-nRMN^S*-ghg%Pk&8iipVQVU--H z4khccQTNcxeaDMUVz#@oqkh+v1q&H~H}OT82I~@Kf~OpHdtaB0zTUjW_%$uI3jRsJ z2_zYeH^S*fl@_93@8>fN2pSZ zRLf{l5IsuO7O0|8t0J{FinSC9nd2Mf5e1QJRnm$|^{7#ZZ)Hw@@+hFD_=?~orvc0@ zh!G-zkjd=(?F5kfS3*K&X0N^W+G~B^w{|Mmc(gJf!kuWd+Zfpp#vcm@cx}Iya!BDO zN)t}=^3lbuDX|qk#GWdYgJ6roYT@3q?RiL}=z^pa0mvNe)$t#v{S}T&lgX)MZ940d zm@;Ahqt~hubS#zopPqIne3>Ne#!+E`4stOEeNC=6(^I1@|T`2Kt4?6%Wh!n zWV4e`>BrLkMl2)=NV7buG9C)MCss(t1o)lROM%TAe?<`~eKz%`e+rbH3FO%HPw;bl#<-+z1f}qneV*0mB{bN?A=Q3knp6jrV z@(EIWX=Z2ejkhWfHF@g;#6$_ru_9t>1s%~lkZ~sc7XB>48_L$!wb(J95fG6#=(3`K zDMm|G433ckw0`#;Uyz87f5By28`4tQxO9;%ks_YOt(Jagcrsd0nRD8=XH#1D{HAaJ zUE1`YS~dBMaUHr{cKFuqzBMzNrbCbSU%E z9pUw0eQw$>2Oj=b<;+H>kFl9H!xv>BZ}!^Ny?3Abs5ikW?z z#AqsIK|q^}!x@ zVk3p`ky#YnG*54h^Hph4>s6V69PSE2^5y|nYpNEft2S|kapsaTr!nWEka3PhU$ zB^w8|V1q{w~XSFbDk+<>eOsuy@fo?d;-37Hec<(6STQdn64I zWJS#6S8*#X%E_IS7~|Ui)qJT{4<>TQkPNP2RZO6Vm>mUH>Ju0%35;8RK;Z2aK@jr} zk~dDK{Mt!)=sIjY3hKFQ8WfpSx5i5fp&GLVFvY|&@@WYd{BV&?ft}_|?iPs)uQi~~ z5tC^pQiUs^@Xo?k2B>Jt;Sb-bRM@$G9wK~<5T0P9Lq5$rVnxyy`d9F}bb_La{$1sQ zx;Zt%r{bGa8t<;W>nsQXn|*e;0e z-}2Zv{%dXgq*!L2Lm^}d%qjDa(&M5z{8Se%HL!_j7K2*08&Wl0bz z-&~MU^_ZkUg<$KhOX=25i9BWNzM1vp04n4SHUUAShG?`bOGFhBWI)T3 zy77iQ-@lTZJ}Kjk19bAm!#e0O2fSj2jOV}leD6=z!=n1jQwYQg*~T+eEte07S-LT$ zV_7}{D$pF!pM+6OJqm?}3PYYxwNI}=fIAm&iTc)P4jL}^) zUWs|K|1vwCGX58G)vn~7E3UUqliL0Je|xy#jzdP1KB(l#t9{Pw{6fVUo~5Wf2|8G$ z-El+7P=O2qye_K*kVD+TP>!QEfAB?+B?_*=*3*XTF#eeEdyTruGZql6;yya&=kjil zgE(C-KDauaTv)pBcJRk(<4In2j5gZb66?$|mSeWt;NY387AtxFz z1H#W6l4YO0&ZCj`j%aW|Cu9tGgJc#Rr^50Er!H2>`_ko*sab0CjK)MZ5$(l#C#+;S zYCwJl4K2o9&B*T9bMcqJ3sBwU$1I?5wdnMdef|A3BGII?ilr_I_m9Hh@v=*;4ld-* z4jTVuc@78-?U3Kzj~H04_lH7C^V0T*Ln{g{Dp5nG3gMyox&Rd#N73`6ZY3x~GL zK>56^T44DSARJ$%INkNV^`$%D$;HjUP0?$PW5 zL5L#IQ|A;)-N7!$3P6B@97`#M`baUKa)nf(=L%rFqEZ2(qI>u@eONdGos)g|KFB$! zFfMSRS~y4vQL4`bkw%|-6dk?`hCf&*j~-@XeBPehh9fupbj;Jiyzk`VLtz|n<7pgJ z;Eji;@=*-R`!%Gw%Y(zoN*pY0~;RZ9~lO)Up zO1oq1wg&s`90qNu-D9VcvQ9eoCWLhbjlDLhUX%ODSn>qvy>0CC?9aRqCMa+kW>lX^ zW&ZI@q4!>KviN)}^3KRx%>1%xUp#hYjin4p_iwXVlr$c@_O7hz_y1J8Bn{c zS3Xgp-@v0S<@Ynh?~jvZKP3KbV^pd7@!|TWS&>3~iQ@Xt@hf!2{zX480f=pxTWv|I zpMh(5?5JyUnPmXL3T5_!DSK^tz|0)-0j4yw-k6k?v`i^S(qo_ zpJ7#cKma-vM0|8Z7@#fYb?_Nckl!+<33Zf$Vl~P%D4Gv|`r}5?&bJ>E+*WBA# zYk}VY8SNeIX&!iMv^6DrEvuiBPcDV<6Wk(c+XetO?WmR|ElF$k-ywr6iMB;J6|>2T zM>5==XKKT2%&1`;PHsU3n0O|Kb4NRZBXUNLn*Nk;^4+E_(C%-hsw!KEoB+3ll9pX7z^$>Cqz%<5^O>~q?i?Y$BB1t@d3{4;wKWM@#?S1K_F7LCc!mZO|zirQx|zM zd5SB>TNEfQqI)>i;j3v=%$C<7yAd*dG)|)n0uE3GxJ~~hWQu4G|CY{weblr2zH#IL zKgFFP0PHKXl~2!8er)oKZ_HzLG3##HuI37YqBW`~VqpKiY_kwhkbzJCPs`~nV= zsgsV=Nv?Xo%J&wohFYYvl1pV3v6ka`&D@}tAr?(wEg4i2^&&U_XwFT#NODt^ zkBECdQB3D2NZ)C39a}1|FS1e=emDMEoNmHl0X_db9QT&o8^$0qM3uF>KVyZnMO-Mg8Tw9Sh!Nw|sD7KO@-*bl#<7 z!Pgjssmdh9Ccz(GqhcLPSnr2U3$m8u^EXhHTMe_4S9>VF zQW323?&ryerMdMgcDzoU__^I6@-W;sJHw>@l)oT7In8el-F$7PiXBtDlEma&3&seN z;$aX_cV7ib#Ch^wz7;NrmSfwTOSWSQ($Y=9$!!j81Hh4av9SxT=O~ z((^gp^GwoJDl|!ISHv}JeDej;)EBwCavvH*tG0c|H(!?Jds`a*wAnbKDsUl;bnbOZ z`}I{s>q7inK91bq^WaPxta500Gwaf_L~*8%-|WIJFC^RO7w*lH*hSTzDTy0iv-?+d zxdC>YJ=Se;(EP zS7;$cp%y)An(8+*2qnM}i{xNOUfuzo{Yb~XpU2MXxfSTAN8IshLGCGx!m$ErJx+^? zy@Dtw)}q6bB&(P?-BSSuc#l;XPz#}}#J%~Aldq%CJP3hpI)8iT_+A%shu4$0DF3RG zaoaoeVZ8=A3C85USbvp`EX4T%+!VBx*$t{3-lR~DDb|to=_VGZ2<$e!u?~osN6eG8 zMD9W)y{rk4P;8QaP)jzIFdKetz1-vR$c16%^(vB(%1V2U1jTEqur0%cvs5IPj`jKh zK^0|^Pp%~qC8FL#WD$JG5BXMquVP)-I+j*ArkzaLV>QgvCaCAqRw9rxv+a>)Cyg|} zRtr&{LapKyBE=q&eer974XH(1sfx6vvdd2stdFjcJt$S#!EUVKns-}Ys z$hYRZkjK5otaZWAKobWc3#oIcR>3N@k@;>vKTJrkQiWhm<5%@8Lh zXrmLO-uV0vNN-3%h6#95-L78Im+Hq&%1O2CNS$be!S&6YLkn1}xmr=q82Xm9Sd$Gra3{n8?aK?NgRAJ8Jo)JXG>b?mc$ znTD&d|FnC@z!Gh2c!O6@l%YymlVPn2E!6Dm&(6K^PdAX}OxW;dGEyf`ZHw)R6YQv# zA;hp;1ygA!^)gigHP!c_77iJa9#USqLE-2jv59-ImbAMNmc~5NH9x^v$YdsB;bnoYJqrd(Sd%JH#4fC69=D(@DiSe^bW(H=){6zr3#5WTQ#BA7szn;Sj$H= z<9QA41ADnW^kk2inzdxHzuTa@xs`elob*{KZDtZ3F&Xl58-X~GBXj90=DRkvLlj65 i{NV|RexLHcHHqbWdOTqQ@Ck-Ets5G)Ww~?Tzx^K}5P>-W literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/_deps b/bsp3/Designflow/sim/beh/work/@_opt/_deps new file mode 100644 index 0000000000000000000000000000000000000000..52304c2c0fff9444e7debef906c9c619211a6846 GIT binary patch literal 6643 zcmVfoayaZA>RLF%wke89EaN`9kKkUW)PKKL$Qv#pd6*_kFGf@T1f zLrr4=CMmluu~9#7fbNH}{rDmRx<5sF_(8Qff5=Zeyu}=5aYr(+RRmE7V_MucrGf6I zG3AFZuGg}iLu4G~@-OmiYpm>%DAFJpo5=cGa`5qAqr>X>-^fc9Zn^EKhGscsO~2c@ zA(O>`N%iTd-R{5=i6$rI8}2hcU$x5V{(s~&_erVOQHsdLci?^mAjoO3t^81!Jnpd0 zOVik~=^iD_B1H2gMN0BpQY8^SMr9>bR6-*)3_klm(HwH7o;b<>O#A4yc#?yIbkIOn zvo!kV|FTB^?vbQ?@^8_AZI(j2#)K+uI)(N`(2|+Gg_ZMD(iFNDMXlMPV(gT6^)IwF z-ahJ|XkFW%K1CH)e*fSSacf$rjKnl@Z)Q*YzyU~jnj~-kM&j66(m}7!tn(R(JzzkT z1~;gR8PdD-C*)9akT|ps%07;w2K}d>l#UL;uqDeZdWpf)l1Oa#j#2~6!XJUy&@q%W z3fAg+tp0Q3IIDUCcS-kb)K)hNLLpnPeA6OuS4e>y>1^9R+OAwf%oxe^{?!fVSdxe_ zS~U`Ovp-148sKLCqZ91ZFaeAi{D%27o9gZEtxq>cQB)tGktbWsKmOvNK zv0PGPZZ>Dg&V7-7dcC8J{?S)d(_g;8nEnBIUlIq^d{x1#l?S%V)`2FHlxCG&@=(MU zRL!dA2g{Te_q?H=ZQv!RB)j_hH zjYE3-U4YD-;Q1H$if1#a&OUrYgZd`!xqfx1EYpS7O!4_;|oKDe*g-QeH8~y zSp0=58ifyV2)Henw-PpZlo{o`!~qiguA+ztfgH_+r*2~sBZ@Bvt(81;17R%f&Op43 zS=qzy_r)G|E7PMbu3YtN`i(#IE7+e6dmqh zb9V|GpR7oSJ4fLYo!$5x+|oa+u*5GBG6DtXLp4+zPvDNx8WXYaBYFQyn*YRO zB7=n$$1zOG%9Obl80YllAqj?DB#&6{8Lc3rLr%C1 zAOFb)wN_0ZP(wJDaxybyJv2Z^NND$RM{Qo^SlMf&Hae;wbIWMQ6#AAu;3%AEH5mmJ z2EE@*iz??9@dYQzsi!~RYuo#m?v{$d+gDJd6yA9+&``jmT{)uH*9^4^ED#92NlxT# z5T`VATCfs4lSz1tz%h1*)ASITksh+tQ%(A=Sr~MmyVU;BR$t%Xs4-t|s`0@eNXc8yM zZktm)l7Lf9o^R%rQ!{3njKB0ubj&$GOWGX$f)W4_rqgn|=Pof3s^FCsK7Gr8tSC>*{94?R5ZQ9M$&$-D0|i_!N)ylDQNhTq=2KnSvdUg(x~c%HQ&cIE6KziB^uzMoKy{q6y9= z{kd^34oT_j?pd`CVIQ@lqd7nHV3IhH6%LtnLV{%SV zJdq$HDKek4snrb#h2|lKCmlpzjbjC8G-@$%Q9CZ3Kbvv={9_+$BZYeW0I29=2z`6Z zzQ0S7eN#H%^06LC#7*d#f--%s13vDe6)dy zqR^5j$e<{hi=bVkum0+_#GyB*P1>rgoG}U}NtNq1k;%|!#bS86iHjQw?Lbebs;qN= zZ@stXb{IYE{hr7egHw*x55O$gFF{&2H3IpV zILH{s61758ikpW!n=G%mrOuMhhOZQ2gAkfNTa#korx||Ml;te3J|{OJy$jJ}32oVjVi;VUmKVx6HvcHIG$zK(9@(Mn2tCDq*y}dCemGWJynrOF)d*zWg zAjuKJQJLI5B-LDV9>E5G=O!N!7c@)IF;!$hGApoJS&Av31o!ayN#2fBe!kKPh4P#6 zh9@VaMdD+r)i>y$i6JVL9>(!?6BOLHlUsGa(~&jDv-X8;d zg`t=SsDc&9S9V6IEu8X0A~}Q^;rW#b79wfE$~%^1K|<0!PzQSk=yLv~DJzerS-$^O z>8^MqoyhRR&izFC27@oADPn|Abc&gnSb1E{&@{;_}mUj`c2^fTf$%66mjFg zMP;PIm3*FQn})>{O0-|=s_|+@Vt1T-DA6Z&Ezku9nT8xhPeQCYO5kcA%i2O7@+`@5DKlX-7DYpr)C(%( zYhod-nFSGhF8jvYhEY-gl{)FT82eQ}Iz@j~c>#yc!hbf-g2zL}`1;tTp3>Q4ed63m zHby;B9FGOe$_&I~sGk4kJ*jtowTBut22FAsw{#myxf_X?GqLJ=?5D`O|G_%9+Xpqr zG!&L>ey8Zh1lqP0a_M`Lf(G@<9sH8vv381(`xPyxQPTnEj;fs~ z1?70qhGTn3AN1rA6mugi*wA}gr4vHIRTU|i5JeraQakcNW zqGu>j0+u0-l{g&O{bLT*5i+&`Q81jiXB+|j_t82tr1g6DvW>9hcztkwo0q-mVe9#! z?rRPHwbfs}CiileS6POA#R&UYkEsnVfbrC7f*~HXvnT-*K3N_U>-9Zu&`>z5u0f$+ zXw8L>_SnrwKb6BQvya4oyzN3yz4|s4xadz2J$skzP`j2r7$9#DHj%p2Hb_pr=kzuv zAAA>jo^j9dJr~s!Pwdq~JK<_WI^`yA#5V&x-~k`4FYQ-x9#{vDE~8&g(MhCk_#=T{ zaZy0ol=#eEqfnJjlaAfvdAlHQyyL3S?uu^^B1pf!`KQdW>2*E0IkZJK1@rmde#F{wFQqgf~5@ zD6gWd!vLo&tO1bDUy+0 zPqI&CNctbl@@7jW1}*Zt&mN2hfi?C`N;L8YHI@3wbbkS|S?umA^mqKb{JAA|HPlbA z^xygfK`@tZ?AA3bBtc%8kx~gPhgz@mZW)H`%doV>xkVV|;5~|x1w)xHva)9@VlGx7 zos~N-^gUu8)e-=j!B8NayHzm3{O8d*0f;qw=dzB1$hdr9ewd|}GYT%8i1L}SNCs%c zzhgaoksr*|P!UM`Q5xkwQP@qR8OCBRx>ZkfR&8kz^^AddJ>Xv6%-RI#Rb`>HGEqDW?$`RzL2CqTmC_^) zCjQ{JP$D*c%$nO@^aM%s(NR9925Fn?NSn`Y=G;N(S294hRS&}#X*_w_H#Rv)ivUO% z#3=+(YYLl$04hJ1IHk?0f0dV%Vt^|vEn^d66E`Q^fs9}CLrcH^t3VYiBQUrD;MvvI;zIQ&itj5+XSj?y3 z9KCMajE>H7L0sj&;d zI=GNn^2THY!YL(m-RyN#MGQ84wGayerk1cpK3j|Ez3?7FlmyU7-aqy2mjAlWb1#O} zeER2IL3-vwvrY)>dP;@<%v@8B&4rzN-xCAM0#R-FS&!`}@Y75}V1k8MtY6^^uCz6{ ziJmC{2v-@ixtDWlTDj=m*fhZUbs6)By^hf}LXSr>wj>*4I6k16)(bDT(gBN` zl288THj+5>D1o7zGV}%p(J0axv|V~0r|RsQe9dPy#U6?<7YuhsUZWm)@I`nR3QN=Q z>AzZtA8Q0|zd1jgD~V}1^(nh$0!bN5y!tZwr&vo9a17alCub)P01vzykZ}n++QKg6 z9v#fQrBS1_y#P*&0V?{IKo$4SrNU!wG(fjE?U8zCDh zSLW1gm$u8)rdewcdfoHr(n~SKNV2~wrEtXOJB2>+59rfIj_8Fe{r5n|Gn=@G-vc3N z{nyWRqwSm1hekVyt-!BH$pd|Z;TSPnERU0|!de-x&$@%GrYn(#6V?W%hgQpxg1%A! zR;VTc{@(}DWH2VMR44G-rDx}?$+6lT?fa^|&!BMPErHT~!C#9e8?;ZWMsrScI|u>h zzq4Iyfltl<=pm#IcR10>mff6K%E5(9w%*%{=&K@1?B&Bj{)kFIB96nVFuunk?Q|({ zGr*-GVh%pI;JLRb4cgPIS0hRQPU(hpS7tOYBq; zoSnU7ZG*RX_V$YTknopwzzTx5YouE7XO>|{j)u6+vtkea?SsTv37+AqDP)`du(2a& zPur%0K5$6o%b{$1^9ao4&yY^dL1nOIkm3pq=j7dFWeRUlXPxO{jiW|D z(_%uAH4H;H2?>9p9|YKmI{nfYZ|kKFmz=>Ep3VpZZS!fGrauUgp81vGm8eo{*Trbt zgAy>S3g_c?JP{mobjC7 zv=#|1`Nh>xeL}-+){?*dXH;+P$&H5#Te;c!hq>(oM&@h1f$OAi)g-+hJ5H{?9SoEd zce)b0@26`yQHnri>!)Hqv^jG<)E7#q1KQ%7w|t%!e#xomd;!Lk3Bu9Mi|MT$bbOxU zyamUY=F>0dYWd;t1yG#bnvec?oX+a}ins*`fvsICd-NvJBohHUuUa0fEA$nP?Poaz z1_i=5410%0EbLkENx2V&x%2SlenB1E#N~fy)G=G4~{QXiAF!6LrNH=p4{^H%3^&GQNZbcoAr46oje2TA5^6rF z!O5L#_z_#tg+~Cok{kG|Dg216Qc?bc{3L51)2cfNqicL*DSL&b?VxfFA{4k}7)YaP zWkLjSN$XUju*|_H;`$I#i*(KnMdOfVW8Bahy~H(7{_>I(K^Hl=mJo6-P>vW{<6M5( zz%v@SAhA~X#x$9i$i&w)B-Kr$hE#M7`y*epYCWW`iC-A4a3=aOtDmhCk$G_@nJdqS zUMRPOut>cp`BZD}WJ3bFvIw$OG$N5!2$E%RUBM`FYJbc?d^oljU&1y=OuQ+B^VaXp z%{nn>?JY(k2_}&nb;G!#KEzN9sWTd`<|a+dvw!iSk?jCOQK2Z`#y}V?Zl~unZaJD4 zHORq!bwGS~OMzEgE`UXK$o>w5fa$V@d|EtoZnEaf*?jqEG&B61&k*v+mgfL&57 z_wCH%0Z;?;QtKkaqjq|r9_1hP zRCya$H zbhEZlpw~VS8O1Pw69iTi#M&vL21Hq(=LnS`7krDdDv}TtNQt4`i{e{&o^7pv3U8=L1n=~ZQ{VD zq;n!TpXrH(Kn5(#%ZRl?GA!Q9i^55K#N1B{PNQR?QW+!Ee-4HQ$)7$Rl?3FIf!}}2=r|eXI@-YaCwkCPhQZ1g~1byUC8J_Y4 xev2248WOMGm35qf2;}!+clQE1m#Ftzw{%&`xYH@fiQzXW9J+Z5M19_87|wArxTpXC literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt04053w b/bsp3/Designflow/sim/beh/work/@_opt/vopt04053w new file mode 100644 index 0000000000000000000000000000000000000000..60981f663d61b699c6037912d833a14cf56061c1 GIT binary patch literal 1838 zcmZQ9IK}r_=IB)^{gRJBs`PZRNX5LxJ6IVQ7+9o01Q0VY=zvKE1{EO9%)kgFL6}7v zL;x{F0TYl`0%B$c5DTn82FO4tU-Z(=MqyV8u0LWto3Be*m zkx39_49Ld_FA4#9_FzRIF>ttn6d;Td2J%?+K?D#(tQG;%jzG)|76a=6DM08E1@c%7 zfCM6}#eh7JBBZbeDL@#CB?3VT5Qd6_lp6vGgrO2Z9!L?Ap&$i#4FxGc7z)Y`EJi4L zutXq80YVQrTN)G615$v{1J0=?g!F(EAoPGUuPGrtAO#3LvOpe_1&{y%Hc-@no>VlIDL}h&1GFr zwzBEW>puL{n7@wMbZSQKteEK*m%^oHaD-0O=ULj)n2oG&OgE0)}rZm=RtuG^~FV-mD!lx zmOuAPpS_pCZd)qf?fd!1zjbds?q>I9{*(!RHFtj9I^8Kg&1TUC(+iIZs-Di<@H{0? zN$Ti(tKZR!SegVKru@%iH|m7Wo3JIO>~n=dQoC9qr>lYm&WC*ihBFzY52*m iRxh}>GOO*^?LgnJakJ)3_KabhCNMSD_gKYK?HK@kG?!2S literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt17nitb b/bsp3/Designflow/sim/beh/work/@_opt/vopt17nitb new file mode 100644 index 0000000000000000000000000000000000000000..73fb0c7be19abae43ab953ab5e033c96a1e7705b GIT binary patch literal 840 zcmV-O1GoIs?_vw?0R3a`{s8@B+#R5QubU&>Y!-8q4$nG=T;4U(`1~v6u(=n2ATw`R zXhrOiOA30a7KvTm!^C7}#>t7D%+pgynXjUfhne2wPIJv8+@{(W_zm@6uv@C{Aomon z0Iq2cKpc~7z<6efz_E;Cz$00ezz6bLz)z&)z~2bE!2eMtz`x@;z(0o~z&}nlz(3qP zz(4p2z(25Cz&{}Gzg=naz%5L4HTWKAwk5_SEDOvT7*^OraP08TpqQb?0C5AwK%z#{ zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Ds*zdJ=oclxDzjYQ9$al7rbsdkMs(N116t$F+Xe!bF?^UJgOJR*XDp`oe zRk{_ADeR=q5|{}mWNn<3z+aid!2fdIz`v#Sz&{JHz&}@GN&#@ zfTN2>zLVQok4bY!@2(IRp}V=|JFA?lHWqmzVI%qr7uY`o>7$t37=?&P{>lYM2S~sT zlp1pn0u^PR@%ux5BV3=_gMR5o{5};3JdDXsv(#&|@MHzpvJ3tvB%5UQa5KxbZ}kFY zLc|As>~W$Rvt{k35IP6YU^IJPfGD>0KoKmkz+xCEz=d!KsVC1lsk;g05;y!lWG=Wg Sh#W97PY!-8q4$nG=T;4U(`1~v6u(=n2ATw`R zXhrOiOA30a7KvTm!^C7}#>t7D%+pgynXjUfvB%2wBG1)P1fQ(6NWIX}n`o}It7py7 zU+}|>GC_`pdlHVi0pg~Q2><4#=Y3tGj=RsKTsPkt_%8lKupPYDAbU990JbphKrA7w zz!(Auz;Q%iz@x~Jzz5M$z)#}xz~6+H!2d~nz`xUrz(40lz(0=vz&~8Cz(4pdz(24R zz&{{!zg=m{z%5J!HTWKgwk5{oEDOw;7*^OraP08TpqQb?0C5AwK%z#{rD~{y+Ca27~F zpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0ndo&6ewkXKw zED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$&ph!WtWWTFS zB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd#!H>A%-4Ds z*zdJ=oclxDzjYQ9$al7rbsdkMs(N116t$F+Xe!bF!d0#PU~IHGBoY6%x8o6s^;MjQ zs_S^ORp0bvDJEta5)6!pWLPjKi13({0^mL?;0KeGB*wAq{sY-U_Z7X8bDis)rh1oX z47F~eSSpH!Az*&V_!1GGQz^B#Pzz^%iz+aZa!2fLC zz`vRFz&~@btXs}h-!%;k2={=k^&H~js(FMe6tf^>XePNF?@e_tNl`JNeMW|fMH%zb z%8q?El@`N!fnAZ-%aPM98pq~4<0DZRsK)Nncz%(7#z$tqEz!S8Lz-K5)z>g5(z+YjF!2g0l zz`sSyz(32*tXsaT-!<*>2=_ox^&Daus(FOn6tf_WXePP9?@e`=OI9q`RdR-TKRMG7 z!s#@gh1+aCi7!^HQXlN%ncDy@o?6wZX7BaQ|r+p3)D_7!=8 ztZTA&7?&i!aBc|>pqx@n0D0x;K(dN}z$8_2zzOQKz*CeNz~^XVz>kr(z+Yn|!2gC5 zz`spyz(3oEz(4j!z(1_lzg2pG%O-oBN|+7K)NotX=%M!UkOQr&U`HAc=AaurN8h91 zZAz$On$!>@bm?IasFH(45M@WhV2aM(={U2LDTVR85=sn4W0HUPnSpwFdwfuIri;m2(ohp_uX<_CKqm(`{L|e%m$jo zDN0Mvt0H;JRX;fc+tA6W zf4w0|-Hw9+=8@J_q%8nqwliV>gm*2kGyFFWe&g)A^ASo$JKoi$i~C^OXF%l=+WFTY zdw@*uyM_}9!$bc&PMH8wN}6SaFmyCw-1N1p^9~p#E6l#gSd%XyYQg`;zkwz?)A?9; z3orDCa5^jKVqNDYE-Q7a*Y4|Xs!=h963YGd7Fovu+H5|YPzeP<5>2NZU#B7xVPoN5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt2erw8w b/bsp3/Designflow/sim/beh/work/@_opt/vopt2erw8w new file mode 100644 index 0000000000000000000000000000000000000000..0058bd6220f95130c15e4ab88cb2edd32f894594 GIT binary patch literal 571 zcmZQ9IK@}^XPyHh+9~8YbtB9+%GOsDcflVxA*3B2&E`YPiH?hQH66S=kFiu za~Hf>{5vE=!E)`=-$#|D67841=NBrR zRNuT-UDGpluWik4t2b7)4|R6xRegx;b4^YRyznX3`)u~ntL4P&HK}o*k-ckP)wx)S zi#uX|9`=>+)w=e9mv?67#&#Z^d9PU&x*9IKUU0hF-QDbd@6w7qM$v=`37g*S7GETs zyXc*ItA^C#Z|SZ#Pgg4D*PSEF&&8Te_kDKhJh0iI@$0hJt(Lis5nrrsPq@eu^l!%Q zS9b-@m72PP>*N34muGfm<(RWSVEXmiV@;Tha_07$4F)0B6)sz>EN9t2vO3NP E0ABgOuK)l5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt2xexma b/bsp3/Designflow/sim/beh/work/@_opt/vopt2xexma new file mode 100644 index 0000000000000000000000000000000000000000..39b575bed68c600497559a498e57bc7a336538e2 GIT binary patch literal 3121 zcmXAjcRUo1|HqBUxMf^8NA}1%&fzF`iEP<3WTwvMD$1&qQ6eL<<3xPy2+7JivNF$+ zamdWd9^dZwd%XX6JzkI3^Zj_eXnl#Got4yu>Mq@_e-i4zSM_o`2tV3i>{4CH1GBde z_@FLAQ>(ElV*2z{fF=XPazs0m`-|`J2Xuh0_q?5^+9C3Qjx##pEgY?tMI$+uOrv(& zZ-CyGG0@%Mp>|l-d;?M<#Cq&Pe;~$@p=nz{0`%5LioBnYw2GNIXEZq@E?(UA^ck7n z_dfppYvY`${#<^U8T&R;N(aAT!BpWu{LOg$T6L#NGkiXKV|YJ>%^VW zW;5>0*a267u|aibLJ&|dRWA0(2Xu4>UuXLX)I*4{>iB>M{*oL=4k0`l@X+Y=qg+&qCP|cwDlrS$~;V8hZ|MjKfDc5o2Q=qF*ha~Pg*8*4Ulg?hC#1EFK zTp;)L$@Urv4<7Qfn+jfsu-JD_!R{Y;k>Zt41TVq0U5b@jy&>M~I_-R27LOgN#jls9 z?^BDXzFPJFJu>#^w-O;}UkQc$o|l%n{N^W%8WqaS8Q`TtsFJ~!1a_`n0Ws`n8WAv% zW2Z@dPf75aH{QuwmplMw;~v#S*Mo^g z99eVo5LXOkfsM}b=MHrfjSQfbDyQ=Hd^V)_kJ!(nG+!r;LYO?n5;=sC&GJ+T1+W{W zLa`U*_0mfkI62q!{7Tx|fnN5G6DK;*gt(m5;V(e$SM+@<*F>9#<}hOJ=TQsdgEl?c z!~k7eXw6@O{!oQS(d{F2(p?P+b}8>C!#~%N!}B2Ct4wXS3cziVLTt>K zJ||fJ%N8hlL9@qQ*7)eSJTQe%RY6NwBCVS zKwP%mlTvh@Hi4AEjVIU)lO(jMFO|-6XzEMLvlR99#btcEyl_lE zrb01A`7u-|q{05PiLH*uRD{e+_%aFDQ>L)vccwQdyC=G12y~v#UuL%o2$XwxGbs=O z)`2I=ry^jyz{7G58kb)8HU&ZDBPZl0f5IuyXx#IS$6niBLYU5p;$ZvpTM*_$C&x>TdN8_>qPkQ*hZ=?nG>;fEwmTa8lMcRy}lIj_^S7p?w4xB5S_kjE0jsg zigLvO*791EbujHGloxHw9DS}lat!3O#-L*Xr^uGa{!m|;2+1H0(PI=JZY5s8`0J!c ze>7jVtk1iH#yGqK-NjD(#zVo+QE6CZ?(}dnU-{H^UXp6wm8((UKSzo=Wrx3&^50yY z>per|uB8wag$oN3uDSCr*6EtXO4YidpmviLihtlwT4qQlUn!%VPS%5U7ZbcQ=iY(v z&nC+?)&RV{xx>{qis1KJrCO4`QA^h=;fjxl{-2c~R{r;{=&5}F&?Ajq{h>bCJsrNo zvY^st91YhevDIR2eT6U9gxtDR@&FY?&Y;lX;dVm`vN1M^AhF;Z*YarO`4}E)V4B62 z!&H8q8|;t{)gxM_$2Kq`Yk31$7|Q`cWyGQO9IJVZE=lA^8!tyBW3_FL(=+{hl&UJw zR=jP5C8Oa}EQsvU3YMI-U+hm?=NT<8QJbjbNp}xVTHC#X&Cx3-ae4Yj>_iH@E}x#Y`+?`Dbo7JGmO}dY79h zmuQ$>N~aqPZI7RFWPzs;IBnn;<1;~IMSg;z1c{%RXQVK9C}5aXOc6NL_pgv63TAys zmK0?BznwzVokIV=Q<%C__*ndEh~D^jx8EFveA;Uy-BM)pLabTCg3gHDK%;^+`9fd3 z#{B4wAYJ$5ElrVNjrkQ={bUs!*|i2(=_U24Ew2SMtCB#yc}-W*HNRJ zLb1}QtQf?9h04!Ev&5s8jvvYI#~rPU4&zO|wT&nB+&|A)${G7aEa~GPkzUFjKT()6 z(EZ7!D0c-b4txwYB=75mAWlba8ye+~q}n0|3Zt@b%^%dqA@)~xa?nG@`@)+Td8@On zeUm3)2K(}F@dVQV_WyQFs!V-R(N+pwL9~g`bu-mLNe<-qf2TidKF#T6C>1y6`cS*r zg0~uZUHU$|fF$siWy2;mRCGDU>bw;HM;5&2?<0N1I`>1u6*>JvmDpF_Hvj!L zk3m55$wplW+a9Ah8Me-ORg!T%+vHSL&Z$($JZtKO zSEQ;lG)<2<#h0wsuYgI^IG7ytu$0hv@Z4A$GzJw-+Br#yN^<%MC~nVS=#?5JxR4_@ z>L%xml9Qd5wN=AprFV;_c^8Fo6EnXu|M=~xY$Rs|Csq@{nR(bDROi;?Hj9|5LU1a9 zefysaZMCeYx{n-l{~T`k!ux;jRRM%b;zk*$WYjLYYV)c(M*uz1vZ+z|I4+7yd%N8{ z0qoidAh@=i4vZ&_QZUhLvjf&mez=p4FC8yW*Xm=I*Ps0nkeJ*Yq^tbYUHe=M*D|Od zNv;ZAtQ%=GR+K0|)L_0)_AVa}5itC{Jm82xYaG_XHx@I(d`)2C#Zd|vc77~t+F+CYY*<}fiQA2~WuTFU`i|R{qao_>qlZT&D z1O#*suZs?S8jwg5qwO?&%RJ(AkHDRyKLw*CjyS6nFgz8RTixCnV>4U#QS>`a4RCgr zW)1}2{)-G%+?xLRtKOmEByFwm3yYRTz6=^;#1e#Jt(xa^5JNema(aceQZ!`8V{y zgsv&uS{BVSG{R>TkH`b`3{c$cqtwlDt(e4gIMVkrlrUt?k;zr zno_m;AwJ4C##`2(I{V%$cyG_QV%zzVKlosp)_cIj<={p)Wg=U^&)`qatTsD84A0hC zP#kN!hHxleE5}qaz>j{lK52wzC|LBYSNpaeoHWH|%j@P<+NUpFRE^zXC9jx&r7<_} zfGBUZp7afxg1_~C`Ie;2Lh2_cer;jxt)Hl(n9z2>3!Vpul(iUCKc##Y?Rq-H`eL~w uQYzfx?XsO=Zgl*RN7(2lzT&!~%C`#l+<}wI+AwFe(l^n^lFc@yr2hfWHObKc literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt432j07 b/bsp3/Designflow/sim/beh/work/@_opt/vopt432j07 new file mode 100644 index 0000000000000000000000000000000000000000..7a521b542e38184cdfa68e0613941b76534b7ed1 GIT binary patch literal 8184 zcmc(k-)~$;702&gudg?@aZHlZlt6Jv0MnAJP13}v1SBG*P8(223j|2&AK9(flIsN9 zG36;&A`-88jD(Q#SpJI$38|0$Cy*-f##0|4=KDEwX7_r%_c|62tdu!>=FEK0cg~zM zGwYnMzm}xQ$m5xzB&kV0k{psemn2DDQX(04nMq!gJ|bC@Ol$Q!OOmyuHkl%8>8bi? zlI-kEr0Aoy^ri&%bVTx8QcwRbgY3;6edx!5WcDxfU(0-aR@axmCH3rqq{fdnB>%J1 z=-R&|op}-_9WN7%cu!=EPbB}Mmicp%#rg0#$ox*5I@NLPy6Oa{=^BK z{nr0Q-~1TAh_m1PLz=J1W)s?Y;)#ae)5E*Ax5XpkMP8@X4=?cGb<*^S(bvQHb;n^@%r~AIpUp`x^1&~0 z%AHTNM?PjBz=w5%7x|nIdXw?+`5w56OFs|rnb(ml^3Zl0k58OOwC~3w;zb@;1AY@c zc#sf-c+7`!dw5v=C?203kVnLeJl=O6;KAc;36EROF^c$*sbn)rRIrpP( z>yPqdeSzPw*X0Gph4Wxc{oqii;KcD)97n@;^*@qc#34`KP3j*IA64KT&ZFXegD$=| z9VfN?(0vp5jCK8G&MV;W?Z?mC@?#U^hxHfzpyMaj3voFnKV|0~>th{GK+w=XWaD zn~VqVr0M17(}e7-2fsz!aIW-lXM(-ScyPy5!QNy%cxC5> z<(K%)hw-{U0$!-|9^MVdV?20eb^m4AS?{erys&RQyv1N|G9J9L`aL2$c%3l5%P-Vj z5AVL?F&?~zBzb>St>$;%S?IFgwEIf=LH^Db@;=s8%==@qAFZWRGm_*LlDv8eSxc9( zpO8O^`r={xGj zP9FT#^oh}zB?sYHU5GdKB5(TPeOoJm$0h0TwR#j!smAve$w9bZ4gEFj@bma~dBykA zXZrGQ#VPF1L>AZo*!`YxoVc!zbk65L@8*@gYcTm;0^vRmX|z{Zx8!z0NCp zuVlU992n0oYo78mgI96A;162wBOf2*7W4hG`q_8yN7%k2$?ru;{Dk`M<=t72=M~up z&2RYp`#!&8a_{`OZ`g@L&>LCX#!rl1HaXUP-vqs9#}E9-zxca`b2rXcx8=bPo$uYC z@5%FTs9*c;_rZ8Z0+C-5Cd=}9N_PCkd`2gKLVxf4I2rnD^s_%@_)q)lCE)b%oqu+_ zBtKXO`1nJ{_)E2QT=zJ{I^djU|N86To9Zvd!|mO?f6;iH3OIY>aoX{*!?O&3zj!$R ztX&ch)&V~Lkc*P!i^RZq3}eteVnR~QTg3l?bnxwcRXCr1iW6%iF+zdj$~*0;>bPxvfiQGkKnwewhD)P zsto5P;c!2K^AojIINUE~I7fv8UvS=5TZO~DRE9Gt9QcAmoU3rSkIHZ+gaco2uBolU z;T|c&85a(G!Ffk*6%O}L8P2G1;0w;XYO8R_lQNtU;lLN1>uRfTrerU}85RzF!TG7$ zDx8zDm*ETvhdc-8XKJf(rez<5!)!j}H8?+43r>GMGN1Qxe?4*@73j*M{xA}^@CYpg!4`CzJ>L*S}-ZRK)b?A4A^+z6q=H|_rB-5=-sAV2E1{?_W|eb%+M^oIrH_R`t|WII`V@FMF3hxJh>$ouY> z{wlv)?e$WBRqF&7Km45w`Q!!t-CJ+}PyV>q@b~Nm{k2wCRxMu^)@(mN+)CO*o;Sn7 zDci@mKJGvKeSTnn8|HVVv!(eVPr=#GANM5VCPUrk@Tl6qwbgZ7*TTXZ7tD`jDu0{p z6^nCgX=};)CFw7Wzv$yH_Vv$s|J?5WOP50brAxc}XJ_c2NoHrr)0pQ6m7IUYb@Kk9 zPVadf_}xTYxVQA}A%CZn%>DO5?(hBF-|M-*w{w3l=l63^v!$M$JE z=`0b)X6K&n6xIJ`a~b(yW7+KM&6ed=s~ye9;gKVIV0 +Z9 OE;C;6.5b;42 +Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..} +Z11 tExplicit 1 +!s100 j6YPGc@:alQm=gAZDnLd<2 +Bbody +DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0 +R1 +R2 +31 +R3 +R4 +l0 +L3719 +VIMmI^hXJEW@Uoa4kJFX:K1 +R9 +R10 +R11 +nbody +!s100 GRUnO8ScI[9kFB=Ki3;5f2 +Pmath_real +R2 +31 +b1 +R4 +R5 +R6 +R7 +R8 +l0 +L55 +VzjAF7SKfg_RPI0GT^n1N`1 +R9 +R10 +R11 +!s100 ?h[BJdc9hAMLfn1 +Pnumeric_bit +R2 +31 +b1 +R4 +Z12 w1242971927 +R6 +Z13 8vhdl_src/ieee/mti_numeric_bit.vhd +Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd +l0 +L58 +V0:R3B671ke]N`8]?lK_c_1 +R9 +Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3 +R11 +!s100 b164i8a]Ti[DoEJ?8VoH00 +Bbody +DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1 +R2 +31 +R4 +l0 +L1045 +VMl`J4ca2be3ejNXY`>k4Y1 +R9 +R15 +R11 +nbody +!s100 G_bI[L810b3Q]LV2V2za01 +Pnumeric_std +Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2 +R2 +31 +b1 +Z17 Mx1 4 ieee 14 std_logic_1164 +R4 +R12 +R6 +Z18 8vhdl_src/ieee/mti_numeric_std.vhd +Z19 Fvhdl_src/ieee/mti_numeric_std.vhd +l0 +L57 +V=NSdli^?T5OD8;4F3aVEY0 +Bbody +DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0 +R24 +R16 +R2 +31 +R25 +R26 +R4 +l0 +L173 +Vd@dC3[2h4nN7HB2XD:8CM1 +R9 +R10 +R11 +nbody +!s100 Nh6>65S7FR:e[I>ADUQO1 +R9 +R10 +R11 +nbody +!s100 ]?UNFEkZD:LZf;=G2=^OM3 +Pstd_logic_textio +R16 +Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<dbiF;m2[GMa2 +R9 +R10 +R11 +nbody +!s100 6OHe=[AFemLP2O5e01aCn1 +Pstd_logic_unsigned +R29 +R16 +R2 +31 +b1 +R25 +R30 +R4 +R12 +R6 +Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd +Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd +l0 +L34 +VhEMVMlaNCR^a:GNXQeK2 +Pvital_primitives +Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG[EMmIIzoCHn?@614I_=a3 +R9 +R41 +R11 +nbody +!s100 ccDc[]`DWjj?>mGBe93>82 +Pvital_timing +R16 +R2 +30 +b1 +R17 +R4 +R40 +R6 +8vhdl_src/vital95/timing_p.vhd +Fvhdl_src/vital95/timing_p.vhd +l0 +L46 +VOBWK>;kUYmkG;kUYmkG1 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt59rd1y b/bsp3/Designflow/sim/beh/work/@_opt/vopt59rd1y new file mode 100644 index 0000000000000000000000000000000000000000..780e37e9d3cf69e78352d9828cbdf9dac526886e GIT binary patch literal 458 zcmZQ9IK{_p=PSU(#>EMwoOOPfBph7M$;iOKz_J5Ka04+IfJ7J&A|NgsSd0zGI#ZWp za4D~8&UYp0Nv8jTE2Q4-5%JV|Wh%2KVzT3kZ85E7fA`Mn5YlTvuj!Lz z&3){fA#q)iscX8r@%OHaEta~=Kk*ZAGlvE?iFFU(3f_*7|IzShI1 zb;VwOJ12L(uRAtX{kv79OZ`#RpQ}_Behp^YE@7wWA9%n-R8R5pVK3X;RVy~mUl|wq zc%v0re*XWgY^L7akQ@>mEu3D(Qt0Yg^?;=;!|HxX@`JuDoKrRa{$UH*FEinIpdYJg K_48j#-Q)p4!NaLNu~9KuIELdIHR9KuHmj6?W0D=-dUfpG{u{J~y&u;@yItpIJ=RGA!D z6@&m4K#>vwT_^>HU2t0p>S%V0057&UaRSZ~H7`=*tvZQkOnm@`)vHSb{<}K7q|?qZ zMyH(Kvz6su1QqX_;sx<2$c%^F^usi|y99#|KbTcv<@@^KTl_F0?cA^3q!;dT@IE^dBFhQV+Yt9Sd&2 zUj3wUabeKRH7D9-~@LFK|nLO@?vmxBszz#_IiJUMX)g1)OgZYwyqLh yaU26b%uc;LwPR}7P9XF@YNVLY1aEGZg$t8I2QP({np?>Z;eik2DDpzLBW>i)Dw!4l literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt5xncy6 b/bsp3/Designflow/sim/beh/work/@_opt/vopt5xncy6 new file mode 100644 index 0000000000000000000000000000000000000000..0092393bfc22de7d0f3af760485ed612e4265801 GIT binary patch literal 7339 zcmcgxy>HuA5Pwb_*X`0Z-MBR^+K8kiitJ~G0`1TtWBLV#UzUW>ltIdh4I^mAju|^- z$e6KX#-dZk{s|d_PC=(GMSFMePLe56d7_FMHjeLjy5GIu-Fx?*+}qz%CvPeG*;CgZ z;=>y(?UwQoK9}V8!hLn{@MK&llwa>Fbp`Yy5oaI9do15$c^4Fa|8q!siD#WGvnoTs z)9_@BvQQ`EDTodc@vzk3~}O?yxnBp zMy5C|c@vzU3~@%)d4cOEX}MlIJg=vQc(WbxY{vWD5Uz3r-j{}J=DEE8HSHHJ znaUrt^D6I_Mc2J{`~Zq>LW}hr#LRAS&34C+(QXJ z*q4Mik*HtZ*ZVxaYmA@k{*i?V;!RGADax!=({1*7k8vLv<4XTBpZ`^Z`42dbXIsbd zdLFKAf&bDNe_p?IUV!vd9YETR>e=V{UWfCANuOA%=T>+D86po&86+-!bl)A#Mr8BCn9v`F(fO`jPp4&p1yEafUnM{A!33 z?}+ol5NC1!Smkfi_XWb=56mANLg}1=`b~AYxPR=1cf$}b&Cgr)b(!B?c))dVyFDJ^ z7ugx@e+uL_>po)qGedkhUK0NzVW=>VH=q&XIq)g_S$t2F=D2RoRhmoRG0A(vaXmAP ztBQ{FJ_Nvsmrb|Ze^bVLVThMzc|`LHOTw+PDdhpKzmU&^hfVM6d1|tooKDG4GC8L6 z8*KOFf6l9_GUPL!M08(E!<6KBrtfdzX+itdR28&e)jzj#Z)Sg(PrUE_2?@siO(g2E zPDMWE@t21>@AoI=J)E}!iS^eMmxB1{eKsQgW@;4SJZ8g)=9iY^8Rf4G^?h{AJa9j6 z?z{Tl*wh#0K3nfw3i=nuQ|~LHpYLDlyr4Mc`wbo=A6U=AlStu7z zE5}D3>Uw8YAE5m}IYG^y^nQarSkb#S`spgyzU)Wqdky-2gWhY<4;u7-gFaZ*JDMNY zsl`cpN3GYptOx#y@8jr9uY>%Y#+}jR82x5dhxUQfGNyG?bW&R1uz%1xfniE^q2rABR#%38<8Ic66@@L!_RcR*YTk|u~L8h zgX{EN_@4>(8PdXf!uuEOSdW4RmdJh<>+`&Q4*tcwe4>Ug$=e}jSlz`C#o zeH#R*?BKxz%Xb!r@CK}Xcm;pjj6Vb_$3ts3n7c)5F^bVM7`X#9>Mj|@{*p^Fvj!Ol z(DlJO=q&}{QiN{G2EL63eRqLr;~UG37|(aeAekF@5g9mQ5MV$-f7Kvi4~+)dB?He} zKqNW_TMTFxYYb>IKuXYL(7WVeU@stuA}C@@;hkF~9-|qD8ViDm1IdRJ%6iB3jCYF327s z*_I28f`@pCYMsr#qo?Uo#Rka|Q92iWs3fdE*P((*7Cd;G$$no9s9@3s53n$>qWTh~ P<3bGZiwo=aJ4*cvMsw-$ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt68f5gq b/bsp3/Designflow/sim/beh/work/@_opt/vopt68f5gq new file mode 100644 index 0000000000000000000000000000000000000000..9f3eb6308d69bffe5ee9c03ec99db64bc85f4baa GIT binary patch literal 8522 zcmbW43tUav7sv0t=aeELk4mAr71cx`nIz?zM3QF@dYVW^G@dmoGE|I5G~-pNCXFaY zjaM?r7-I6uBx5l07?X&+{$c)W?aN)~%)Q3UHYUUdS8lfm4XeX5WiE>nzfI|W07^2+2xf~QwjuFZOz-U}36i|*acpQcl_23+I z{t!}3EQU-_FcpjOuoNg!& zX)Xr^iX0s%(G2CGq8v3PqJZx65z51w%RvF<$WcBU4E~t1CdFS|eDI}gdU5fjDBz&u zj9Y-^=de=@KvR>!M`4^@1tY^*3&IoV?|;ispg_Wj6sL$L4e!Yj!3q*BAtajz1{?o5 z7#gq|ItuWIpEYTBIM_fJRD^;L74?8~egPQ8@KC6l!32s$M*)mU!p6iN1ROBG5aZBU zlc}kQ1XvMHF~0~5-BnE?D4@HVffu4oVRu~&uBN;4D4>Z}y#+@E92U+KAJZHZ1sb75 zKS8y^Qo)9Oi4@L_TAt>hEHXq+Y#Xq={HAfRdb2)s}wlg%Rvs3I8jKbDsx z0#?)$1biYGjZhR&rD~u=Kq&j%`x0`n@yTE)S>hiSAqzDm)T>^S}+=+D4@wy z9TrCfgyPq}Y&{rk{8li^5wZU?{}?g~l(*;bL!Tn-+hd!E&=))u(SF3EfCvuYQ3MIx zW;D>@FVhogTlO8lLm9%bIdt*1&7**z_-RiOUGVrUFd7R(0TFP_6k&vH5dptf=nICe zCJKmv{~jp92st7Gew_G17d#IrAi^i$QG^k`g%DMNpDOh6!p49CA~=CZ5k|-r5sbj| zhb|Zc3WzWOJc=;FP6*K$@MD8MKiC*hKm=#-D8dMNA_9J!Ac2Se{udJkL>LGjMHt~b z2+f!@=W=ggLSi;NkWu7#0eYb0<}O3OOQJ z!5iWZa=w@$z+jV&1Y-wX07U^oRbLK{2nh86Ie%D~qi%CU0hN!N8$}F|a709qgBJ!} z@KU3I2)MaXgb_+0q#UMr6c7R56^bxIsfeIvb3*|U@Liz@ zBODVE)NF1jAOgNC6k&uPMFeB;0-y_K5(PvU1s+8h;W&h7COdHVzI5)uJ5|eFik-f1SRw* zlzzyO`M_CE7EzXu0xDm1**GE~bUes;3{m+Lz(c5@d=yamO+cx9C6vma_#P@KAE8u! z6HqFjK~F;tl|KnQ`~Vm1TPUFNRi8PI2w47Pkn!RkQgQ9vU#1#c>JdP6xP;7I*2=NuS<`h$m1!AMa+&@SL1lwMkn2nc0w z^m$Ma6!raBL^)Cv5L9(f<%odLX&~o+VF)nTWPxCCyB91J3J9vY&2mIQXb{Nx3WflK zO%@Es8M*+90)ndUyc`h_8Uk|u0z-hoCJO~K5V`=00)nb;#vBn4ioaO=MTP)_O*S2j z3v>Y#1q4;IOA!H~pMspf#1LSx$-==PRIvIeAgG!*iURdrV=Kd(7%% z!yN0%E~ValQ`K*cMXTFC+C?~C+2TE1*W>K#yy17#-pc15|1-|WwlpN{hht^2B@3R$ zZq4ubsnfLp`$Z-{_Z{O~{J-Z$HkA8$J=`iEak0ALf$t8_pV+uzAQhU{`0@O@6gcGGaO)|3&Uc*Uw`#ws&Duo zI$MqweKO9X+q;t8`qe>~$5r=!5;4{5=S_?5w_5V(hpb~=Q@o<=YF`>ZjJztXw!alI z)pPT<;DxOsUfs*i{VHYb^rbZ~bRWj9F|_z@Re{C4ksi7WyBa>eAe|(M8({4Fi*ADR z7x%QYzgwE(6_frDDB;H*9R*z`($5M@wBFhwO;E{@Q(J>%h$=N85n+d5YN`KR?%d&u@iK?k4Ydrt3xv9l!m# zx1uMR8i1D^obWEbKHjLUSH?Fr+sn=O#vZQ9S#==czRMpsdO65W7SGw#N!q%g$h_fV zk8 zo=vO#b%UM5Qi6%=4OxbKUJ&fKftwHJ8CzS+4yH>ojNa=(9~$qNUY&5>O*FI1-L*{)3R zsJha9T$S&u%>QJ%6v&rs$eLu8G^%D-uZy3ZGN~vS*yYW}sf8DY+ca!k?~)MEY5p0L zb1&_CIIrkmGX7?|kx5?J$Xmx(d_O$rYTS`+S?$l6q!zU3(!$N-@O`&g3u|(@vfrQS zew7s9yU=(>+jeHXqlU!9y|!Ha+;Fnfn>Ovk-HpZuzdbVST0-y6Cu*)mMT|@I|0Z)z zzjHnJ{%6qhA!~NOO^Z5{oV@+;ozQ3fE6iMf7+c(@reH^URYTn2l)uvs%rLPJOKRnR z!@S_#^TDxS+8^)m^v&zbrYqZ(MIZHj(-=E!!@z0bGS2#iu4lZPe8#?D>&kgSL1$~b z8P#@smf^B_%%IhY>kb80bkNG_R^)zQ`?{1>cRMB<4dt^U`)PILohv^JZY(j%%(&lf zMWm;Xym3>--QF!fpI+wsbhh3@2Os}Z=M6`)CbTFm%5o~v+quKBan~M{u(QtvbVm-L2lG?k-Nv7?fR<&v%YY!n?AF1RK=ND z)p6S<+q|`3&~mdu*;v~r{@=%3|LohdhjPreeEr5u`{1GSl1;8XdM~%$bv7vVO0Vvd zlgm_a9Y_A64&~T`38++_~0qs!fH3dEVujb3Yv)x$knrp<2JU zd-597@~-9H8TD7)s{ESr(pR;&A1t2OYUhit>*n`p`TM$YyYIG?Tdj|{cFShT!L30t z@!kt|Yvt7^rOPeD8w|?&4~dFySgUu`v$XI0{I6>dWMo=rq$K~na$ogi>GIyoKhCij GaPYr2l1Z=t literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt6fnkx3 b/bsp3/Designflow/sim/beh/work/@_opt/vopt6fnkx3 new file mode 100644 index 0000000000000000000000000000000000000000..b3f7f06690330b0100dc3e39c3db55dd1894a2cc GIT binary patch literal 2484 zcmbVM2~bm46#XPWh!$c%L@0{{2m%5UmXu8sP!=(!pan}A7K>PMYhR3q@tDP-V0fu=jq3KAdSXoo42q|IW?1=imF^e_u`B z%q=y_e>SF526*67oczSW%x^gqMbU-`5ENz0AVpcgl})JuV$eni5EB9xT+JZZ6s{P7 zF)WCH4a|TM;EEBK(Y!b^t1! zQ9ztEfw3_~oV6xX#F+~z+8zL>Q`$2Mi1SQfY)lbn9x!?V0)$c<6c8J2V6+1Qgwh%m zkQyCev?IX8gTVi00pQ-T7$0V@Q9w*}f%!sAynxJJqkx#sM!7S9%4dKAQlkfqjVbaB z<^ZEz048i;p{5UjTQH?J6sYVC1>`>X-qRugV)`yHeEL&NQJ~Tk1;ms&pzlFS<-jN) zHF##(m?8r+r_}Wy8;4V+;4FxKDLjk!DbF$qaMFutnhOfaCQxvE)MFBD8qud=p z<-jN)HOwaoQ)FOswDCZIQ2NHAfY?|7qdgHIl-8ht)Chpl3jtIfcNCBsOJHnFk#^@z zrpR%(f)u?70b-&XJUe0tHj`tH2MUNY^A$t|shJPV>+Kp8kQ)4a(Gmm*rBh4bFH*xC zl*K5ApE}bsf>Tzj?qT=9*>iP;Zc?q;MeisZVeD3Y z@0MrV2Sp~{;b(lyi?`)kh~-vJnZJDOJnqzJ_&B1zFvle?w7V}OLH~+0C0Ufe@5f*7 zzwDR~PA zE$U}6zQ#0V-Pxx%wW^kReBg5|?Mbget$)w? zg09^ezUM6Dxp8(px8%NaznQMmb$Vp?Jg)g{Ol*8|U__Bw2%SXdbMI-@Y|f||7zp7A zjymd`{GldZV`Fw&VQ)n0ur zWL4f6xVC;Y~9dQ5OFj%_|)Q(Tf1~V`Z?fki9*_QN0Qo9FS+5DGhC~s>m_K@+w)C= puCVP3ANw6$TY}4bQ`7%?F#TAaLDRz*BP;!R)B0nxOyflne*-nu=KKHv literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt9146qh b/bsp3/Designflow/sim/beh/work/@_opt/vopt9146qh new file mode 100644 index 0000000..ca3cbe6 --- /dev/null +++ b/bsp3/Designflow/sim/beh/work/@_opt/vopt9146qh @@ -0,0 +1,78 @@ +m255 +K3 +cModel Technology Builtin Library +13 +Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech +Penv +Z1 OL;C;6.5b;42 +32 +b1 +Z2 OP;C;6.5b;42 +Z3 w1242971927 +Z4 d$MODEL_TECH/.. +Z5 8vhdl_src/std/env.vhd +Z6 Fvhdl_src/std/env.vhd +l0 +L1 +VMSh;Gmh>9BN`3 +R7 +R12 +R9 +nbody +!s100 9k9n?Y0BCW@M9E4=S3GCM2 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopt9ht9r4 b/bsp3/Designflow/sim/beh/work/@_opt/vopt9ht9r4 new file mode 100644 index 0000000000000000000000000000000000000000..514e56185d459eab2dfa844c1164fc1c63598365 GIT binary patch literal 8136 zcmchbJ#1T56vyv#oR`o>ZH6KRsY6it2+-E1MQsoriUc%#lokpo48@M)m)Ih)jqRjv zmp+LlVeK9HvlK7`2pV_8At~WyE z%nL^t`|ZTw!XC=^m}6l-gQQ>4FZ<_7yX$lLVd{^dzf{fEI5aNV zK^o{s+XKM`2e$-n1l&aj*9LbP+^BKZWUY`+*%Uv ztW%!vbU$}uSx1uJwDmgc{0riF{cau7XN(pCjr&eJ;`4ga{+{(UZtr7%wr1-M1aCOF zJh&L#6$e)Ww+L>`!PUUE!M*9=THx-3d&|Ma;8wxC?cf%`JplKPgIff*0q&}UTLQNU z?p+7h21kdAT@TkB+%mX9aMvB&eQ?9z-g9s(;IiQOK%#lLXCADAyA1AzgIfc49oz>F z?g6+wxDOrNI=C9Rj~v_vxELJo&vZhE$7tQ~I^lhgA#zVnN-*L z%rTwMH^`R9BVay7=QGK!Kf0~E>TyioI#yt0j&wcJV*BeFsdlYkh&2hWT zUG{M7%iI+Y$NgjOnuANOFL^FuZrsD+JPivTZV>IxcsQJ=;T;b*1iu9jhx0W2(!&kI z?;8gqIl6{UeME zDxOqvQN@vpYxO9{KAMxf_UHcbx?M)UzJ-1d{So>z^jGKxw5HnsOvQB-H&iVB(wyYA zzwYk<-2h%kC!i;xPeD&ZN1-I9`#hk_I8e;(_VW70{!>(b;;U8rOF8>-Kg6#EKjDRw zSk#r*ehUsiSAFpp)qYFLPdM=tmAt6rwcoPGPwI<`kHp$<#o;HsR-fM*{DgC<_LW%o zZykP8epuCh4;_BGpRRVa- zUxRKzWj`OsIgy8!pm<|3zNlt^UZ3)Pga`ymQy=6?<$`uSL}0BjsV*~YM8Z24RgOsjoTHQca+b2 z%IoE6n%ewaR;aSpi;*1%L(11%s5Wi8)!CdKuPOnSBY>~Fm76VdTrsm{8=uR~a$Kea z_pfzc#ktuDE^nFH3FdFB`V{XfFP5jRe=~O{X}4-3_U+`W_WC1JrEl8Z`Q|Ndr)rwF zRNh{1JxZQGJ3dqP`seDVy4!!swm%=4^6q@Y=3Ay=ue;-uJm=0w$vo=hBg;>hlKI!k zS8Tqr#~b4A&i5&r@H}prQq|tisFAVvf39Az_otF6 z+I|S33hlg%axY%6aiKw<^uzdk<~4iY8s%wwpQdK2_P%5q^-0UoLS@a-LS;QW kU$Q=il;x+4KDF;c@l4XY)JfdEVdq{=UD@`##U}zQ21# zi5j!34=k!y=N&xP1g%Tl(O|3QkE@M2j^nM-fpOdj!E>BFv=UAP9*rN24ooKyLn{Z9 zaCj#OhQJL8B+wfq2=Go24Am2$)J_oKoiL3(+#n9oy}(Ow0H1Q40!#|VJD~&9EyD;} z8JI-S3xN!WF5c(__|OkbD$Ic65)|lWG3^jpy!UcQ2gM|U6bN&= z;fr9xCGHCiPC|3?D4;1Upyv!m1PKw~fw&($hS#s!Q7A|&Y6C*_2*J^==FCk=%RBnos%LBadr@I=wx zf2W5nh0)tQ@AQb-#@F99oM))W&2qgkS@xK5cst09+j{<{GA|Mq1 z3-B}bpp5WQU=T_Kgt{YCr3Yn%j{<{GA|Mq1KZwJ?;U%7>YdNVg-r$Kk>~Kl5BFW)i zah`UJTezcLp{0%4gQ&6%cY6DKcs!Ktw_h^1=7d*!mUl-@LdRaq|QXn4g?vo%r0h&w&Hl zPg-rJENU*;G)lcFFflPde@^%G|M9L-zzu8VvifO{Pw(hXFxNb7*b)6A%Mq@rM#s6zXxm-cr~ps{^kVRsDFN2o>$~{?VaX*negSGFRti-gl~&(Jo)s~ zTbEQftKtqn{<+RB%EUExaZNzA=Df5sa=}N%>a#;UpVeo0?n!@a^_@*gX2a&M;~v)Z z3pr9}zEt+yMII&(S{B_}=YHghU(!a^!w)MO?-lqRsU#_!qx5tLG#uYU- z&bf5sUPZd2@uAEZY1!E_dF^v2XU!v{O7;28?Mrr+E<9lBn)XcbY@c(W&G<7rCLL7D zA|oPxy?i}C=)~MpnInBSb)8V>?)AA+G`dr{Wp`+KP@bDpN__B*&e_A3TiKlKzTh-P zy-xE(mT?(x_MoYro2c3*E7{{d-=<>4)6_3Vm@019Za6-}p?u-()GgkT*lUQfbZ-gv zk4nfGpJATz?b5Hp&Sc-V7@b#KmH$h4VX>F@zS7+(4RW#8akExEaE({B*|F3+t4$A? z>~dW7h14qk55@dj=XT9^No`BdUhB4gXjM+O_O9`O#D;#S*M_&Ll%an{`xZ=_UFqHG LnJ~S+I_ToR@6{R2 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptbkfv6z b/bsp3/Designflow/sim/beh/work/@_opt/voptbkfv6z new file mode 100644 index 0000000000000000000000000000000000000000..9b732a52bd7d99d93c3be85abc0fe21039da6386 GIT binary patch literal 572 zcmV-C0>k~&?_vw?0R3a`{s8@B+#R5QubU&>Y!-8q4$nG=T;4U(`1~v6u(=n2ATw`R zXhrOiOA30a7KvTm!^C7}#>t7D%+pgynXjUfvB%2wBG1)PR-dGyNcpFMbUWC_Uik_Z zx8NE(^LM1r%iv?3EF&YRj^t@eg?ddBc@l!Hl~3j8YTv&Il>R?KXuUu3kUBrKU^PA% zfGRv-KovN!z-llsz?C2nz-s|;z?VXhz;DHIz@N&Hz<a27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Dr*zdJ>@SiKEpnn$@f7J{FODC3_N}Jci)OPRA=&hc{kQ;r)V0XIG=D=Hq zM;{}WZOW;Sn$#2dbm?cisFIH@5M^HzV2b{J={VEWDTOgS5=xAUWYkz(i0JX-P?4jK K;9?F&CvCE5aUXI3 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptdgkded b/bsp3/Designflow/sim/beh/work/@_opt/voptdgkded new file mode 100644 index 0000000000000000000000000000000000000000..a8e08183e059fcf06dafb9e3edd4bc63a11ca176 GIT binary patch literal 6032 zcmbW53shBA8prS9Tp)pXNen2&Qv^W)Q$flH7+4?)AurAHL5{*`yioxO$$VtxLK01v z0>+r+iv)6<#ViGphp9^@nn5fT8_7p_6US(LG~a)py8G@CE;Do1+TT6jzQ6rD`*H5x zsFd1fzE?eC*02%2<@9lGx3yVH$$|~CN~MySIT7C!<+-!&J6>ZfZ8US2l4}A7eZ2<5# zBY;OyP$q(I2HHIUD1AdmfQC`wyN3*bOGi?sj;5e@4gl~r4wT8L;&b4L>{SYihHeJh zb^z$@8vy>CXMx91;L-thA^>`&0Jzi%_#CZtkktaf+c;AuqlypM1z9Ww-nRj^FaUb{ z27o`u6?mK=HKWXrLGZBs0DxX804{X{9#28<3IpJ6+$ob$r91~e!%@f*v{i%58vw5H z0G>Eh8vwk`Xy9`x=>53^;B7o9lTpRHi!+r~DWk>+#sHY7r7(ggcUu_%H+utrO=$Dv z1}p>MZ6H?97uui`;=v&U;BCeNPojVoRxa$qd|zfO4?z0$Yn3bl>`$mS=}T^~q>S&< z%m9EJM1UEV2nOuXe9vYE0Nfw~%nZV&-xSS}cFP{E)x3IN<70?e>NFyPqY2N~-F0B#TgW_U|5;1J`-8Z!Xk1`%L} zRKb7~D~gKFY69Q}5nzTi!GQA%$Cr))05^yLGo%X!9CtA2%p?GA5CLY$5DYlmUZtYb z2LRk40?d#p7;uoqhz0=MAOg&gB^aD3n?psX4*qH;4c;tP~7xl*Ne#0Nfw~%&C&H;4c;6cWG;6p{Hl1j9sk!n1emQqkw z7t{D0m6=pbwf|cr0WhY5*JuJo85PPKWumcCO+>bXf+C?C2~`|IztkU7;2nH&rVMrw zfJ<6PueJ@wfKKA^yp8%3Er9v~q#S@WfGBmPw#~p&gF^)1 zGB~1qs*KnOF%Qk>Q-9j`e0yow)Jr`J4kff2d9?=HyUg?I>U_E}+`ek3#_eF8cS(C< zwsGD1m^~)~q&i!>%I%d>*`*zQ?*z)vHn%J-RR7}R9~D>MS5|uRWKUp6QOfPUyW7J9 zijqEl-Qd)9r<`e0gI%5D;{9i?=Ui^~S$iw;lMBl{Y&Yf zWfrwOaB;ABbhX4TWcRl3lK-zUZ#wExyr*!h{r}MEiro4%_h&-RJZzMwB!AUZkQdM<~a&%;x zyZ6}vm&xX)Sn@UvkWI+yY=nG(cAz2L-{wen=Xc$9jmnK?+iXM=ALva!6E$e7XOl}Ph)3Z ztIqstrD1LSp}u|ncZz0Q&uTFmb}jBNl-*osb(%7>?${5It+)J|GW&bRARoUw9Hjky zBan~VlRvDlDP2@|<*k#QHpKxw_oh_4H#Eh3|K}?fpIuB}SZH9c+4){zO1ukK&b*w9mm|e0prXsz-#-qQkr|j&FMKjA5uJ`fv{3cqC*2ex)K&-Ac8U_LzZ zl`l;GCM}Nk{?4m9B4}^$+U8Nl!_FsXsIqMxdV}t}MlW&vS9+#zq$d97ZB9vhlSXF# E8<<$7uK)l5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptgn7ig7 b/bsp3/Designflow/sim/beh/work/@_opt/voptgn7ig7 new file mode 100644 index 0000000000000000000000000000000000000000..1f0529be9a2db2d19f80a06e7f627a785a56d233 GIT binary patch literal 4416 zcmchby>A><6vdxEVjGkKq!dOB3l=BIPtedoTfdHduqw>QF{JK;b5 zZG?M^O>t0e5fRq{ThhKO+!k&-KXShBe2+BZ61INI$I@T9=VXoeZHfNO+xGvz@^sy> zzF1cf@w-yjaZ`LB3IF*k}03N)>Hpo@jr5ZDQ0!x!{oZDc8+VEoh$R$mjs;; z=fNLw)*MGW$aNmCse^tX`$IFi&Qq$B@y6&yy8sp+T#Mz(6dtWv{zoQ4vht5x&pE?hmf^hZsK&Ejkt#$9| z_v`mhPJZxZvOn6@Psahjx%(j>dC*`g&VJ7meyRTO2ifn8{E!Df5OEN3_B&tWhyEaZ zpxN&t;fH+YI=@TzLtcvP3(ezu?S5##E7|Y&gddMHRfqlFk{|o5)9kk=52%}d-!LBd zK{MHJe~lmahd;=EkH`;sAp1e1o&65n5ADcLk@MK^=^8)uPm%o&*Z5(axAhy5U#ed! z&f}ZApT4i<7wLPsX8%1udXCgbDQU(%=Y8h~&IitY=R@bmPP`)zT^~75ooCJ$&U5EW z=Y{i?^V0d&iTnJ}eLvF!Hr-7t=!waL|lOnfw%qZmT zro?;w{Kj}&E%W;40)KZ~Kb9&ao@4~@-x<+FU}&f@YMt>V%0hoj~BTg6L@m+$!dx*j)n9#{EY(mm3B z(mCmO=1uLDo%ewpcwM*7sIvWxO~>~CxbE5hl%3qhoyYO9uCquxmofYNE7QGZs(jM< z+V-s}hPF?Gab^3`Y3jb^$%m@U_{HXE5w5bF7pYbNNsQSU#!Cx4Ltjp)5-O5*al O%ipeEXW`((5dHyd_zW-r literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptgna7ah b/bsp3/Designflow/sim/beh/work/@_opt/voptgna7ah new file mode 100644 index 0000000000000000000000000000000000000000..f94fd6686a42495a0e7969bcf65d1eb7783e9165 GIT binary patch literal 1547 zcmX}qdpOg39Ki9-b#2D>%O=dmm|Ty!-_CMdb5GiyqY{=|j=3$DVyDL?M(!0lMeey& z4qA^@5=L_Aph6~^v{PlUh&-4B~&+GdJZemWN(m^Qus{q(fN1E*y;|u*WEFlME)Mmw$ z7zWIZPP}ijxpL0q5{Ny1&t39cyUo+uv0-CMec3Fh_@loR>Qm{0^oCAjma$M1|med3YJ-C>5K;9*?pxZXS10pwph?NN-#>wi0R-J_& zQ)P6P3Z|_Vla04wo)4TY6w7*R4F&UyFF{IXWWeBr2Xj;x2;I#E}7U1R;(_)RJa(mR@-|3jvLcG<&)5 z1E36~llOpV|l=Br68MNJGKbYwSO~6z_`={c1(56DWap+b;^5gR`yjO_=E34>|m5g*lajGI*4+h5(Gl zVj&CbR(>P-HubWfWUA}qhXKGI9_RLCD2*5+2-!X8>%zFrQ{ zmWPX#IewhiA#84tiiItP1JoHWtEdh)pWSrXj&8*~Mz1k|)MrIYYM3mK{6gKiGOYXX zzz0C&K^egr3yRL*qyVydgu_EL@B@SKKx6r^Dsy$ldM3&f0H%9?^duDVcM;nAh{JmboqdGv9zt&)p}&i$%Z1N2&H*AQrP+WeNIw%IZAu=X zVlBN#D)2i(T)uquyo6L-ULF6Cp@`IgxZ9!j7IKiTi9(M<=~FlAvB0bioDz)Hg6S zrd6#UbgykK zQ;O7T6(SXIh&vmj$f$3of18_nywtkMev3<~O&G(^N{LglWK0r4?QsG;s*8mN!R) z*7^k8TJ@~oo;8C_nL@HMD83U%;t5m3`sBM;^%{$u)H=$1qy`5s63HyB#6oE*I6+s6 zW3T8&VhE&r{9pM>@*^8m3UcJYbQc*OouO7{vVOz*#4ef6w|CFE| zS$|iaTUoed;`)xFBx-QrGeaUOH%A#^8{jT?HVX0%${JaTZchn>6=cU-?66IqYf2=3 zU^l}XVcdF!O8r&S=xb*yh8ocx&p!Wd7~O6 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/vopth6yhv7 b/bsp3/Designflow/sim/beh/work/@_opt/vopth6yhv7 new file mode 100644 index 0000000000000000000000000000000000000000..2239bcfe28e90cc06b0deabbe47cbf87a914906f GIT binary patch literal 171 zcmV;c095}0aLNu(b_2fJO?Csm^~r9)Z`UWgxIWnp+C#>zC1kO*^-2H$uiWzioIT{n zW3z!Y0(C`on&hPe9$$Fl1h+SZZWZbU7ofWm1o$c;yarXn(l@DczvrN7fr*@#>$K`z zD%~R84j-2C05B+qfSwgIWVoYawr}r>#I59-*#4ZnzL@;yr4Z{f9l5&tArnq}S1z^? Zb4Oery_7ctMo2*=rM|m-Cz=3zL*3Kr5aBWy`LQxcvV~;#WAB7_a4m<*n zzy-m~c(+ZeR$4U&tT^A!tmpg2>zPS|0sI&OUjulwp~a!aXba2wJp?e~{Q&*%0)XKb zQXKgQ79U%z5dZu=V!z|R3$^ML@f+yW(CTdSJ3XY^(RpI+OHZA$trw(o-sB}=yJ!rd z(qIm(F6HO+9NyM_YIUzXb?bS<@0XV?>o-Pe(gnnU)jjageP(sPd+IK8)sT)XYSsrt zr$^t}y`Mv*-8tXq*8c6OSM90y!s-buB(J)G`nq059`Ezg^1rqGRQh+-Bf8l?dmw-1 z$zME>|I(9R=Y8E>7*Ia-P47*8?CR{w>bUc`4`+MoeDl;P_SE_1sWZ{?6ZK8redK8HXs*=RBgi6x93#;~=Y}JJY;X)U@ z--MGn>#2Tjp*i8_^7xc6EV>VbDLzfbFy^A9`Cb1uY0=sBD}yl*fOwq?Kn zYFRNaAX47{Eg#jN)9rky?r1(9(*lAoud#7yK5Q(q7x(e=;&u2nNzTN0dL~xM_yiXT zE}~4Ni4v=oFj*$dX(kZxKbClxc<JRw7PuN$!lyNTlg{Et2>qI8GvArpSPC2xQ7W Sa)&4qCmBZKk23l>0{90UY&Z!3 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptj3mevt b/bsp3/Designflow/sim/beh/work/@_opt/voptj3mevt new file mode 100644 index 0000000000000000000000000000000000000000..79f583531d62cac0361f82b4b27f1e2bc1a9245f GIT binary patch literal 20136 zcmbtbU65T>l|JY8?bDY|(lijTRnXQn5cxYDh!7R!g24$8AjANz68oR-9&WnZ^z9}Q zlxxgGW<<@y)JPnx>QdB{QdS-)ORIS32dzq3l;**QObrj2s(Ijn2WAS(ObyWUeQU41 z@6NgBboTAss@#>e*V^B=*4q2*v(G-ax0i2<;;8HR>8>a$LH;#~q7KLxq9`guLPVV^ z6Uh6acR`jQ<5GEl3|Wdwy&_BTmU4F#t*-XO)VoXZUI^M_z(*@lIsPLIu&1l|u>BNt z$mD-Ij8y-mjQ{*OE+>nS@bB)0e1Elq=#1Ycl~z~01TcuZC#lc?`4fDze-+a2e;IW0 zLWsOr|M^UR;O23L5 z5862{^!Mw=cIt|gbeZ|ny%FueO^Jtj6hCPETKG9He14993H;M-@+*$x?=!e5@yP!W zadYZ)t?_gDOMc)#)+WE=IQ{|UC!WtQ_}(~e&G2J7Nd70=SblV5Qh|J}+@Jo4{0ye;EjHGYnt>kP;Ltv2};$MNqnxGC{`{%rjjmp}h_ z-H^Y6iOcoIu19EIbN(xiI|KbJq(3G#1klV5Qh|A@g&iAVm2iJLS3 zCybxt=fXt(U2XC!j^nQx+?04ef42Tym;L&0Q2yyQ`4z|UA5wnek^d3m=H&mp@pJia zq#yF<4YHl_R~*NG)ZnJXBmbks&EY?0{2c!#`hovw&Uow74 zFC_C_7QLst2a<7|(>Sc1ENc2{)+jL5|42_LEM};D#ouRj%(mPNB+m! zTKS1b{;v@?XC0_z_&=unBg)TpB*ychm0CZhK|CiF$MM&d zpLpbd%J{d;|3ex6kJFFWpYn74AitGG{EFlF8_G{S^6xL;Kbqn1ryuxFwON0~ar`eT zKk>-_3B^6FxG+oo-WR`~Zng9FE%TH6>lo)UY)Ai%-0$8TmA}q*tc3fI;G5$KIPqsI z*EL@E{=Ci3-~Z5ah@n6~)-eQcInZw<(C=KJACeA=uRqYQ8t69?=+_AJI~nMAM*Vs* z-W(Szd0lY-ZpZh0AYNZ)-%~VScBo%fd9BRyPOG2YM_QTnJ08fp66p6%V0?WDgmts= zTbYfos(yf#x>^bKf;!I!`Yi|goeuPSC(y4qvyK(zF&OB#NB!*mAS>%tNTjhVN{F_QF8xikIf5(4#j>>h3`(gIyI?Q#H>nESz|1&DLoO}MC z;?T>#znwHVNhcOy;%tC{|1;NbgVI0Xl8BDu~J*XlolPTmL& zYxQgV>r1))kXH03+&_43#{9S78})SW1*oGwdzCL{0-d=33>d#s(S1wp?!LwI0N%IQ z&ifXGeArH25%@Co+~DezXkAU6TH6`_9}vHE0M7N${rIUfek^;;Kj!oB@Adx4x)q(1 z-(YY`tUsTt89nRHz*ko{BoiWgx+}(>(x3C_K}h(u>}PIdJLW0ZWgm~v0X`m|6FD#G zPrQd9^YFH^9eCVF`gp9bkJoSPDgAvs=EZtIi2M{K@aX5`4T|2(_ZDMM>F?vQ{yyGK z)Pc9%_`CYuEqW7ghq0&hC*E$zynJtFJMgNIetf$`Z{qDV_LTlUUN&FmK|J#Lc>6?e z;_Wf^l>RjP z%jPTZjes|w!8??}t1BM;iT4O(UVNMvjPH5l@8UZudNaO;v8VJW-lLFtc-z_er+u07hmyAEwOLP6cB6<^V+1OM16Ynv|JiI&D4!o1b-^F)I z^d{b`#-7sO$IFffuM6V6X8axA>!LUDerN0{{fW01GB3VQvmJOVkeq)u-#0{W;+;13 zl>Wry0-c90k{nTK~5+ktlu(!W0561|D{U&fx&-^a_= zZwKted)xTC`n@Z96Ym{kPw7v*$076L`wZKGci#BBe9KrN{q>ahSzf>NC*BhUc%N0g zit%@N=KQM}U$5fPpLkCe;C)W<`V`OJkNQP#;$5qF^!M?y^}Ac~1~PcJWbn2s9{q_o z#C|#Vx6dn{J#Vt{@wwBl-*&~LKk_fQNAY$Ue>Y#u zc~G-{yA_ZAK3=wdRmHRCJ2pOZzSG3x^EBrR{e3(Hz&gTeE zJhMK(n87=yc=RXUuh=gqz5!GW`(NI+q^?^t`u%K2eYczTu$C*GY>qM(R&;EgWDZX^|7U0W15cscW@a;J&?IHNw|FLdW zNWX3i(DUjh`!Vpo0lS}c)K-c{x5lZykCbuk5qH`zGu@uRZ6boxB#W zXuK8+@MT{E{I@dr_I#Lj;&(vue7gvLsQ_R0IlzB6gKy83X%E5Y{)Tm{Li%-k7J6RY zzRq^=ma!iDb+hNxw3D|Axz>0M8^4nEv)32bojl$Vwu5(bhS#2Z(;mWmm^eB6ic!Vy z&)`?fCJ)*}>iNwA{4vGX=M%SYvFGfxhu}X~fIqJI+z0vNvaIpb9)kaT0se&I>+_3? z-=6E!9)ka^0({wzVSM#@#^Kxd0kntUf4cx*_G7@;=NpG_-y_f-g8xDRzU;?y`* zeA$lyU!RX${PsNw?IHE~-^)0^d%F4j;Get8e#|@frw+WmTwig$$DNQBJbx^k{F1HR z2Ir*e5cEszdHwf{KCA5%f3&t@2KT&X z`F?8px9|~w&L$9*bnhvZU0VUhtcB` zMjq%=9YX40_NVdf)ZfE%nAYhDZI3xmx>4`b0DKS6wQ7$!KS8|zLzH;#`!T-3djP{B zxNL&JGCt9!2eu=tl_-mf?>Z2mg#ZhTC8B{B1_rfr4TAC6dBkSMS5z0-_hO!#yj@*f9n>CEB9A9oFTDJUwH{J8#`O^r zfuDk(m+_Br=ylCXhv#>0Kr zmQvioal_w(bZOiPU*wUW_M7o%K8GNwlLt6gQhIf};`>k;Ur}Cmoy_L-1MQd1OZMl; z>yxnid9mHkYYTKgFY3&T`|q{#qTSDnJj{#I&+CU!^YYU1(%Az`VGg8s^0f zDmSs+n;$=dPQ2{=xRL&d`=@Hp){*1G`B5_Vmih5B?Z@?p^8!Mo< zJ*MRN>F*n2t%DhO)a5SN8ON_6IDWWZHu%?(IhVcc%XDM=XCV3ehF=%>bDc$8r6DYA zSXUE{FV|0d{nCzj-2UVjYUeu3e9XCUb3PiqlG2WT@o~&h?u$Oa#PaVGjEnUZfv?}- zU*3Gw^~SAVpM@XSug|d~>cIM4?)@F;Vd%_<@dICe_d;p^zH>_QtRyS2G9LQ-^JORW z>rk&D03e~DW(vw~LZ55Dix%l~{{ zl=A-PAc=Q_(G$tj!?VA;S%IB+^!MYx5Bes=FVAhjk@XY(<+_I)(75WEI?z8au6xkV zarzd%8JDcDjK{PmI~4D^3?BXcxT@^$)%6T?))Ru)f6~zYwysqgz$?#h;FWa*yfXjS z$bxxOpzKmvQ90O#Jn`>etd^qABR@WI;zT*>jK^!w440#%F+Vel`%BW;jeucyl6>%M zSowhJJa56gmUbIgo;*0YFiG6{@cazrY&1Vpf+Swz@mOBA`+N_oJ`~C~IEPBa~;`rSU9>^4xxDJS%}bQ|qkTZmrw7P@Z+x&GJOJenaNn)H>^C zdHMr+#@1Q4hqZ3iP@Z+x&GL){@=Pxrp5^s3zRv6Q5qlj4@-1B=-=oT>@3|UKqr7#< zwl7RB$$B(9Y}TczoIL&YuV}s413<1*`kt#{@r&fd@bm)fdU$p`Xq|mb@%24d0RGat z@bx`c0RH$KgZ8z?ZDP1) z?jMuGy3sD}dMDzryqH2*`h*#-Z=U+KA)gb?9t^U*DSr z@Vogd`vnZY%mWO2(0qPe^VRod0eo&guZ!;q<>rg7oi~=G>;UVI|-N-^wGZ>+cR5&(0luM&=tN zaey!Jk4;XGvVAF<9_6^<`EH8(>$yH@@*bY4i@&FDq2J7b1F}Dw7#`k6{jR}i zn_UNZU+Xr{(G44yl|0X2J*?he;P>=sP4+31QEh?o@jl4wYEtEb%DT#al~1djQ8}B@ zZNF)4w|En(L*RT6>k}l$>q{D+9hdr8G|W6*{btPm=3q3pD0Li<7HOXue^%;?@{Llj z&CSX4W(3J`n2F}*#sBblP3pc7)##T_&*5DR#$h3q^>y*!nBdH&6SA+Fn5@Zu3hIRT z4R5=XG96nG{5#T}GA;` literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptjd08rj b/bsp3/Designflow/sim/beh/work/@_opt/voptjd08rj new file mode 100644 index 0000000000000000000000000000000000000000..aea978e1e110fc770398807e6dda4ebd3e5a893e GIT binary patch literal 1928 zcmc(f&2G~`5XU!Z+5#soK>6Mqm4N#DD`yTIc!LUAPP~aN8K<%xBNRo+fd}Bo42H+XYMJiEDcc~NOV7)Jy7p#l+ZMuyrZ`9jXC&op+SInP<@ZPkOIe@7s=g zX+F+rUgCnzbJPfR0DXlp;t=w`X>M>I>pNq9-&?OD-Er1H9Ic1({-$TJ-dz|NoKske zZRc0yCDj$jo=-Z!4(QctOnpw1CLucz?Q8x4`v+I-A=|@EdlYGV6m8lq6YZw3Oq|oz zobO9~HLTYe!W!$Ja3Ai#8vFMg2v>`Hn#=pl2h0=ZL*^sqW9Ad)Q|2?~Dt@5X3!F31 zq4P)LxgbrZ#k83Y(`9;0pE;N$74|8OQ)1pKq5xt)2P&g;YDJb{AB}AC0sU2N43N`m zK>MYU(p;rVzaL)IsRg&p>#%(oY~5Q3mYz+ zP`b8~v)NqEq!pL|Q+ob*YNsgFkwS`O{c#$a z5H1Sg&kiO1zybyetwL#AI=pXD_~GT9dP7=nc<$>|Oc_P!V+45C!0gah$dis8TC&2NqbURZqY*suVXViJ%zecUg|W6?+gA-ZPQN=F6Lz zL}S0}g+!8^UqnQ~FF1if^k_V4pg}!>3M!>PIj2ND$9xfOW@rq3=6T!>yVE7%K zjFR@8S0Cw{UFWnew+H&O_kHNs)prn!&=d;<8@Hrgk>TF3 XFCOkc-pk({8RrjmjXKYdn>=3uTX010 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptktyxav b/bsp3/Designflow/sim/beh/work/@_opt/voptktyxav new file mode 100644 index 0000000000000000000000000000000000000000..a85594eb5be54c28122f389d09f44ed67e156dd1 GIT binary patch literal 2439 zcmajdc{Ei0AHeYujqQ%LOSZ|@SYsFr*+yiak}X^FR20!emQjjgtQm}@vWJ*dmTVdO zF5xL;3saV|C8bcFY|q^K_5A*M&gq|f&-r}b=icx4oEOuj9D=W8(z4_Pj959W3CC6Jg}FLyK4ID-h<%_B81Ug2VM(x~yy>iaMy^yP zm~L{^?}nhpVKVuZ63jP*#S56NYZMf+l3sSII>@rTjAS-xY?aC4{~Ju%%YuHz2cJ5W z{DxIwK|4Mf$+5)trMwCOSDGMJu-l;OJmb%Ad2-w>y}AkSrXhmaU$#>DuFWSBIjtPB zQ{m-7aha^oYi&{lHwfVXO>Nc@fxerx$_j(Qv}6y&jRCcNTra){G$EAd&z)sqOo`Zm zD|)yVChkAPyt)t*fpQ^2jA?zl1{!o0Z8C{Hai0h0mSc}dz3}!X2V)~jIleO@cPxju zYJJcQaVi_v$kw2@$+SUrG?8v;uD#<;2PU>7LR>j z>Q|^X4APE*>IlDG1 z3arlQnMpNkh~;}et^pg!7a#D%N29JmrSrWSEL4_x(jLr{kw7zk_q!G)`#|B?+ZZr} z68Me>WqkV#RC=*DL_p=1Q(*CSmw4gzooTP;HLspKWN(S3MaekOy?yK;@30H?1yuWd zU#Ws>UBbmFYq+GX+Y9p-XS$G5^2?en;IlnS`n=TDJT0h{id89tN*TU(396{HbZ<|s zGSvb84fJ<9=v7$ZMsYwj-Gs%+ScWOF%HH#Dmy`3$?(LA@#_JF4zEGfjyt|=zFXnL! zF_JT*o_gq$^XFn39i`H|vTqo+eAt-9Ek#qXA2zZ?4 z%fToSp`72+5V?r^+Xe>#CT9bz1a*iqjduzyGGIz6AZh+G#&WAUHu<+ zJN$N)J$H}(w9BSwJlI;q4X$jK6a&BPeyDl&)9&ldS15`JWEU^Bt6`e&{dnVtT_8SM z@`qiKdo>v7?`86kT{EEBY`0E}l5Sp@{y)2br19`iyNHGksQhWyBL8~REacYmU$?-2 zyT$)ow|!T*LQs(9R+%{Y&mIECrb0pO0mfvz#Qv z&d-TCzmX1Ej5;eDVH~_U;9@5yv=%C3sBV0%X$EEB9|Y%bc&tkja1ZWVcO}=aM4!Fz49?57vth=pJiDi?=M^p`XAFEk~9|`HS(WD74GI=1% zo>IWR88y^?LRhAc zSY0Gb;lc?z?>Zmltn4+*tS^cyJglbiPHO$I{D{l9pj&q4)KlwvMRN0-Lvhrm=0|Ci z53lJxk21#wbA4|~uAH2+*L%62q#3T}(k3zl-$~nJe(9)6sD>|$U)nTG9jotiQ-JMg zK@mCH?TF%E&&ZLO;1g%=Fi$lVgczK2j_oS#{d1PHA#1B#BrLE(az(|0c4)@=0bycV z2#1Z2%Ln;o-gdCD-{-_;x zY$kZ;7Je4hM3%-3Y^a8a1(K>xn*`8Xh7KGpZ&z6gWs4hsLU>=HkdTzy zr)WP%__+DRQ0ZF;{TYp2JFo(k!Wwv5tkaaFZfAXff_7m^uAUZ$v3h@){LF_r7+if{ zS#`aIB$P^Y$f!+LpxQh2m+&teWRf4xUr3Q7Ka5|KEfzOx+1AL@^rpwO9viaaY+foL zeD#+tu1m6PR$X*Mr;)bm=Z*yAI!(5hl=@zmIhiCsZ3hRn6MUq$HjhQ5EwTvpff}oT zL2t-{pQUiuhcvp}cOM^6<;_rCuTPqQ_SCc=e+R9~zn9L3OFUtc=ojRE*n6n1=gNW@ znXzNf4M;qlbqD>vYd(^@5QvYZPZ3J70^ejO1qCh4uT|%LBuPu{nMApM8Gcoqug;ZF z9qmccD$3}NmYX|8?znkk`l78ceT{1i(Jr=}=hY&ePMI(2&L!N=401JmnAplEqM!Rt uE6;>mUstj6!Oi1MLbMs%2lN@jWWGraUVdogPeVzJ5COyt3_4(vfk6dGGczy(Nf2g{ z1`$9EQNRSGm4KL;0mK3;kO49f3YdXBNw5MC3#>p6MFB{uGFSnK1-3>N$N(9~z@W$g zc9a^B$p#hxISRyb1k)hZAO*}I1t9Yo82CO2GO+_`7IhE-5&?Sziyn{ykO2@q96*{y z14uyZ0WuglfrKs)Gl9(kI|QTvVJH`n=LW=(&;dIHqyS+kH%PfAkU$v91LWxeF_NJm z1$YewDL@#?3sSCyq6drbKnf6g_(00F3F!eTKt0|7x}hkrqL%6$!BX=7gWez-=v!UXLenvw#^%|JZ_%7;Frwah$S6T zcXmC>{B!d`xWLBCX>Dbm;eON9cfYK;9&ql)la@Og4-TpE8~Zu0MfAK!j&xKLDoZ`0w7N3xoE>fTO1GHX2# z)k{99{*pVv)M}o-_Sq+*D(xE|yT5I*__OZ}OUc&7)h%29u0FHP;ga3=g?ZPk?rCLa zKDqy;YcaPe`=r=c-{ehaMO}Yv5x-^M!8UoxGcUUCJ_u*LEA15iawe;Ls$mWQNvavO literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptmfhq7j b/bsp3/Designflow/sim/beh/work/@_opt/voptmfhq7j new file mode 100644 index 0000000000000000000000000000000000000000..00ca66fcc9a609eea055ddc46188233536dc24d6 GIT binary patch literal 8840 zcmc(k&2L<16~^x!Pp&89)OLy~rL@H*Au%E3rZg>q(vm`;0U`)#CAz5V_+yA8oN=(7 z)JRBJY8P3s$fAobsE8mEk=jKT2nDGNFF;~}M3MLdSh7T{*wEqmo%iEjkME7g&4Q6K z$LBrgJm-1Od)^N_Cz?l+G#S3}`cRTIB>&z>k|D|Gk|b$LN+f%{%p{+cJ}fD>ByBXO zQ^`is7&qBS4>a3J((8?;=IdYA{gAVF;ln(Aol@r2>$SchVdK=X(MTItB;gnMV`m;dF3vOP9w!@i^=^;LU${AV zs(ZT6>)tOtEL}Xhfk%)N&M)#YzT(5e?}m@ZiF_s%ft!Gq5QuY14rsPrm6i_S0dY2hb6=W6)aek+|DatAl_IFZkq z!_C2i5Brn8@qUqy?U&O2UUzO&M)%e z;G#Y^cFD)%L_Tl%{NTZd^Md+#zw`;yN84e4`MN8s)jNIL`A1$OjEmQu8eZ%#)=6TE z^f-~%P1)fA9=t++A0PAIa(*#C=Oy_+*kyi?6Z604^MhB;Z~Ip3qG9-_cbs3${{Z94 z|F2!<_c$^CU5A^4M}F$?L)}}f)AuB8o~1grv@o0_@4L9{9p&f#!f(F9|6%XXM9S;2 z>Erh(5%Kilx0B{;>}Q?O)1&&<{NnonUHr~_oYd8)nQm&l*BgoJ4Do>XqVUWhysSRXon!s`blk-^=nKyImR>!~hjH>Rm~`(B`iXJsxnENAcpQBBr4OTP zJ*gLd*zr5;#<$(K4>GQGo^bw}j>|h~dXX1g@bCxkW0K@`<3-+ZuVTk<3creTn|$QO z&U{m!_k`(1-s8@m;}2fgKVhAM{J8Akopk;Y@3iR!-YI9#@dxjSWEH=M7#H3d=O63x zvgrlhS!d7j2k#S-)WwY#bvft#u;W*EpV|5;?<>wf=5^~|&A<`SG*tga^C*Fr(ejs?)+ok zH%%|{{><5P{K0!nlDuxb$h+bFu$S}p-$ReP_{MeTAM?ItdXe`R&Yt5B-lrwW>&A<` zH@qKq{GKqq{=S)%oq4})e*7Nc>V4DnBL6R)J)e{WH}ro~y4HvGH%UJ5Zb`DA0^WP3 z7kIawJ;%R{r+_VZpFtPi9p@kM?wVfU{m$8Q{K0EUa=sqWx8mdZiZ1*4u>P#aV>%Dt zm#jKpbK*;VDmC)^Q1kQsw&lG^zq;{O_FKapZ6B|}p?=`_{@I4(#tWP$YjBt^IKCgY z;kfYvhyBWYsRO?!IK7qqN-N2|0$$nf>t(-Ru&(cVzk~8)eFDy3EpD{!)?*~Yu6k#F zeX>9JI1St9v-+-zcl|MvVJF_{t!?p#YT{kLj%3)0cl!4Dz%%3vaSCIdv*$hEP3hD_ zs*Q*H_j#ws`%LPV{s?%XKHeVrg#P$i4NmBfz^@-C)Ft4Ys=;Y#oc;*&?Z*l06L4OY ze|i0#-pV>QKH5IpXLovGr{9SuFYhR)7j_MAsW1DG{c0jfCdTzc+H6kqZqN_YywisC zcNJ8v%lJ_zFM}_gWik0{zh1|@9o(}0FuKlzM5cTI@NVM0 zu}|lO#Foz&r3wG?UMC|DJ0G<#;71+)V$z+%%J-5~<24~UCS1G!#cQAWjo7^mFUH}; zdMQt0y5hZ_@_tAa56%O62lB3^UI=~mW2df9=^LK(Q@I{kKNLTA{_o9xFP;6*IG+>x zR~6^_awNMYd#|@W?&+F1*M}q7otil2k!c>(8{Yo>t>x|4XB%(&?Owd=;{5r#EnnbW z7xx?AckqYzmwf)PPK<`S>&FTDZ%F;k`+)W0UPrS2+rCgA zos*sZ<`*gYi1BhCnO?dFCuJW}AKAW9`~~U6Q-9(aFUOl+>O+jV61KkFcaJEJc=)`a?-mt@llfA=Z|b|M zes-=^)FIRld$|tm7wTu{S}Bfms$4(p{c+UqTN=Mt{p#lPVohAAUtQhL)Wi)^KizY$ zF<l=9Y zuP=PzX`=MS!vGxbAKw$+W#SqAz`eo!!hHd+vC{uHVdp(forG`y4}c8+kMy(*yvH_W z<=n!*+^^g>d(^LXe+h^4fq1*8B#$Yf_+{bmW1F&qgTHXzkl6D1vT4oflalbVdrmmU zzl0b5ezqw;@bIs~gI`76CiwriG1UcYn(Wy?4tsgLBA!@MlkYzR=3BhaeEeOFe3NRVf%+y{iv5xeFdxom@XvUB_Ip)7YS_jv zenkAm0rBTPBL4LO@mFSC->t7Dok9AWc~hUzXSaQxP>(u!@jmnXdB8k#_nGIP1Lj#+ znSGsoGqd^=+pn`Lof-7ipLSKBY@^QJ?X25*l1Q@8u3en9xcOyw&a5miS^QjQaTc6w z$>J>MUB0-iyHn@TYCea&x-w_>ZoY8I@^##~C3V64W}bWona{0WK|YnAw*9?&>7woT zA1y5#|9o}D&beGf@YDHY_B9bPeb(8JU0XEVL=xWrH$EL#r+z;49p@vzU#LTW{;a!? QH#WSqQQ5V;`V8OrA7!>~_y7O^ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptnjyq35 b/bsp3/Designflow/sim/beh/work/@_opt/voptnjyq35 new file mode 100644 index 0000000000000000000000000000000000000000..6da2c3899d4bd6379c1c956914eb775b0f491388 GIT binary patch literal 1033 zcmV+k1ory@aLNwY?h?M*e}tUc?h?Kg+g-xxRcv>-V!KP)A6cynRhx9bN&u&-`lbJp zD=Gl;qv9+9Vb9O?^GvwF)W(r$09=R!mI4G}n-j4qlay|RKSKbzGGRCaP>0!entdtK z-c;>7z^mE01mB!B-USlk_99?EhyB!p`VneT@D~PKe`odwB4z=2pFlYC010oA2&xx5 zXbJ6tQz0hU27?GNFxmhH@eG&E7RW||3OyxPTr3EmhYPrPZPG`@5Ps1H1Q9Di4jlCj$-}zwM+n}R5x0Dl*%A+!6N5!5 zT1gYfmG)c|KhA#a?-~`Ft|I9abCbI`yjB*WYnQqdE~syf(i>*3#PtvfUBJT^e>~g9 z5bkUP+!!grb`ca0N-Pt?#IH;Xt;<-oiij1jkVGV&-5e~y3X)=D_P zec)9HaY!kZl1Hm@niV}tva=a=I*Fx-O(e@23A1n`8%{O{cN{cv`W?FxE;q@)S^gW! zlPAy8b{H^6doi@W5XI}H;QB*>q%Cf5zP?{3wpsP z7Zf3Lnmwun49-evtQ5}2YICCN4Mn=rq#$}sB*<@1x8>SM8o`aJ+#l=8kgpn2_|}&p zBYe=oeFff%3yG}~*c5$$QV1+q45EUjDj+?pU%dVp4ULaf_#hU9>rR{_f+~i)8VR^B zfFXwhUnv@)l;c<;e~%dS`&qI=3q~v9v>-@N4*TS73?$yl;uP{NtkBEX4bgm@%^;B2 zhlW(8UP|kD3)YV0?Gzc`9sb@t41vv)*c4)jsfn6L4Z?Y*oD?<7-n_y9a~{d`5JMyU zBHbwu3;OaQFBCxYiaV)g4Eht0`Qp}pgkpra^dY;%mp7hd{U(=6ZfUW z#2{Z5f7FFxdjQMe;RSy@|Bj*Dno7Lk)?SSM9x+qqzcp)KsB(X@az;9nHzb>Y5KFf} zWR4A=a5h9JfLZkrbqY{1d-Nu8aW4Y#8CQtpKzjBODc<-_{qZ1py_9dcrUL@$OW54? zP*B*pj;+xr5YRQ-G&e(P-#9@0y-NGnZ#ZTza}Sga5&o@Q`^S1{W-oIOh9*ZfWikTQ zpv;mwDEPMR4mzMex{jg%e$aZ~iF;o(@Z;G`;!zjRORvR{jzEZ^q1`Shr=^GLs` z=B_L|!nS9!Cr)P}`_9sGT4%g7MBBU?=9s7zC!LA9-@sHfjTmxO$(k@1W&v)6%#w9x D@I2e? literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptq7it8b b/bsp3/Designflow/sim/beh/work/@_opt/voptq7it8b new file mode 100644 index 0000000000000000000000000000000000000000..fb94daad3f46a7aea37d6072052a039946d394c3 GIT binary patch literal 5559 zcmW;LRag^_*TC@+jv6J6;OGG(B}R>nF-E6?bcl2d(h3ODA>B%M2uS^ql14(hOF#kX zZr=ait8?>t&htGN2gh}gEZ+Lllt)_ga^nA{#5kxn=vYaFRxv})LyE{ZUBOL&c>@rN z+#;B-bCUoVhZ1_?bXn|!*Y>m5VkZ&mfQIoR30%&oh?*qAfzXcJDFy(27IGX9#d-U( z!zJt@HaGyvd*nxlfA0zLe+28Q5E@~0D(*g?q3?efy8|jOp=JoYJ*rJcQp?tNo)04k zqwaVHiTd-2M8fZO=Li!%-|PU_t7>=E=C_K8X9CX7JEa~L-u=0=B8f6(zXrMHmvWFU zt3GbS0RR9DN1yQiHvlr+et~QRWM=L@Qcd>7rR2~|!5mN%9w#^HcvW- zH+3vkBov7)-y41x5javpI{yMdqU77Fq%-6(_gJ}pDU*ejm*WV&S6z?wV1Im3mL%d- z2Nn(>&}AQ=BWX+*zcf}JfovHai3Ah_zA99kzJ zs})v5P~JjV-9#&HdlgK#E32{=I;WXIUtRc031k_TVnxfUza4~?ce zA;9Y%W|nGjGIYF?Ul`@7XJR@(Hsd0-{GUbKZa{S(^|GUZ+q%rcYc=Bym( zC)48hA}0E4<}ft&+Y!y8yJo8TJ{elTNY0eh6HKpETje54SAIg`nY80KFbp@0WQ zaADQVCd(&10#0#{V{Nd<)4^SQ&f}a51vW#jcljtzu#YZSzfA3H6hd@QbPSqj#Ums2 zSgWPRwow{A&d0XVvaI8^3I8{J>deiwZ*~Hz6HV7AoeIy3#UhGpf;uchvPRN!*f_K& zo$@d%sU(6n#SYa;HJ9=KVv(ykkOqr%<@Ra)oOwt7xY!I_z}j;k+d%hZ=3KwusfpS5&CJr+Gtt_#K@5?;wXFkcC1 za#Vo!_I?7~Dyz2&cQ{=`M3?mnJ~+Ip1izh`_7+FNfkHvAqNKpvrHskm!x}W_v!ap0 zw0UPD&j7L?4t&KU*=r|JD5x^nIi0N%c!aU);M=@ENwR9*~T z^$V@H4qjdm8zZF^kFtOegIV&U;D2afMli102O&^9nc*evYRJ7hHgaMVet?Z!{T<5p zw@;w12_~`Z>)H-dIKTCxjealw4~vZRdmvck;^aRmr}Ru25HG1s8BPEi^Z~}v@*bH` zV$sGU6*VkMo$54C|G?_)XPN*10gQs;X__EGk9#~Fa5^M}L=$A@DA}uIvf#^h=2EUzeAA-do+EviE zo6|4(5&o`2P~wBHxXi^Xx-fvvsb#Mart<|*#g&_!crq9-(m~<2nK8S)+dyAnEab!# z>b@Vd-JzR>Tr^n@G` znzdChxlg`qB?#BxT~1VyDZ_EYZutOmKNG(~-nU}~{J#Sc0#}SHAuka zj(#xx_s3R>D3)?nMjr5;WY06izkXriTSB1!6Nv(V8b9fJhxn6&CLnCq{G3i%=>6i_ zB;o#Nc;$iUwiIy!N`%WotVrKYG14LCEwdp!E{B;Bv!06qIyTExrKtF+G>)3bfY8GO z2A`RCjvW!dW=0wgq-3sPH7ZI}cYH^<NhD>iNT)7UeA_A5#?&Sb4Z3HZyoNRT#sJK?M3(RDv%i? zsyi!*Tkj7TVnjsDEG|{jgC@;`5snq z;d;Rh74hcDO=LiFmH|^Xv*GOHotiPoI$%Ot8>M zFShjxiQW!MPn%^QZwO|I zCI49B5e?3&>k>%cWMZwIWoKQ64|fF~?K5k9ST;Iq4rjTKvTjG)Tpardpu|GhZX_(T zGkY+1`r0uG^H2}=1(Z0yme6<%U)-aoCR)*B^^f#IS%|H;w9vMAxRQh>YU7YhPJLDK zntEsXjeqRWHnHE@L~PW8&NAO78}+Y3`ahWSXunQD_}x3R#2n~cq69iR)|pf%nzzMHGTYlRIoBbDnjhJd zUUVuySIjjiw?fDkVHC66!v3I=2#h5G)G{&(MFA3r(Cx}lqg31uhOJdhl@hy09 zkFhkT{E5szf@Hgfb*HMopqfKQ6EleY{6xg0Htr0=6nU+CHw39olUqY7EZ7N2h(2se zXgz0l5%bj3m9=(poF+k3AMC8|>v)6awz7Rdc0 z!kmBEx+5RE7-pwiIXcopJ`MdR{8l&5VGm7vAd)o!@Yb z?F#E$>yl_=b|^V1$ySKYbPlyVA3IzN z{3?oa!tBl|#N*6eC+iNGwXOZ@<(hW>w})9@X*hyUdwLSOU-Ex_JSLfy@OOj3*lKK? zfkZ3pu^T*NkrlKPk+1dAiG=Liv2LUqVrM`u25B}0#z@qe2R@=n9#+4kRANT-Pfcmc zaokx=Ab_x_SEyy~&&k6{U=3vH>Kl4_=CEQrZ$;R?my(O)Mb0aCUNm2ky15C-p*O>) zPm3_;0lO55Zks-LgQG5jE|>2oJLfn4@{8Yo+IuE@Xd9=FR1mNK=jN)f3beIObWh_I zA1qP(0*g75ROOngU1KLW+2cFI%YciY&X#6l3g(4sN$w@4SBIH|NB#Z|dZAn1$h|b4~9D$dm*AZ9GMr7pDhRXU8 zmr;(DsLJW;H`9Of1CZ7?(FO9?N|UL|Il^c7^O_o`w;dh8F+mavQ2+{!+XwZs-~iL1 zEmD93zL@38*DF7-lC_nAna#pu>6zIgd$Za>;C{iBpmhczt1r`6&Pa|}pp7jjTUgb* z9y*XS{KQyhgOE9kcbuEQ5bUs3lb9}4Lz;D(`pJ-u@HEhv(YisH*9K()bD+^u5LA1? z|B~agyD@C3NiDu}K;&JPZl5r|N3W&}t570svz_2T27P|mE+<1jT@VL^;*`j&B~VG5 zcKo%lr@GvLih)YJMh`VqyKYoS?`EeSU1_FwW*5$R8hRA)bB}Hm13Ns!nW8ZIB{KHt zvx(97S;Y`&gh8s&?b~+L{kc%6%kCw5C{E$T`wEi`6VaWNqxWMT9L;AHF=9j%8t3Yy zaTX*|=pQCTu>9!hH!$AHI-4;3Ei}lIVEji zw2{!siWwWm`A%4$VqP@u@eh@?o(CN(`;xb?5oiY7TU$t~u@j_+Sj@S~le4YG;0UKN zNT!CiU7e;Th|}?m20_Vak1+fch5#sWQvm$n23?hOzU7PQs1Co!UpMGFdui0{mL#mC zSZ$wUvl*ZvcF%fGfe^Pn!lA{WEJ~krdsX_FG-d!BEL!2-(*!mcF1Iyi3{|ACc`rR} zyv>j}Q?I54rhukb7Z$$^4DosPk@|DW$*%ZbehuID0)0*OV#h2_`ln3Fh=E-amRA#i zMp7upe1ByqjwLz0d!VQd@Y=2z^_q_NK3A&csqDtaXDiPAmERose0801X|H`GK3K1@ zXURT|-%1GO_-4b0Lb3_!a*mmD@<16Ld)TVW8B?>Sp-D00t#%qq_LIU_ij=NT7VXD7 z%a|e83y`nAD-I(Umi{p7zP~;`@{X4<+yE}$BLVtNGbf3AoON+EVa+F3cFsp%vL6JZ zFV(_EOI+O|KfGMzf#;+O}mH=rj zlDnlMHDosw=0oo93--G-ze4uZ7|U@=;y}*Y_Ib+9YG){B8#X8OOWlkUM=8hPh=GL@ zyDRM&p;tS}%~REB${skW%7=Y_q6@<7zsh%WB+%W+G*@W(Jd%(TzMm0iMVddK)Kh)8 zDFh2#xKhWD$m>AO?hDe1?=KJKvpiRg+)Dm@@xnJYvUDU&RI=lk{`SP3DWXt1w78<; zJf%@IU7f~qT7X8%FOuJI%2m!I>}$5vfa!RjXnRRF!^PLkmy}DJjiSvxOg-7MxhXmd z8P9t0BdQmZ9%-!Tk&*p>*RQGSHDbJ9SO&KF!I+!#Byfumuhln=K}V-&T;yTxZc&o zjwO|5T5zvi-!y)Ls!g-)=`73ByIhv% zK`&2TU1i(SjXavJVS<^!8ur@Jic^y*lliiWUgy7NF(C_1jb&AL5jkXgOLGzFiSU(` zxZ-_@jRb+1t&c`+oBA;e4R+saJ+EC|IAjj#gQ&!w!@HzwDO|0rW=c)=p!4q9u}yQX z9#4HeSZ5A;-2eoBZ3k!a>@<9z(#$gbH@wS(WjYw*8Ov+Pf0OizE!Ja7}sd4 zGH`@VQAPb29; X5!LZlK-eE!I@=V`_cGaf;*|dbEgCV* literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptqh2tzy b/bsp3/Designflow/sim/beh/work/@_opt/voptqh2tzy new file mode 100644 index 0000000000000000000000000000000000000000..2c12de3da047be442df755da6caf873b315e69a1 GIT binary patch literal 4824 zcmb`J3shBA8prSBT;!oBDk6_2;evvQ7fC`cWRMB+(nKIhCe2qaYMB(~=!{s7nuCfn zXrM7EiXo2(W{epPtwd9)P(T46=wyyL2vUFqIF8Qu-?#X#m+y zu%gfkUQEQBQZz(S<09_W2u~lt8b<%`)Prp(lh7Bs7e!7AKv4>S zrTu~XP^BmZpeO~v(gDE7-Xpc8%u7J6`yhs%#!3=06>RZ--I1ptE)<^KVSPWaW2`&mz)H2KWG+i-mENaO0 z3V^q!6J?V@ikmPjEgR6Q_=W>Pjl`Urd=6r})IiXVe;3NtAiAbk`* z5QUoK9=>NEBn7}ycgnEG%tp!vNd4iPiHbrLjm*;rz|xVFVUL+f*#PO| zbWut~MInlu6aY)hY6r#vU0kBkNAF~0{34}|tPzfVda#8>+mD$H^ zfHV-kBvcfl$VmaPRAwKu0a7F3n%O3uN}5Lv{xP?u44*lEAOcYTK&v!C)FO%bKck;y zu>R4YzW)z}76wZJV0^*UlIByT6Q`(B%M1&E)q?=VasM)1^zR$P{cpP#U6^K$iQx~R zX5kOKg_v+Z%JTp5>X|Hk-1iB=cN>1=8F)^z?n&Gk66M|VFZ;>fRt5VH2YELJWwkF_ z{AOeJ^n>k&w~jc)das^d8|rC&G5htB#;{=xE+J#{&nEBozgF~2NZgLf{dT#vSDpWv zb7Rw$?<#(4(CN~XT)XaUA7;>A_WB@4bD-+8u;ZaInazveuKG6IqP69x-#h%1G%0Vw z!GrQ$+0))w4sIyqjq>#_Gne7kp9_3ncA@2+dU^oUhq>6&X(=d|hr^9paT zczJu!3!~eUU)B}2=%1W;^~EmBkVAWKw?6dD8!epHRTSrTZvK6l=R*wougiCY1aHw_ zxbu~tM{9Zg%7^avo)s@VHQ;bQt@s$5eZ5!TeX9Dy@?&XVJ5{!LzLNds#+g2OPHo#R zYA=tAD!5j*!*>0OiLbBxXpw!zC5INzeD%di4K|U!nS;a2vNqJTuWeeWxe?<#|8MQv zj8zG}%La9pBxP)}+vFEL!O`(Vb6jn2&+lfZWvtz~;Lyjz9fv&adO?4#C-rn$KZj2i zl>62E@vXU`r%UZpzPWYgrhe4|x4gJbjV|i+s56JAt@HZcXmr=+1uB z%BFHvPOnXMY_v{yqU&V6abAgE=#7}&5$#FwUPBEYO9w6UN$icQy>-(5@SIieZarVS zt#yd~`5CcoDYtXGzWA~-CiBB_nc)>Rs}p8j9PZ-owcyB8{=Sh6D&$2+4oG_Bs>QFP?1nDS%lrsplMZJfRJdga2- zDgEr6*bji zYxG~ZMjRb|Jz(I9>&T-va0MOH}aR9PHXxo=kg16Z9{!C4Q{h8`=u6j+Xd!DE*+QN zrE2cby!ID6%a)wbHp8B0+n0D0m44=)-r>-ZSd&zgo-il)<58abZL_?ZJ&SIQoNKK6 zb?N!E4&SqPPvyS)%?E>)9$P%}l`o^SHXIK#o{hGd6f^DSwray!mwoj%e{w#$;@{Oy Qb5Bow&A;jmm)xcQ1x(6)!T=$I zp`1XT8xTW$3N{p^0A{En6Bm%jqy;2EfDNPo?6zh1i>^#l4*3>l^Z#0fhUHBbt{;)} z9lW)FybyKO=3KGkptbgO>uDb|@*1yC58U%nzx}XOkaknxMMn*;yVJ{7p65NdOvXWP z*^R74rq5ReIE2hQv_o*g#i!CwX20!y{9$*sJ}YZijN;dSGsX0oA8M|f&9w96QMcoc zzLQ?&O+ESEo$bwQ;`K`GuVYzku_uf-rA5-J?kYn`OY4d+cg~rxE;L@M@P2vu*+nbX z{BL`CPnL7#`j+Q@32&4w7q9$Nl{3>iQR`z?4(rO-%Jz0o)4y^!>|Orn4aq*g&L-8i zd-a0P{mmORB)K>L{MENil(l6_#{CDSS^s8N_-g!5Hn{Q8iEnrGi(f6GqFo23^6ie< z`*!BWn2-7A*G*e^$MWeh`+3J^@9x>*BJ<_2@5}=Q6@UK-@}8=?HMdPxGGtT06c5h{ tX74uNe5iR;>7AZnwgPujK3A939j%YXcGF^}7(F=fO(rzTtZHAYIsl*X@w5N{ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptr19fy6 b/bsp3/Designflow/sim/beh/work/@_opt/voptr19fy6 new file mode 100644 index 0000000000000000000000000000000000000000..3676d8a58c8322c348033fda982011254d1f3040 GIT binary patch literal 4297 zcmbuCJ8u&~5XaYvodl|sDNmxuk0eg$B7u;A0O1{8I__oPDd#)OcXo&@3o9L6T2r}1 ze2SDQDQM{U2oV(xf}QbelOKbFy_;&zLvn7($MnF>iM%Z(CAM#=J4-jgaFf2NCQa zC*yv-$NNag>&(czPrQ#pUgCAI9!2J;F4ZrHbF%*ZtzPU9_p^}entlR#J%}7poqa(5 ze~A5eGWlPbm+dFlbYd8P*lytZ&}JL#DD_&PN^1^Of8c!Lys<+2WL{EulIM^lgE|9L1(!9N!B$LkmG3wru-9q4Hn zt>-5c@2QwKUeB3$Pl>mp?=zBnic9q%{j*OmbEsD|KD-5f9A4s zJ1g_`Wk?vmkMVkuWy8J-J!SL0lB}m)igzgHb!Or{C*FyeH(IBhAI=*qx3j(=|EFUA zb=(L1fA-7D?X2v7xgeb%JCpyC*#Fu6out;NzA7u_`?};@d`WrR5p&P3_pIDk#CE5@b;5c`yc6Q>$E`g)ceR2a z?H|W)d%gwj9pzgvZ~FbNj^|{g>ad?vZxpu~q}YGLz5@M%Mg#9hu4$IR-YBUu*8w=! zF6(zuuBVgd`6n75kJtE1znT@|J;hUD0^@^o5Z=!`(u6c6HAriu0cmv3=KuNB&i-7o zwJ4}#L#;6Wn>0S2m(*4!#5vAiFuLEgRNTfqI;suN17{!etJ7zJ9mX-fZz`=Y4}prC z*#E!@G4F{ApOC7>0&>#Tm>!K3Ho0x-oSZDf;yhN zwJ_et=Sd6iLq#13*rpBuHMOsTc6@idu3`QzK_XQC-TQO%qhH_jXYr_7Eg7q|Yeu(P y-OxWOMt8kt8*3HM=yrQX*C?-UYAK=ijB2Su&**_sUZ>~l:4ozHZC=^hX0 +R19 +Z34 DEx57 /homes/burban/didelu/dide_16/bsp3/Designflow/sim/beh/work 3 vga 0 22 MIdzNk_IKJFBX0 +R15 +R16 +R17 +Z45 !s100 V8gLA`OF;iU;=3TA;meWi0 +Evga_control +Z46 w1256832987 +R8 +R9 +R10 +R11 +32 +Z47 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_ent.vhd +Z48 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_ent.vhd +l0 +L37 +Z49 VX7Z9HAb^YTj]zEQn8Blim3 +R15 +R16 +R17 +Z50 !s100 2`MFo[_0ahEizlfnSiWU]0 +Abehav +R32 +R8 +R9 +R10 +R11 +32 +R20 +R21 +R22 +R23 +Z51 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_arc.vhd +Z52 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_arc.vhd +l43 +L36 +Z53 VVP;2WF47fQPlT[5Y@8BWT2 +R15 +R16 +R17 +Z54 !s100 _K^9LTDS;hFXmWo@eB[Y50 +Evga_driver +R7 +R8 +R9 +R10 +R11 +32 +Z55 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_ent.vhd +Z56 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_ent.vhd +l0 +L37 +Z57 VWM]N=KVQa>:4ozHZC=^hX0 +R15 +R16 +R17 +Z58 !s100 Y=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R9 +R10 +R11 +32 +Mx3 17 __model_tech/ieee 14 std_logic_1164 +Mx2 17 __model_tech/ieee 18 std_logic_unsigned +Mx1 17 __model_tech/ieee 15 std_logic_arith +Z63 w1256830367 +Z64 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pak.vhd +Z65 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pak.vhd +l0 +L35 +Z66 VHGKInm?j6h_E?hCL1=3Rf2 +R15 +R16 +R17 +Z67 !s100 cALhF4medJd2ihUfkYfd2 +Abehaviour +R34 +R8 +R9 +R10 +R11 +R39 +32 +R20 +R21 +R22 +R23 +l96 +L45 +Z70 VJShkSggmBJZ=6^]R:M7840 +R15 +R16 +R17 +Z71 !s100 UND89GvZxtgalK?Vpu{v$A|v4)(KhaFosh3gfYGU;_jIZb4@mE?Ymh z0K9SuI04;(1|DwkX$inv-?;#{oVeHm&58!EVBm)dAXU^?00w-h(*w;r2)~ZtX9I`x z1>Y;bW%mRYcc@|o!z<#yF79mUHui8|Nl?XwLDZQ5+47eojwKt>6C;{Ymb4GNFf9%~D#uuB;X;g!x@p2!Hjh*E?jm<@M> zk|YjdNWzs5bX}U&(On2d+}_LUU=aQf2ka3b!QK#+2?zq1r;m3h5-oNBV0{D#jz(-} zz^fBoy$#o+bO>fk;JsR~TCxjA6zXw(9InF^t2-XK0ssI2+JGS*7FgqVHUR(t084kX zW&i*H00w&DqMRiJjut(@z!yyoFvl2Pax^D3cL)G-j4#9`85&)F)0Z<9Jve)i8ukXB zYykiO0MOYBj{pDw0EpoP8pf|~Asez6_<8@E6}`TH_#C{3G-v?;004NCjU@m8007`g zgq*_1B%vJ+69Xe2U@_7ZR7nUPlxR+eJ0B^zK#*|&6yY~V9*Hx0000xjlRJE0002q3SzIL`8r1<>T*Cm zBvaMwSF(Btf2wwy7CLb#<`RrO zDV^C5n}oCoA4zteZ#pWbr@eTrk1L=1akdeA(p*K_MACTQ8`UXXTY;8_Yk$rK6tog%IO9wZAy8;bbkYBqPtFVQ^KF#~<3jXiFPn6I zD{0*iAkFA&@cfo7ip?Bf6fZP)jksT#2+>2<1{8&#Wws0mvc(%mBmqyDyFmB5iDfpr z#L!;7K8W$xP98euxk_%^UZck#M=ACfqCqJYv=Y#o1<4+o%=iKKeE|Z$c;ShyQSb|AX8J9=#vd=> zmD63O3U_AuExy1_58##4Sf>d`X8J9@!b~6FmD5eJTW4naEvmy$FW{BaO|x2eX8J9v zmo`Qy=|VR1ytZ7EPAX`0JXHcmPd4Gq*#+FQO5Li^e7cMjTcFz7#k-1k4&92^y%fKM z)I@_ccH?K6?c-r$aQYV-pV!>jeLZFoMu@mk*lmfDT$nP>oc{iR*1h0upJllQihurHCB67R zPIj|ihW2yS%NCh4Y+raL=Q-wtmYlS+wS%1PcATQ@?6h-~Sy$yt%til%C(`7eW$snx zE<$-+T-XowTbpf)FHSqeZ|7MTxeDY0bC)c*&olSPlG}>2Hgb~27V#BG;b9(0d?L}` z;dy+4%RgH3`g8JLWZr#CUaPl9@uh07Jx$)6W6mQ>PJd3$8YBq52an5>X58xGeC@T< z7-cU{bbhKNpC-QZ9A9Y7?VkvDow=V{b4TNa*MsI0UJF`pBripR`H}praQUV+Z#2$n zc~$1!vF7o*X3VR}mAE8Mk)nq*rMO*|KTXY_9Jg?UD^m2r_n5!BWSh?tf7-!(-rCuw z`JHzitg#>A)P8Jjq95(7eEmOVu`bq`-?HRGGllzrNSHn&f5cmw9&v*jD-!??G3jkq9%JLw!O+=#i4X5~V_$jw!@Yjds=?n}&dPU-gy z^^@|cm*q6RgL5iue8`2*4myv+XUE`s2iJ4icSQ^QHe8#1;eF=b znwLA{zVHF_?^^OtYCjM=fFIcx{$fAM?)%1_cP8D#p?%!%KVrGMYM0lg{4x1$bME+< z`Jp9W^1IBB;d@V;_&;HO%aUKVAHjA(`=SDg=grb~#yB01L+|f*=^I%y$xG{1rFWPO;`C{96Z;sdN`{rDAcJQ1#=Di>BqpaTaUZVF|53iZRbsv^7 zT6exig<3xRLd&ClmS3{`isd281C~cD#m;SAKKzdL-#$iu&-FjB6gz+F^5M^{|ApnR zEPrG9JIesMtZ*RSUa;Mv%c-!kux>s#x`u8;`lK)v;^|9T0qjw#p zw|uUHrHwlMO%jHVw-Gt}N#wzU>+FY7=+xZI+240^zZyDu z)x-bbiT*(Wv?&Y~5%KT8CubWPlbK zVMQ~lu7};yr0C`Y1ge1qln_c-fM@DPy@BS{uv@d}R!=HpA_6T%6fmt$PG&>h0tO+h zPR$(M2_Sxekc}dw0EZ1)Ie86nwK|L_JfOrX?q5qm4UK{t!YhtjseqlRQc$TAy9Qhs zPTjDEgAsuxnWrEf7ZqqRsvzQSK2B8R4t0a6&I24s3=1Eit&E}W4Q>TE7?D@Z@3Dj! zip248-qDIn@Zq?9@Y08XYa8qkI0lyM%_0tT2%F{DE{BHBP$!PWKvW#BO5@?D13T1- zqsPqfHPEAZjlyiukh8N|=LP{zWdvRfMpox~wW;UGgQ^F1Z5XhM3e*Fp?+KfV18U$%n93SkPnP z`s`#xJ`BB0oRV(HPDWKkGz$wli5#~f7F=pDG?MzwbDLs;qJU(OC?LJiNsr%XFQO=5 k#gPU&y&X@YpminMC`M9GqvOeJMwpoJ7nU@2{~4wJ1I;b=&Hw-a literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptvav2fq b/bsp3/Designflow/sim/beh/work/@_opt/voptvav2fq new file mode 100644 index 0000000000000000000000000000000000000000..89e4adea790487bde9fca6d4639c97476e2ba728 GIT binary patch literal 875 zcmV-x1C;y$aLNwz9L3djo4oNH#npJ8qqr^Od5#y)a};&5p?Y1hWUfQy0B==rSyEc@ zpa5MC9rgj8UFQVnE*zesmBA_dvv})_lAEa zgS+29aU~W67h}I71-~jRsLzskCpG++RHXh2=m!3P!x4JXk^+W02R*51ky#W7&qQ%U zAL(y0k|9s#mf1=4BP%p?g0P*0RQy)T>t<80H@CU=tO`+ z*$rTo*HjHj3zCtC3JyN08IS=00062Hq6h#0000u3tFt;arj8Fa>w>XX90)YT#Y|lh z5R4QRN+G2PO;cJsqG%Cei~pq(uU;3nZlNQ5cdR(fR=Z001eym%so3002oC9fnYD3OE`4 zfdL(3)C+t^W@H*FM^JGA0000t1$03G0001d21RAtTe4sqdfK>=uSu2{ne;kcl&4&Y^XdT2k|MfzB__5^7W!!)W4LZoyj3 z0d;FVKlPi8EB+s=_}rml`iklHt0=OmbVi^#b|;JAOXmgwCEp_A8eP6KSp^3!1^{?p zk{)N9zAni?kZq%@CX2I+AcVjVq`%jFj(B1(~;8@@TD z8@l!cpRk_!tf6IvJA=J3A0q>5sMK+NO!_KDYi;Bm(L-5El@Fl60UG9xVFFD}9VBtmKZ=BH@cuRDmgCMT_2ZWF0y4{&tGZ zAH}nJR2aZm@693Phe&ck%%#I(d@1O=>e^!A;bO8Rnd|PyCW`#4gt%RotQ`^&_{6p~ z5LGIjnuB*iW?0^pllO`2QBR!qsY692DYv&G7z@Wa&x1x0G@Gr(iQsMn1%YdhuzUrZ zN#TcnD2(|P0?OA&+3gjd0$o8Dt(3c&481l!$o%n5t|qSlcKCy;ywP(_wABdS(b1#P Bkxu{s literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptvk0ts7 b/bsp3/Designflow/sim/beh/work/@_opt/voptvk0ts7 new file mode 100644 index 0000000000000000000000000000000000000000..e0bb9447ebcce6cc6144b9f328ad8088d0aa58e2 GIT binary patch literal 572 zcmV-C0>k~&?_vw?0R3a`{s8@B+#R5QubU&>Y!-8q4$nG=T;4U(`1~v6u(=n2ATw`R zXhrOiOA30a7KvTm!^C7}#>t7D%+pgynXjUfvB%2wBG1)Pd!MYeWAmSqdN|?owB%D$ zW80{)TL8e?jxg1ej_{C*_!8yO29y7*^PmaP07IpqQbC0C59FK%z#{ zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Dr*zdJ>@SiKEpnn$@f7J{FODC3_N}Jci)OPRA=&hc{kQ;r)V0XIG=D=Hq zM;{}WZOW;Sn$#2dbm?cisFIH@5M^HzV2b{J={VEWDTOgS5=xAUWYkz(i0JX-P?4jK K;9?F&CvCE?03&|@ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptwjx9fs b/bsp3/Designflow/sim/beh/work/@_opt/voptwjx9fs new file mode 100644 index 0000000000000000000000000000000000000000..2968da5860c770690c8379ba9f80d1c1eb7f646c GIT binary patch literal 572 zcmV-C0>k~&?_vw?0R3a`{s8@B+#R5QubU&>Y!-8q4$nG=T;4U(`1~v6u(=n2ATw`R zXhrOiOA30a7KvTm!^C7}#>t7D%+pgynXjUfvB%2wBG1)Pd!MYeVf2EPcyr<6u%>iM zYhUJrO9)-Qy&<`z(1oEz&{3iz&}iez(3er zz(4TGz(1hdz&`-*zg;Qvz%9&lHTWKBwk5_UEDOvX7*^OzaP08jpqQcN0C59_K%z!c zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Dr*zdJ>@SiKEpnn$@f7J{FODC3_N}Jci)OPRA=&hc{kQ;r)V0XIG=D=Hq zM;{}WZOW;Sn$#2dbm?cisFIH@5M^HzV2b{J={VEWDTOgS5=xAUWYkz(i0JX-P?4jK K;9?F&CvCDZc_P68 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptwqdbn1 b/bsp3/Designflow/sim/beh/work/@_opt/voptwqdbn1 new file mode 100644 index 0000000000000000000000000000000000000000..1c4ff3ce2d173aeab332803e5d1001b9211bdd84 GIT binary patch literal 37208 zcmc(o50u@-b>H7xEl&^aB7`kt*9+HwAwWe0T zpP74q@3-@2cHaul>7L_vb?4sse(%iOxii1tTh=T4uWd9Nb06C~ztQNEJTlN|%#obi zY&7~M8~VA(WJ~f2wa=C8Q+uGS_ zTlhC5zo1Y0uad0y$3C0>V@dzn@}Eum&z2uPjjT0a^Tl}3i2v$~rs_-Ni2a&q-^F~$ zFRTZWc|Cu={T_+X&N}w>HTxE8U6>!AKkUq7Sl8M-yKXqGxpBScEs(!(hrrXiHwR5O zzvjE7i+`8(ui{x4{i=9EyozVF9A^`c;Z*S~Hh%Dk=StJfuenruE*{!7j*vg_PXvAb zJjTI1jagi*A9mWAr!bGTc~Ud@EyweDTB&yCiGIZO?&#MwFXSt6c-~F~ef~TxcZlOL zi^J_R#o>4!$C`*g1Ril*3vOn8)<(Z-eb{i!!->NBl;**3s`a@y;tqia|9aESularO zWa8Nn{i=AVE5vhVmhl))70))~2ak9*nQnf~Wzuu;j77gHo;H4pN6y*&EzOVNRPpRL ze(;EAkLl)D#S`{RZGRt(epNi7PAQ(nvy8`Zs(3za{NNGKxasECyiR&_K(Zb{1{FZ&*vlV5O~DHLB+l@zvhtiTs%jkUloszyAaPFi|2Cb#N)CQ zkKt7DJQ;C^z$2as)6K8BTzW2^W6`gx-cUc8r=u2ETY4|`#_@cgKOOOhz$32f!OiTe z6Vb0Lj!W=Y9Fwz*!|^nejuNpsi#Pf>j=GVLdp3Hiki+)u+m*J;)#%CFi;Z*VTtDdu7;1Q2D zWzfy9`Od&Qf1aP8Ht+HZaW;=iYJHX;3vmoq=UeJGVSbb5=jTr9cg*}wo1dQtso!z) zd&T^`E~b7b%&*-~oP$-pO8q9yZ?X9;m(Dt*ekaXumHGKTPyJ4r-+J@&yifhwalWf{ zeG|M|*G-{c_dQX~#1-~sT^dfct~@BPuHdn*p64n5!i4|L#(!j%{DxEUUupc{!GB|n zyK6odC;SVI|G4q{dF8S+e#5Ewml{8K@ZVJ6UzYI0!~CC_CBNZR{40$gJos-e@UKev zIS=7)>%y3;d!_LkPQ}04_`!q!`wRSQ68^Uu|MFS#8&1W)&iKKD|CR#(`h76*95@d0o% zb!AT>j<;JJCuSLk<9Qs1EDrF9~-E8 z&*Od6;suX*Z!5&{SRoFcLy2SgEaPxIkK=KR13comy%5Jl^sDOlJB)vm@#~lhc_T4x z{uoY`$H$BxJoxXB%;nEh3IA32Y5e1}-)sIEPR0L{@q-8dx&r^%gnxuV{x3GWgL#@aa?I}fJYoZScv0{+BruWZRB9{V+Fs(7Vp{U-v$gTGK&{H;=LE#%)Bix#Ie-kSU<}+9M9vp#o_>uIDV)Q z$Li=;)zR?WMIAT(UiY!#RC&yMAf5-ngP->&TbunY-s=oY3Z8jUwT$wp@vXCY`9jzS z^XKt?&kn9arM7M^5G25?-77;6?iu!ygc7N zq5cabe<_3W=Z14%!prm1moxtVt-!k};pO?~g!(gY_4)lyq5oLYpXZnVnc<&zaXeSj z`QDNA=e+6f>kse!zMH{WWH@`0adU4UR6m6|CGMpK-u($L_uXsMpZoXsn5>WgX2Us{ z@Nz$0BmZ>1h6}uh5?=0$4`<@uQRqLO^yi-UIraDR*~kB|^_O|dd_11?ztQ#y_q80( zrwcd}1sq+&8y{@;Z&caVPyg_>`cz%4zW+nle!j=@pveYq>-)dB?*^*<%tmMb#eLD; zzA5l`x5s?!ZjX7^-5&FxyFIQ`cYB=w?)EsZ-R*Iny4#tj8#Pa1z?!G8qmwd(#JAO;? z%kVCb{rj-P%ZosGR|dVqiywGM@ZK$%!wc`lG>%o#zrtG+^bX$YXdl8KypZ3S_`-W^ z;jNASnwJyF+c2eUhWg( zuf8W{z2H3<{VU#22ff34DB6ec2QR$e5^rqp@Q#}wcKkwqXXZJ)pAzqp=r7(7SL^dZ z@9;hv?L+v3_dfi@8{0d)kC`8K{KEdo@ZJ*p_Z^M?74MTl@9;hz?L+v37v3j{H@0_p zC(I8!e(aOn`iA#F8t<{_U+s^lgWlmi9_>T;gBSKyW*p&tj_^(-c+VzylhHneKX_qZ zW$;$ZP9B_${#72l5cE!b&qw>vYDw^Jm1I3)duLuwnICri!oJM#-WL1!osRw$?@K}N z@V*%BL->PtyCl4^y~BIP{IKJ<62A=Z8rn79v(dleeKqJE-j}0&2!HVIkc2n3cX(eh zKkWF0dXVA$Q0(7#F8Wuz{W=ipb?r67!ymj4$9`AE_71PgVyMs9@q1_JH-Dbbx1WD% z4*PyBQsZvNeii?Mgn!U*@dwxEF~$3c;Vn$?u1xS286N)Ng?gv?N%4Nb@D^8iA@0`F z1n+9Y!ymj*2Qzqg8s4%5Z)JkF-0<)RFVwdT-a5luRpG^RX?22ki{ar9UZ{5&yt@o< zO@g;B!CPy1_=9%~{W5qTHN5o+-i8G4Uc!+R>hdpf~8Zg}{E7wS$1FT9uF97*sd6TD{( z4}b7N9m(MRu*LUmg%|hl^9kNb!^0oEP)9O&KVo>N61>w1-V26@KX_r^XYe){-isAp z+`lg+cxMa`fAGS-&*1&2;hjzJUPT;SXNery0B- zGdv|b=R|ey7z}#noNGt>5dPqWeVW0$&+rx`cvmKPiwqBc@WMXG;0+tz;skG5g16N0 z@CPsKgACqA!&{!<-ICy~GCcgj3;Q60H)43J4bS)Q+5~Tn;o%QnTaxGZP5RV%TRp#{ z%YKjF!+DOK)N|=N!;?x~YWef~)~Hv{jb_*CHyYp7dd9jo(2DhMQ0IBXUnpkL%w_=Y5Ee z7d!oZyxw2U)er0N0mJq8D^jPd&(5e9*T>_H=Slba#Jb;^e>(?S#7DfozGKq)el_at z%C6=RkH;C`qjtY9IUN0pamM{M&{`bwushDUUk0l8^0t58@I1O-agqndSLM+I#IHQS zP9C7w^JuYj@`!oL_1~^``eUcRkB4^hz{lfxuvqQn(U0qMx;(-@n>^YO=667KIIf%T z^Up@TxIP|ltZUut6YEbWkE|Y5dE)DPRy^d9)ziuz?}MHGQ7`A&eKrokP1z3v{IQ z(#bRSeXjp5wbLIv{e3*NlP5kN&ol7Iv)%ffF3+&fCeJq6`lNaGWq5SXSvvwfNX`?F zcaK`mpYL8DUq4@;sCV*Yws|yGi1WD_;#?l$8>oK2*~Za|?d{f=)Ik0GlLAh^+8OU6 z!|{A_oY>yMdA@){zJU|(cb)y?ICk%=;)(alj-TB#t9>}r{9c`mJL;YJA56v*^^V=+ z^7F#q>#N(8ef-x^FXlG|h4ehxa$Oyl-`KCV5MSKjV*_7V+r zy8Lf@zaYEwf05Ze|D*oK*QY%SocdyXRekz|+Nm$tsW0gD`gFB)>eF7yT>pJ)r$2W3 z`*>&%^(Bn2-SYYb9`$LzKBucs*k@Cp{ClG`@7_AY`gptx3hU$R=j#*oPX3=0e*Jui zddHsTwdb?n$C!=!z3`yrgSUI0T{puxPFft7t0Vtig!hl_ow_qr!14WaJQl>R`H0J)YR!!TG%c&H}?p>zLzsJ@dNo)dJ2!!%6F{ z<9OY5oHy!T@gVW&xx?4hpF3W#`NhsYiF#+B5f?uP^uOFbd&c@>r+?JD`~O6t|61#h zo&Hhp?tie*-{%MWbo=}v>;D!>^4iBA&*gy@cKS!XoBv_!@8_}i_j4M1;1A>J?*GX` z|Ceq2*y$hj?*8uQd>*&YpRa?jr{Jd4gF{viL>Jn<9=uNtxqU-__Ko9t-q22+@jUT7 zWk2R{ydHRbK7Wqm`QkY5FW`7R@bRWNo;Qv&Q@uSY9_p=+E9#wkd)(~K6ZMXr@z(2g z)H`+`pP&2Vh55g~FkbpI|LM8lxPCtPcpoU>`245OVUFX^V~#V^ym&t!*F(k&kJevW zl-Az*xr^g-9qqaS@SmB7{8=i=J$`wR1J(N?slk3kj@*+Uj`*#k1swNxxk3LpfBxd? z+~PeS>*Vz|>TT28t-FlplaXgvIu2`ZJyGCa74QdJtBq%Aa_;%s-KD&VMl7`Mx{ z0k1QTbwM9!dEQ06ZF*-MhmGeqd*m5Qcy<_1JkJJNQE#`R-r*THp2;40sL%CvIb=M( z&!XOL`9AY?dAzW1e%jVcVoKgg4g2O%!}oemJ9R6zch39tUf}luzgJBZ_&+lP|KrB* z^~L#Pdxw9f{q{4)ljfzhx1KA^|FOV7*g9@;j0uN*;Bk0fBB|RczO}brDBzzk{7J+2 zdYj^ZHsZIwUchmGmnQ>WC-0vR`atVmi$CgZ(>wY2IpaCoBhTrC=SAc3dK-1Gza5^R zHJ-ofk>_l}^Rn?gY2%4{yA|~g&!fikT8}*E5}wzL$LoI7+bys6zP~P#AJ0)iG#U%v zqklB}`-iWiq1it?PhyV#?gy&!WI_1eq0zUK_Ika4B8+GLyv?zFXFIVULg)K^N%AnR z)unncfSE$&xt=7{g(_z|K?9czei}FryCsSviKW8SAWyP@7FZd9~}Mu;l=?; z#(~h!WkW&q4{woF|D9>xhxgI?UgS#I6=!o?Qt>@PJG?3ffj8*lH9h=349~aJjU29; zRhI@@kA-;}Y`w?ki*|m9w@>k2AGH4AtwHbWnn%pw7;lSng>#brwRH>{A7lC-@%a4E zCSAS4&%zre=4icdLe)I2GReHN?mYL%f5DGO*%i+YYftC#Q)*}4CPI7{SL1c$=u^M-sxy53`KW`6bFy7`L!AkAx%pN{QSyf*JG{PkX=^%aX-YFJ17X;0(e z{R!j4k00V9KbSA#vhR1g)&pxUKj>f2j|ZhOu2NpyCOdg?JKdBQYfN53d#xUX=NjRZ z>Ot7YvZwXnr-hTN2ejAg!JX*JkIzK^svi7I^m~N%dOZkwtsdMVJM{n@>cM=;dOg6- zyii}5k2H^u&|a$tpOsEt(_XI!L9f+=56ey-ev1AXTs4Pv^6yR3^(S7|8yw;bbtyBC zSaa)5|N43dRUhQrbnCuOcGmqaI%wTLYVuOrYxN+khvG@sJ0i&=e<*Fbe7#S0 z@--ar%GZr_m*m{4*Qv*)gOlc~-&=m!>}i}~oig_p+O^)*y=4?#>-rctsu!Fu+#mja z^m~N%dYuY-txk=|PMrdWI(3<3y-s0gUcRVL#*x;kkl(dB_4D#0A8D`Gsi4>D)MnYq z$0rK7Y7XnPC**bay@hyLZ*YijLZ7o)Z~E8QJE;2jXZqiC>wdrNtos9W(0Y%Vd=u@p zI`t*#xp;on+S7UbyxM!&$J_DKyggOG33;o$*<|y^^M?NW!|L9GT|DvR8KUg71yU3%>tBzFDGP4^B#Fe%PnXzeJGFS$mon*qQ&u zVLdNy{igK#{p?Q){lKALyU_3XLO<#d{a!5e`%~+e&V$9bN#-yfUw__jU#I*s`^cwo zkj{H+=J%97nU8eb9>0!T>|Nvj_ttN2l!`X;9!2&w-^MSLXr7uLr;iJ}^1lo3Kg9lB zai}@W2L!n|7~i{;XWT~_&kOpzkn#M-Lcd?6y|$kxq_dy-y9VR|c8#Yx4~S=p=J(Ge zb8)CSjHfiO*!cnHof_Anp!E;`C&?V1uaocFG(Z2m(C;79UK`gj>Gg48Pseqo#`T{I zcxn#gx+vPa))zZJjO*Kew5C1pyc_IAY zD)jp$+H3QATzY+8v8VHTndbG|1w1u}@!0;Hc3yRV-guY}8rOf(C*w-@XSfedvp=!t z_UC^!ytGbyf%e*X+28f?Vo%4*`FXa0r{*wTt6$TOm;2H<9W>tmuFu>$`u-^Gx0kJ7 zI#1zVIL$m^&&|{SD8!-WFs@P^!=C#gUm4$D>XY$VUIT+3r2e^(^P2OI^OY95>9BlG!x^a);?&se9cAKcdS1+ujw;~+sOQZ^Id)BaIj7n z5BA&-_~4Quxp}ib3!l_K$Q$n6`L+}|%P;L04<&woECy}!r%djCdni0=}^N#_wOdEj-- z-~ZB|enHee-efqXdhsvh%ewR2!8~zJA>S%de~wycIO)1yUKlU=!@RvJd;NWn>DBv? zeq~*e`h4YN!Q_b)z14K zaG1}x7jTZr%J^n_-*ZBK#Pf~<4lJyvk1rPw&voDs&lQG~)@|}8_gu&GmfEZN^E!lo z?zs;CUY_gZ7Jis7p6^&k;-l{T{p)$V#Ku?3*WZ&j`TAA5DNiR&E|RR*scQ`< z-9JyLo%{N-g8kQoldDs-YrU)cJH=(RfahqCM3YJ#7`9aKB{$o%kqefk{n z2ZeqMtRHnE*ALzt-$Ltmw$P8~YVx1{%ty?(?(^E?#!Eb1ag#SWevjMMsjDA(M!&gH zD$1ZYsgs)juIF1H4|c6bg~vGRdCor1#lt?TuPg66SXbKX>l*ahx;`&E>-ydTuFPRw zPZj2QMWJ86^*d4Mx3bWWeMcUxDfA=nSy$p_UhMZ$i}x_Ra_efphuYQ8<1Vf1a*I1% z*WZ9Av#vfK?DchJ9QAb#sy=QqeB+lE;tx{)T*!L{>e!!QP#yc4$+y#9yKmiWIOMm+ zU*WvZ+8JlP9T?{QS=n>@kl!E5<(<#hQ-yx^dwE^+?DMj!(C>rBm(GL5w~2U(-_Nrb zrSqQ3>?1GXApI|*9KLmi`2Aj#)~(yDU%K8;3wOHp#$I3V5QaW(FT{bJ`Inh-Rr{ZI zei$F`slFbx{^2_cIKCdG@qDPz?_UX5;}JFMKiHa-UVje9uJKgo81XF8{C>EACvzB2 zDGu!XfWv#LZv?G>_y-DjKCaTfytB}c^{kKUr1bi@u&3kVJ=MAb9$~UhGrgx`T)d|` zLkHEVy9;=}4@%?Wo|xNrpb|%^AN;(h`X-Lz=MQYD*zW~*-Bak#Jn>#2cQ3-OpM~=b{~c25xSvtG=DUb%>sH$T3kMCCdA?Nn zEfu^4;dw^qHTzxT4}b3w>Hq&|Kd%=q{CCllvhv)Df4x5Oe&RjKlMMn8Ugz&A$d7)% zX#IJvr+?}O3z&iQgwVhNu>w>@5^^xFK`VUv#Me;;>J?cm{`!@JDz{y=u{HcEo`fJw*mzmq$ucJT1e;qm^H@&2Jc!5c#r z-aeC#=YL0cQtja3pTm2z;XNxmc>5*6`vsGZ=YLmsQtja3pTpyQ3Gw}rKEXSRD!lL> zUefXW@9a*>3LgGBytf$MAIlEjuSkLy-tX4%{O|2f$_gI-IXvF)65qekCwS~{@W?Nu z$LD{KcT%n3;h)3f{UCVkSMVlLg%h5GYk2;5d9j0se-3Yv;r(0L!TXvdc;Q}A!}Gt} zJ1Hx8_~-C=4^4c}=@Y!~pb96PH#I!}JHC^$f`@+&kNONApS7QbyvMy%=NN6x#zo`E zxh9tvWZT-`C<)Kj9Us@<*J%a*e(CG_HSU@uF4`Fe@*0!OyXt&s_xN*i@94f!@b@?N zjq3l5V_e;*;5#4fb)I*b&U=Mkc((4|@gO`qca3aC?tXCBe&p!h#{M~y;1i$oxum_$ zyVP`^AK>k?e)#3aw`2RRFuswky958O{iA!~ZAdnacTNwy?>64?9(l(`Mt8xpdCQK# z-;i`Z^2o>MlKAW6yw>z%J@OrRoqXSCe7t|`WnRbjJru^bwegVt7Gv*uv&?wT^~iJJ zb@K4v{r57SZ6jm*Sg(h6Z5=`G+rK&HXJfPe59^4t$_x0Anl@`aUS;w(sJ8|q9E!Y2xdZ>#x z82?z0{Bmn>F1Xb41wEB+pGm5_p4TrL=blPkIjRoz`clI6_7p#DcRl4fUf^*&?^lxU zm&%mqr17MFCET<ZFiiMXSMN+jqH0U>{}i5z1-8l;r#P@wZU*`&)v_wy~8sS>eT2#{l6}# zUSJ2$>!M3e(2-neFgJ! zvRD4(emJsk`vZYj(s`%52RrZ0#(VBUc{N~o_cull_HwUs-tRZw#ri^seMb9q`Lu6z zf0*Cuo~nZnUOje%`?wxEs^=Z*x#yKj@R?tix0t@RNB&-T>(6l|-uD~t!Pm<>5}$*# ztwwzxPj}w>xIbY06Fu^KJ$a)fan#S-Qx-@0d2%G&r?)@Q*f*PT-fH7K+v7N=;lH5z zb(`@oYRxXc%W-s`t9x1J+l_y1kNgKN@LagVcn;2*=fci~4;kOlUin_pT~DpUyck)+2u} zyuF+YcN_1~*UNi>=fZm9pX`zUjXDn;NAy$9g;y+&()+&LeP_D&aNx79{2~sr-R>=~ zi~O1V?&aQbuko+yk>B&X6i5BNXD{RbA>$wGk-u~v>9`2zVndSqX3;O1r|xmY^ZlV+ z@qEwNr<}i;dsuwGCjjn)CA-3yaxd@r-5>53JCl9WZIADp_cwMw$UQf+@2A93vZrw@ zx$BO5i2K8LtXYEW^z*pvd2QL$_j~v6+gafAepBy<-MCZ7Q_cIxidDpMb7O^GdFnlr zzi%H|aWn198!K*3+Ha!$rpAh!a_y@M?ZQv}1F!H?-q60H(7v*}J;Zr^cbuX9y@ht+ zr{fC#!q2wfP-wr=+O^FZ)P0q)P;Wj(L!cZ(&QnNKV|Z;$)7YiZqjikqJH=b z*8cbm@|Vs2MU#&6Qq&Lsg|&a(hr#Lz!}-s~l5zZWxZ zzt=K;;DOB{kGJjJet*@pC^S6wSZAL%P7~4!#w>OjZ7 zbsIR1EnBxyr>gedNxwa*{)wqLdp;4rXOaZR>%+*__`TQ2&duSz(~!jO`>kXj8r!Yk zZP9r54Q)X_xOYn!@2;V3;l1j%(fIwE)DZvZ$n`hkH?(En9^{IlHDNyVxF71{{X2I5 zM6mDM8@`Vl(!`>VXkw8EM)!t!-n@6~bwMB77RLAgD~{1!`=`V~+VH;O;+Q{&|1$o5 T$M_8copYLVJEU1-?qmNC(&m|= literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt/voptx446k4 b/bsp3/Designflow/sim/beh/work/@_opt/voptx446k4 new file mode 100644 index 0000000000000000000000000000000000000000..4e577f8ce9b7eb4bf0869aa7b96bfb4b5bf3604d GIT binary patch literal 15586 zcmbW730zOv`^UfE@4XTVMRuY^WQmmQsg$gtCK^i-vWwAJD#k9dWFJ{emQgX;$Jp0o z3u6sqBE~j}8h`8m`P}dDeDCkxx&Hs(c{%6ad+z6XpXYhbIrrYaFZ#%{r4t4(`t94l z9EL2R;~!D0yqaCM$S~LGbYc}WRCT)gQmfNB&{5FosYMm5qM>RO80ct6RnXx~5>%rT z76^1zSrXt(64a0hAhnkSIFp8PpcCMLE=H|@4&2r0oT-{o6>FoRYILJG9ZOIZB)t?! zUAlx)Dtg>>p=ywfz6h95l5YA@HAV`70D{pC^L)K^DY_Cr4^QXhs|pkXO(+BmwSc@H zHJYA6pb3S5p_Y(i_B5doXhI=iXlckB%AjTFs*xlDN6IM#notNBS{8Cl3wx>pg+LPu z0Yj}IhhLgd2sEL_$VjEb>{~Nwe1e#C?*wb>5H<8(trz*Y#RdYlsX$j^Q#4eKHWlgUNmY>gq;Vi%n@V&gdZ3~DuQm{{4feU%91Ycf z^$h~Hk)Ag#s7uoZ0=AJJL9M=K0|DDePo>t>#S^HqeIZ~+RGtYCFtj@4ZN3JDKobf9 zL#4+f=0?*~2sEJ(7@=6dwvZba7M-E!Yf{sIs>ve}0!=6c>}f5?y{TbOYtq$!Kq1hC zLcmZv$bDosDhnI}w!!;D^!=I*1Z?8~x!>1pAYdCu$o*wDwdo33G1*8Eu#FSs9nerU zZVD9}2-v0$U5TC0Q2p191OeOBr7N*B8mj+l0|DDeZ>z41)V6aq~s1PsMG6uW;73V|jR0wWX~NqWNt%AhWEWqhxJgJ-D- zfhH6J_7v|LF^C!tUj+(*CKLjO;$0(tBePN2ND#1%E99Zn;Im6Adc1zHUP88u2Rw4(ZQRlaTpNvAP7_uu{*V5f9Vt*RMf^CK>bDl1S(@fV9X8# zc$s0hnmpXX(N9$>20Xj4Z`mn<1+@PR(z|aEDYR@v2#kS1X-ADWRTC%^0BwewL#RO! zhfz~ZI;BQ$PmK>%HkJgOXr;?~5RAi{>7*C$h%W#-QA0w&X~KIIlWUTukuanx6@yAd zEI>ppNhgk`#^^Sk=#_p-0v;7_W~?-mQ6phURVpf@iV$$3l|u+jfLqdwd@R{$LLp$N z(k+1rfJ*P_D9J?%I+{*+_EfeB1U!2xOBMp&CfKh*RC)gO5PY6qPdXQ4so`gY%9xYC z0O&+*n?S%bp|VY;z>um`40tl}v&x*V1QsACZK+F~N{yC{B;Z6VBNdncs2A}JGpIok zXHmn6#=_x;7Ce#!oM@%Xl7JJ9PeIJJNun{t=R|u0Ip@oLI?=0)2?6I(Wgo@Ckg8M+ zDj~A~A^VU{oJS4sBb7iP;8EMr71n^sK8lwSl#z<_NucGHB;cu1`XDd?e)|$nT=+F8 z1e#DL0O|+m;;%s=V5l+~0uumjPjbUDYEZ=0)bOHG*+~%aqEgwt5b&bHSG)9lpri34 zKo5b@KQo;_or`O!(cHc3NWk;2GA0B(|0=t8JqdVv@%IUyguK!P79b}b=%$!Njh2lh z;6y7U6_@~MM~s+44T`v#8cuXIx@tw0J(2{RXr;?~5I*M--@M}1F915xs~{oZG^uRP zpI}H;Dh8E^Sb&H+lTJ*f#^^Sk=#_p-0v^?tRGwc<%;`)_gCSL^sEjHJIMK=>1SY_( zE(8*{Q=+fR~($f(D_K zbQc0;0D1_F0hsB6>0CSuAyugul)0A#9J11DfeCdeJHFOaQbu zpqFJ(r79j-uu(F^lM96zUyhfKi`zoHY0HgK; z@21Q~#Zwl*CKNWesL}Kk0`}CBuIha;F~_~z)M$Fj0*o34o4Ya_mC1#Gm!Zn!G6B&3 zfZmfqRZ_+R*bIQpeVL7lBM@jh!URCW0sVs-&74BOIW0|B^}m>y<6bT`da6=Ud7ua^ zz^DUBC+1PZHY%Y&pt)g~0O%ke)Sj+%?bAEH=- zPB^*vc&shq%&0Y|Dhc>|1)o<=R84+11)&6WNk!j)4)`+V`O`yS^v_HeNw>sO)B(O! z)P6R#AOY`cl`$dUUEP#Gj#N#4QLrQd{WBIreLCVRo}Cg{fSin>n_`)-*hm6Sv@%kG z34o5ph}QpjA(p2TPINuGC;iPr$A1zH0Vi7NvP9rSD;I(ugwKioj<8|{>fk)8j0pkf zQMt&)iX@m7RwV)bGZq!MBmqyA z(g%SF@H>h~V)d^}0nqOOt@$-51PoOsLtp}+;|Xf8CmR&enNE07sq9_|cu^^L zk{*K3Yi0tF^{GR1b2cCWFDfNcgn*}4Wpg$p0sS);10E;-RC)dd79iw_bW?PZ**H*_ zuiSOs7m+{FtjS!AO$A#zyv@i)mD4o3?{8Gs&w&x>~ooN7rO^v_rf%G^r=4q557zyyT+1Chj5GN>~5l7OMg zKm;ZLIu+2?GN>~5l7OMg-~}cCIt@@yg7Vf>Mkjj7MpcF)umI5MfO^YpR6K=%R|1w$ zZL0h-7MK9&3_yKkQ0o5AO~L}$%!G}v%tpm|7Qki}Z2ZWEGorExArMI^6}=T5T&VIM z5ZlW}Rq>Ps71&=sz@A!@O(VIdon@n{c*+8d8i!E>WHu_3 z3jr@fmC0oSpmPE3CWERt!UEXLgG~>ajfx`>Xgb0KK;r=oBpc1yhk$chj%*rJHJSY& znOiEMumGdZ$EZDJHY%Y&pt)g~0O$fhdy$Q1C=hTcWyuEL5+dEP%}-*z}dzsDuxJX8241Gy%|lGN_94EP%~ogg$_5P{e_<0hNzMJp{(JWu{vK zi@~ztR6d*`(2Riz@MkHY5i+PNb$vtCWQHKngfaopWq=MP8(tU6*u>$oQB^{LKyza; z0i!O*s3T=il~5qigfaop6@ZSGK~+43KoiOYKvyEW-;oW9I8jFbk-A7j9}8yGntv8B zy%u$OQRV3om;m}J*i4c^6R8Vsn?NC8XkEJ5iK+>d34pGqn_{#Kx`w)7X99(Qp?C`f zQZ<1x0noK{Q;d;8*HIUKf--?Zz)+R%4JH7(9?&T==mzS-8`cC00Yh!*CjLe-@stUG zCecmt2N^V(x&Sr#k6aKi)PZjLQZ<1x0nm+fQ=BP-rcf82jwYT$z)4Jp~^qw1ttKR4(MDNbUSrn#h5@LV5ssbAus{Z9e~c0 zL4T$${Ml*(g@B>RX!vICIGq%BQ78t6f<+Q z#i=eWyp|XqyZ1!Q${qH#vr4^M?`v5+W^Dh3d#iWaRN3C*Z?{2b9$L8`TGMF2!-Ivd z#4!UO)<2Z_ZGrW+3+XRv4~*G+((y*h(t-=mkL>R-U|4>(M_$3=cV0nOCrcmB40^p_ zOrFR3H=}gxCpi?`oSu_Eq0y3&b9erjG-Y3xT45_JqU!r^{J+~eFT2$#cyQ=Irz2U< z2CjMJd?_oksN#zUi#i64^s_!GG;8+0)7tZ?T@oJm?6@Y~N53rNNU1o>oeNzjmFTqK z&^p(=#G|t#vkuHSZTFy{M^c&pD(t_U-|ZRxiKZemc6$>`yoU zt8xFyw_S`!*lx;q-K-%yukX#9=JnL6{i8Y$Yux!lQ40pWh~8&DHr2(zp4RxOw*IO)+i9`{3^L zFU-xpxI~{A=r-H?{L=lWn;(hzrDgZY)%yJXyui=4@$B|*M!)HheDm_HcZH>ArN_E@ zUs_z@&2D%5+@5~V>dYH7$ZX`yA zEWI3#4!d5qb?~&Prpd`S9_;;d^WPJ4QzAa<+d0gsFs-xOjotSy#MQc5F1yU73wP&D z9+MyT!P~~A+i1_QKi^GEbvfW2UDPJ7SNWfgT}i5F?&kUPm7y`0oFlr%i#aZ<-4IinYjUGrXVnAC4#`mWb*j-y5u3;eL{9oBeOR7M8cW?KrUN?5eST3+7Cy zI?JV})%AxvhWlp4&nWbCyAjs^uc1l9PI|T(dn~{rBx&%_4`mYy0?Z1-I>mh`o7iuD z9h-=D@3JrNJ~ZDYqSKt)*_SiUZFt_k)4Y32K1M#<@Z6`w`YOjiMn29xIx8fp(xYGd zG}w7`MogZ4k7s>cR!lpbxvN&>8Qb!9mk&N&KCoVFKm}dVn;mxU%|nL=_V(GDBv^MA z?;1MvUvRZ=LTrWij;)$oKJ1#&F?{1D%X$qjH~TZUN7d%BmwzAMD`H1(RLq4v+}VrG zs`$F61_uvn8#!#X*PA&V9)$h=TSVdYYNcaa96#SN-YaeQ>TbV}bZ+E$cm845y{k^_ zE9>(8h?GRfUoKR5+2WSynNa)Dl2+y!7k8d)U2%Es`!1^n>>D-xSVpmW31f0XD?ROC z@z?56Yj#bn(tgr_vQM5h`?K?heuK@sj!O<1{BD_U!ZWjX-6uvo9MkpX3*BY+ z=zd|VmUZ{1EnF48X?oxpYrS(;j>R|oo^}py@9nu_SN8if`y`7u`(G6tGS5qN7`pH1 z`2A)Jrsec`{xUlIj#rV{io8xsT4aWl?7aTf=D-S(;h#oX?i>I7?LL>qkDj!*zMN4i zJ*8QffJ(V%n{6mA^!&Bw;tpYJFXUH0SmA?P?Svm3dhKpG(Zcy$%R0%PeRnU}G_B(| zM;cr$va~rf?8M>W%la*KOtd>1)4asN>k~iPZ%hu_`(W^>k8^Ay3eHUbTd=Jf9qf=b zy;r-d=~Ew9KkHHX<=v=V&fRB+r|y3jb*|y`ET^Hm;#N7q3*y3loW5;c!nF^L!ZY>4 zdUwxOL0fWisJ*$jt z`}lEG<}8~bxm#0mT;2{G^LL|haBk}JJ%`VAZaXNkUbi9R?!L`)_w@L4e8uV8)&~^$``0jZaT#&lwRpKIUDFSE zpIo&-*YefmgBgEh9P8NI-ucHn2W?KxJ#w_xhlB@qPeXTKu{;plZgbiEC3Yp;n+1$) zkr}>igS)lw@{fj&BVQD=&iN^(V0~QCPaaExS5_QxDLSV_u|qTVKJ<$USa|Zdf2F@% zi?3)`yw!>-!yOMEs$61f^>Ow7s&*r_bawPS|8HuvX>_cH`8m%uRr}1X-7W0cu8l6m zF1-8o`GLrl5!QF3YwfWvH~CG#pFi6Nnk5_!N;vjpNp`O&*Vj>Z`X*WRJLQ}(`G)V* z!2vtMj^6Q$E82c)YRJH)f(I1j&OeTF0Lr$_+{@0QG06LZyWHw zY{T(`JU#n=N}RNEN@D-9zphJl@F+k2f4bDTIbvJ%$h+m*S4s3(5o>$4U1=L3vUtY% z#1>1N^x6?OaoNg4W{%sQue>*7z=-5Wm3CiWeY|j(U(G`E3mM;3w~lq|m1=pg?2Gg; z*IpOwzF&}EUpK1t>+9id2lpFgHf+i%kEr66yXX5`6!h>5-1SrPi>nnU+<9_u-T9u& z2NZ=|$ZHelGbOx!e)QXzcTFzWFV(y7=Ju(fF2~Y5>hCN!>Gb||+lE`djnTCX-5Ym& zOna+Z&&o~cxoOL;)VU!Sw(U6QX#0K0hrAWr_XY23_uciTKVID3r$Rtj^~2}fH{`tc zusZ+qtmbD%ByS2j{&Z5pqsqN{P8e^sFtdEx?da**eVR7E7XGeRX65vGc9pBu+mlo| zCce$#0}t&hoou?zadc$fu(0OQZ+nc5aH`a%&aJL5n}@D-?r=48bNN?qvR{Yn4-9-1 zn07X+-t=7?%3kX+Y0I5M^+IyIT$X>ztls8DlQQXljGG+ar|5-6)&LvJJG09@dFt|4 zR?cMi(NnA{?!5PFm)t&+#``@;4jbcS@AJuh%e#gdedko_KH1Hu!AvKYu-o^X#yL7v zcwhaO*cAJLSMo{@YjLgg<)oMQPS5}Ogu}HKuddl{EmJ=^->K8$ZaofKY-txBHZiN- zu(QJp`t27JCiM^RnjH`qSh(%Pjj3mEy`BHV?;)>}Y;O8@*toa45IQ<_(X*6s)r0(3 zzBuRc!`Tm~UpEPefAn*Q?XhXA*UfCO$@^iM6ImDc*gTm(E4O7z!*z2u9oV+rq4ndO zy@wk=+k4+Sw`t&xpwt7?!`tu39N2hnTJgruKZSiCzjWlyT(dSyXRfsxS|)#y?e7D6 F{4WHSBXL5*8Sy%Y z$N*p&V>%IMG+G8T09eL^PQ(R`mca}FmKi}OG6jv+V8)alXVH?0=Wt#IfMpbPBCcq( z3}yhZ%t$(ssc5teW&p6vC_0g8XtWGw0IuOa0^mvkFzGnpK2lNuTqyu1 zwFd6{igbuC3IJCMfJw1a5kDy@0In1OlZspFyjP_7lV_U%Tm%4D3V=z)Z5U57`=W-B z0^mvkFsZn82T4f*aHRm4)E0QKloSA03V=!NfQLv)0dS=NnA9G4sFV}{R|2EI^A3V1;ys3hOGyE6r2v=|dmM?7k^?D_}OgT%KCmMVs>*<+=<=fD;w=VPZsffcj>vLa)-(*v5sl?ol|_A zOFv8T6s)DbJtMf*5 ztL42%dvA7^UR++X-R*SoX!Bo+ zx1Ky!pmlTaKGf-!emtjZN#dl0<0hM1*YtQQS3CKQN-pzj{~y11m$zE=qTpfA84rJ5 zdqTTM@@i9+f6*>$vjSy_fBS~@S&c_^xtSAg_s(m4XW&8Ak+^_V<-r9_CU$uinwd(k zl!})d?=Gu}Y`S&eR%X?)-%g+PI{996q-o3#ZELGHzL=A?S3l!}vGU316kbu<+%X|0 zXB~%qe?Roc=0f%7UB-4rdx{SDCLQb{uJ@+z$eFtBTz~z&KemR?zhkv8cvY?9_rUWP z(iO2W8KDn7;_co)Jl7??45UE zZ)>b>R#0HWme`f{U#4eWvhl8-rYqFip3J-4(0?iYkk`||hRqq)mKOA9@~~|qo;-C5 zn9#JgY+%%dM2D~r_2#HPbL~#qB4u$wN1Ohq(E2;si5X`rXDGTCUa8UV4?SRWtu!fT zOYPd@mD?=OXcA_Z$|oMS@0eL$yyf=duk)!7*x~Hj>J#=<;ofc*m#Nm6rx!RsscXZPnry0Zvha0hcPO#bjNnZe97HRn6$ zyZ1fceNTQXGyH{StfO6p->b#Byx$!FBb}hl1LGS zrIcln4~xD`WKm>PEbWa%77N7&mBr|`lGML)WmN=yc`@240`_Q`$W>7(`o0*%UcDke zC~sV%_VRyH(!X4iKc#08#)UTI+KB!+W|K*^5z4>dBeoN+0`@4?(qrK8Izr{ zzx7M6)^8I>*zYj=0C{vgiGPG~apg+oZq|=54vWR8*vt4_|HF=P5XR|r;*^)!cwOEg z{(@Tto{ZaQC3X5mcZx3jHSw%AKOYbH5zl0u@es$y(`dL=;31y1)ae)9C3;#s>&?%{ zgZL58Oh!DKzeGQZyY-9V_;{L4uL2M8fFJoHpN~h|Fa5+kN1M#g$0OaX@tmnM9^&|T zTFDPQ#Iuz;{d_#imxyPZ`T2OTfFM7Y>WqgtKAs)q2Oi>iggX5q5T^ClF7xy8V4Xxf z4bm}~Z{c{(>M!E>c-jrO3OvNKhdTXyJYj!zo1c$I*BObYxz2cqqO;@>TGcxGK`YD91d3EQ!QECgB3lpLax6-Ql@??5vbyT?QWF+GKt;`_?)0 z^Le=me!|~GevC8lidc}JI6f~I#2@^?1OIvI^z-omU)tmMiHqjv<7t3h;@MtjJjC(w zyg`28A)ZUr=@)GVPs+H#c?td0A~M-eZ-KwWHO{!4{aXFx@LWHYWWPb4fQPv518zzj z74s|i266ldp9G;6~HRAvt;k2;%TN%zX<)E)=w?wS2JGT#<-doSKjgB z@LWG_V;sOkTw5~Y*q#x`+Zo4>I^%G7E{zJI#O z4?OUUMgCmb)mK|E_1&rF^15XZ+eN`BxWo+0Y=i{1;KlzBZK#PbfubFR*Kh~wiq zOn%@Yo(byo({plKJV%0fevI+R!+%}#i8wxDg8Ac#Iug^9AP|Iw<0-DIGK5#F&rPyS@Hu9@tmPfzUX}! z@th0dc^BiEVLVvB5Ra2t@es$ybAkN8Lpg+G#_;}tR zKkyLGCF=BxZjo}_r|brw#Km@sm+H}F^Dj?{o;>HE9^%7|8_JzsmM1+ALJD5ZdDP*# z{h}mzr@kPIGp`jaX35|$7;p_JjC&MMjUI*&+l8e zk-we%SQnD#;;cLn$M;hs`GE)iCo=fg2mI^Ff22-+;&}eM$PYa5@5$iDbAIw%_2c9} zStmbnJbw%MftTcG{``2{8t}iH{PT746UXy!BR}wx`~r({?D@9`{I`?;Vx9cN@%%f; z4?OViHQt(euq)tiB7cKi2}{Cmg`yd-~^|Mq}i?_n|j>f|Sm=kFyy@W9`W zcBQOGL*`d*k{(H}1A6}|akMiIXGi>)k8B6J6S!VFJhu)UVjRFj93AFg(+?9Far^}Q zC638D<8XK`j-!kNc!;AjBaYpchvpgOTN!m__)Qv~U$^dJobz?|8*zNUO)*a3AF8DfPEx)IS~6*ZWAx=R<-2n`|HL zj|vUi5#jzNDwOozQ1Z4C_aEg#=}DB!jM;l!eq;X0@k9Mlih7R`->oxF!j5_NuX16E ze6-O|gy#D)rJIk=uPWsj2SfBfO!-;LuTr}F{}b^5$!Xc$&*3;3;$6(?w?QiE`pJB|c~g@42cE2XGsk&@`j|Hu?-=JBp5q-H6xPTZQ)Z+%X` zdy#+X&nEi2@v)V9*7!KX@qzjnABYn_=-;) zljTS1t%%l$#Q6Y;h9B;I;fHfH)&VyzoYZ?y;l({Vc=4$s@HVO*^8y!m@CV*jk<#9E zRvz=>ehhxF!w=`tG~NfSesR6|3vZ;n*#D&+zP(LmufiXAdaotCRvz<$2fVN+c`?tC z57%D3hm!VUo>+U0w^j9+7ySo3_yZ4iwAadGUbGW_uqSyjZj!w3hc3L^L?(H6s2=lf zH+vQS!25tm@LGAy`w0DDho7F~Q~LLVR=>E*{C%F=RgZaho4pEu;2}=%T6xU7hkmdp zdBZ$^$m$on&ENA5sUGw8n!O5t;C)ymc&$99`l|wdlmk` z`>06pT6xTSihi)euNi(RydSgr#na~RdFND*d1uXDg+K6ihy<^d$Gk7o4|e$J`6`9? zr>%Z*-u&hBYPN2iRXyfCWA-ZifwxIyT03^4T-Jkg=I`5aLG>8#HM3XY4?JvCXor=@ z?Kn?A*ps~Bc-dw3ix;(>;=vzyIG?1&hXWVl!@XKEFWmv& z9^%0tcx_g1ot4LVX{R6TN#3wO+O58RPBqCp8u0cL5B|XGuzKsPJmwvuAMEhc^Hxg! zI<0G9t(Jn5)b~s>#=(4 ztUTtOq#x|?({o-5?^EQR3V2ThyvKFL_S}yr%=+Q^bQm z@cPJWhUb!>C+}>)`@MkoW#Yjfcu$kp%46O+`oRuAJr}3sxu3lAo>#{~ z`E0=ZD)HbCy#3_0@|gDw{a}Zmo~KiI2grLa;Jpy=zD7Lw18Q63@-LUkHB@_2l_Ngvwz^nNOFk zp5K>B;&|0Qr+TFdf8gpql7crvyo&jIyww3-1M%PwJl$7P@J5N}-g~-zt}(z{OFZ}k zPxqS?yaU8@?=c;oy~m8#ttR5ZA9%X|q~MJaui5n|SaCUOVcg#PF?K%Qx71^P5tN{(&_)z9*m;qx%?Nw3>GJz5{l__xIgcsH8rI zwaRnE|CIUZ`5gDw{~$Wnx#Q+n!}}b{h4-xbt?<0=eG}~9y(|)V(wtP@|0X}$k9L0= zezJaM-2>9@^Y~8jM;=j*JgyVDS@MW-;LQbj{JNB;Dok-1|1zlljbQ*Y9TF{|fQBkNNoBdpg+j z;Qw9*{!!v{o%i_e{T}Rj@K0vof1dbU_dWiFisc*jJow+p!2bgAd5-k>?tLQcz<--a ze10!0|G%Gs|3%{Syy)@Wdq~)U|MqL(PnuuNeEBBuQ|8N;P%iUjn=F*cb-=yXgdM!s znlE3@;60VW`>W*L74W+Eq_F4VeK7<781cIUeD{78cHl1&iO&yY_1CvD@V`R*(E#7Q zmxVnK{vw>4{F8H$y{NEsc z)_w+i9(mT%J!VL($~L7JaLYfZ6EuYU*E~A zpUcBN=Qrtx^VVC7QKLP7t#I#QB0VP+qfXdwmggPl62D)!ZV`Qn#E6P+#7zgl>Kj#Mx>(hg@pJJXw)pD07ecoRnPY!QF>(}PV<*`YCaV|Bz zQtlBRn5zn>ab@g1{PG`iU=fwr` zHqCk%H#i?STr02mc*3|{yo=4>EP0!jTYKjM{j>yvaj{*VBhg=oOGe@{H!h&T?j-!L zT)DyPv#QIz4RKAcP_L9f!twUU#MzmX2lG40gK>`ex0^hsSE$E4Zhv>}{XTj3Bp55eWW!_A$ zlueKGRxeL?z|$M>n11~{qXEx&z+-wX&tHol@-~z+Z-)b(BjkC6c{9CIHa*T;y*$SP zo~eMx^je<36+g7+<2l=NF5r2MJZ|2aUOR7JCC}lUJQo9=*U977A=7Kup}#Ypfs#oq zb7)`0^70ol_%Dm!l`FE}>3Sxg>tp}0e)$O3xxY8O8hnT7PA^f%pv>_&8^y zKVHbdaq&1gu6Xf!eTevLiEnzPYrIUQk`m+0>);V#oaeaOAxGs^9IPzg z&2|AVk*9*b$1D{0J6>2_d*#p7x67|3lE)?(g#QjovlO9A6%(KxL=ZT&%^wc;V;iULVl;^4OaB4YhQ>5oTA{|2}i-R8k4f_#x z>BpTSfUBRs!*l6j)Aui9xwHQv^kVc5d9jXs+y7I?enuW(7rgRIBGDhHho2VthkR~Q zt+e+!)oaHy%*lK@9Mn(d^E09?l+P_bHT{6REYuIMr}e}8 z6|eLp@R85YtDe6fP(RrZUt;~Nas2_YqaQwqYSIrFpEpVVW%wZP4SxbD;}dxhT>Ty* zr2Bs}-S<7_#ES6*e`(jFB2ZsHhrCkqg!888{`<8y&MW1A=YENO0rh85Pxx*Ffbi&g zEb<-+4E5djEa$}P{Lx?VPX2sImD1kthyL68VR0mjb!h z&~g_~njG#K=>hI&+fX0mKw+q_C{keL`=l|C<3)Lr5Bmai5ar@)ZW{uRG-qfDc@USw zcQWL;i##}=f(P>?tv#rR^5G5F$1|UoXXEwp;Cz~=J^L1!H|+oDpNb?b7tbQ|=6LX& zlZ$7Od2>A5bMka9GH>@VZ@qbW7MVB4b0jBE@5n)U#x75EEponA*{+j0`Npo359dFO zzcV@cMta6{JsN1Ub!lHpJBxzn)(Izt=BjlG`ww_8=j82f>m5N}4-Rxmy=$+tIKKhE zaY?@M-rY8~DE!vk_+5jDyZ^v`9UpC7g9o4wjP?ve7DPsn@`HWJ<;_Wyqdl@5soYH2 zo}0hl_K%*nF7OQ=7#M}@87_?0v(Dc~-pSm&ZvV>P{v|oue?Q~k=L9BX7!?-UZ@M{N zN87HQhoyD!^K%3_`2G0R^MZ80jsxlTT;mzf73hC{UL^;gAJ2>8!*dDvTID>GXTON^ zyKp-NR&m|9cG8u*@s0B&_cQ$E^kh{a+kjch`&ZI>hg`BeG-qYe~8QBI+=yv zk{iEsQTV%a<6k}QM@BnreJym1y7PLTeepr&ji0y6G48G&?~CPqA9<&9w|7L_*D=~- z>r4OBb>+8}eDgW^x(54ozuZ3%?q6-XZ};shjO3YbLaXC`H{~VrqkN(LqrY!J&pqM3 z-8RyfxD zf^i~;&|Kp(e*U;VzNVaf-Tens&oeH5w_iBv%AIVNKhVA%i|14Rfu3<){|X}OnfD#! zAJ54z$822}oD{Wye&qX!iIc>M?}@tmF>ekQy8GR}lc(Ri7}sphxJEjjvUNy^!Phfd z-}>84zVkWxx_kONv|Vk($~)3Cs{J63ztW%Oi7b2APg#D02SydAYjD4w-(RkzM?Z4qRdmim$9=M-p@4NQg zn6)pu=h<-ID#-qYc*1?fmHYLpa8SO3DSqyLgF5np@qDd(&A7bD3lr?Gwe2m8;k@9= zy9VufczCd1^CI18^IIh19WM0gIc^_iCne&+zI3g4UST}^ym%U~jz6Ezwhb-lm*&ET z7UUr;-%wk=1^)LJHf#y}wY(*xd`nIF#%AE$TiDoqHI9{U$|&EQQGQ=W`TZH?Eg9uo zYRflRel~6h;?(jDh4k``ESG-QeDCiVw|UTiQ1xo>puA(2^=zc7>%f8GPMtRc)oz{N zvVQ115w%GzEFDo#Np o#@YWFe~*p#kY8RyVWd9$AMyw0&F%7L)SXYU9^v^;R;M6-h9TKS_O&cobJ0S`!zHN*-Egy(of|1a8cVWF zWFO0oCLt8EWKZ@bJoo4P=AUz(&+~o1&+~e|-|vA2^`WM+fmE-FlgGL+20F#KX$gt+ zmhfVe+TdtZYvI_0APM1Y&~ImcU2CbYM-1-4qhLxKeh{`+P8WZ6?J>s~zyL0axEq@jSOmGiZ3Imk!p_O4ve+RcmHp}I*w zXl_8`zk;>#`8)%?oGB>rjZG+TkSOy|+T5sD=n0-36F>Lzc{ zvTEkGBM{&W_x^`iG$ue6k-lUE^xHUZ6)a-Q;;rJ#@8hPqs+(aD2t=~)ZS^T(A(JBL z$zVf0a6EZI$|409f#g^nfqP@r2ZGphd6GRkz|h3U!R-yas3>(-;|#7fxKdB(?IEoJ z><#4_w17R*j{{pYMB$roOvjPt+gCU=J*MXLb=g}PV93-Y;VKv^zb&7wm$Z%&v078z z6ZHwsCLUS=vy)BJoeVL2fYE0yW!x4lTbsb>d71+DJ}*&Hz#eg?k08b7@k_jB`_QZ_ z569nJ-7axij=cm7g$E_$z|gpvOab?CH;<(51Y0Z78@hF+1QnD_Fg%%KC zmgBwMDnt68n~1~jwScWn((kcQ64PyMQl|J<(~@jX?)g{pRlp2e5ry0>ml@KiHQyqy z{9ocx7mm#7UN9GFQY&))4G{!07={r+ZKLKnL;o;QZ!c+*228x=>Lu(;4ikC;7I4y(0L_@U6f6A7@Gm zYiSVEaB$3ohiB7Ys$c-`oL1 zcx&NVW!=k)Mc*ZTxXOx&1Fj)7AYk6s*WnV+eW@5I9SQ80!wQ4?od%_)iMzG{1(mfb z!5(Zv@(VKxl|ee#7|OmB2B;txs=5hMINznPiQ<(;=c3My>Zx- zMPK&KqbNd}!{mQxXQD&Fu@ebH!o_B25)6|L+d zb1Tj;P)1fLnWhbo??aSQQlk@2F^U_^&g8%}9@`VZzaS9nZKh`d&wpcnR;41t+Mzn9 zExBY3MqUx92A3~DULbE=OYnJSsciMHdkb;RRgvkh>iof=o9tUTqC6ihtldP7X^+=Z zn`ksnQJ37I+x^W^oe^i^_BP7tOx3M%U+|7DC2f3FGis4s_PQB8tEmIu8Env@(7txR zgKIZGY1Qxqq7!r-UK=C>-f?{I%!}leJccpug?FA51tW$r+Es`OHcL&F_Tpz3Pc2Z% zLEcd0$v1i6?!j(yjBo@h(Z7|_2UiH0{6&3!l+$}ZGaFJFe=puXvCr?Ek&ESM=h-#= z7abpTH4~=44s$qb@ur0e8~;vt5w2UebLq0`w{2779-o&l%YS|ug-9e;wt4N&Ce|X8 znJYgN=4C4;vS(V*FYViJH*Q3{SG#4M7~|2xb^qE!9u*1SZi`p=T?>i=PC&CykNF2G za5Bwe*Dm6QDyL1xdH6*~PxrSsmFXk8-o{w1jFe_-Ut0KfnndJks%N{<)f(qr+xtJi z(57DAS=!ts{Wu@+{>f^^K6D*2?05Y6dX*RbNwdzEio=zLA&Fh7HUur!aI;F=N>zvB z#YjE-hAPKJ@h% zt|Qi>eel~;jPK0;xhhZQ)jb*J%4A+-3Xr-YruiFO;Wm{azbAn5=>rV$u{sMl- zk9KCFLQ(Z;0n-NlC^_ea#T2DDsi@~nC!S4jn`fPQ1m3aHK6zK)7x z4+vz~XzE_AI`*ITs@MyT(5{oITG_byWO8zX?SPYt$?KEpoPjNvELJrFljjWWz+~|? zoo(a?^jWrg_Q*O>sqCoaTO&*q(5n@=$uvc_I180RxJm-Hz%_WG+$uG@=4#<(U;6l6m z=CA^p(3A=G{Mho67fIjJwho{v0CtBQ4h-&1^wXsJi|#H%P~>-vef}NVmjT-U%tb7M zR_IkxFKcVKsa5`^RY;uHdCJ>ap0o6cEE4B0`mgjK0SrWGkh8U+&$36rI{S)`={pa# z!+)X3jCLpNjj@DQ9c6FLn5sD8S5bs9|B~VRmdUfLDiwT|sst!%n0p0!TA~^5Er&<~ zR1CQvE!Aifhblt`QWh@6)Fl*bUx$%?CAg~+1;xhEKdW)FMuuECIHBy!^(t<< zle9YEeOwR@-hBy^9T2xvVGrkHYTH}4D3$_zwhN$84bfhpfzVOBdxuX3oe;<2faSbV;dsBNx94btfBwJzF*MtD~z(} z-Qud4GHp@cMNetaN_9(KScV zyYYr38)j$1W2x2)D!r*8DwZMy(^V4`--}UsRln1c2?fESIMeaIhJh?UKq24^@>*%a z6S(^44Q4=5kPg}k&G)h_Db}_Gk*yT#=ulWcVa*4^garqPfmFYkxS_x@fRZ6ys~?~P>6bPLsjnC#!)aB zDIr$=q#ik*Sj5p*4s}E`hEf(MTPn}AY+sHJfX^7K5)4{6JD;m@yV-cQm@K`mj%@@- zM)+1>EClGx#&twfDBQBjqii7HUetzuX_$g`mt`dl*Tvj7snjEfOp+Ywk6%c`s_DnB z=rK`+8`t78S`!9a{>)saAvh9Onf9iQ|6kS3PErd0JYr4`-wk;1bQv3M(h{|g_gZaz zn~$5LB|%B%giV}5@0#edCC=%Z@!$}6t=8RJK-`XlhEx@6oYntH+by25^hhvC0&JqV zl3NRbEQ^->r#Zi6BEwdvm3Szim+n&%cuN-)uHF^@hXF&oY|53w`mOqGb06OP*@PA! z5D1U1_BVcH%R@ZG8EKA^A|r#d$wFofa|-?90zGiNg~b;v9LL2M>g7C89j;Yrr4@d2 zQfF7}yervK%aEZye_^Ifkiv=lAuCH44xg(Du}eSru&juOVF`$wnD&deBJOM>XW(j6 zF%d{{1T!)&R^rjf#~gm&ton$YV6jk?52n4>$iln*w($+4fH=LM-(z302J|+H$zLA9 zxwPJKtC_*t16?sDo<S8+;Psq2<#HsJeZ9k@8ZuFxe$#P=|k zB@1VBj-t8O8!M^h;5wHw1;-iE1m2raZ5e*UlNkin?fJv-u7{Oi{zN>u(7pQpY|7@H zM|Rxq%-zOy46xxEqPWF9xAwPw@9x?(q>F&p}9V<{#)N1-;v+wz< zEeMVB?^Yrg9NCS-VqYA}?B$EfAKIMG>$?8~C-+^{{Z*sn0ds_O8;GP@4@>(aeTsXy zGHDEtnm1xuE052!84RTvv4$E zXbbvM-gRGDo1S$=MssNPilZ=pY>C3-5*1M&qSa4(hkjTHb&QOuF40C_%-7Z7UVf|M z_F|aKQCaf3xbu$E8QYZB54`M9a>0BL5Gx(CnL`E$3#tFiEcMAY8~mvMR#i!(q{j# zSxTX+^w2^{27AD^QvzTp6+DD(OUZq|JSeILODPA)uc~GIsz*hrEvf2_9@Mn9F3|MI z4FfkoodPCI1DMLAAp$cmthBH9br((Vu!sn7{G>j&XV0n<7)&+j)0fZ_$rqjqqFyW(*?$_uIg5D|(UZKu1ba ztVmqJDF>uN30!WVJ+Kyba9Z6qW;$E2FPwO?0xZEsnT6StGluLrMlHQ#526wVcEPNG zn&&82cGVKK6*wELzECz*5jDa>Vh9|#U}!?l&rv!w(6&j>GL5&8J5EUcn~=$>Qqy^% z=^u0DQWxwW@iOJ>o$wijOrM96CM)_baCyKJdZkfFcVKxFIu_#Btc5*lpRD}s-a(}h zlnKKB_S}NI`_i*4x4<~N`<+5d@&@u!$mmO6!N1U)P`}y1+-DyUGTufIaX3k%!rhlk zL7zumgS)k3n8s_Vjm1x_xMzQ*wb4e;w_Tt9<732BH}+wq$z+(z*fA}KPuP&8{-VFS z_OTO(Fpx;*{mJZd69~`Krw~9bL1u;x5bWv<ZRm^ISf4U$L5yqxw;$vX!#qyuB< zjDDeu@pGhB72^o8T8cR7(Kh(=-;(Kl@wIV_DjoXq*2V~Gko|TV&@VFq;q9I3R^{b> zv#}Hfs2}o&CSwi5EZ(zBE!(mKgmxA$?eZ?+PYlq8V=<=XtP^rgVK1wPQz{XlmR;-V#wu`t^91@oLyil{0~ps-|W-UCPzMDIt*k1}N-#sNU8_bC9+t<|AlQ zC(pIX(Rd#Hr1wgZQ81HO#dO&CWjR=Bvz2-=fvoPc_RX@vA?Y+a+m)ZHpL*EK!jLH4 zQY`kx5gzwsT-xz(5+PV%27~tO!c<0U;PDNrJjpCdQNdRdnWY4Jfeda|Dm;&`E!i)) z6Mes714R0eJ(f>xxX7+_KN`olWNA6QPJc|}X<7=+Kf&bgmK^F~N|K;-483m1(1?IB zy6pPR&hQ&W+A6KayBD(4Cu=+KSct4HQ! z;TWNe+|@|Xb+uZkpb6}7ZA5L?dC6#+FZ{?pW5`+C(wMg`;~9XiS2z_GH=!9WsygH+ z?ZZXrxug!mt-0EV?WdLpxpqLHCBnZ=@j%0pLI1$^#}9;Np-X^??UXUD$-14IAw663nbUf;&8#drgwt50P7wt*;!Vk@r;KEl3?@a8!eu%U$Sq(@%`-7i3WO?leSuC!rXxH0$ymwM@_$ z-;Wk!a^mnh(pE|nID``3_7iqz!?=Dr<5)LJ_EmbgB>2V7pj4TYfmh*DMAb>^6=D)? z`zIDBTwBDhjTVcW7DoCt;tZR0@p*M`3mm!UUO(e3IT%^$Bn(5FuqOG3XK$+AGB2}L zGqzZ839p8r+OTVE~8iLpm)iw<9@}?i~EzR z*N|k3S5)U!#N2<-RD|M3obuPxNc3RVe(?6`O4QAfpGQ_q+phcND_NTSg@FPP3A@|B z_bOic-MqlCfc`cwl8`yh)pm65U$*ujSXEgjB-zqP8G}t`T9RQm?Xgs3+sI-^vG}8w zN;dyRf1~yNwZF)CBsuGn@k3YzhknzhyS7A!(OH<7k~^#ACzMXPS5dF~z9b?dERgUM zLPcF`I#A!RYYpObd!OqU!fi~BvC5#k|6_cihI-Jw;5>+^6fBS4bs_z}EF&2>qp1ei zq#0WjDn?bKo|gg*2;PIgDVI!NCT;#HIK^c$Ie`TXxNhVX_1@vw+^lA9>Bf>c_W%K| zY%=r&E>R~U8MI$go~Rn^nttWyIyD}OGCz!XwqBzgQE*C#7Ya%HaOO{1h#fK96iBfpbk^EoeiFj zUfTG!O~vw&Rlq}I+CdNk8824MwF9sMd2j~ zztbr>E~+p}{Roa%I3Kv}L{vO_ocP3QI>1Pxv28z)z~p88?`tSDV`ZOM=xDiQ;!{q~ zCNf0+#RSa4Sq~6VX2Dfw6+tAHXi zmFw`6bqo?Bl487v9A5bOAV0@7aFzuU0gjv{JO#eS!ya*j@j1qzsq(~pK0$21)r$8X zUHbLbZk$!KZsw7J9Ah&T!3wf4QeFWUbc33o6pf}!zVELGWX;;4s0F8|IrQ-%ON{4Y zh(4}!dC5aRM?74M*$?F`e&5URA&byV;euIoA<*C^&)XoGBxfe9Y@^w!V4+}ogqI>f zz4kDNb4d$RVk5wu$vDvwE32>N47*?b-G|@J`4|Na+N`PBAowJ2y1!Qf; z&r0e&kwfQrGk6kXQ>&s_I*}uKh0LS~uWHlKW~8KOCsBmnP$OtW<_DhLB=3muAkbC> z^B%e*G(Arpim7DVy=sbxl0WIk28CXwREv22h6UN1u|ei=Eb7#;-Zq-;f$acP8Ke0v zOXFu|?Gm-HX)CI>eT&I|{f^0ISk+yUlQ9-Hp82_i0^6L{^F4|mRD^1p2tPA296(C6b0}xw1)N;S& za|a!uC9DLF(tW%j2{vQu;;iv^QULZiyPzO84%9BdyZB+x2Z%Q^vXvx%F*7jIc41<1xZPVQZo=QJq-G&a>_bO0<`%I8`= zsd@0qOPz2lEl57hofDj{2(!u?&x-yhS<5pyqP@X7mtZ`|>a`Wfp+CQx!AhE=T$!5n zFz|B@{>qg=sJnI+-%RqrG6sIsNAPeHQzf9D38hCRNRQ%V`k)6s$%hDDH9OcX2q-{*KuwmW^ssT6T*(#5!Vt{9vr->}S zmO#KZl%)o&?TANi#cr*owt<`t-@~jJGJQ&FDnqBiyrg|Np7@{W^idkTa5in$CtTR_ zPy&&V{1gFxQW)OXR9XU^m*ygRd$r^R$(b9Hpt>P)M1*|U^r7!!wH zv-jVdQwmr$E6KbHn?Whr#*G2iYR;zjNLPIzY@Gd4?8b3C`QdlIC*zwI1a7H$ zGcOR5yA7UKpuIM9Sh79e;ao21kV~0`F?2O5(t_JDbb4OCcC~+L!}iq z_hdT(CRHEV3db`T9JY+0$DiL(G}st37~e93TUfpxS?-m55;8b*E%FpCTG=CuP=w^Q zXgPVYzf%uOuO>XzU^PjB279DH7r-f%S<(h`KupRWWv0KdVw#sLjsNQ&RTvX^(aNbT ziad(JhI2>Azsl&S9lQF0gF*ebyH-Zd!>JEX(MGLwDo?`Kz;R*?0ZH-OmnaV9BE2;O zO+Xe+<_&NCQJ?tqIc7@?4l*q<&%Ffd*b42)mQC!aO#)oh4&{dW)+xwr6?ft#V2<&6 z0To9$n1V7S0TCLQvM>+<0~(vFSf^ia)s{hWnB+Lh;D2Li@gv#?zR?S$Uh^oQ;xDib z(J8Os8?YbID#YM`KNe{mW<8Ny9q<}8n+2ehM;~A|c#iM|L_ic=ilQe(a2IHbuqQ_e zNRzTJY|uDdZ703TjDOQ%U76C^>4PC2|Ejsp@B|8|h`j#tFbcYezm?GF`=7*?Y1jE` zKoa5GQ#>NmrxnPF*r!Z`fZpf!9|ax4nl>UsYA1b+R;iFr zdb_88?BX|Cxr2MWz%^>Rw|lO@HhlK*$PRVx`^)~AEThO*9?SkXZLqEC?o8YBkD{0S0tOZ@88xWk3i&7hF|d*3T@( GE*L6Y-N5Vs literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt0ksshh b/bsp3/Designflow/sim/beh/work/@_opt1/vopt0ksshh new file mode 100644 index 0000000000000000000000000000000000000000..d8a7152e8576f6c6ea584531ea4687308b95d79a GIT binary patch literal 840 zcmV-O1GoIs?_vwajn=rv){WM<$GFiN!#Edmv``w)zC!3fJjIZsILcuMFw}!aAm~Tc zX!E^`OJ>=f7Ev3t!*uDi#;KAx%oAk-nJ>=<t&oTVj4yBO;f~!}+hne1lPIJxU+@{)>_zm@6uv@C{Aomon z0Iq2cKpc~7z<6efz_E;Cz$00ezz6bLz)z&)z~2bE!2eMtz`x@;z(0o~z&}nlz(3qP zz(4p2z(25Cz&{}Gzg=naz%5L4HTWKAwk5_SEDOvT7*^OraP08TpqQb?0C5AwK%z#{ zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Ds*zdJ=oclxDzjYQ9$al7rbsdkMs(N116t$F+Xe!bF?^UJgOJR*XDp`oe zRk{_ADeR=q5|{}mWNn<3z+aid!2fdIz`v#Sz&{JHz&}@GN&#@ zfTN2>zLVQok4bY!@2(IRp}V=|JFA?lHWqmzVI%qr7uY`o>7$t37=?&P{>lYM2S~sT zlp1pn0u^PR@%ux5BV3=_gMR5o{5};3JdDXsv(#&|@MHzpvJ3tvB%5UQa5KxbZ}kFY zLc|As>~W$Rvt{k35IP6YU^IJPfGD>0KoKmkz+xCEz=d!KsVC1lsk;g05;y!lWG=Wg Sh#W97Pv literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt1f6diy b/bsp3/Designflow/sim/beh/work/@_opt1/vopt1f6diy new file mode 100644 index 0000000000000000000000000000000000000000..e9211ee007f3cf7bfde72eb3cd188400f311befa GIT binary patch literal 509 zcmV4W0HUPnSpwFdwfuIri;m2(ohp_uX<_CKqm(`{L|e%m$jo zDN0Mvt0H;JRX;fc+tA6W zf4w0|-Hw9+=8@J_q%8nqwliV>gm*2kGyFFWe&g)A^ASo$JKoi$i~C^OXF%l=+WFTY zdw@*uyM_}9!$bc&PMH8wN}6SaFmyCw-1N1p^9~p#E6l#gSd%XyYQg`;zkwz?)A?9; z3orDCa5^jKVqNDYE-Q7a*Y4|Xs!=h963YGd7Fovu+H5|YPzeP<5>2NZU#B7xVPoN5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt24wzfi b/bsp3/Designflow/sim/beh/work/@_opt1/vopt24wzfi new file mode 100644 index 0000000000000000000000000000000000000000..1c4ff3ce2d173aeab332803e5d1001b9211bdd84 GIT binary patch literal 37208 zcmc(o50u@-b>H7xEl&^aB7`kt*9+HwAwWe0T zpP74q@3-@2cHaul>7L_vb?4sse(%iOxii1tTh=T4uWd9Nb06C~ztQNEJTlN|%#obi zY&7~M8~VA(WJ~f2wa=C8Q+uGS_ zTlhC5zo1Y0uad0y$3C0>V@dzn@}Eum&z2uPjjT0a^Tl}3i2v$~rs_-Ni2a&q-^F~$ zFRTZWc|Cu={T_+X&N}w>HTxE8U6>!AKkUq7Sl8M-yKXqGxpBScEs(!(hrrXiHwR5O zzvjE7i+`8(ui{x4{i=9EyozVF9A^`c;Z*S~Hh%Dk=StJfuenruE*{!7j*vg_PXvAb zJjTI1jagi*A9mWAr!bGTc~Ud@EyweDTB&yCiGIZO?&#MwFXSt6c-~F~ef~TxcZlOL zi^J_R#o>4!$C`*g1Ril*3vOn8)<(Z-eb{i!!->NBl;**3s`a@y;tqia|9aESularO zWa8Nn{i=AVE5vhVmhl))70))~2ak9*nQnf~Wzuu;j77gHo;H4pN6y*&EzOVNRPpRL ze(;EAkLl)D#S`{RZGRt(epNi7PAQ(nvy8`Zs(3za{NNGKxasECyiR&_K(Zb{1{FZ&*vlV5O~DHLB+l@zvhtiTs%jkUloszyAaPFi|2Cb#N)CQ zkKt7DJQ;C^z$2as)6K8BTzW2^W6`gx-cUc8r=u2ETY4|`#_@cgKOOOhz$32f!OiTe z6Vb0Lj!W=Y9Fwz*!|^nejuNpsi#Pf>j=GVLdp3Hiki+)u+m*J;)#%CFi;Z*VTtDdu7;1Q2D zWzfy9`Od&Qf1aP8Ht+HZaW;=iYJHX;3vmoq=UeJGVSbb5=jTr9cg*}wo1dQtso!z) zd&T^`E~b7b%&*-~oP$-pO8q9yZ?X9;m(Dt*ekaXumHGKTPyJ4r-+J@&yifhwalWf{ zeG|M|*G-{c_dQX~#1-~sT^dfct~@BPuHdn*p64n5!i4|L#(!j%{DxEUUupc{!GB|n zyK6odC;SVI|G4q{dF8S+e#5Ewml{8K@ZVJ6UzYI0!~CC_CBNZR{40$gJos-e@UKev zIS=7)>%y3;d!_LkPQ}04_`!q!`wRSQ68^Uu|MFS#8&1W)&iKKD|CR#(`h76*95@d0o% zb!AT>j<;JJCuSLk<9Qs1EDrF9~-E8 z&*Od6;suX*Z!5&{SRoFcLy2SgEaPxIkK=KR13comy%5Jl^sDOlJB)vm@#~lhc_T4x z{uoY`$H$BxJoxXB%;nEh3IA32Y5e1}-)sIEPR0L{@q-8dx&r^%gnxuV{x3GWgL#@aa?I}fJYoZScv0{+BruWZRB9{V+Fs(7Vp{U-v$gTGK&{H;=LE#%)Bix#Ie-kSU<}+9M9vp#o_>uIDV)Q z$Li=;)zR?WMIAT(UiY!#RC&yMAf5-ngP->&TbunY-s=oY3Z8jUwT$wp@vXCY`9jzS z^XKt?&kn9arM7M^5G25?-77;6?iu!ygc7N zq5cabe<_3W=Z14%!prm1moxtVt-!k};pO?~g!(gY_4)lyq5oLYpXZnVnc<&zaXeSj z`QDNA=e+6f>kse!zMH{WWH@`0adU4UR6m6|CGMpK-u($L_uXsMpZoXsn5>WgX2Us{ z@Nz$0BmZ>1h6}uh5?=0$4`<@uQRqLO^yi-UIraDR*~kB|^_O|dd_11?ztQ#y_q80( zrwcd}1sq+&8y{@;Z&caVPyg_>`cz%4zW+nle!j=@pveYq>-)dB?*^*<%tmMb#eLD; zzA5l`x5s?!ZjX7^-5&FxyFIQ`cYB=w?)EsZ-R*Iny4#tj8#Pa1z?!G8qmwd(#JAO;? z%kVCb{rj-P%ZosGR|dVqiywGM@ZK$%!wc`lG>%o#zrtG+^bX$YXdl8KypZ3S_`-W^ z;jNASnwJyF+c2eUhWg( zuf8W{z2H3<{VU#22ff34DB6ec2QR$e5^rqp@Q#}wcKkwqXXZJ)pAzqp=r7(7SL^dZ z@9;hv?L+v3_dfi@8{0d)kC`8K{KEdo@ZJ*p_Z^M?74MTl@9;hz?L+v37v3j{H@0_p zC(I8!e(aOn`iA#F8t<{_U+s^lgWlmi9_>T;gBSKyW*p&tj_^(-c+VzylhHneKX_qZ zW$;$ZP9B_${#72l5cE!b&qw>vYDw^Jm1I3)duLuwnICri!oJM#-WL1!osRw$?@K}N z@V*%BL->PtyCl4^y~BIP{IKJ<62A=Z8rn79v(dleeKqJE-j}0&2!HVIkc2n3cX(eh zKkWF0dXVA$Q0(7#F8Wuz{W=ipb?r67!ymj4$9`AE_71PgVyMs9@q1_JH-Dbbx1WD% z4*PyBQsZvNeii?Mgn!U*@dwxEF~$3c;Vn$?u1xS286N)Ng?gv?N%4Nb@D^8iA@0`F z1n+9Y!ymj*2Qzqg8s4%5Z)JkF-0<)RFVwdT-a5luRpG^RX?22ki{ar9UZ{5&yt@o< zO@g;B!CPy1_=9%~{W5qTHN5o+-i8G4Uc!+R>hdpf~8Zg}{E7wS$1FT9uF97*sd6TD{( z4}b7N9m(MRu*LUmg%|hl^9kNb!^0oEP)9O&KVo>N61>w1-V26@KX_r^XYe){-isAp z+`lg+cxMa`fAGS-&*1&2;hjzJUPT;SXNery0B- zGdv|b=R|ey7z}#noNGt>5dPqWeVW0$&+rx`cvmKPiwqBc@WMXG;0+tz;skG5g16N0 z@CPsKgACqA!&{!<-ICy~GCcgj3;Q60H)43J4bS)Q+5~Tn;o%QnTaxGZP5RV%TRp#{ z%YKjF!+DOK)N|=N!;?x~YWef~)~Hv{jb_*CHyYp7dd9jo(2DhMQ0IBXUnpkL%w_=Y5Ee z7d!oZyxw2U)er0N0mJq8D^jPd&(5e9*T>_H=Slba#Jb;^e>(?S#7DfozGKq)el_at z%C6=RkH;C`qjtY9IUN0pamM{M&{`bwushDUUk0l8^0t58@I1O-agqndSLM+I#IHQS zP9C7w^JuYj@`!oL_1~^``eUcRkB4^hz{lfxuvqQn(U0qMx;(-@n>^YO=667KIIf%T z^Up@TxIP|ltZUut6YEbWkE|Y5dE)DPRy^d9)ziuz?}MHGQ7`A&eKrokP1z3v{IQ z(#bRSeXjp5wbLIv{e3*NlP5kN&ol7Iv)%ffF3+&fCeJq6`lNaGWq5SXSvvwfNX`?F zcaK`mpYL8DUq4@;sCV*Yws|yGi1WD_;#?l$8>oK2*~Za|?d{f=)Ik0GlLAh^+8OU6 z!|{A_oY>yMdA@){zJU|(cb)y?ICk%=;)(alj-TB#t9>}r{9c`mJL;YJA56v*^^V=+ z^7F#q>#N(8ef-x^FXlG|h4ehxa$Oyl-`KCV5MSKjV*_7V+r zy8Lf@zaYEwf05Ze|D*oK*QY%SocdyXRekz|+Nm$tsW0gD`gFB)>eF7yT>pJ)r$2W3 z`*>&%^(Bn2-SYYb9`$LzKBucs*k@Cp{ClG`@7_AY`gptx3hU$R=j#*oPX3=0e*Jui zddHsTwdb?n$C!=!z3`yrgSUI0T{puxPFft7t0Vtig!hl_ow_qr!14WaJQl>R`H0J)YR!!TG%c&H}?p>zLzsJ@dNo)dJ2!!%6F{ z<9OY5oHy!T@gVW&xx?4hpF3W#`NhsYiF#+B5f?uP^uOFbd&c@>r+?JD`~O6t|61#h zo&Hhp?tie*-{%MWbo=}v>;D!>^4iBA&*gy@cKS!XoBv_!@8_}i_j4M1;1A>J?*GX` z|Ceq2*y$hj?*8uQd>*&YpRa?jr{Jd4gF{viL>Jn<9=uNtxqU-__Ko9t-q22+@jUT7 zWk2R{ydHRbK7Wqm`QkY5FW`7R@bRWNo;Qv&Q@uSY9_p=+E9#wkd)(~K6ZMXr@z(2g z)H`+`pP&2Vh55g~FkbpI|LM8lxPCtPcpoU>`245OVUFX^V~#V^ym&t!*F(k&kJevW zl-Az*xr^g-9qqaS@SmB7{8=i=J$`wR1J(N?slk3kj@*+Uj`*#k1swNxxk3LpfBxd? z+~PeS>*Vz|>TT28t-FlplaXgvIu2`ZJyGCa74QdJtBq%Aa_;%s-KD&VMl7`Mx{ z0k1QTbwM9!dEQ06ZF*-MhmGeqd*m5Qcy<_1JkJJNQE#`R-r*THp2;40sL%CvIb=M( z&!XOL`9AY?dAzW1e%jVcVoKgg4g2O%!}oemJ9R6zch39tUf}luzgJBZ_&+lP|KrB* z^~L#Pdxw9f{q{4)ljfzhx1KA^|FOV7*g9@;j0uN*;Bk0fBB|RczO}brDBzzk{7J+2 zdYj^ZHsZIwUchmGmnQ>WC-0vR`atVmi$CgZ(>wY2IpaCoBhTrC=SAc3dK-1Gza5^R zHJ-ofk>_l}^Rn?gY2%4{yA|~g&!fikT8}*E5}wzL$LoI7+bys6zP~P#AJ0)iG#U%v zqklB}`-iWiq1it?PhyV#?gy&!WI_1eq0zUK_Ika4B8+GLyv?zFXFIVULg)K^N%AnR z)unncfSE$&xt=7{g(_z|K?9czei}FryCsSviKW8SAWyP@7FZd9~}Mu;l=?; z#(~h!WkW&q4{woF|D9>xhxgI?UgS#I6=!o?Qt>@PJG?3ffj8*lH9h=349~aJjU29; zRhI@@kA-;}Y`w?ki*|m9w@>k2AGH4AtwHbWnn%pw7;lSng>#brwRH>{A7lC-@%a4E zCSAS4&%zre=4icdLe)I2GReHN?mYL%f5DGO*%i+YYftC#Q)*}4CPI7{SL1c$=u^M-sxy53`KW`6bFy7`L!AkAx%pN{QSyf*JG{PkX=^%aX-YFJ17X;0(e z{R!j4k00V9KbSA#vhR1g)&pxUKj>f2j|ZhOu2NpyCOdg?JKdBQYfN53d#xUX=NjRZ z>Ot7YvZwXnr-hTN2ejAg!JX*JkIzK^svi7I^m~N%dOZkwtsdMVJM{n@>cM=;dOg6- zyii}5k2H^u&|a$tpOsEt(_XI!L9f+=56ey-ev1AXTs4Pv^6yR3^(S7|8yw;bbtyBC zSaa)5|N43dRUhQrbnCuOcGmqaI%wTLYVuOrYxN+khvG@sJ0i&=e<*Fbe7#S0 z@--ar%GZr_m*m{4*Qv*)gOlc~-&=m!>}i}~oig_p+O^)*y=4?#>-rctsu!Fu+#mja z^m~N%dYuY-txk=|PMrdWI(3<3y-s0gUcRVL#*x;kkl(dB_4D#0A8D`Gsi4>D)MnYq z$0rK7Y7XnPC**bay@hyLZ*YijLZ7o)Z~E8QJE;2jXZqiC>wdrNtos9W(0Y%Vd=u@p zI`t*#xp;on+S7UbyxM!&$J_DKyggOG33;o$*<|y^^M?NW!|L9GT|DvR8KUg71yU3%>tBzFDGP4^B#Fe%PnXzeJGFS$mon*qQ&u zVLdNy{igK#{p?Q){lKALyU_3XLO<#d{a!5e`%~+e&V$9bN#-yfUw__jU#I*s`^cwo zkj{H+=J%97nU8eb9>0!T>|Nvj_ttN2l!`X;9!2&w-^MSLXr7uLr;iJ}^1lo3Kg9lB zai}@W2L!n|7~i{;XWT~_&kOpzkn#M-Lcd?6y|$kxq_dy-y9VR|c8#Yx4~S=p=J(Ge zb8)CSjHfiO*!cnHof_Anp!E;`C&?V1uaocFG(Z2m(C;79UK`gj>Gg48Pseqo#`T{I zcxn#gx+vPa))zZJjO*Kew5C1pyc_IAY zD)jp$+H3QATzY+8v8VHTndbG|1w1u}@!0;Hc3yRV-guY}8rOf(C*w-@XSfedvp=!t z_UC^!ytGbyf%e*X+28f?Vo%4*`FXa0r{*wTt6$TOm;2H<9W>tmuFu>$`u-^Gx0kJ7 zI#1zVIL$m^&&|{SD8!-WFs@P^!=C#gUm4$D>XY$VUIT+3r2e^(^P2OI^OY95>9BlG!x^a);?&se9cAKcdS1+ujw;~+sOQZ^Id)BaIj7n z5BA&-_~4Quxp}ib3!l_K$Q$n6`L+}|%P;L04<&woECy}!r%djCdni0=}^N#_wOdEj-- z-~ZB|enHee-efqXdhsvh%ewR2!8~zJA>S%de~wycIO)1yUKlU=!@RvJd;NWn>DBv? zeq~*e`h4YN!Q_b)z14K zaG1}x7jTZr%J^n_-*ZBK#Pf~<4lJyvk1rPw&voDs&lQG~)@|}8_gu&GmfEZN^E!lo z?zs;CUY_gZ7Jis7p6^&k;-l{T{p)$V#Ku?3*WZ&j`TAA5DNiR&E|RR*scQ`< z-9JyLo%{N-g8kQoldDs-YrU)cJH=(RfahqCM3YJ#7`9aKB{$o%kqefk{n z2ZeqMtRHnE*ALzt-$Ltmw$P8~YVx1{%ty?(?(^E?#!Eb1ag#SWevjMMsjDA(M!&gH zD$1ZYsgs)juIF1H4|c6bg~vGRdCor1#lt?TuPg66SXbKX>l*ahx;`&E>-ydTuFPRw zPZj2QMWJ86^*d4Mx3bWWeMcUxDfA=nSy$p_UhMZ$i}x_Ra_efphuYQ8<1Vf1a*I1% z*WZ9Av#vfK?DchJ9QAb#sy=QqeB+lE;tx{)T*!L{>e!!QP#yc4$+y#9yKmiWIOMm+ zU*WvZ+8JlP9T?{QS=n>@kl!E5<(<#hQ-yx^dwE^+?DMj!(C>rBm(GL5w~2U(-_Nrb zrSqQ3>?1GXApI|*9KLmi`2Aj#)~(yDU%K8;3wOHp#$I3V5QaW(FT{bJ`Inh-Rr{ZI zei$F`slFbx{^2_cIKCdG@qDPz?_UX5;}JFMKiHa-UVje9uJKgo81XF8{C>EACvzB2 zDGu!XfWv#LZv?G>_y-DjKCaTfytB}c^{kKUr1bi@u&3kVJ=MAb9$~UhGrgx`T)d|` zLkHEVy9;=}4@%?Wo|xNrpb|%^AN;(h`X-Lz=MQYD*zW~*-Bak#Jn>#2cQ3-OpM~=b{~c25xSvtG=DUb%>sH$T3kMCCdA?Nn zEfu^4;dw^qHTzxT4}b3w>Hq&|Kd%=q{CCllvhv)Df4x5Oe&RjKlMMn8Ugz&A$d7)% zX#IJvr+?}O3z&iQgwVhNu>w>@5^^xFK`VUv#Me;;>J?cm{`!@JDz{y=u{HcEo`fJw*mzmq$ucJT1e;qm^H@&2Jc!5c#r z-aeC#=YL0cQtja3pTm2z;XNxmc>5*6`vsGZ=YLmsQtja3pTpyQ3Gw}rKEXSRD!lL> zUefXW@9a*>3LgGBytf$MAIlEjuSkLy-tX4%{O|2f$_gI-IXvF)65qekCwS~{@W?Nu z$LD{KcT%n3;h)3f{UCVkSMVlLg%h5GYk2;5d9j0se-3Yv;r(0L!TXvdc;Q}A!}Gt} zJ1Hx8_~-C=4^4c}=@Y!~pb96PH#I!}JHC^$f`@+&kNONApS7QbyvMy%=NN6x#zo`E zxh9tvWZT-`C<)Kj9Us@<*J%a*e(CG_HSU@uF4`Fe@*0!OyXt&s_xN*i@94f!@b@?N zjq3l5V_e;*;5#4fb)I*b&U=Mkc((4|@gO`qca3aC?tXCBe&p!h#{M~y;1i$oxum_$ zyVP`^AK>k?e)#3aw`2RRFuswky958O{iA!~ZAdnacTNwy?>64?9(l(`Mt8xpdCQK# z-;i`Z^2o>MlKAW6yw>z%J@OrRoqXSCe7t|`WnRbjJru^bwegVt7Gv*uv&?wT^~iJJ zb@K4v{r57SZ6jm*Sg(h6Z5=`G+rK&HXJfPe59^4t$_x0Anl@`aUS;w(sJ8|q9E!Y2xdZ>#x z82?z0{Bmn>F1Xb41wEB+pGm5_p4TrL=blPkIjRoz`clI6_7p#DcRl4fUf^*&?^lxU zm&%mqr17MFCET<ZFiiMXSMN+jqH0U>{}i5z1-8l;r#P@wZU*`&)v_wy~8sS>eT2#{l6}# zUSJ2$>!M3e(2-neFgJ! zvRD4(emJsk`vZYj(s`%52RrZ0#(VBUc{N~o_cull_HwUs-tRZw#ri^seMb9q`Lu6z zf0*Cuo~nZnUOje%`?wxEs^=Z*x#yKj@R?tix0t@RNB&-T>(6l|-uD~t!Pm<>5}$*# ztwwzxPj}w>xIbY06Fu^KJ$a)fan#S-Qx-@0d2%G&r?)@Q*f*PT-fH7K+v7N=;lH5z zb(`@oYRxXc%W-s`t9x1J+l_y1kNgKN@LagVcn;2*=fci~4;kOlUin_pT~DpUyck)+2u} zyuF+YcN_1~*UNi>=fZm9pX`zUjXDn;NAy$9g;y+&()+&LeP_D&aNx79{2~sr-R>=~ zi~O1V?&aQbuko+yk>B&X6i5BNXD{RbA>$wGk-u~v>9`2zVndSqX3;O1r|xmY^ZlV+ z@qEwNr<}i;dsuwGCjjn)CA-3yaxd@r-5>53JCl9WZIADp_cwMw$UQf+@2A93vZrw@ zx$BO5i2K8LtXYEW^z*pvd2QL$_j~v6+gafAepBy<-MCZ7Q_cIxidDpMb7O^GdFnlr zzi%H|aWn198!K*3+Ha!$rpAh!a_y@M?ZQv}1F!H?-q60H(7v*}J;Zr^cbuX9y@ht+ zr{fC#!q2wfP-wr=+O^FZ)P0q)P;Wj(L!cZ(&QnNKV|Z;$)7YiZqjikqJH=b z*8cbm@|Vs2MU#&6Qq&Lsg|&a(hr#Lz!}-s~l5zZWxZ zzt=K;;DOB{kGJjJet*@pC^S6wSZAL%P7~4!#w>OjZ7 zbsIR1EnBxyr>gedNxwa*{)wqLdp;4rXOaZR>%+*__`TQ2&duSz(~!jO`>kXj8r!Yk zZP9r54Q)X_xOYn!@2;V3;l1j%(fIwE)DZvZ$n`hkH?(En9^{IlHDNyVxF71{{X2I5 zM6mDM8@`Vl(!`>VXkw8EM)!t!-n@6~bwMB77RLAgD~{1!`=`V~+VH;O;+Q{&|1$o5 T$M_8copYLVJEU1-?qmNC(&m|= literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt3ctid3 b/bsp3/Designflow/sim/beh/work/@_opt1/vopt3ctid3 new file mode 100644 index 0000000000000000000000000000000000000000..4e577f8ce9b7eb4bf0869aa7b96bfb4b5bf3604d GIT binary patch literal 15586 zcmbW730zOv`^UfE@4XTVMRuY^WQmmQsg$gtCK^i-vWwAJD#k9dWFJ{emQgX;$Jp0o z3u6sqBE~j}8h`8m`P}dDeDCkxx&Hs(c{%6ad+z6XpXYhbIrrYaFZ#%{r4t4(`t94l z9EL2R;~!D0yqaCM$S~LGbYc}WRCT)gQmfNB&{5FosYMm5qM>RO80ct6RnXx~5>%rT z76^1zSrXt(64a0hAhnkSIFp8PpcCMLE=H|@4&2r0oT-{o6>FoRYILJG9ZOIZB)t?! zUAlx)Dtg>>p=ywfz6h95l5YA@HAV`70D{pC^L)K^DY_Cr4^QXhs|pkXO(+BmwSc@H zHJYA6pb3S5p_Y(i_B5doXhI=iXlckB%AjTFs*xlDN6IM#notNBS{8Cl3wx>pg+LPu z0Yj}IhhLgd2sEL_$VjEb>{~Nwe1e#C?*wb>5H<8(trz*Y#RdYlsX$j^Q#4eKHWlgUNmY>gq;Vi%n@V&gdZ3~DuQm{{4feU%91Ycf z^$h~Hk)Ag#s7uoZ0=AJJL9M=K0|DDePo>t>#S^HqeIZ~+RGtYCFtj@4ZN3JDKobf9 zL#4+f=0?*~2sEJ(7@=6dwvZba7M-E!Yf{sIs>ve}0!=6c>}f5?y{TbOYtq$!Kq1hC zLcmZv$bDosDhnI}w!!;D^!=I*1Z?8~x!>1pAYdCu$o*wDwdo33G1*8Eu#FSs9nerU zZVD9}2-v0$U5TC0Q2p191OeOBr7N*B8mj+l0|DDeZ>z41)V6aq~s1PsMG6uW;73V|jR0wWX~NqWNt%AhWEWqhxJgJ-D- zfhH6J_7v|LF^C!tUj+(*CKLjO;$0(tBePN2ND#1%E99Zn;Im6Adc1zHUP88u2Rw4(ZQRlaTpNvAP7_uu{*V5f9Vt*RMf^CK>bDl1S(@fV9X8# zc$s0hnmpXX(N9$>20Xj4Z`mn<1+@PR(z|aEDYR@v2#kS1X-ADWRTC%^0BwewL#RO! zhfz~ZI;BQ$PmK>%HkJgOXr;?~5RAi{>7*C$h%W#-QA0w&X~KIIlWUTukuanx6@yAd zEI>ppNhgk`#^^Sk=#_p-0v;7_W~?-mQ6phURVpf@iV$$3l|u+jfLqdwd@R{$LLp$N z(k+1rfJ*P_D9J?%I+{*+_EfeB1U!2xOBMp&CfKh*RC)gO5PY6qPdXQ4so`gY%9xYC z0O&+*n?S%bp|VY;z>um`40tl}v&x*V1QsACZK+F~N{yC{B;Z6VBNdncs2A}JGpIok zXHmn6#=_x;7Ce#!oM@%Xl7JJ9PeIJJNun{t=R|u0Ip@oLI?=0)2?6I(Wgo@Ckg8M+ zDj~A~A^VU{oJS4sBb7iP;8EMr71n^sK8lwSl#z<_NucGHB;cu1`XDd?e)|$nT=+F8 z1e#DL0O|+m;;%s=V5l+~0uumjPjbUDYEZ=0)bOHG*+~%aqEgwt5b&bHSG)9lpri34 zKo5b@KQo;_or`O!(cHc3NWk;2GA0B(|0=t8JqdVv@%IUyguK!P79b}b=%$!Njh2lh z;6y7U6_@~MM~s+44T`v#8cuXIx@tw0J(2{RXr;?~5I*M--@M}1F915xs~{oZG^uRP zpI}H;Dh8E^Sb&H+lTJ*f#^^Sk=#_p-0v^?tRGwc<%;`)_gCSL^sEjHJIMK=>1SY_( zE(8*{Q=+fR~($f(D_K zbQc0;0D1_F0hsB6>0CSuAyugul)0A#9J11DfeCdeJHFOaQbu zpqFJ(r79j-uu(F^lM96zUyhfKi`zoHY0HgK; z@21Q~#Zwl*CKNWesL}Kk0`}CBuIha;F~_~z)M$Fj0*o34o4Ya_mC1#Gm!Zn!G6B&3 zfZmfqRZ_+R*bIQpeVL7lBM@jh!URCW0sVs-&74BOIW0|B^}m>y<6bT`da6=Ud7ua^ zz^DUBC+1PZHY%Y&pt)g~0O%ke)Sj+%?bAEH=- zPB^*vc&shq%&0Y|Dhc>|1)o<=R84+11)&6WNk!j)4)`+V`O`yS^v_HeNw>sO)B(O! z)P6R#AOY`cl`$dUUEP#Gj#N#4QLrQd{WBIreLCVRo}Cg{fSin>n_`)-*hm6Sv@%kG z34o5ph}QpjA(p2TPINuGC;iPr$A1zH0Vi7NvP9rSD;I(ugwKioj<8|{>fk)8j0pkf zQMt&)iX@m7RwV)bGZq!MBmqyA z(g%SF@H>h~V)d^}0nqOOt@$-51PoOsLtp}+;|Xf8CmR&enNE07sq9_|cu^^L zk{*K3Yi0tF^{GR1b2cCWFDfNcgn*}4Wpg$p0sS);10E;-RC)dd79iw_bW?PZ**H*_ zuiSOs7m+{FtjS!AO$A#zyv@i)mD4o3?{8Gs&w&x>~ooN7rO^v_rf%G^r=4q557zyyT+1Chj5GN>~5l7OMg zKm;ZLIu+2?GN>~5l7OMg-~}cCIt@@yg7Vf>Mkjj7MpcF)umI5MfO^YpR6K=%R|1w$ zZL0h-7MK9&3_yKkQ0o5AO~L}$%!G}v%tpm|7Qki}Z2ZWEGorExArMI^6}=T5T&VIM z5ZlW}Rq>Ps71&=sz@A!@O(VIdon@n{c*+8d8i!E>WHu_3 z3jr@fmC0oSpmPE3CWERt!UEXLgG~>ajfx`>Xgb0KK;r=oBpc1yhk$chj%*rJHJSY& znOiEMumGdZ$EZDJHY%Y&pt)g~0O$fhdy$Q1C=hTcWyuEL5+dEP%}-*z}dzsDuxJX8241Gy%|lGN_94EP%~ogg$_5P{e_<0hNzMJp{(JWu{vK zi@~ztR6d*`(2Riz@MkHY5i+PNb$vtCWQHKngfaopWq=MP8(tU6*u>$oQB^{LKyza; z0i!O*s3T=il~5qigfaop6@ZSGK~+43KoiOYKvyEW-;oW9I8jFbk-A7j9}8yGntv8B zy%u$OQRV3om;m}J*i4c^6R8Vsn?NC8XkEJ5iK+>d34pGqn_{#Kx`w)7X99(Qp?C`f zQZ<1x0noK{Q;d;8*HIUKf--?Zz)+R%4JH7(9?&T==mzS-8`cC00Yh!*CjLe-@stUG zCecmt2N^V(x&Sr#k6aKi)PZjLQZ<1x0nm+fQ=BP-rcf82jwYT$z)4Jp~^qw1ttKR4(MDNbUSrn#h5@LV5ssbAus{Z9e~c0 zL4T$${Ml*(g@B>RX!vICIGq%BQ78t6f<+Q z#i=eWyp|XqyZ1!Q${qH#vr4^M?`v5+W^Dh3d#iWaRN3C*Z?{2b9$L8`TGMF2!-Ivd z#4!UO)<2Z_ZGrW+3+XRv4~*G+((y*h(t-=mkL>R-U|4>(M_$3=cV0nOCrcmB40^p_ zOrFR3H=}gxCpi?`oSu_Eq0y3&b9erjG-Y3xT45_JqU!r^{J+~eFT2$#cyQ=Irz2U< z2CjMJd?_oksN#zUi#i64^s_!GG;8+0)7tZ?T@oJm?6@Y~N53rNNU1o>oeNzjmFTqK z&^p(=#G|t#vkuHSZTFy{M^c&pD(t_U-|ZRxiKZemc6$>`yoU zt8xFyw_S`!*lx;q-K-%yukX#9=JnL6{i8Y$Yux!lQ40pWh~8&DHr2(zp4RxOw*IO)+i9`{3^L zFU-xpxI~{A=r-H?{L=lWn;(hzrDgZY)%yJXyui=4@$B|*M!)HheDm_HcZH>ArN_E@ zUs_z@&2D%5+@5~V>dYH7$ZX`yA zEWI3#4!d5qb?~&Prpd`S9_;;d^WPJ4QzAa<+d0gsFs-xOjotSy#MQc5F1yU73wP&D z9+MyT!P~~A+i1_QKi^GEbvfW2UDPJ7SNWfgT}i5F?&kUPm7y`0oFlr%i#aZ<-4IinYjUGrXVnAC4#`mWb*j-y5u3;eL{9oBeOR7M8cW?KrUN?5eST3+7Cy zI?JV})%AxvhWlp4&nWbCyAjs^uc1l9PI|T(dn~{rBx&%_4`mYy0?Z1-I>mh`o7iuD z9h-=D@3JrNJ~ZDYqSKt)*_SiUZFt_k)4Y32K1M#<@Z6`w`YOjiMn29xIx8fp(xYGd zG}w7`MogZ4k7s>cR!lpbxvN&>8Qb!9mk&N&KCoVFKm}dVn;mxU%|nL=_V(GDBv^MA z?;1MvUvRZ=LTrWij;)$oKJ1#&F?{1D%X$qjH~TZUN7d%BmwzAMD`H1(RLq4v+}VrG zs`$F61_uvn8#!#X*PA&V9)$h=TSVdYYNcaa96#SN-YaeQ>TbV}bZ+E$cm845y{k^_ zE9>(8h?GRfUoKR5+2WSynNa)Dl2+y!7k8d)U2%Es`!1^n>>D-xSVpmW31f0XD?ROC z@z?56Yj#bn(tgr_vQM5h`?K?heuK@sj!O<1{BD_U!ZWjX-6uvo9MkpX3*BY+ z=zd|VmUZ{1EnF48X?oxpYrS(;j>R|oo^}py@9nu_SN8if`y`7u`(G6tGS5qN7`pH1 z`2A)Jrsec`{xUlIj#rV{io8xsT4aWl?7aTf=D-S(;h#oX?i>I7?LL>qkDj!*zMN4i zJ*8QffJ(V%n{6mA^!&Bw;tpYJFXUH0SmA?P?Svm3dhKpG(Zcy$%R0%PeRnU}G_B(| zM;cr$va~rf?8M>W%la*KOtd>1)4asN>k~iPZ%hu_`(W^>k8^Ay3eHUbTd=Jf9qf=b zy;r-d=~Ew9KkHHX<=v=V&fRB+r|y3jb*|y`ET^Hm;#N7q3*y3loW5;c!nF^L!ZY>4 zdUwxOL0fWisJ*$jt z`}lEG<}8~bxm#0mT;2{G^LL|haBk}JJ%`VAZaXNkUbi9R?!L`)_w@L4e8uV8)&~^$``0jZaT#&lwRpKIUDFSE zpIo&-*YefmgBgEh9P8NI-ucHn2W?KxJ#w_xhlB@qPeXTKu{;plZgbiEC3Yp;n+1$) zkr}>igS)lw@{fj&BVQD=&iN^(V0~QCPaaExS5_QxDLSV_u|qTVKJ<$USa|Zdf2F@% zi?3)`yw!>-!yOMEs$61f^>Ow7s&*r_bawPS|8HuvX>_cH`8m%uRr}1X-7W0cu8l6m zF1-8o`GLrl5!QF3YwfWvH~CG#pFi6Nnk5_!N;vjpNp`O&*Vj>Z`X*WRJLQ}(`G)V* z!2vtMj^6Q$E82c)YRJH)f(I1j&OeTF0Lr$_+{@0QG06LZyWHw zY{T(`JU#n=N}RNEN@D-9zphJl@F+k2f4bDTIbvJ%$h+m*S4s3(5o>$4U1=L3vUtY% z#1>1N^x6?OaoNg4W{%sQue>*7z=-5Wm3CiWeY|j(U(G`E3mM;3w~lq|m1=pg?2Gg; z*IpOwzF&}EUpK1t>+9id2lpFgHf+i%kEr66yXX5`6!h>5-1SrPi>nnU+<9_u-T9u& z2NZ=|$ZHelGbOx!e)QXzcTFzWFV(y7=Ju(fF2~Y5>hCN!>Gb||+lE`djnTCX-5Ym& zOna+Z&&o~cxoOL;)VU!Sw(U6QX#0K0hrAWr_XY23_uciTKVID3r$Rtj^~2}fH{`tc zusZ+qtmbD%ByS2j{&Z5pqsqN{P8e^sFtdEx?da**eVR7E7XGeRX65vGc9pBu+mlo| zCce$#0}t&hoou?zadc$fu(0OQZ+nc5aH`a%&aJL5n}@D-?r=48bNN?qvR{Yn4-9-1 zn07X+-t=7?%3kX+Y0I5M^+IyIT$X>ztls8DlQQXljGG+ar|5-6)&LvJJG09@dFt|4 zR?cMi(NnA{?!5PFm)t&+#``@;4jbcS@AJuh%e#gdedko_KH1Hu!AvKYu-o^X#yL7v zcwhaO*cAJLSMo{@YjLgg<)oMQPS5}Ogu}HKuddl{EmJ=^->K8$ZaofKY-txBHZiN- zu(QJp`t27JCiM^RnjH`qSh(%Pjj3mEy`BHV?;)>}Y;O8@*toa45IQ<_(X*6s)r0(3 zzBuRc!`Tm~UpEPefAn*Q?XhXA*UfCO$@^iM6ImDc*gTm(E4O7z!*z2u9oV+rq4ndO zy@wk=+k4+Sw`t&xpwt7?!`tu39N2hnTJgruKZSiCzjWlyT(dSyXRfsxS|)#y?e7D6 F{4WHSV+45C!0gah$dis8TC&2NqbURZqY*suVXViJ%zecUg|W6?+gA-ZPQN=F6Lz zL}S0}g+!8^UqnQ~FF1if^k_V4pg}!>3M!>PIj2ND$9xfOW@rq3=6T!>yVE7%K zjFR@8S0Cw{UFWnew+H&O_kHNs)prn!&=d;<8@Hrgk>TF3 XFCOkc-pk({8RrjmjXKYdn>=3uTX010 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt5ckg8j b/bsp3/Designflow/sim/beh/work/@_opt1/vopt5ckg8j new file mode 100644 index 0000000000000000000000000000000000000000..9f3eb6308d69bffe5ee9c03ec99db64bc85f4baa GIT binary patch literal 8522 zcmbW43tUav7sv0t=aeELk4mAr71cx`nIz?zM3QF@dYVW^G@dmoGE|I5G~-pNCXFaY zjaM?r7-I6uBx5l07?X&+{$c)W?aN)~%)Q3UHYUUdS8lfm4XeX5WiE>nzfI|W07^2+2xf~QwjuFZOz-U}36i|*acpQcl_23+I z{t!}3EQU-_FcpjOuoNg!& zX)Xr^iX0s%(G2CGq8v3PqJZx65z51w%RvF<$WcBU4E~t1CdFS|eDI}gdU5fjDBz&u zj9Y-^=de=@KvR>!M`4^@1tY^*3&IoV?|;ispg_Wj6sL$L4e!Yj!3q*BAtajz1{?o5 z7#gq|ItuWIpEYTBIM_fJRD^;L74?8~egPQ8@KC6l!32s$M*)mU!p6iN1ROBG5aZBU zlc}kQ1XvMHF~0~5-BnE?D4@HVffu4oVRu~&uBN;4D4>Z}y#+@E92U+KAJZHZ1sb75 zKS8y^Qo)9Oi4@L_TAt>hEHXq+Y#Xq={HAfRdb2)s}wlg%Rvs3I8jKbDsx z0#?)$1biYGjZhR&rD~u=Kq&j%`x0`n@yTE)S>hiSAqzDm)T>^S}+=+D4@wy z9TrCfgyPq}Y&{rk{8li^5wZU?{}?g~l(*;bL!Tn-+hd!E&=))u(SF3EfCvuYQ3MIx zW;D>@FVhogTlO8lLm9%bIdt*1&7**z_-RiOUGVrUFd7R(0TFP_6k&vH5dptf=nICe zCJKmv{~jp92st7Gew_G17d#IrAi^i$QG^k`g%DMNpDOh6!p49CA~=CZ5k|-r5sbj| zhb|Zc3WzWOJc=;FP6*K$@MD8MKiC*hKm=#-D8dMNA_9J!Ac2Se{udJkL>LGjMHt~b z2+f!@=W=ggLSi;NkWu7#0eYb0<}O3OOQJ z!5iWZa=w@$z+jV&1Y-wX07U^oRbLK{2nh86Ie%D~qi%CU0hN!N8$}F|a709qgBJ!} z@KU3I2)MaXgb_+0q#UMr6c7R56^bxIsfeIvb3*|U@Liz@ zBODVE)NF1jAOgNC6k&uPMFeB;0-y_K5(PvU1s+8h;W&h7COdHVzI5)uJ5|eFik-f1SRw* zlzzyO`M_CE7EzXu0xDm1**GE~bUes;3{m+Lz(c5@d=yamO+cx9C6vma_#P@KAE8u! z6HqFjK~F;tl|KnQ`~Vm1TPUFNRi8PI2w47Pkn!RkQgQ9vU#1#c>JdP6xP;7I*2=NuS<`h$m1!AMa+&@SL1lwMkn2nc0w z^m$Ma6!raBL^)Cv5L9(f<%odLX&~o+VF)nTWPxCCyB91J3J9vY&2mIQXb{Nx3WflK zO%@Es8M*+90)ndUyc`h_8Uk|u0z-hoCJO~K5V`=00)nb;#vBn4ioaO=MTP)_O*S2j z3v>Y#1q4;IOA!H~pMspf#1LSx$-==PRIvIeAgG!*iURdrV=Kd(7%% z!yN0%E~ValQ`K*cMXTFC+C?~C+2TE1*W>K#yy17#-pc15|1-|WwlpN{hht^2B@3R$ zZq4ubsnfLp`$Z-{_Z{O~{J-Z$HkA8$J=`iEak0ALf$t8_pV+uzAQhU{`0@O@6gcGGaO)|3&Uc*Uw`#ws&Duo zI$MqweKO9X+q;t8`qe>~$5r=!5;4{5=S_?5w_5V(hpb~=Q@o<=YF`>ZjJztXw!alI z)pPT<;DxOsUfs*i{VHYb^rbZ~bRWj9F|_z@Re{C4ksi7WyBa>eAe|(M8({4Fi*ADR z7x%QYzgwE(6_frDDB;H*9R*z`($5M@wBFhwO;E{@Q(J>%h$=N85n+d5YN`KR?%d&u@iK?k4Ydrt3xv9l!m# zx1uMR8i1D^obWEbKHjLUSH?Fr+sn=O#vZQ9S#==czRMpsdO65W7SGw#N!q%g$h_fV zk8 zo=vO#b%UM5Qi6%=4OxbKUJ&fKftwHJ8CzS+4yH>ojNa=(9~$qNUY&5>O*FI1-L*{)3R zsJha9T$S&u%>QJ%6v&rs$eLu8G^%D-uZy3ZGN~vS*yYW}sf8DY+ca!k?~)MEY5p0L zb1&_CIIrkmGX7?|kx5?J$Xmx(d_O$rYTS`+S?$l6q!zU3(!$N-@O`&g3u|(@vfrQS zew7s9yU=(>+jeHXqlU!9y|!Ha+;Fnfn>Ovk-HpZuzdbVST0-y6Cu*)mMT|@I|0Z)z zzjHnJ{%6qhA!~NOO^Z5{oV@+;ozQ3fE6iMf7+c(@reH^URYTn2l)uvs%rLPJOKRnR z!@S_#^TDxS+8^)m^v&zbrYqZ(MIZHj(-=E!!@z0bGS2#iu4lZPe8#?D>&kgSL1$~b z8P#@smf^B_%%IhY>kb80bkNG_R^)zQ`?{1>cRMB<4dt^U`)PILohv^JZY(j%%(&lf zMWm;Xym3>--QF!fpI+wsbhh3@2Os}Z=M6`)CbTFm%5o~v+quKBan~M{u(QtvbVm-L2lG?k-Nv7?fR<&v%YY!n?AF1RK=ND z)p6S<+q|`3&~mdu*;v~r{@=%3|LohdhjPreeEr5u`{1GSl1;8XdM~%$bv7vVO0Vvd zlgm_a9Y_A64&~T`38++_~0qs!fH3dEVujb3Yv)x$knrp<2JU zd-597@~-9H8TD7)s{ESr(pR;&A1t2OYUhit>*n`p`TM$YyYIG?Tdj|{cFShT!L30t z@!kt|Yvt7^rOPeD8w|?&4~dFySgUu`v$XI0{I6>dWMo=rq$K~na$ogi>GIyoKhCij GaPYr2l1Z=t literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt6y3ekx b/bsp3/Designflow/sim/beh/work/@_opt1/vopt6y3ekx new file mode 100644 index 0000000000000000000000000000000000000000..f94fd6686a42495a0e7969bcf65d1eb7783e9165 GIT binary patch literal 1547 zcmX}qdpOg39Ki9-b#2D>%O=dmm|Ty!-_CMdb5GiyqY{=|j=3$DVyDL?M(!0lMeey& z4qA^@5=L_Aph6~^v{PlUh&-4B~&+GdJZemWN(m^Qus{q(fN1E*y;|u*WEFlME)Mmw$ z7zWIZPP}ijxpL0q5{Ny1&t39cyUo+uv0-CMec3Fh_@loR>Qm{0^oCAjma$M1|med3YJ-C>5K;9*?pxZXS10pwph?NN-#>wi0R-J_& zQ)P6P3Z|_Vla04wo)4TY6w7*R4F&UyFF{IXWWeBr2Xj;x2;I#E}7U1R;(_)RJa(mR@-|3jvLcG<&)5 z1E36~llOpV|l=Br68MNJGKbYwSO~6z_`={c1(56DWap+b;^5gR`yjO_=E34>|m5g*lajGI*4+h5(Gl zVj&CbR(>P-HubWfWUA}qhXKGI9_RLCD2*5+2-!X8>%zFrQ{ zmWPX#IewhiA#84tiiItP1JoHWtEdh)pWSrXj&8*~Mz1k|)MrIYYM3mK{6gKiGOYXX zzz0C&K^egr3yRL*qyVydgu_EL@B@SKKx6r^Dsy$ldM3&f0H%9?^duDVcM;nAh{JmboqdGv9zt&)p}&i$%Z1N2&H*AQrP+WeNIw%IZAu=X zVlBN#D)2i(T)uquyo6L-ULF6Cp@`IgxZ9!j7IKiTi9(M<=~FlAvB0bioDz)Hg6S zrd6#UbgykK zQ;O7T6(SXIh&vmj$f$3of18_nywtkMev3<~O&G(^N{LglWK0r4?QsG;s*8mN!R) z*7^k8TJ@~oo;8C_nL@HMD83U%;t5m3`sBM;^%{$u)H=$1qy`5s63HyB#6oE*I6+s6 zW3T8&VhE&r{9pM>@*^8m3UcJYbQc*OouO7{vVOz*#4ef6w|CFE| zS$|iaTUoed;`)xFBx-QrGeaUOH%A#^8{jT?HVX0%${JaTZchn>6=cU-?66IqYf2=3 zU^l}XVcdF!O8r&S=xb*yh8ocx&p!Wd7~O6 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt7kcgda b/bsp3/Designflow/sim/beh/work/@_opt1/vopt7kcgda new file mode 100644 index 0000000000000000000000000000000000000000..4c3099159e3abccf03afbc9dcaff228b9e4a464f GIT binary patch literal 572 zcmV-C0>k~&?_vwajn=rv){WM<$GFiN!#Edmv``w)zC!3fJjIZsILcuMFw}!aAm~Tc zX!E^`OJ>=f7Ev3t!*uDi#;KAx%oAk-nJ>=FeH zfN)^zK%s!8zyd)Vz(vAFz{|wlz}Lyk!0*$?z@O*;z<-afz`tHDz(4&Az(2Zaz&|vD zz&|K7*^PmaP07IpqQbC0C59FK%z#{ zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Dr*zdJ>@SiKEpnn$@f7J{FODC3_N}Jci)OPRA=&hc{kQ;r)V0XIG=D=Hq zM;{}WZOW;Sn$#2dbm?cisFIH@5M^HzV2b{J={VEWDTOgS5=xAUWYkz(i0JX-P?4jK K;9?F&TJIzg1s*5> literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt8w1aec b/bsp3/Designflow/sim/beh/work/@_opt1/vopt8w1aec new file mode 100644 index 0000000000000000000000000000000000000000..2c12de3da047be442df755da6caf873b315e69a1 GIT binary patch literal 4824 zcmb`J3shBA8prSBT;!oBDk6_2;evvQ7fC`cWRMB+(nKIhCe2qaYMB(~=!{s7nuCfn zXrM7EiXo2(W{epPtwd9)P(T46=wyyL2vUFqIF8Qu-?#X#m+y zu%gfkUQEQBQZz(S<09_W2u~lt8b<%`)Prp(lh7Bs7e!7AKv4>S zrTu~XP^BmZpeO~v(gDE7-Xpc8%u7J6`yhs%#!3=06>RZ--I1ptE)<^KVSPWaW2`&mz)H2KWG+i-mENaO0 z3V^q!6J?V@ikmPjEgR6Q_=W>Pjl`Urd=6r})IiXVe;3NtAiAbk`* z5QUoK9=>NEBn7}ycgnEG%tp!vNd4iPiHbrLjm*;rz|xVFVUL+f*#PO| zbWut~MInlu6aY)hY6r#vU0kBkNAF~0{34}|tPzfVda#8>+mD$H^ zfHV-kBvcfl$VmaPRAwKu0a7F3n%O3uN}5Lv{xP?u44*lEAOcYTK&v!C)FO%bKck;y zu>R4YzW)z}76wZJV0^*UlIByT6Q`(B%M1&E)q?=VasM)1^zR$P{cpP#U6^K$iQx~R zX5kOKg_v+Z%JTp5>X|Hk-1iB=cN>1=8F)^z?n&Gk66M|VFZ;>fRt5VH2YELJWwkF_ z{AOeJ^n>k&w~jc)das^d8|rC&G5htB#;{=xE+J#{&nEBozgF~2NZgLf{dT#vSDpWv zb7Rw$?<#(4(CN~XT)XaUA7;>A_WB@4bD-+8u;ZaInazveuKG6IqP69x-#h%1G%0Vw z!GrQ$+0))w4sIyqjq>#_Gne7kp9_3ncA@2+dU^oUhq>6&X(=d|hr^9paT zczJu!3!~eUU)B}2=%1W;^~EmBkVAWKw?6dD8!epHRTSrTZvK6l=R*wougiCY1aHw_ zxbu~tM{9Zg%7^avo)s@VHQ;bQt@s$5eZ5!TeX9Dy@?&XVJ5{!LzLNds#+g2OPHo#R zYA=tAD!5j*!*>0OiLbBxXpw!zC5INzeD%di4K|U!nS;a2vNqJTuWeeWxe?<#|8MQv zj8zG}%La9pBxP)}+vFEL!O`(Vb6jn2&+lfZWvtz~;Lyjz9fv&adO?4#C-rn$KZj2i zl>62E@vXU`r%UZpzPWYgrhe4|x4gJbjV|i+s56JAt@HZcXmr=+1uB z%BFHvPOnXMY_v{yqU&V6abAgE=#7}&5$#FwUPBEYO9w6UN$icQy>-(5@SIieZarVS zt#yd~`5CcoDYtXGzWA~-CiBB_nc)>Rs}p8j9PZ-owcyB8{=Sh6D&$2+4oG_Bs>QFP?1nDS%lrsplMZJfRJdga2- zDgEr6*bji zYxG~ZMjRb|Jz(I9>&T-va0MOH}aR9PHXxo=kg16Z9{!C4Q{h8`=u6j+Xd!DE*+QN zrE2cby!ID6%a)wbHp8B0+n0D0m44=)-r>-ZSd&zgo-il)<58abZL_?ZJ&SIQoNKK6 zb?N!E4&SqPPvyS)%?E>)9$P%}l`o^SHXIK#o{hGd6f^DSwray!mwoj%e{w#$;@{Oy Qb5Bow&A;jmm)xcQ1x(6)!T=f7Ev3t!*uDi#;KAx%oAk-nJ>=z`q`Tz(0Mhz(2Yvz&|ttz&|Ka27~F zpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0ndo&6ewkXKw zED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$&ph!WtWWTFS zB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd#!H>A%-4Ds z*zdJ=oclxDzjYQ9$al7rbsdkMs(N116t$F+Xe!bF!d0#PU~IHGBoY6%x8o6s^;MjQ zs_S^ORp0bvDJEta5)6!pWLPjKi13({0^mL?;0KeGB*wAq{sY-U_Z7X8bDis)rh1oX z47F~eSSpH!6z*&V-!1GGgz^B#vzz^$%z+aX^!2fLC zz`vRFz&~@btXs}h-!%;k2={=k^&H~js(FMe6tf^>XePNF?@e_tNl`JNeMW|fMH%zb z%8q?El@`N!fnAZ-%aPM98pq~4<0DZRsK)Nncz%(7#z$tqEz!S8Lz-K5)z>g5(z+YjF!2g0l zz`sSyz(32*tXsaT-!<*>2=_ox^&Daus(FOn6tf_WXePP9?@e`=OI9q`RdR-TKRMG7 z!s#@gh1+aCi7!^HQXlN%ncDy@o?6wZX7BaQ|r+p3)D_7!=8 ztZTA&7?&i!aBc|>pqx@o0D0xkskz+Ype!2gC5 zz`spyz(3oEz(4j!z(1_lzg2pG%O-oBN|+7K)NotX=%M!UkOQr&U`HAc=AaurN8h91 zZAz$On$!>@bm?IasFH(45M@WhV2aM(={U2LDTVR85=sn?%pa9oX~ zp!ixkfAF$mYb~QZYJ`}sl$@aJDKSLV(fS8^;ItMYC{pcSjx{*(eG-KaMD2D#v5KO&@VAwiJfbcclK%pYxTJI#D8&1ps literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt8zrnmv b/bsp3/Designflow/sim/beh/work/@_opt1/vopt8zrnmv new file mode 100644 index 0000000000000000000000000000000000000000..79f583531d62cac0361f82b4b27f1e2bc1a9245f GIT binary patch literal 20136 zcmbtbU65T>l|JY8?bDY|(lijTRnXQn5cxYDh!7R!g24$8AjANz68oR-9&WnZ^z9}Q zlxxgGW<<@y)JPnx>QdB{QdS-)ORIS32dzq3l;**QObrj2s(Ijn2WAS(ObyWUeQU41 z@6NgBboTAss@#>e*V^B=*4q2*v(G-ax0i2<;;8HR>8>a$LH;#~q7KLxq9`guLPVV^ z6Uh6acR`jQ<5GEl3|Wdwy&_BTmU4F#t*-XO)VoXZUI^M_z(*@lIsPLIu&1l|u>BNt z$mD-Ij8y-mjQ{*OE+>nS@bB)0e1Elq=#1Ycl~z~01TcuZC#lc?`4fDze-+a2e;IW0 zLWsOr|M^UR;O23L5 z5862{^!Mw=cIt|gbeZ|ny%FueO^Jtj6hCPETKG9He14993H;M-@+*$x?=!e5@yP!W zadYZ)t?_gDOMc)#)+WE=IQ{|UC!WtQ_}(~e&G2J7Nd70=SblV5Qh|J}+@Jo4{0ye;EjHGYnt>kP;Ltv2};$MNqnxGC{`{%rjjmp}h_ z-H^Y6iOcoIu19EIbN(xiI|KbJq(3G#1klV5Qh|A@g&iAVm2iJLS3 zCybxt=fXt(U2XC!j^nQx+?04ef42Tym;L&0Q2yyQ`4z|UA5wnek^d3m=H&mp@pJia zq#yF<4YHl_R~*NG)ZnJXBmbks&EY?0{2c!#`hovw&Uow74 zFC_C_7QLst2a<7|(>Sc1ENc2{)+jL5|42_LEM};D#ouRj%(mPNB+m! zTKS1b{;v@?XC0_z_&=unBg)TpB*ychm0CZhK|CiF$MM&d zpLpbd%J{d;|3ex6kJFFWpYn74AitGG{EFlF8_G{S^6xL;Kbqn1ryuxFwON0~ar`eT zKk>-_3B^6FxG+oo-WR`~Zng9FE%TH6>lo)UY)Ai%-0$8TmA}q*tc3fI;G5$KIPqsI z*EL@E{=Ci3-~Z5ah@n6~)-eQcInZw<(C=KJACeA=uRqYQ8t69?=+_AJI~nMAM*Vs* z-W(Szd0lY-ZpZh0AYNZ)-%~VScBo%fd9BRyPOG2YM_QTnJ08fp66p6%V0?WDgmts= zTbYfos(yf#x>^bKf;!I!`Yi|goeuPSC(y4qvyK(zF&OB#NB!*mAS>%tNTjhVN{F_QF8xikIf5(4#j>>h3`(gIyI?Q#H>nESz|1&DLoO}MC z;?T>#znwHVNhcOy;%tC{|1;NbgVI0Xl8BDu~J*XlolPTmL& zYxQgV>r1))kXH03+&_43#{9S78})SW1*oGwdzCL{0-d=33>d#s(S1wp?!LwI0N%IQ z&ifXGeArH25%@Co+~DezXkAU6TH6`_9}vHE0M7N${rIUfek^;;Kj!oB@Adx4x)q(1 z-(YY`tUsTt89nRHz*ko{BoiWgx+}(>(x3C_K}h(u>}PIdJLW0ZWgm~v0X`m|6FD#G zPrQd9^YFH^9eCVF`gp9bkJoSPDgAvs=EZtIi2M{K@aX5`4T|2(_ZDMM>F?vQ{yyGK z)Pc9%_`CYuEqW7ghq0&hC*E$zynJtFJMgNIetf$`Z{qDV_LTlUUN&FmK|J#Lc>6?e z;_Wf^l>RjP z%jPTZjes|w!8??}t1BM;iT4O(UVNMvjPH5l@8UZudNaO;v8VJW-lLFtc-z_er+u07hmyAEwOLP6cB6<^V+1OM16Ynv|JiI&D4!o1b-^F)I z^d{b`#-7sO$IFffuM6V6X8axA>!LUDerN0{{fW01GB3VQvmJOVkeq)u-#0{W;+;13 zl>Wry0-c90k{nTK~5+ktlu(!W0561|D{U&fx&-^a_= zZwKted)xTC`n@Z96Ym{kPw7v*$076L`wZKGci#BBe9KrN{q>ahSzf>NC*BhUc%N0g zit%@N=KQM}U$5fPpLkCe;C)W<`V`OJkNQP#;$5qF^!M?y^}Ac~1~PcJWbn2s9{q_o z#C|#Vx6dn{J#Vt{@wwBl-*&~LKk_fQNAY$Ue>Y#u zc~G-{yA_ZAK3=wdRmHRCJ2pOZzSG3x^EBrR{e3(Hz&gTeE zJhMK(n87=yc=RXUuh=gqz5!GW`(NI+q^?^t`u%K2eYczTu$C*GY>qM(R&;EgWDZX^|7U0W15cscW@a;J&?IHNw|FLdW zNWX3i(DUjh`!Vpo0lS}c)K-c{x5lZykCbuk5qH`zGu@uRZ6boxB#W zXuK8+@MT{E{I@dr_I#Lj;&(vue7gvLsQ_R0IlzB6gKy83X%E5Y{)Tm{Li%-k7J6RY zzRq^=ma!iDb+hNxw3D|Axz>0M8^4nEv)32bojl$Vwu5(bhS#2Z(;mWmm^eB6ic!Vy z&)`?fCJ)*}>iNwA{4vGX=M%SYvFGfxhu}X~fIqJI+z0vNvaIpb9)kaT0se&I>+_3? z-=6E!9)ka^0({wzVSM#@#^Kxd0kntUf4cx*_G7@;=NpG_-y_f-g8xDRzU;?y`* zeA$lyU!RX${PsNw?IHE~-^)0^d%F4j;Get8e#|@frw+WmTwig$$DNQBJbx^k{F1HR z2Ir*e5cEszdHwf{KCA5%f3&t@2KT&X z`F?8px9|~w&L$9*bnhvZU0VUhtcB` zMjq%=9YX40_NVdf)ZfE%nAYhDZI3xmx>4`b0DKS6wQ7$!KS8|zLzH;#`!T-3djP{B zxNL&JGCt9!2eu=tl_-mf?>Z2mg#ZhTC8B{B1_rfr4TAC6dBkSMS5z0-_hO!#yj@*f9n>CEB9A9oFTDJUwH{J8#`O^r zfuDk(m+_Br=ylCXhv#>0Kr zmQvioal_w(bZOiPU*wUW_M7o%K8GNwlLt6gQhIf};`>k;Ur}Cmoy_L-1MQd1OZMl; z>yxnid9mHkYYTKgFY3&T`|q{#qTSDnJj{#I&+CU!^YYU1(%Az`VGg8s^0f zDmSs+n;$=dPQ2{=xRL&d`=@Hp){*1G`B5_Vmih5B?Z@?p^8!Mo< zJ*MRN>F*n2t%DhO)a5SN8ON_6IDWWZHu%?(IhVcc%XDM=XCV3ehF=%>bDc$8r6DYA zSXUE{FV|0d{nCzj-2UVjYUeu3e9XCUb3PiqlG2WT@o~&h?u$Oa#PaVGjEnUZfv?}- zU*3Gw^~SAVpM@XSug|d~>cIM4?)@F;Vd%_<@dICe_d;p^zH>_QtRyS2G9LQ-^JORW z>rk&D03e~DW(vw~LZ55Dix%l~{{ zl=A-PAc=Q_(G$tj!?VA;S%IB+^!MYx5Bes=FVAhjk@XY(<+_I)(75WEI?z8au6xkV zarzd%8JDcDjK{PmI~4D^3?BXcxT@^$)%6T?))Ru)f6~zYwysqgz$?#h;FWa*yfXjS z$bxxOpzKmvQ90O#Jn`>etd^qABR@WI;zT*>jK^!w440#%F+Vel`%BW;jeucyl6>%M zSowhJJa56gmUbIgo;*0YFiG6{@cazrY&1Vpf+Swz@mOBA`+N_oJ`~C~IEPBa~;`rSU9>^4xxDJS%}bQ|qkTZmrw7P@Z+x&GJOJenaNn)H>^C zdHMr+#@1Q4hqZ3iP@Z+x&GL){@=Pxrp5^s3zRv6Q5qlj4@-1B=-=oT>@3|UKqr7#< zwl7RB$$B(9Y}TczoIL&YuV}s413<1*`kt#{@r&fd@bm)fdU$p`Xq|mb@%24d0RGat z@bx`c0RH$KgZ8z?ZDP1) z?jMuGy3sD}dMDzryqH2*`h*#-Z=U+KA)gb?9t^U*DSr z@Vogd`vnZY%mWO2(0qPe^VRod0eo&guZ!;q<>rg7oi~=G>;UVI|-N-^wGZ>+cR5&(0luM&=tN zaey!Jk4;XGvVAF<9_6^<`EH8(>$yH@@*bY4i@&FDq2J7b1F}Dw7#`k6{jR}i zn_UNZU+Xr{(G44yl|0X2J*?he;P>=sP4+31QEh?o@jl4wYEtEb%DT#al~1djQ8}B@ zZNF)4w|En(L*RT6>k}l$>q{D+9hdr8G|W6*{btPm=3q3pD0Li<7HOXue^%;?@{Llj z&CSX4W(3J`n2F}*#sBblP3pc7)##T_&*5DR#$h3q^>y*!nBdH&6SA+Fn5@Zu3hIRT z4R5=XG96nG{5#T}GA;` literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt93gx96 b/bsp3/Designflow/sim/beh/work/@_opt1/vopt93gx96 new file mode 100644 index 0000000000000000000000000000000000000000..6b2455c0b305e53e33220bf73836a9465f0eb4b5 GIT binary patch literal 8860 zcmc(kJChql5P;_%J|B<}UP9GYxe5%peA2G84+eLZFoMu@mk*lmfDT$nP>oc{iR*1h0upJllQihurHCB67R zPIj|ihW2yS%NCh4Y+raL=Q-wtmYlS+wS%1PcATQ@?6h-~Sy$yt%til%C(`7eW$snx zE<$-+T-XowTbpf)FHSqeZ|7MTxeDY0bC)c*&olSPlG}>2Hgb~27V#BG;b9(0d?L}` z;dy+4%RgH3`g8JLWZr#CUaPl9@uh07Jx$)6W6mQ>PJd3$8YBq52an5>X58xGeC@T< z7-cU{bbhKNpC-QZ9A9Y7?VkvDow=V{b4TNa*MsI0UJF`pBripR`H}praQUV+Z#2$n zc~$1!vF7o*X3VR}mAE8Mk)nq*rMO*|KTXY_9Jg?UD^m2r_n5!BWSh?tf7-!(-rCuw z`JHzitg#>A)P8Jjq95(7eEmOVu`bq`-?HRGGllzrNSHn&f5cmw9&v*jD-!??G3jkq9%JLw!O+=#i4X5~V_$jw!@Yjds=?n}&dPU-gy z^^@|cm*q6RgL5iue8`2*4myv+XUE`s2iJ4icSQ^QHe8#1;eF=b znwLA{zVHF_?^^OtYCjM=fFIcx{$fAM?)%1_cP8D#p?%!%KVrGMYM0lg{4x1$bME+< z`Jp9W^1IBB;d@V;_&;HO%aUKVAHjA(`=SDg=grb~#yB01L+|f*=^I%y$xG{1rFWPO;`C{96Z;sdN`{rDAcJQ1#=Di>BqpaTaUZVF|53iZRbsv^7 zT6exig<3xRLd&ClmS3{`isd281C~cD#m;SAKKzdL-#$iu&-FjB6gz+F^5M^{|ApnR zEPrG9JIesMtZ*RSUa;Mv%c-!kux>s#x`u8;`lK)v;^|9T0qjw#p zw|uUHrHwlMO%jHVw-Gt}N#wzU>+FY7=+xZI+240^zZyDu z)x-bbiT*(Wv?&Y~5%KT8CubWPlbK zVMQ~lu7};yr0C`Y1ge1qln_c-fM@DPy@BS{uv@d}R!=HpA_6T%6fmt$PG&>h0tO+h zPR$(M2_Sxekc}dw0EZ1)Ie86nwK|L_JfOrX?q5qm4UK{t!YhtjseqlRQc$TAy9Qhs zPTjDEgAsuxnWrEf7ZqqRsvzQSK2B8R4t0a6&I24s3=1Eit&E}W4Q>TE7?D@Z@3Dj! zip248-qDIn@Zq?9@Y08XYa8qkI0lyM%_0tT2%F{DE{BHBP$!PWKvW#BO5@?D13T1- zqsPqfHPEAZjlyiukh8N|=LP{zWdvRfMpox~wW;UGgQ^F1Z5XhM3e*Fp?+KfV18U$%n93SkPnP z`s`#xJ`BB0oRV(HPDWKkGz$wli5#~f7F=pDG?MzwbDLs;qJU(OC?LJiNsr%XFQO=5 k#gPU&y&X@YpminMC`M9GqvOeJMwpoJ7nU@2{~4wJ1I;b=&Hw-a literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopt946688 b/bsp3/Designflow/sim/beh/work/@_opt1/vopt946688 new file mode 100644 index 0000000000000000000000000000000000000000..8e26ce9b6c79c38e9ed2f2dc7e5b2b21eda66966 GIT binary patch literal 10027 zcmcgwJCGYi5FOiR`}h+Q5NuHd$M<$uD{0q!0|N6mkO0QDp8cF8tdow_p77O`%NGz3 z5)u$lL=FiFDG~@Bl0oQ%gvbFDboX@YcUs*@0$*8v+E#DBo}TXMnF%&dsY{P2`a7l0 z9^sesjP8oKx#D}1d};SuyrIq=?R9zz@vRM|PJ>-R^m7BAPcc5l`1@(4{{Cl^+knU#Jo`DGV6SUc^^G%R}UHINeKf+KAZ8M zu^4}o{Ro%#qHBS(<2`Xw@0sn}@u1VfdRKrp{Tq3(kAKAYttEdu z&Z%GMq-*?`!@H<&3h&3vd)tzC_#g3JX5PD&yafan;|kL{I`1y39~s{#%;RjDJVyDV zd2Ox9^Qt9JvL??}OP=xh<9PfQ-4{swu5kR0EcwRgkM;O&S@LDwgSq<|@n8CdpvVQU z!WXQ++pF^te=omI>z@K#rtUTK-?ik2<1OW{AUx8&&lWU7JdbYOXK;K^ly$Lg4pi2a zzGE}*Df<<&J>*$bHv_$6)*&E9zPwy+{mq!~swH2RcQuVGE{J!KmoyJ>{H4BA_518R zRq6HjOR|&pcWM6y%RSj2#Z?V*jAzo*s{n%nWf4^nl?+oaE zu&YfS4z=max$5pqzAaj(F^`e=8Ag|R;ZZHhw=(b1e9Yrpl;6T9_mdx5^7VQCSLKs? zup>*ptkW|26Py0NQ>xr%KO^Ild#YPY^A)DgwoU%yJmCDu`Y9-K;gfr++m?Kz{Zm|T zU>z$!%;!PgqIu19NqG(1WsUM-OB;F78PfVv#OA)F!*$C0&Dr{_-Y1%Sj*%Y~_b;>i zECu^5@@HlrB=S+eRR=xH|4~2WXN()x!=DhiNtAdBeh*(T?)N`n>hSY^agE{xI6oe0 zi1{ILO8e$Mr|5SnZm=Ag{sf5cBMzE9#w z*5ui;AgJ7p4!6`fSDTvwe0{XK}qrc?^hoI3W*N9!|@n$jGB;TJE_h zca`U2osI2yWC!HoJh^vVPWGG1i)Qpm9#+VsV{)JTsVRTPA0rPcjsbB zV0@GDEylMQ-(h^0@e<>EjPEmw{cGm=re=APlDX7;_E7Tp8vbo*4N+k=Ac^S8kvd@t7K`T1d+>?lC2qkG1mn?7{U`C;RX<1b)i zP6!)wLhjooIE2p`1O(^je~(9{Kj!?9-fMp(KVYMPaVTMKJ_JriUuBW&)OI50P`e&{@twmc44uHw zox?+?^mEt2Pq-7_a1Na?a{*k(bE6wKoG2PA!Y@u)bHNsTj2gcL+Fk>-bu==I+N>1S z&@w3bO;k$8O7P3rq)~IC20H+6fp*#ims9Y4M?66RoV+fSI+Jz?iQiGtopoWV1NHH{uL7l?_g93%gd7)u~K1Vr3 ze-fagxTS%_%?t!aqiHmRdFZ4reCS;#i&FUHPNtEo?gRl+K?o!!NdPfPf(ZWe0|&pL z1ioWTk||?#6H$0yj{_h2XxOQVs}ar29EE_#QmN3GR!B!KhJm37MFHnE)yEYmtdNvZ zl|njYA!&>$C_|lEE($ca#}xv1N+ET}7I?}i&QN}C4V_H&Sb--!HIweUG!xl4eVR=g zW{`_Q9W7+zv}uxUr|yXYRSHaz%w^MLX@f)UyEGTDI*b&|g3ur)bdmxEwI~Wan+=E{ zmbXtUM&?cg%FIP0VFmEzhLd>{aWIi=WTJqnn?ZsK1uRUMFimmN^Faar;lg(538nr8 DpxfXw literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopta7a1h3 b/bsp3/Designflow/sim/beh/work/@_opt1/vopta7a1h3 new file mode 100644 index 0000000000000000000000000000000000000000..4ad9ece61379ef044280a086d4ad2a5dc239c3ff GIT binary patch literal 572 zcmV-C0>k~&?_vwajn=rv){WM<$GFiN!#Edmv``w)zC!3fJjIZsILcuMFw}!aAm~Tc zX!E^`OJ>=f7Ev3t!*uDi#;KAx%oAk-nJ>=}q^35wa^<*l{FrKo`=Kcjf4J_dxxJWNR6IM~q6F!15cAfSWm z002jaKtRrZz`)$Cz`^+|z{9f#z{e+lz|Rk^z~5gi!2kaVz`ws>z&}5cz&}1xz&||n zz&|*cz&|i}z&{|Uzg=m3z%5K@HTWJ-wk5_?EDOx}7*^PWaP06-pqQb?0C5AwK%z#{ zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Dr*zdJ>@SiKEpnn$@f7J{FODC3_N}Jci)OPRA=&hc{kQ;r)V0XIG=D=Hq zM;{}WZOW;Sn$#2dbm?cisFIH@5M^HzV2b{J={VEWDTOgS5=xAUWYkz(i0JX-P?4jK K;9?F&TJI#6$|1)9 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopta9qw5b b/bsp3/Designflow/sim/beh/work/@_opt1/vopta9qw5b new file mode 100644 index 0000000000000000000000000000000000000000..1f0529be9a2db2d19f80a06e7f627a785a56d233 GIT binary patch literal 4416 zcmchby>A><6vdxEVjGkKq!dOB3l=BIPtedoTfdHduqw>QF{JK;b5 zZG?M^O>t0e5fRq{ThhKO+!k&-KXShBe2+BZ61INI$I@T9=VXoeZHfNO+xGvz@^sy> zzF1cf@w-yjaZ`LB3IF*k}03N)>Hpo@jr5ZDQ0!x!{oZDc8+VEoh$R$mjs;; z=fNLw)*MGW$aNmCse^tX`$IFi&Qq$B@y6&yy8sp+T#Mz(6dtWv{zoQ4vht5x&pE?hmf^hZsK&Ejkt#$9| z_v`mhPJZxZvOn6@Psahjx%(j>dC*`g&VJ7meyRTO2ifn8{E!Df5OEN3_B&tWhyEaZ zpxN&t;fH+YI=@TzLtcvP3(ezu?S5##E7|Y&gddMHRfqlFk{|o5)9kk=52%}d-!LBd zK{MHJe~lmahd;=EkH`;sAp1e1o&65n5ADcLk@MK^=^8)uPm%o&*Z5(axAhy5U#ed! z&f}ZApT4i<7wLPsX8%1udXCgbDQU(%=Y8h~&IitY=R@bmPP`)zT^~75ooCJ$&U5EW z=Y{i?^V0d&iTnJ}eLvF!Hr-7t=!waL|lOnfw%qZmT zro?;w{Kj}&E%W;40)KZ~Kb9&ao@4~@-x<+FU}&f@YMt>V%0hoj~BTg6L@m+$!dx*j)n9#{EY(mm3B z(mCmO=1uLDo%ewpcwM*7sIvWxO~>~CxbE5hl%3qhoyYO9uCquxmofYNE7QGZs(jM< z+V-s}hPF?Gab^3`Y3jb^$%m@U_{HXE5w5bF7pYbNNsQSU#!Cx4Ltjp)5-O5*al O%ipeEXW`((5dHyd_zW-r literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptbnw505 b/bsp3/Designflow/sim/beh/work/@_opt1/voptbnw505 new file mode 100644 index 0000000..3b61e8b --- /dev/null +++ b/bsp3/Designflow/sim/beh/work/@_opt1/voptbnw505 @@ -0,0 +1,417 @@ +m255 +K3 +cModel Technology Builtin Library +13 +Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech +Pmath_complex +Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1 +Z2 OL;C;6.5b;42 +31 +b1 +Z3 Mx1 4 work 9 math_real +Z4 OP;C;6.5b;42 +Z5 w1208391546 +Z6 d$MODEL_TECH/.. +Z7 8vhdl_src/ieee/1076-2code.vhd +Z8 Fvhdl_src/ieee/1076-2code.vhd +l0 +L687 +V1a;R8Z_kc3Q7^>9;gKVIV0 +Z9 OE;C;6.5b;42 +Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..} +Z11 tExplicit 1 +!s100 j6YPGc@:alQm=gAZDnLd<2 +Bbody +DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0 +R1 +R2 +31 +R3 +R4 +l0 +L3719 +VIMmI^hXJEW@Uoa4kJFX:K1 +R9 +R10 +R11 +nbody +!s100 GRUnO8ScI[9kFB=Ki3;5f2 +Pmath_real +R2 +31 +b1 +R4 +R5 +R6 +R7 +R8 +l0 +L55 +VzjAF7SKfg_RPI0GT^n1N`1 +R9 +R10 +R11 +!s100 ?h[BJdc9hAMLfn1 +Pnumeric_bit +R2 +31 +b1 +R4 +Z12 w1242971927 +R6 +Z13 8vhdl_src/ieee/mti_numeric_bit.vhd +Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd +l0 +L58 +V0:R3B671ke]N`8]?lK_c_1 +R9 +Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3 +R11 +!s100 b164i8a]Ti[DoEJ?8VoH00 +Bbody +DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1 +R2 +31 +R4 +l0 +L1045 +VMl`J4ca2be3ejNXY`>k4Y1 +R9 +R15 +R11 +nbody +!s100 G_bI[L810b3Q]LV2V2za01 +Pnumeric_std +Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2 +R2 +31 +b1 +Z17 Mx1 4 ieee 14 std_logic_1164 +R4 +R12 +R6 +Z18 8vhdl_src/ieee/mti_numeric_std.vhd +Z19 Fvhdl_src/ieee/mti_numeric_std.vhd +l0 +L57 +V=NSdli^?T5OD8;4F3aVEY0 +Bbody +DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0 +R24 +R16 +R2 +31 +R25 +R26 +R4 +l0 +L173 +Vd@dC3[2h4nN7HB2XD:8CM1 +R9 +R10 +R11 +nbody +!s100 Nh6>65S7FR:e[I>ADUQO1 +R9 +R10 +R11 +nbody +!s100 ]?UNFEkZD:LZf;=G2=^OM3 +Pstd_logic_textio +R16 +Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<dbiF;m2[GMa2 +R9 +R10 +R11 +nbody +!s100 6OHe=[AFemLP2O5e01aCn1 +Pstd_logic_unsigned +R29 +R16 +R2 +31 +b1 +R25 +R30 +R4 +R12 +R6 +Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd +Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd +l0 +L34 +VhEMVMlaNCR^a:GNXQeK2 +Pvital_primitives +Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG[EMmIIzoCHn?@614I_=a3 +R9 +R41 +R11 +nbody +!s100 ccDc[]`DWjj?>mGBe93>82 +Pvital_timing +R16 +R2 +30 +b1 +R17 +R4 +R40 +R6 +8vhdl_src/vital95/timing_p.vhd +Fvhdl_src/vital95/timing_p.vhd +l0 +L46 +VOBWK>;kUYmkG;kUYmkG1 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptchqnhv b/bsp3/Designflow/sim/beh/work/@_opt1/voptchqnhv new file mode 100644 index 0000000000000000000000000000000000000000..cad025c2c76a0963bf81a3191c926bf21bd8977d GIT binary patch literal 40840 zcmchA4U|>ab>6#=$K{C`p|On}Boj2Y#?DAadIJJ9II=aiae{4ZV}&GR8#Mo7u!dng zGhm2u^lXs?J0!sgNhS$S#xhB;jboB1E^gIknmP?lm4q~EOB*$zt=iI7X<1oXt8`Tk z{l2sJKKIUf_rAFgTF+W~d(JugeBXEW`M>uu+Elr(6qQz-JbOo}REFINyA1YvsZ^@K z!jzV)jbXoz^a|KAY*eo78Gv>YFTs8R zpRC^to34Kw(yi1V59$-YmHKl*{Z{!e2K8IzXMd*K-)j82(QoPcuD@G||6owRRsNGf zeU7JA;=d5o=QwGl{@iYA#=fqiR{O%0uTl@@XsrvPxeyii}d{DpD z@kc()%y<=WI3Jl}yStVzPTvDZmftJolL$Ng+By&uNq1CHxX`Ks!(`Ok8$ z16tp&6P8w-c!jK2D?8v1+#2z)Zbj{yR=?;zq`}{yXSMiwJ#JhMh=81BT4702u85!@Q_sE7QFm-=}<)XRRd ze%mB|UJtt4>Dk$$9>wu``UJN|JnDH^)9UB-IKGsgZQ|$kxOt5DOtq*-alD?L%1=D% z*`aCmi%6K&U%SN5>v8iLdQP^eM{&HKLFFeN_3YNP`guKJe~pTt*W>0n^qg-|kK%Ye zQ_4>~>Y32A`guKJf6WGZ+`0ulms-@LI9^X(`H4q8M>VZ}(E~_l_17`+^YP(A#`$%v zMLmk+^_*0G;!)2DO{<^R6ZY3B@$-7xkfxrFO7{AbH{TV<>zP-6;!)3OO{-s2Lpm!y zXT;CzX{R6b^tPx+alD@M%1=D%Ij3p$i+aeDu|7W|eqPV*^n;$k7WF8O*RvqFHR4gv zvzk`FXd}{DdM=8eJD+lYn0M(_D_7AUx{j+Z&J&g+>GrX_eltAV$DVh1)f(}rYZGji zj?3cbZe}uYZx!DRb6LQ zSJClec-BwbR0r{>Yio{avrIl*AtGH@j%ZS)zj0W9>wu`W|g0K)H9`N^^3ljJQ?%)aG>W- z)zjai9>wu`jwwI!sHd)J^>gRsEIr2qJ$I>|dW(7#$Ll$z{KTUk-s9O{>KDC@JQ?ws z3-r8R^~|@ZM{&HKGs;gq>Y3NH`guL!_&FQsS*v;$TGXRBUe7biPdw^5uW9x3dN6Gn zCx5TH5a{`C)pMmqJ&NP?d`tO>M?DLgR=?=&qNCv+d@<0oPW4oA0pWb-x)ou6Fq?ax z5gf1QdF3Y_^<2`l@zbD{-lk#`B$gen_zgPK*m*!Xfemp)L@V{C4x3|czIG%r-@)Ix3&jHMJ6~@`^ z0sr?fALDPlMSjKc{Cs}Pc|bh!?-9O+d9W+s?^gbkE%Gal=ijaT#7pys@gEHM-E&yZ zzZUrw$McUXKk>*v$aZC{M^oY#ccVwr>wtUy3LRHehm})5?;YBXhV{tsY#lhNI*3Od zLpeI?IXd1#f9UAIgCpuIs>AS1#|hOzJn9(E(a|q)=;?8M?a@e%-$}vq>(+YJxm|UJ z`%7ND6vy}5oa!VVb&lrnpAPsbgmIc`kza8<{~6^c9{Kq^YHhg^^Euh;U@;sxj(oo# zSH81SFa84J$Ni7bjqc9ypHqLhEy6jc^_PQ)^>0V{1E?Ry?aMj!&jPH1=VZy=HW+w_nZ*A*C@V~Gmf0MrW?sc@i#o1FVCr7;!&?#ms95~ z)8Xc4YF*JdM3+<_?;mX6JaDMbJvW5DKGkRCrZ4PoRC78F&-A^Z`iMt;ZvJKHxS~21 z0v#KO3mxOC!^)S^VR)wFCDlPZ>TvTgL&voo9qzd$bewEahvAuyS5yb_sKbrl3?2Bg z0s5gD^W2*5mj^W-7gUFpFBK2NGac=MUn3rMxbc{wqa#O$dwz;|Txn5<;hB!Ls)Kmc z!RMoIOsz+@j$KzC6uWU|wo~=ldb9=c;`rrr&3k}Dn_dUGP%@ryTa>>(!1;ZY6K6C1 z(9V@Xy>Bazmj3|Uh!^p>WmKxT=ci~-JD(q}DODb0K4$iw^Z10{q}^MY%X)VyK4iH0 zZSz6GHtgRu+72r>8~XJY#2YW@w*>?o=YtyW zS+z&hMmqm&-uy2e|Gf~%^E>Nv{&Ku?oV(}cz?;+h=K>s#FV4$1sfA3J?otcm(zY*e zS03V$Cmi2(tgGxVt7rWfj_>n1e&PPD`l6k}8yo&S2)HhATrgf2v|Uzi^Ty(2dMs^t zw*Kwbb`g*5x|g_EAJpG{uY>Eupz1LF^YEh%_q-cAE~ySHUrLAJnT`q7K|Jbsv+6)L z^>`iO`NHCKpZbTo-;$GW=YY`P@yL|UN9&x&+aUy>D zy^OZQj~}fUD~>;R9~Rsi@zVWeaWMX)0l$0R4gQXH8K*`0702@*Q-0#5`6-!vd29)PkrB#Y3O{^#u?+(j{RBgF>SuTd2!-=;{3UB zqcLCZ9gX>NUucW3>pkFqtF`?9qiH#(G}f1MM`OO#e?{xN=ga87ymN?_`*VowYZdog z8trG8-4F8_Cui^>>uRHZ%QyWJ|3;nWXFAy*UqpYnz=G!yq%rRN_g|T2f7pEG`R--( z$G!ARt$WsAeauI@Ilp)wu9lX$@xXH(`)Sl!`5r9yp+2NJF5dx*dh3V}zi189m>&!T z{a8-FaNRQAchVoc9N*;Sw|_aVJ6$^AB`)#kPrP@*l2`H*UcSemAIs^to_-na-6r+R zoJYbNIo=+ZPI$Rr5s&`Fdp9h3B|qUM5B*r4=EZb!@v!!OpVTk&9G>RwbLoVa`xNo$ zPrUDkC9mWsyj&mX$MQ5U#|Qhtc(+UaGTV{n-RaT^Fa3x|OT72Ml2`H*-W}@4a{Adl z&-l!~SL&B{i9h;R;ymcm32(oY*XU2Y_ra1^@)O?O>c{dlZy0ClL;doo_n@$}9+vVN{fYNMSn^7K!h2NxSWZ8? z$69>NvLD&rW8&|5Pr7u%dtAzE^e5hjV96`_3GWH@V|ki4jPs*XzkEvkJ@33rC%kh~ zUZX$pxPX#Z@)O?E>c?{W*?rmCX_n)O?L8y@p7*>Qa zy>|ldRq^+{FS~TY`;y|(pLn}r89&KSc(17+%jvh7ei`v|?>oSIUHpCgDi~1d{S9Gt z>mU7zwNUq*XJq<*c?{Wx%*K@d-o~t;ehv8z2Pds6Y_c`fhDGQM^O`gSND{fWo(OjdjAinlA!w>!Y=S3LTs@xu0gTJZ)0yzu~U zRPpFfyg}B>7|)+kyeaYbfZzu=vDaES?cqan9X7vP;zJo*!FGzag;6z_C^cP7A_S3LR??}C=w^Rd&455u_n@$=(Mm!mDu!}58} zYAxsUX+GCyIq-7--N)tIWc}%udj9!2=pD~b&|>{r5Pg1pKBxNFZmwS!rMyOe>d$+g z_dSB!!1ET(H{NBEj*ioU|5CvJLco7n`RPyo^*MN-RlF;TXZNm`0=%n=M}OkopM&=~ z#k&^Zy%OMES3LR?&+h%mc5$}${-omJu^HmZ_pP!X$b08xepIErMt|bjy*k7@p?Dnu zp1eO!@YX0E{fTGe&z?{Il;Y$)N7Zs(^Idz^!18@A^MTiXC+udt&t*QJqxL$!Hvjzh zi^%1A!P4~j{%NK$zB^#kx**!V)i!_t$gqPbq$9&@Ouq&hm6T^YDKo2mj|4e|>;&@6TCY1pha4 z@P9$^cL(_P-ks$|@XzMp|DxjS{^{dy@9SA!1pmu9_#7`BS9%`z`1YQk<-~t2EWfXw z7yqy1;Qx~1>$%(G+xG)lPW;y`f&a_m*DzncO8ks<;8&QBc5TB1Pp<>^eFK)0cd7Zp zeH4BTyytRwzo5Lk0$%(60?Ui=ek}+8R~3IWz_;%`u$=fLR{SPI-VgGUa1Mu_KW0n`e?^b-}_wV`LK7jGQ69Y)j z6Y@SzzCV%d|NT;4qd)i>-Yc?wXjkOcec%lSc=A1n1aDmN=ubS0zs23&kG@%T!`b*46@P8E5J?~d=?mLS>@%aR6aX#4h1o&JFX@CCLa&}MY?{MBYto7u6 z*zW5;01uw0sDrrV<9t(I_dR2d@2{#K_pjHKqt4@kXYYR^x4)F5VV1uh?PMDI{kion zq?hq~4mtJRI*$4*XMM$wnGc*;($)Bzs)KlpoB1)tc)VT9&uM#+Tofnw+^I>N46p9$ zH^#~0*bQKw<0M^;$H2olu5mVrqok8^6fe?wK1b(g#4oo$<({1I7_Q!*V~$_ySxT?f zv$#Ezqlfp6X5(vK>)H4*T*!nib#uNjpW}{cjw{Yz#iVd(3k1#e5FWaU}Qf zWV~_ylkc}-vv7U~`Pe^)S)SI-eCpl}T-M)8KaBS_v61QhU8J*cpOO4B%c)QOeH`rX zS6#v{uRyn~U#ntkKifmz-%}iG*Mhe5R~GAv_q9v=;f|kWD^Tp>ea*$OJ-#me6;l`W z(2M;+e5QXw@ji|;dEYAKledfY?fnMQS?&FMl3!*y@m5GZ|L;eNw)cYKSbIC6oBe9- zRbBCPPJ6Ftd#`GHsVm)H;%BwjzOQ9*v;CkC{^|K@{f=xmzN^S^`-rp2xU>D>9Xa*c z-<%)vT%#?P=O2yrt>3KQC7rB;)=n#zbW%RAaiDJQN0Ls;k&G+F?B6gR7j!(?xvSTW`?k1yrK}rVUs&&_bNEo~%FWXM#*N$jIO6=|e3Iw& zZLy@Qny$w0*Z$$UMV`5WJZAzP*@xQVer=DWtC~)D?3`))qI}=A@!VJwJ$^s4^DWQM zoadXA$L1ljoqowr_Vu0|95mD6^yT14euA?h2WPe7?8w29`~+u>_`AP@_jh=HzgE+t zr!DH#wBWWy^8v1;tMRXCzj56waz6fj_+eizTfF|v)mybv?g4Ev%eg=6y}+Mmk?npK z@R?O#?j>z8%UNIVDGl}iaZY`?C$+^aXMMdlHPknq#%J|Vt;BI_=fpXkKM0S@w|(dB zl5QAJtk3aecorY#6W8?Ex%CmrZ@{r}Y5GDOi;Lm(<>1&pX6+4etbYt=Z7@D0o$N>5 zLAj)p@_vn19ez9)NIEHp%ti6F`D^RdA8Ps21=o!(_@~#WZkKNJ^I6h1zms*NRh~@& zPjA2@>09U77VvBjcqHA(^H1T&@pZ0X+;#;#{mRp){VVBeEa@a}t@4ZpJmUe6q;H*P zHsCoN@JPCm=a1mWxP7Bw+>Ql2$Cby{Ye`pQTc>S5Y?bF!z%v){NV<{dpTm#sSt!_^ z%K^^|%47Skq#O6$=alD<3-VkIcwSN-J4Z;m@f`6d!ZTSB%Vn7Di!?ueK8OE0{BGd> zSwanW{?~D>_2c6%f7QxA6}$#~&5!>o2fym-x5pid-;smQeUbffH3!G^m_6+9lJnM4 z#kX}?($(13WgB0Yl;>{?@<{s=o)gMr>${}!_at1qlKKDV%JWJ=p1GhsrE z&;Et-@LE(DH`cK6F9t<1m zkG>^-PceTbCMnM)z%RKp>T5dcL_WUg{*bW-}ysFcg%AP4$)ZZVj6D-EL zIIfq;+aBMq?IK<(PNiw2Sl@VAinNOdeqDVJK9(VlT`U0qo7A#BjHmK;a33O07_UQ` zAL?`G5Ihg(xt($0{)+fL#eBvEvWZu6X~ad-?%#>7L|hI_c|*J1euMs*(suWwCErH- z2hq{&CzhxCiSH-aPe&9l91jo1`$9b8l!5bRIs!+Zhd1;KONpa#jgRX1 zVEy#?_!LsqkvBf>M>)sG1FVMe!TTmHru(A#_9W883FG@|%@5o8$INfik1R(&J_MhAC5_S{|e2wa(6x`M|bkUF~&h;r1IvLI^En~M3G?~03I8#ky#F#TpK3*Od_JfVzG#=jr^k5=z{drR77hzIA ztKW$PdEUiL@N_Vp>St+pc|Qjv$GPEC7kQTl`InGpKb;QxNz=Zcw!!bM=qK_L$8gQM z^A6^p_OpLaaLwVi$2+w>>Nf}&r|&kk-w%twLlUtZdIsFai1d4qW6p zyBW^wQJ#m>0i5>}1~_bIGaT-lc{rf9!*V0S@Ec49A_f za{3GV^q+c?lJ#=9BL8=BzoT*m%pd>HR$ zINd1EY8Pwshy6_)wvRYAAI!RYLB@Q%63j>S8zdTVBAbr`NOL~2ob&MsIv_nHb`Rr` zrJu#k=Hp?MzaDWIrX%!oo;SnEn~%h~6F4J;0nRRbHp9uAkHq2nFiIHU{2)G?;pELn z;;aVF7-4|(L-=fllQ$oU^J?HcP8i_)Fg}~%U$zsYe~;a|R!57kO>>m7pmfJbQ>|Y?i$T{Oj;m5y! z0<-96BU0qG^~rFbXFk?B>fwE#=TV-=nGZg9jG)ds(suy&U&8WS+YZZnH`vtPyPaU5z+QE2JcMYG-blbTu#Nj7RXl)t2D|2@4Eu6`xDto~~Pe@*-6I6nzL^o#rd2(f)UPi4gy*-hib z^HWwmj>~58wev(ce%bzJ^}dMmE{rGYr|!4mllp6vV z6}T@1^REs4_-mNw+j8nzf9BO=f4&a=$@VgS z@5X0V{Qe#DQ}MGnSo~O?j>8<%jNe}c^oIuu%5*) zZ@t+L+^qg85gmd)`xxzoPZ8 zz>@E7Sn_nKeE`B(-~LYa0`kds1r~fy!7Tdu4VS9y`S-BIdy}MNp3jJDcym~w`QB&& zxx}M?7T#|nANBV92YeEb=LO1YjLx``@4Wr^UrE0^`X8W@BalU zw)d#y$7j@9dylm(?qB+++spBZ`)_m=mhI*K$8#~yw}@91wpY*1`tJbRV%B4O?ca%7 zd;hEAox!+oh8M<<^|Ipk-xP2C5_od{viGrVG3yb}{@tSK`|pa^xdfh^&+I*NTg-aI zvwvr4crPhl?-F=DuH4?^;{BxJoz`{0@cu^ewk?78u;TTJU(9;c_p1Tk|4_W0OWedc>O#@cyUZl|Kc`@%&k}HlDX*prqFo`UB@F=a%~Tn$+8K4VL5hbCQm~q}K54 z?`|$2pYwzMS$G`J>G|Q3$;axim{kBe+1d=2jUtek;NOUY6hvhBs>%X%v!0*SeJ1?O7-8ewEqa90+=U#2E z{ym2Re11IN6yH|mTWGnTo3|6NuHS52o3(s9C-J#1`&a+YLxJ|%IjMQOjmLP+T7Jl{ ze+Qxf|G10u*yPZ#8|P!CVVhS)#?$v|d$;3xYLR_rbl}h}@$vaM<2zNDPsXXm$>L_# z@-2REQ~bHY_F#h6kKQlWd>uYIf*3Roi_C-FoW4~N4 z%)5BJ-z+bm-;h`TPECRFKI7UqG&?5i%fu5c#kWuSwy!8Q-bW5hxczefWVn9~xP5!y z-qK8w`373o&VIF1%Fp~}_mzo#lkVIT?%M-1`}T6amtc$R-{fJOKdg4XApiKlnA0Hc-*4pNm%zhuHas@&;&7-mK14kY_i@!p{ATw^ z-j}GOTdn>*)cTV5sC_f;`QXHm%)>)NvM-O7CJx$oYqm~v9FzAgYI{}|Tc>BoCS8AI z@iiOY#%Iqb`wQ|N(Eh(!K6?&UFUU8#Z?BA>0omUlpDm3QInP-8>^arhmieY-pB5})Db>7>W?I$+Q%);H_7@oa1(Z9pGB;NFZ zoYxPh<`MY~->mWAF^A(bo~x>x<;`^Oy+xj?yl<;7#WQ@1JlzF(MyH29!TueX`M8^J zL({Sk`h7O1JltpfJR8{jkQ>La9EYj=Ud``aoWFIe#l%2m(^UFH^TeaLLY~FBP)4z{a@48*~du$tSzPT@OlqyfYhZCSw zslQk4`_%4G`+l__Q2Rl(JJo(jt@|Qjslsj7;XfhyPx9PN{9&~tYIzQ2{+Qaw)$Ub0 zu6Cc=kEzAF3;ejgf9SC6QxivAdb)I^#5nI&JFK=gegNMs#yNSW=FXP~r-$8sH(48X z`!CL=ZoVNo>ih;axP7uVEa$BUYun`9yKk?14)FNI0XI);Gt=&Qehq?HZ(vB$4;&hI zI3-xlqwg61nCwH}aef@k+5Tx9%$>!-+*#eXXCa8`Q3Qnj^Zz{_xq9UOF!G(&W8(t{ z1;$|(2L)P$$DI?tx+4KDF;c@l4XY)JfdEVdq{=UD@`##U}zQ21# zi5j!34=k!y=N&xP1g%Tl(O|3QkE@M2j^nM-fpOdj!E>BFv=UAP9*rN24ooKyLn{Z9 zaCj#OhQJL8B+wfq2=Go24Am2$)J_oKoiL3(+#n9oy}(Ow0H1Q40!#|VJD~&9EyD;} z8JI-S3xN!WF5c(__|OkbD$Ic65)|lWG3^jpy!UcQ2gM|U6bN&= z;fr9xCGHCiPC|3?D4;1Upyv!m1PKw~fw&($hS#s!Q7A|&Y6C*_2*J^==FCk=%RBnos%LBadr@I=wx zf2W5nh0)tQ@AQb-#@F99oM))W&2qgkS@xK5cst09+j{<{GA|Mq1 z3-B}bpp5WQU=T_Kgt{YCr3Yn%j{<{GA|Mq1KZwJ?;U%7>YdNVg-r$Kk>~Kl5BFW)i zah`UJTezcLp{0%4gQ&6%cY6DKcs!Ktw_h^1=7d*!mUl-@LdRaq|QXn4g?vo%r0h&w&Hl zPg-rJENU*;G)lcFFflPde@^%G|M9L-zzu8VvifO{Pw(hXFxNb7*b)6A%Mq@rM#s6zXxm-cr~ps{^kVRsDFN2o>$~{?VaX*negSGFRti-gl~&(Jo)s~ zTbEQftKtqn{<+RB%EUExaZNzA=Df5sa=}N%>a#;UpVeo0?n!@a^_@*gX2a&M;~v)Z z3pr9}zEt+yMII&(S{B_}=YHghU(!a^!w)MO?-lqRsU#_!qx5tLG#uYU- z&bf5sUPZd2@uAEZY1!E_dF^v2XU!v{O7;28?Mrr+E<9lBn)XcbY@c(W&G<7rCLL7D zA|oPxy?i}C=)~MpnInBSb)8V>?)AA+G`dr{Wp`+KP@bDpN__B*&e_A3TiKlKzTh-P zy-xE(mT?(x_MoYro2c3*E7{{d-=<>4)6_3Vm@019Za6-}p?u-()GgkT*lUQfbZ-gv zk4nfGpJATz?b5Hp&Sc-V7@b#KmH$h4VX>F@zS7+(4RW#8akExEaE({B*|F3+t4$A? z>~dW7h14qk55@dj=XT9^No`BdUhB4gXjM+O_O9`O#D;#S*M_&Ll%an{`xZ=_UFqHG LnJ~S+I_ToR@6{R2 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/vopthd5xvd b/bsp3/Designflow/sim/beh/work/@_opt1/vopthd5xvd new file mode 100644 index 0000000000000000000000000000000000000000..090b907e6050d17335ca2bd75fb99c93d9479ca6 GIT binary patch literal 248 zcmXBP?G3^p5C-5wsk(t%NQ}k_+yjeX+o;7rKYrQ~9MuJk-g}b3!wdK0Zm$)c=)V*d z(-_lL(_$i4u|ri_aLNu~9KuIELdIHR9KuHmj6?W0D=-dUfpG{u{J~y&u;@yItpIJ=RGA!D z6@&m4K#>vwT_^>HU2t0p>S%V0057&UaRSZ~H7`=*tvZQkOnm@`)vHSb{<}K7q|?qZ zMyH(Kvz6su1QqX_;sx<2$c%^F^usi|y99#|KbTcv<@@^KTl_F0?cA^3q!;dT@IE^dBFhQV+Yt9Sd&2 zUj3wUabeKRH7D9-~@LFK|nLO@?vmxBszz#_IiJUMX)g1)OgZYwyqLh yaU26b%uc;LwPR}7P9XF@YNVLY1aEGZg$t8I2QP({np?>Z;eik2DDpzLBW>i)Dw!4l literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptiaxeg9 b/bsp3/Designflow/sim/beh/work/@_opt1/voptiaxeg9 new file mode 100644 index 0000000000000000000000000000000000000000..60981f663d61b699c6037912d833a14cf56061c1 GIT binary patch literal 1838 zcmZQ9IK}r_=IB)^{gRJBs`PZRNX5LxJ6IVQ7+9o01Q0VY=zvKE1{EO9%)kgFL6}7v zL;x{F0TYl`0%B$c5DTn82FO4tU-Z(=MqyV8u0LWto3Be*m zkx39_49Ld_FA4#9_FzRIF>ttn6d;Td2J%?+K?D#(tQG;%jzG)|76a=6DM08E1@c%7 zfCM6}#eh7JBBZbeDL@#CB?3VT5Qd6_lp6vGgrO2Z9!L?Ap&$i#4FxGc7z)Y`EJi4L zutXq80YVQrTN)G615$v{1J0=?g!F(EAoPGUuPGrtAO#3LvOpe_1&{y%Hc-@no>VlIDL}h&1GFr zwzBEW>puL{n7@wMbZSQKteEK*m%^oHaD-0O=ULj)n2oG&OgE0)}rZm=RtuG^~FV-mD!lx zmOuAPpS_pCZd)qf?fd!1zjbds?q>I9{*(!RHFtj9I^8Kg&1TUC(+iIZs-Di<@H{0? zN$Ti(tKZR!SegVKru@%iH|m7Wo3JIO>~n=dQoC9qr>lYm&WC*ihBFzY52*m iRxh}>GOO*^?LgnJakJ)3_KabhCNMSD_gKYK?HK@kG?!2S literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptj4v2zc b/bsp3/Designflow/sim/beh/work/@_opt1/voptj4v2zc new file mode 100644 index 0000000000000000000000000000000000000000..a5eaef7e63319475e2cee2ec9956815ead3de500 GIT binary patch literal 1167 zcmZQ9IK`I`b(c4B`Cp+VApN*0vy|Cq^=?)M1_l->5COyt3_4(vfk6dGGczy(Nf2g{ z1`$9EQNRSGm4KL;0mK3;kO49f3YdXBNw5MC3#>p6MFB{uGFSnK1-3>N$N(9~z@W$g zc9a^B$p#hxISRyb1k)hZAO*}I1t9Yo82CO2GO+_`7IhE-5&?Sziyn{ykO2@q96*{y z14uyZ0WuglfrKs)Gl9(kI|QTvVJH`n=LW=(&;dIHqyS+kH%PfAkU$v91LWxeF_NJm z1$YewDL@#?3sSCyq6drbKnf6g_(00F3F!eTKt0|7x}hkrqL%6$!BX=7gWez-=v!UXLenvw#^%|JZ_%7;Frwah$S6T zcXmC>{B!d`xWLBCX>Dbm;eON9cfYK;9&ql)la@Og4-TpE8~Zu0MfAK!j&xKLDoZ`0w7N3xoE>fTO1GHX2# z)k{99{*pVv)M}o-_Sq+*D(xE|yT5I*__OZ}OUc&7)h%29u0FHP;ga3=g?ZPk?rCLa zKDqy;YcaPe`=r=c-{ehaMO}Yv5x-^M!8UoxGcUUCJ_u*LEA15iawe;Ls$mWQNvavO literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptjm4x1t b/bsp3/Designflow/sim/beh/work/@_opt1/voptjm4x1t new file mode 100644 index 0000000000000000000000000000000000000000..0058bd6220f95130c15e4ab88cb2edd32f894594 GIT binary patch literal 571 zcmZQ9IK@}^XPyHh+9~8YbtB9+%GOsDcflVxA*3B2&E`YPiH?hQH66S=kFiu za~Hf>{5vE=!E)`=-$#|D67841=NBrR zRNuT-UDGpluWik4t2b7)4|R6xRegx;b4^YRyznX3`)u~ntL4P&HK}o*k-ckP)wx)S zi#uX|9`=>+)w=e9mv?67#&#Z^d9PU&x*9IKUU0hF-QDbd@6w7qM$v=`37g*S7GETs zyXc*ItA^C#Z|SZ#Pgg4D*PSEF&&8Te_kDKhJh0iI@$0hJt(Lis5nrrsPq@eu^l!%Q zS9b-@m72PP>*N34muGfm<(RWSVEXmiV@;Tha_07$4F)0B6)sz>EN9t2vO3NP E0ABgOuK)l5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptkihv0f b/bsp3/Designflow/sim/beh/work/@_opt1/voptkihv0f new file mode 100644 index 0000000000000000000000000000000000000000..e25688d449a8b55df28e0569b19c0330cb181890 GIT binary patch literal 572 zcmV-C0>k~&?_vwajn=rv){WM<$GFiN!#Edmv``w)zC!3fJjIZsILcuMFw}!aAm~Tc zX!E^`OJ>=f7Ev3t!*uDi#;KAx%oAk-nJ>=NJ7*-RLaO`GwpqP!E0C8JsK%(}d zzyz%nz)2cfz|(Z(z~`yBz>gClz+Y!F!2gakz`tD?z(4zAz(2OMz&|WGz&{uQz&~(Q zz(1haz&`-_z&}8$zg?+#z%9&SHTWJwwk5`LEDOxF7*^ODaP07YpqQbi0C58aK%z!c zrD~{y+Ca27~Fpls0CY4??fKyZtpdlaMWwrH9RERl3j7-FgWaD)=Sph#sVX}|w^K;U0n zdo&6ewkXKwED_L#7-Ha7aD;&6ph!WJX}`A+K;X}hdo(JrwkU|TED=y57-HZbaD;$& zph!WtWWTFSB#f*K2v`}+Q1Eim;Gm`XfB_4;Kton8tfGW!-^ZA62otMRoVdym!-XKd z#!H>A%-4Dr*zdJ>@SiKEpnn$@f7J{FODC3_N}Jci)OPRA=&hc{kQ;r)V0XIG=D=Hq zM;{}WZOW;Sn$#2dbm?cisFIH@5M^HzV2b{J={VEWDTOgS5=xAUWYkz(i0JX-P?4jK K;9?F&TJI$Iy&9PS literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptkm1v6j b/bsp3/Designflow/sim/beh/work/@_opt1/voptkm1v6j new file mode 100644 index 0000000000000000000000000000000000000000..2239bcfe28e90cc06b0deabbe47cbf87a914906f GIT binary patch literal 171 zcmV;c095}0aLNu(b_2fJO?Csm^~r9)Z`UWgxIWnp+C#>zC1kO*^-2H$uiWzioIT{n zW3z!Y0(C`on&hPe9$$Fl1h+SZZWZbU7ofWm1o$c;yarXn(l@DczvrN7fr*@#>$K`z zD%~R84j-2C05B+qfSwgIWVoYawr}r>#I59-*#4ZnzL@;yr4Z{f9l5&tArnq}S1z^? Zb4Oery_7ctMo2*=rM|m-FQVnE*zesmBA_dvv})_lAEa zgS+29aU~W67h}I71-~jRsLzskCpG++RHXh2=m!3P!x4JXk^+W02R*51ky#W7&qQ%U zAL(y0k|9s#mf1=4BP%p?g0P*0RQy)T>t<80H@CU=tO`+ z*$rTo*HjHj3zCtC3JyN08IS=00062Hq6h#0000u3tFt;arj8Fa>w>XX90)YT#Y|lh z5R4QRN+G2PO;cJsqG%Cei~pq(uU;3nZlNQ5cdR(fR=Z001eym%so3002oC9fnYD3OE`4 zfdL(3)C+t^W@H*FM^JGA0000t1$03G0001d21RAtTe4sqdfK>=uSu2{ne;kcl&4&Y^XdT2k|MfzB__5^7W!!)W4LZoyj3 z0d;FVKlPi8EB+s=_}rml`iklHt0=OmbVi^#b|;JAOXmgwCEp_A8eP6KSp^3!1^{?p zk{)N9zAni?kZq%@CX2I+AcVjVq`%jFj(B1(~;8@@TD z8@l!cpRk_!tf6IvJA=J3A0q>5sMK+NO!_KDYi;Bm(L-5El@Fl60UG9xVFFD}9VBtmKZ=BH@cuRDmgCMT_2ZWF0y4{&tGZ zAH}nJR2aZm@693Phe&ck%%#I(d@1O=>e^!A;bO8Rnd|PyCW`#4gt%RotQ`^&_{6p~ z5LGIjnuB*iW?0^pllO`2QBR!qsY692DYv&G7z@Wa&x1x0G@Gr(iQsMn1%YdhuzUrZ zN#TcnD2(|P0?OA&+3gjd0$o8Dt(3c&481l!$o%n5t|qSlcKCy;ywP(_wABdS(b1#P Bkxu{s literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptmrzat9 b/bsp3/Designflow/sim/beh/work/@_opt1/voptmrzat9 new file mode 100644 index 0000000000000000000000000000000000000000..fd9984a08d73eac288dc4dff807e9d46304b656c GIT binary patch literal 224 zcmV<603ZJWaLNvG_}(YI(q^u6_}(Wb4&VEJn>c*m#Nm6rx!RsscMq@_e-i4zSM_o`2tV3i>{4CH1GBde z_@FLAQ>(ElV*2z{fF=XPazs0m`-|`J2Xuh0_q?5^+9C3Qjx##pEgY?tMI$+uOrv(& zZ-CyGG0@%Mp>|l-d;?M<#Cq&Pe;~$@p=nz{0`%5LioBnYw2GNIXEZq@E?(UA^ck7n z_dfppYvY`${#<^U8T&R;N(aAT!BpWu{LOg$T6L#NGkiXKV|YJ>%^VW zW;5>0*a267u|aibLJ&|dRWA0(2Xu4>UuXLX)I*4{>iB>M{*oL=4k0`l@X+Y=qg+&qCP|cwDlrS$~;V8hZ|MjKfDc5o2Q=qF*ha~Pg*8*4Ulg?hC#1EFK zTp;)L$@Urv4<7Qfn+jfsu-JD_!R{Y;k>Zt41TVq0U5b@jy&>M~I_-R27LOgN#jls9 z?^BDXzFPJFJu>#^w-O;}UkQc$o|l%n{N^W%8WqaS8Q`TtsFJ~!1a_`n0Ws`n8WAv% zW2Z@dPf75aH{QuwmplMw;~v#S*Mo^g z99eVo5LXOkfsM}b=MHrfjSQfbDyQ=Hd^V)_kJ!(nG+!r;LYO?n5;=sC&GJ+T1+W{W zLa`U*_0mfkI62q!{7Tx|fnN5G6DK;*gt(m5;V(e$SM+@<*F>9#<}hOJ=TQsdgEl?c z!~k7eXw6@O{!oQS(d{F2(p?P+b}8>C!#~%N!}B2Ct4wXS3cziVLTt>K zJ||fJ%N8hlL9@qQ*7)eSJTQe%RY6NwBCVS zKwP%mlTvh@Hi4AEjVIU)lO(jMFO|-6XzEMLvlR99#btcEyl_lE zrb01A`7u-|q{05PiLH*uRD{e+_%aFDQ>L)vccwQdyC=G12y~v#UuL%o2$XwxGbs=O z)`2I=ry^jyz{7G58kb)8HU&ZDBPZl0f5IuyXx#IS$6niBLYU5p;$ZvpTM*_$C&x>TdN8_>qPkQ*hZ=?nG>;fEwmTa8lMcRy}lIj_^S7p?w4xB5S_kjE0jsg zigLvO*791EbujHGloxHw9DS}lat!3O#-L*Xr^uGa{!m|;2+1H0(PI=JZY5s8`0J!c ze>7jVtk1iH#yGqK-NjD(#zVo+QE6CZ?(}dnU-{H^UXp6wm8((UKSzo=Wrx3&^50yY z>per|uB8wag$oN3uDSCr*6EtXO4YidpmviLihtlwT4qQlUn!%VPS%5U7ZbcQ=iY(v z&nC+?)&RV{xx>{qis1KJrCO4`QA^h=;fjxl{-2c~R{r;{=&5}F&?Ajq{h>bCJsrNo zvY^st91YhevDIR2eT6U9gxtDR@&FY?&Y;lX;dVm`vN1M^AhF;Z*YarO`4}E)V4B62 z!&H8q8|;t{)gxM_$2Kq`Yk31$7|Q`cWyGQO9IJVZE=lA^8!tyBW3_FL(=+{hl&UJw zR=jP5C8Oa}EQsvU3YMI-U+hm?=NT<8QJbjbNp}xVTHC#X&Cx3-ae4Yj>_iH@E}x#Y`+?`Dbo7JGmO}dY79h zmuQ$>N~aqPZI7RFWPzs;IBnn;<1;~IMSg;z1c{%RXQVK9C}5aXOc6NL_pgv63TAys zmK0?BznwzVokIV=Q<%C__*ndEh~D^jx8EFveA;Uy-BM)pLabTCg3gHDK%;^+`9fd3 z#{B4wAYJ$5ElrVNjrkQ={bUs!*|i2(=_U24Ew2SMtCB#yc}-W*HNRJ zLb1}QtQf?9h04!Ev&5s8jvvYI#~rPU4&zO|wT&nB+&|A)${G7aEa~GPkzUFjKT()6 z(EZ7!D0c-b4txwYB=75mAWlba8ye+~q}n0|3Zt@b%^%dqA@)~xa?nG@`@)+Td8@On zeUm3)2K(}F@dVQV_WyQFs!V-R(N+pwL9~g`bu-mLNe<-qf2TidKF#T6C>1y6`cS*r zg0~uZUHU$|fF$siWy2;mRCGDU>bw;HM;5&2?<0N1I`>1u6*>JvmDpF_Hvj!L zk3m55$wplW+a9Ah8Me-ORg!T%+vHSL&Z$($JZtKO zSEQ;lG)<2<#h0wsuYgI^IG7ytu$0hv@Z4A$GzJw-+Br#yN^<%MC~nVS=#?5JxR4_@ z>L%xml9Qd5wN=AprFV;_c^8Fo6EnXu|M=~xY$Rs|Csq@{nR(bDROi;?Hj9|5LU1a9 zefysaZMCeYx{n-l{~T`k!ux;jRRM%b;zk*$WYjLYYV)c(M*uz1vZ+z|I4+7yd%N8{ z0qoidAh@=i4vZ&_QZUhLvjf&mez=p4FC8yW*Xm=I*Ps0nkeJ*Yq^tbYUHe=M*D|Od zNv;ZAtQ%=GR+K0|)L_0)_AVa}5itC{Jm82xYaG_XHx@I(d`)2C#Zd|vc77~t+F+CYY*<}fiQA2~WuTFU`i|R{qao_>qlZT&D z1O#*suZs?S8jwg5qwO?&%RJ(AkHDRyKLw*CjyS6nFgz8RTixCnV>4U#QS>`a4RCgr zW)1}2{)-G%+?xLRtKOmEByFwm3yYRTz6=^;#1e#Jt(xa^5JNema(aceQZ!`8V{y zgsv&uS{BVSG{R>TkH`b`3{c$cqtwlDt(e4gIMVkrlrUt?k;zr zno_m;AwJ4C##`2(I{V%$cyG_QV%zzVKlosp)_cIj<={p)Wg=U^&)`qatTsD84A0hC zP#kN!hHxleE5}qaz>j{lK52wzC|LBYSNpaeoHWH|%j@P<+NUpFRE^zXC9jx&r7<_} zfGBUZp7afxg1_~C`Ie;2Lh2_cer;jxt)Hl(n9z2>3!Vpul(iUCKc##Y?Rq-H`eL~w uQYzfx?XsO=Zgl*RN7(2lzT&!~%C`#l+<}wI+AwFe(l^n^lFc@yr2hfWHObKc literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptnm1zts b/bsp3/Designflow/sim/beh/work/@_opt1/voptnm1zts new file mode 100644 index 0000000000000000000000000000000000000000..6da2c3899d4bd6379c1c956914eb775b0f491388 GIT binary patch literal 1033 zcmV+k1ory@aLNwY?h?M*e}tUc?h?Kg+g-xxRcv>-V!KP)A6cynRhx9bN&u&-`lbJp zD=Gl;qv9+9Vb9O?^GvwF)W(r$09=R!mI4G}n-j4qlay|RKSKbzGGRCaP>0!entdtK z-c;>7z^mE01mB!B-USlk_99?EhyB!p`VneT@D~PKe`odwB4z=2pFlYC010oA2&xx5 zXbJ6tQz0hU27?GNFxmhH@eG&E7RW||3OyxPTr3EmhYPrPZPG`@5Ps1H1Q9Di4jlCj$-}zwM+n}R5x0Dl*%A+!6N5!5 zT1gYfmG)c|KhA#a?-~`Ft|I9abCbI`yjB*WYnQqdE~syf(i>*3#PtvfUBJT^e>~g9 z5bkUP+!!grb`ca0N-Pt?#IH;Xt;<-oiij1jkVGV&-5e~y3X)=D_P zec)9HaY!kZl1Hm@niV}tva=a=I*Fx-O(e@23A1n`8%{O{cN{cv`W?FxE;q@)S^gW! zlPAy8b{H^6doi@W5XI}H;QB*>q%Cf5zP?{3wpsP z7Zf3Lnmwun49-evtQ5}2YICCN4Mn=rq#$}sB*<@1x8>SM8o`aJ+#l=8kgpn2_|}&p zBYe=oeFff%3yG}~*c5$$QV1+q45EUjDj+?pU%dVp4ULaf_#hU9>rR{_f+~i)8VR^B zfFXwhUnv@)l;c<;e~%dS`&qI=3q~v9v>-@N4*TS73?$yl;uP{NtkBEX4bgm@%^;B2 zhlW(8UP|kD3)YV0?Gzc`9sb@t41vv)*c4)jsfn6L4Z?Y*oD?<7-n_y9a~{d`5JMyU zBHbwu3;OaQFBCxYiaV)g4Eht0`Qp}pgkpra^dY;%mp7hd{U(=6ZfUW z#2{Z5f7FFxdjQMe;RSy@|Bj*Dno7Lk)?SSM9x+qqzcp)KsB(X@az;9nHzb>Y5KFf} zWR4A=a5h9JfLZkrbqY{1d-Nu8aW4Y#8CQtpKzjBODc<-_{qZ1py_9dcrUL@$OW54? zP*B*pj;+xr5YRQ-G&e(P-#9@0y-NGnZ#ZTza}Sga5&o@Q`^S1{W-oIOh9*ZfWikTQ zpv;mwDEPMR4mzMex{jg%e$aZ~iF;o(@Z;G`;!zjRORvR{jzEZ^q1`Shr=^GLs` z=B_L|!nS9!Cr)P}`_9sGT4%g7MBBU?=9s7zC!LA9-@sHfjTmxO$(k@1W&v)6%#w9x D@I2e? literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptnndw8s b/bsp3/Designflow/sim/beh/work/@_opt1/voptnndw8s new file mode 100644 index 0000000000000000000000000000000000000000..927f9d44257b2eff5c337ec763835a1cb6b1a8c4 GIT binary patch literal 1186 zcmV;T1YP?9aLNv>9GvZxtgalK?Vpu{v$A|v4)(KhaFosh3gfYGU;_jIZb4@mE?Ymh z0K9SuI04;(1|DwkX$inv-?;#{oVeHm&58!EVBm)dAXU^?00w-h(*w;r2)~ZtX9I`x z1>Y;bW%mRYcc@|o!z<#yF79mUHui8|Nl?XwLDZQ5+47eojwKt>6C;{Ymb4GNFf9%~D#uuB;X;g!x@p2!Hjh*E?jm<@M> zk|YjdNWzs5bX}U&(On2d+}_LUU=aQf2ka3b!QK#+2?zq1r;m3h5-oNBV0{D#jz(-} zz^fBoy$#o+bO>fk;JsR~TCxjA6zXw(9InF^t2-XK0ssI2+JGS*7FgqVHUR(t084kX zW&i*H00w&DqMRiJjut(@z!yyoFvl2Pax^D3cL)G-j4#9`85&)F)0Z<9Jve)i8ukXB zYykiO0MOYBj{pDw0EpoP8pf|~Asez6_<8@E6}`TH_#C{3G-v?;004NCjU@m8007`g zgq*_1B%vJ+69Xe2U@_7ZR7nUPlxR+eJ0B^zK#*|&6yY~V9*Hx0000xjlRJE0002q3SzIL`8r1<>T*Cm zBvaMwSF(Btf2wwy7CLb#<`RrO zDV^C5n}oCoA4zteZ#pWbr@eTrk1L=1akdeA(p*K_MACTQ8`UXXTY;8_Yk$rK6tog%IO9wZAy8;bbkYBqPtFVQ^KF#~<3jXiFPn6I zD{0*iAkFA&@cfo7ip?Bf6fZP)jksT#2+>2<1{8&#Wws0mvc(%mBmqyDyFmB5iDfpr z#L!;7K8W$xP98euxk_%^UZck#M=ACfqCqJYv=Y#o1<4+o%=iKKeE|Z$c;ShyQSb|AX8J9=#vd=> zmD63O3U_AuExy1_58##4Sf>d`X8J9@!b~6FmD5eJTW4naEvmy$FW{BaO|x2eX8J9v zmo`Qy=|VR1ytZ7EPAX`0JXHcmPd4Gq*#+FQO5Li^e7cMjTcFz7#k-1k4&92^y%fKM z)I@_ccH?K6?c-r$aQYV-pV!>jelOKbFy_;&zLvn7($MnF>iM%Z(CAM#=J4-jgaFf2NCQa zC*yv-$NNag>&(czPrQ#pUgCAI9!2J;F4ZrHbF%*ZtzPU9_p^}entlR#J%}7poqa(5 ze~A5eGWlPbm+dFlbYd8P*lytZ&}JL#DD_&PN^1^Of8c!Lys<+2WL{EulIM^lgE|9L1(!9N!B$LkmG3wru-9q4Hn zt>-5c@2QwKUeB3$Pl>mp?=zBnic9q%{j*OmbEsD|KD-5f9A4s zJ1g_`Wk?vmkMVkuWy8J-J!SL0lB}m)igzgHb!Or{C*FyeH(IBhAI=*qx3j(=|EFUA zb=(L1fA-7D?X2v7xgeb%JCpyC*#Fu6out;NzA7u_`?};@d`WrR5p&P3_pIDk#CE5@b;5c`yc6Q>$E`g)ceR2a z?H|W)d%gwj9pzgvZ~FbNj^|{g>ad?vZxpu~q}YGLz5@M%Mg#9hu4$IR-YBUu*8w=! zF6(zuuBVgd`6n75kJtE1znT@|J;hUD0^@^o5Z=!`(u6c6HAriu0cmv3=KuNB&i-7o zwJ4}#L#;6Wn>0S2m(*4!#5vAiFuLEgRNTfqI;suN17{!etJ7zJ9mX-fZz`=Y4}prC z*#E!@G4F{ApOC7>0&>#Tm>!K3Ho0x-oSZDf;yhN zwJ_et=Sd6iLq#13*rpBuHMOsTc6@idu3`QzK_XQC-TQO%qhH_jXYr_7Eg7q|Yeu(P y-OxWOMt8kt8*3HM=yrQX*C?-UYAK=ijB2Su&**_sUZ>~lZH6KRsY6it2+-E1MQsoriUc%#lokpo48@M)m)Ih)jqRjv zmp+LlVeK9HvlK7`2pV_8At~WyE z%nL^t`|ZTw!XC=^m}6l-gQQ>4FZ<_7yX$lLVd{^dzf{fEI5aNV zK^o{s+XKM`2e$-n1l&aj*9LbP+^BKZWUY`+*%Uv ztW%!vbU$}uSx1uJwDmgc{0riF{cau7XN(pCjr&eJ;`4ga{+{(UZtr7%wr1-M1aCOF zJh&L#6$e)Ww+L>`!PUUE!M*9=THx-3d&|Ma;8wxC?cf%`JplKPgIff*0q&}UTLQNU z?p+7h21kdAT@TkB+%mX9aMvB&eQ?9z-g9s(;IiQOK%#lLXCADAyA1AzgIfc49oz>F z?g6+wxDOrNI=C9Rj~v_vxELJo&vZhE$7tQ~I^lhgA#zVnN-*L z%rTwMH^`R9BVay7=QGK!Kf0~E>TyioI#yt0j&wcJV*BeFsdlYkh&2hWT zUG{M7%iI+Y$NgjOnuANOFL^FuZrsD+JPivTZV>IxcsQJ=;T;b*1iu9jhx0W2(!&kI z?;8gqIl6{UeME zDxOqvQN@vpYxO9{KAMxf_UHcbx?M)UzJ-1d{So>z^jGKxw5HnsOvQB-H&iVB(wyYA zzwYk<-2h%kC!i;xPeD&ZN1-I9`#hk_I8e;(_VW70{!>(b;;U8rOF8>-Kg6#EKjDRw zSk#r*ehUsiSAFpp)qYFLPdM=tmAt6rwcoPGPwI<`kHp$<#o;HsR-fM*{DgC<_LW%o zZykP8epuCh4;_BGpRRVa- zUxRKzWj`OsIgy8!pm<|3zNlt^UZ3)Pga`ymQy=6?<$`uSL}0BjsV*~YM8Z24RgOsjoTHQca+b2 z%IoE6n%ewaR;aSpi;*1%L(11%s5Wi8)!CdKuPOnSBY>~Fm76VdTrsm{8=uR~a$Kea z_pfzc#ktuDE^nFH3FdFB`V{XfFP5jRe=~O{X}4-3_U+`W_WC1JrEl8Z`Q|Ndr)rwF zRNh{1JxZQGJ3dqP`seDVy4!!swm%=4^6q@Y=3Ay=ue;-uJm=0w$vo=hBg;>hlKI!k zS8Tqr#~b4A&i5&r@H}prQq|tisFAVvf39Az_otF6 z+I|S33hlg%axY%6aiKw<^uzdk<~4iY8s%wwpQdK2_P%5q^-0UoLS@a-LS;QW kU$Q=il;EMwoOOPfBph7M$;iOKz_J5Ka04+IfJ7J&A|NgsSd0zGI#ZWp za4D~8&UYp0Nv8jTE2Q4-5%JV|Wh%2KVzT3kZ85E7fA`Mn5YlTvuj!Lz z&3){fA#q)iscX8r@%OHaEta~=Kk*ZAGlvE?iFFU(3f_*7|IzShI1 zb;VwOJ12L(uRAtX{kv79OZ`#RpQ}_Behp^YE@7wWA9%n-R8R5pVK3X;RVy~mUl|wq zc%v0re*XWgY^L7akQ@>mEu3D(Qt0Yg^?;=;!|HxX@`JuDoKrRa{$UH*FEinIpdYJg K_48j#-Q)p4!Nh;Gmh>9BN`3 +R7 +R12 +R9 +nbody +!s100 9k9n?Y0BCW@M9E4=S3GCM2 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptwkkqyn b/bsp3/Designflow/sim/beh/work/@_opt1/voptwkkqyn new file mode 100644 index 0000000000000000000000000000000000000000..d0c608dd8a0488c4772951e0d561b67042c63ea7 GIT binary patch literal 2359 zcmXBTc{o)29|!Q6vCNslV3rudU>M6-h9TKS_O&cobJ0S`!zHN*-Egy(of|1a8cVWF zWFO0oCLt8EWKZ@bJoo4P=AUz(&+~o1&+~e|-|vA2^`WM+fmE-FlgGL+20F#KX$gt+ zmhfVe+TdtZYvI_0APM1Y&~ImcU2CbYM-1-4qhLxKeh{`+P8WZ6?J>s~zyL0axEq@jSOmGiZ3Imk!p_O4ve+RcmHp}I*w zXl_8`zk;>#`8)%?oGB>rjZG+TkSOy|+T5sD=n0-36F>Lzc{ zvTEkGBM{&W_x^`iG$ue6k-lUE^xHUZ6)a-Q;;rJ#@8hPqs+(aD2t=~)ZS^T(A(JBL z$zVf0a6EZI$|409f#g^nfqP@r2ZGphd6GRkz|h3U!R-yas3>(-;|#7fxKdB(?IEoJ z><#4_w17R*j{{pYMB$roOvjPt+gCU=J*MXLb=g}PV93-Y;VKv^zb&7wm$Z%&v078z z6ZHwsCLUS=vy)BJoeVL2fYE0yW!x4lTbsb>d71+DJ}*&Hz#eg?k08b7@k_jB`_QZ_ z569nJ-7axij=cm7g$E_$z|gpvOab?CH;<(51Y0Z78@hF+1QnD_Fg%%KC zmgBwMDnt68n~1~jwScWn((kcQ64PyMQl|J<(~@jX?)g{pRlp2e5ry0>ml@KiHQyqy z{9ocx7mm#7UN9GFQY&))4G{!07={r+ZKLKnL;o;QZ!c+*228x=>Lu(;4ikC;7I4y(0L_@U6f6A7@Gm zYiSVEaB$3ohiB7Ys$c-`oL1 zcx&NVW!=k)Mc*ZTxXOx&1Fj)7AYk6s*WnV+eW@5I9SQ80!wQ4?od%_)iMzG{1(mfb z!5(Zv@(VKxl|ee#7|OmB2B;txs=5hMINznPiQ<(;=c3My>Zx- zMPK&KqbNd}!{mQxXQD&Fu@ebH!o_B25)6|L+d zb1Tj;P)1fLnWhbo??aSQQlk@2F^U_^&g8%}9@`VZzaS9nZKh`d&wpcnR;41t+Mzn9 zExBY3MqUx92A3~DULbE=OYnJSsciMHdkb;RRgvkh>iof=o9tUTqC6ihtldP7X^+=Z zn`ksnQJ37I+x^W^oe^i^_BP7tOx3M%U+|7DC2f3FGis4s_PQB8tEmIu8Env@(7txR zgKIZGY1Qxqq7!r-UK=C>-f?{I%!}leJccpug?FA51tW$r+Es`OHcL&F_Tpz3Pc2Z% zLEcd0$v1i6?!j(yjBo@h(Z7|_2UiH0{6&3!l+$}ZGaFJFe=puXvCr?Ek&ESM=h-#= z7abpTH4~=44s$qb@ur0e8~;vt5w2UebLq0`w{2779-o&l%YS|ug-9e;wt4N&Ce|X8 znJYgN=4C4;vS(V*FYViJH*Q3{SG#4M7~|2xb^qE!9u*1SZi`p=T?>i=PC&CykNF2G za5Bwe*Dm6QDyL1xdH6*~PxrSsmFXk8-o{w1jFe_-Ut0KfnndJks%N{<)f(qr+xtJi z(57DAS=!ts{Wu@+{>f^^K6D*2?05Y6dX*RbNwdzEio=zLA&Fh7HUur!aI;F=N>zvB z#YjE-hAPKJ@h% zt|Qi>eel~;jPK0;xhhZQ)jb*J%4A+-3Xr-YruiFO;Wm{azbAn5=>rV$u{sMl- zk9KCFLQ(Z;0n-NlC^_ea#T2DDsi@~nC!S4jn`fPQ1m3aHK6zK)7x z4+vz~XzE_AI`*ITs@MyT(5{oITG_byWO8zX?SPYt$?KEpoPjNvELJrFljjWWz+~|? zoo(a?^jWrg_Q*O>sqCoaTOD=W8(z4_Pj959W3CC6Jg}FLyK4ID-h<%_B81Ug2VM(x~yy>iaMy^yP zm~L{^?}nhpVKVuZ63jP*#S56NYZMf+l3sSII>@rTjAS-xY?aC4{~Ju%%YuHz2cJ5W z{DxIwK|4Mf$+5)trMwCOSDGMJu-l;OJmb%Ad2-w>y}AkSrXhmaU$#>DuFWSBIjtPB zQ{m-7aha^oYi&{lHwfVXO>Nc@fxerx$_j(Qv}6y&jRCcNTra){G$EAd&z)sqOo`Zm zD|)yVChkAPyt)t*fpQ^2jA?zl1{!o0Z8C{Hai0h0mSc}dz3}!X2V)~jIleO@cPxju zYJJcQaVi_v$kw2@$+SUrG?8v;uD#<;2PU>7LR>j z>Q|^X4APE*>IlDG1 z3arlQnMpNkh~;}et^pg!7a#D%N29JmrSrWSEL4_x(jLr{kw7zk_q!G)`#|B?+ZZr} z68Me>WqkV#RC=*DL_p=1Q(*CSmw4gzooTP;HLspKWN(S3MaekOy?yK;@30H?1yuWd zU#Ws>UBbmFYq+GX+Y9p-XS$G5^2?en;IlnS`n=TDJT0h{id89tN*TU(396{HbZ<|s zGSvb84fJ<9=v7$ZMsYwj-Gs%+ScWOF%HH#Dmy`3$?(LA@#_JF4zEGfjyt|=zFXnL! zF_JT*o_gq$^XFn39i`H|vTqo+eAt-9Ek#qXA2zZ?4 z%fToSp`72+5V?r^+Xe>#CT9bz1a*iqjduzyGGIz6AZh+G#&WAUHu<+ zJN$N)J$H}(w9BSwJlI;q4X$jK6a&BPeyDl&)9&ldS15`JWEU^Bt6`e&{dnVtT_8SM z@`qiKdo>v7?`86kT{EEBY`0E}l5Sp@{y)2br19`iyNHGksQhWyBL8~REacYmU$?-2 zyT$)ow|!T*LQs(9R+%{Y&mIECrb0pO0mfvz#Qv z&d-TCzmX1Ej5;eDVH~_U;9@5yv=%C3sBV0%X$EEB9|Y%bc&tkja1ZWVcO}=aM4!Fz49?57vth=pJiDi?=M^p`XAFEk~9|`HS(WD74GI=1% zo>IWR88y^?LRhAc zSY0Gb;lc?z?>Zmltn4+*tS^cyJglbiPHO$I{D{l9pj&q4)KlwvMRN0-Lvhrm=0|Ci z53lJxk21#wbA4|~uAH2+*L%62q#3T}(k3zl-$~nJe(9)6sD>|$U)nTG9jotiQ-JMg zK@mCH?TF%E&&ZLO;1g%=Fi$lVgczK2j_oS#{d1PHA#1B#BrLE(az(|0c4)@=0bycV z2#1Z2%Ln;o-gdCD-{-_;x zY$kZ;7Je4hM3%-3Y^a8a1(K>xn*`8Xh7KGpZ&z6gWs4hsLU>=HkdTzy zr)WP%__+DRQ0ZF;{TYp2JFo(k!Wwv5tkaaFZfAXff_7m^uAUZ$v3h@){LF_r7+if{ zS#`aIB$P^Y$f!+LpxQh2m+&teWRf4xUr3Q7Ka5|KEfzOx+1AL@^rpwO9viaaY+foL zeD#+tu1m6PR$X*Mr;)bm=Z*yAI!(5hl=@zmIhiCsZ3hRn6MUq$HjhQ5EwTvpff}oT zL2t-{pQUiuhcvp}cOM^6<;_rCuTPqQ_SCc=e+R9~zn9L3OFUtc=ojRE*n6n1=gNW@ znXzNf4M;qlbqD>vYd(^@5QvYZPZ3J70^ejO1qCh4uT|%LBuPu{nMApM8Gcoqug;ZF z9qmccD$3}NmYX|8?znkk`l78ceT{1i(Jr=}=hY&ePMI(2&L!N=401JmnAplEqM!Rt uE6;>mUstj6!Oi1MLbMs%2lN@jWWGraUVdogPeVzJ:4ozHZC=^hX0 +DEx57 /homes/burban/didelu/dide_16/bsp3/Designflow/sim/beh/work 12 board_driver 0 22 BVQhR;nY9[RMIdzNk_IKJFBX0 +R19 +R20 +R21 +Z56 !s100 V8gLA`OF;iU;=3TA;meWi0 +Evga_control +Z57 w1256832987 +R12 +R13 +R14 +R15 +Z58 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_ent.vhd +Z59 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_ent.vhd +l0 +L37 +Z60 VX7Z9HAb^YTj]zEQn8Blim3 +R19 +32 +R20 +R21 +Z61 !s100 2`MFo[_0ahEizlfnSiWU]0 +Abehav +Z62 DEx4 work 11 vga_control 0 22 X7Z9HAb^YTj]zEQn8Blim3 +R12 +R13 +R14 +R15 +Z63 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_arc.vhd +Z64 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_arc.vhd +l43 +L36 +Z65 VVP;2WF47fQPlT[5Y@8BWT2 +R19 +32 +R27 +R28 +R29 +R30 +R20 +R21 +Z66 !s100 _K^9LTDS;hFXmWo@eB[Y50 +Evga_driver +R11 +R32 +R33 +R34 +R35 +32 +Z67 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_ent.vhd +Z68 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_ent.vhd +l0 +L37 +Z69 VWM]N=KVQa>:4ozHZC=^hX0 +R19 +R20 +R21 +Z70 !s100 Y=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R33 +R34 +R35 +32 +Mx3 17 __model_tech/ieee 14 std_logic_1164 +Mx2 17 __model_tech/ieee 18 std_logic_unsigned +Mx1 17 __model_tech/ieee 15 std_logic_arith +Z75 w1256830367 +Z76 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pak.vhd +Z77 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pak.vhd +l0 +L35 +Z78 VHGKInm?j6h_E?hCL1=3Rf2 +R19 +R20 +R21 +Z79 !s100 cALhF4medJd2ihUfkYfd2 +Abehaviour +R41 +R32 +R33 +R34 +R35 +R50 +32 +R42 +R43 +R44 +R45 +l96 +L45 +Z82 VJShkSggmBJZ=6^]R:M7840 +R19 +R20 +R21 +Z83 !s100 UND8BXL5*8Sy%Y z$N*p&V>%IMG+G8T09eL^PQ(R`mca}FmKi}OG6jv+V8)alXVH?0=Wt#IfMpbPBCcq( z3}yhZ%t$(ssc5teW&p6vC_0g8XtWGw0IuOa0^mvkFzGnpK2lNuTqyu1 zwFd6{igbuC3IJCMfJw1a5kDy@0In1OlZspFyjP_7lV_U%Tm%4D3V=z)Z5U57`=W-B z0^mvkFsZn82T4f*aHRm4)E0QKloSA03V=!NfQLv)0dS=NnA9G4sFV}{R|2EI^A3V1;ys3hOGyE6r2v=|dmM?7k^?D_}OgT%KCmMVs>*<+=<=fD;w=VPZsffcj>vLa)-(*v5sl?ol|_A zOFv8T6s)DbJtMf*5 ztL42%dvA7^UR++X-R*SoX!Bo+ zx1Ky!pmlTaKGf-!emtjZN#dl0<0hM1*YtQQS3CKQN-pzj{~y11m$zE=qTpfA84rJ5 zdqTTM@@i9+f6*>$vjSy_fBS~@S&c_^xtSAg_s(m4XW&8Ak+^_V<-r9_CU$uinwd(k zl!})d?=Gu}Y`S&eR%X?)-%g+PI{996q-o3#ZELGHzL=A?S3l!}vGU316kbu<+%X|0 zXB~%qe?Roc=0f%7UB-4rdx{SDCLQb{uJ@+z$eFtBTz~z&KemR?zhkv8cvY?9_rUWP z(iO2W8KDn7;_co)Jl7??45UE zZ)>b>R#0HWme`f{U#4eWvhl8-rYqFip3J-4(0?iYkk`||hRqq)mKOA9@~~|qo;-C5 zn9#JgY+%%dM2D~r_2#HPbL~#qB4u$wN1Ohq(E2;si5X`rXDGTCUa8UV4?SRWtu!fT zOYPd@mD?=OXcA_Z$|oMS@0eL$yyf=duk)!7*x~Hj>J#=<;ofPMYhR3q@tDP-V0fu=jq3KAdSXoo42q|IW?1=imF^e_u`B z%q=y_e>SF526*67oczSW%x^gqMbU-`5ENz0AVpcgl})JuV$eni5EB9xT+JZZ6s{P7 zF)WCH4a|TM;EEBK(Y!b^t1! zQ9ztEfw3_~oV6xX#F+~z+8zL>Q`$2Mi1SQfY)lbn9x!?V0)$c<6c8J2V6+1Qgwh%m zkQyCev?IX8gTVi00pQ-T7$0V@Q9w*}f%!sAynxJJqkx#sM!7S9%4dKAQlkfqjVbaB z<^ZEz048i;p{5UjTQH?J6sYVC1>`>X-qRugV)`yHeEL&NQJ~Tk1;ms&pzlFS<-jN) zHF##(m?8r+r_}Wy8;4V+;4FxKDLjk!DbF$qaMFutnhOfaCQxvE)MFBD8qud=p z<-jN)HOwaoQ)FOswDCZIQ2NHAfY?|7qdgHIl-8ht)Chpl3jtIfcNCBsOJHnFk#^@z zrpR%(f)u?70b-&XJUe0tHj`tH2MUNY^A$t|shJPV>+Kp8kQ)4a(Gmm*rBh4bFH*xC zl*K5ApE}bsf>Tzj?qT=9*>iP;Zc?q;MeisZVeD3Y z@0MrV2Sp~{;b(lyi?`)kh~-vJnZJDOJnqzJ_&B1zFvle?w7V}OLH~+0C0Ufe@5f*7 zzwDR~PA zE$U}6zQ#0V-Pxx%wW^kReBg5|?Mbget$)w? zg09^ezUM6Dxp8(px8%NaznQMmb$Vp?Jg)g{Ol*8|U__Bw2%SXdbMI-@Y|f||7zp7A zjymd`{GldZV`Fw&VQ)n0ur zWL4f6xVC;Y~9dQ5OFj%_|)Q(Tf1~V`Z?fki9*_QN0Qo9FS+5DGhC~s>m_K@+w)C= puCVP3ANw6$TY}4bQ`7%?F#TAaLDRz*BP;!R)B0nxOyflne*-nu=KKHv literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptz2bt1c b/bsp3/Designflow/sim/beh/work/@_opt1/voptz2bt1c new file mode 100644 index 0000000000000000000000000000000000000000..6057444391aaedd17b474302f04835d4c0f7a55c GIT binary patch literal 773 zcmZQ9IK`JBbI3ho@1?XPAT8EV$ida){DYN&fq_K|L;x`ZgASNvU{C?l%nXb`5`=$I zp`1XT8xTW$3N{p^0A{En6Bm%jqy;2EfDNPo?6zh1i>^#l4*3>l^Z#0fhUHBbt{;)} z9lW)FybyKO=3KGkptbgO>uDb|@*1yC58U%nzx}XOkaknxMMn*;yVJ{7p65NdOvXWP z*^R74rq5ReIE2hQv_o*g#i!CwX20!y{9$*sJ}YZijN;dSGsX0oA8M|f&9w96QMcoc zzLQ?&O+ESEo$bwQ;`K`GuVYzku_uf-rA5-J?kYn`OY4d+cg~rxE;L@M@P2vu*+nbX z{BL`CPnL7#`j+Q@32&4w7q9$Nl{3>iQR`z?4(rO-%Jz0o)4y^!>|Orn4aq*g&L-8i zd-a0P{mmORB)K>L{MENil(l6_#{CDSS^s8N_-g!5Hn{Q8iEnrGi(f6GqFo23^6ie< z`*!BWn2-7A*G*e^$MWeh`+3J^@9x>*BJ<_2@5}=Q6@UK-@}8=?HMdPxGGtT06c5h{ tX74uNe5iR;>7AZnwgPujK3A939j%YXcGF^}7(F=fO(rzTtZHAYIsl*X@w5N{ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptz5k8xq b/bsp3/Designflow/sim/beh/work/@_opt1/voptz5k8xq new file mode 100644 index 0000000000000000000000000000000000000000..fb94daad3f46a7aea37d6072052a039946d394c3 GIT binary patch literal 5559 zcmW;LRag^_*TC@+jv6J6;OGG(B}R>nF-E6?bcl2d(h3ODA>B%M2uS^ql14(hOF#kX zZr=ait8?>t&htGN2gh}gEZ+Lllt)_ga^nA{#5kxn=vYaFRxv})LyE{ZUBOL&c>@rN z+#;B-bCUoVhZ1_?bXn|!*Y>m5VkZ&mfQIoR30%&oh?*qAfzXcJDFy(27IGX9#d-U( z!zJt@HaGyvd*nxlfA0zLe+28Q5E@~0D(*g?q3?efy8|jOp=JoYJ*rJcQp?tNo)04k zqwaVHiTd-2M8fZO=Li!%-|PU_t7>=E=C_K8X9CX7JEa~L-u=0=B8f6(zXrMHmvWFU zt3GbS0RR9DN1yQiHvlr+et~QRWM=L@Qcd>7rR2~|!5mN%9w#^HcvW- zH+3vkBov7)-y41x5javpI{yMdqU77Fq%-6(_gJ}pDU*ejm*WV&S6z?wV1Im3mL%d- z2Nn(>&}AQ=BWX+*zcf}JfovHai3Ah_zA99kzJ zs})v5P~JjV-9#&HdlgK#E32{=I;WXIUtRc031k_TVnxfUza4~?ce zA;9Y%W|nGjGIYF?Ul`@7XJR@(Hsd0-{GUbKZa{S(^|GUZ+q%rcYc=Bym( zC)48hA}0E4<}ft&+Y!y8yJo8TJ{elTNY0eh6HKpETje54SAIg`nY80KFbp@0WQ zaADQVCd(&10#0#{V{Nd<)4^SQ&f}a51vW#jcljtzu#YZSzfA3H6hd@QbPSqj#Ums2 zSgWPRwow{A&d0XVvaI8^3I8{J>deiwZ*~Hz6HV7AoeIy3#UhGpf;uchvPRN!*f_K& zo$@d%sU(6n#SYa;HJ9=KVv(ykkOqr%<@Ra)oOwt7xY!I_z}j;k+d%hZ=3KwusfpS5&CJr+Gtt_#K@5?;wXFkcC1 za#Vo!_I?7~Dyz2&cQ{=`M3?mnJ~+Ip1izh`_7+FNfkHvAqNKpvrHskm!x}W_v!ap0 zw0UPD&j7L?4t&KU*=r|JD5x^nIi0N%c!aU);M=@ENwR9*~T z^$V@H4qjdm8zZF^kFtOegIV&U;D2afMli102O&^9nc*evYRJ7hHgaMVet?Z!{T<5p zw@;w12_~`Z>)H-dIKTCxjealw4~vZRdmvck;^aRmr}Ru25HG1s8BPEi^Z~}v@*bH` zV$sGU6*VkMo$54C|G?_)XPN*10gQs;X__EGk9#~Fa5^M}L=$A@DA}uIvf#^h=2EUzeAA-do+EviE zo6|4(5&o`2P~wBHxXi^Xx-fvvsb#Mart<|*#g&_!crq9-(m~<2nK8S)+dyAnEab!# z>b@Vd-JzR>Tr^n@G` znzdChxlg`qB?#BxT~1VyDZ_EYZutOmKNG(~-nU}~{J#Sc0#}SHAuka zj(#xx_s3R>D3)?nMjr5;WY06izkXriTSB1!6Nv(V8b9fJhxn6&CLnCq{G3i%=>6i_ zB;o#Nc;$iUwiIy!N`%WotVrKYG14LCEwdp!E{B;Bv!06qIyTExrKtF+G>)3bfY8GO z2A`RCjvW!dW=0wgq-3sPH7ZI}cYH^<NhD>iNT)7UeA_A5#?&Sb4Z3HZyoNRT#sJK?M3(RDv%i? zsyi!*Tkj7TVnjsDEG|{jgC@;`5snq z;d;Rh74hcDO=LiFmH|^Xv*GOHotiPoI$%Ot8>M zFShjxiQW!MPn%^QZwO|I zCI49B5e?3&>k>%cWMZwIWoKQ64|fF~?K5k9ST;Iq4rjTKvTjG)Tpardpu|GhZX_(T zGkY+1`r0uG^H2}=1(Z0yme6<%U)-aoCR)*B^^f#IS%|H;w9vMAxRQh>YU7YhPJLDK zntEsXjeqRWHnHE@L~PW8&NAO78}+Y3`ahWSXunQD_}x3R#2n~cq69iR)|pf%nzzMHGTYlRIoBbDnjhJd zUUVuySIjjiw?fDkVHC66!v3I=2#h5G)G{&(MFA3r(Cx}lqg31uhOJdhl@hy09 zkFhkT{E5szf@Hgfb*HMopqfKQ6EleY{6xg0Htr0=6nU+CHw39olUqY7EZ7N2h(2se zXgz0l5%bj3m9=(poF+k3AMC8|>v)6awz7Rdc0 z!kmBEx+5RE7-pwiIXcopJ`MdR{8l&5VGm7vAd)o!@Yb z?F#E$>yl_=b|^V1$ySKYbPlyVA3IzN z{3?oa!tBl|#N*6eC+iNGwXOZ@<(hW>w})9@X*hyUdwLSOU-Ex_JSLfy@OOj3*lKK? zfkZ3pu^T*NkrlKPk+1dAiG=Liv2LUqVrM`u25B}0#z@qe2R@=n9#+4kRANT-Pfcmc zaokx=Ab_x_SEyy~&&k6{U=3vH>Kl4_=CEQrZ$;R?my(O)Mb0aCUNm2ky15C-p*O>) zPm3_;0lO55Zks-LgQG5jE|>2oJLfn4@{8Yo+IuE@Xd9=FR1mNK=jN)f3beIObWh_I zA1qP(0*g75ROOngU1KLW+2cFI%YciY&X#6l3g(4sN$w@4SBIH|NB#Z|dZAn1$h|b4~9D$dm*AZ9GMr7pDhRXU8 zmr;(DsLJW;H`9Of1CZ7?(FO9?N|UL|Il^c7^O_o`w;dh8F+mavQ2+{!+XwZs-~iL1 zEmD93zL@38*DF7-lC_nAna#pu>6zIgd$Za>;C{iBpmhczt1r`6&Pa|}pp7jjTUgb* z9y*XS{KQyhgOE9kcbuEQ5bUs3lb9}4Lz;D(`pJ-u@HEhv(YisH*9K()bD+^u5LA1? z|B~agyD@C3NiDu}K;&JPZl5r|N3W&}t570svz_2T27P|mE+<1jT@VL^;*`j&B~VG5 zcKo%lr@GvLih)YJMh`VqyKYoS?`EeSU1_FwW*5$R8hRA)bB}Hm13Ns!nW8ZIB{KHt zvx(97S;Y`&gh8s&?b~+L{kc%6%kCw5C{E$T`wEi`6VaWNqxWMT9L;AHF=9j%8t3Yy zaTX*|=pQCTu>9!hH!$AHI-4;3Ei}lIVEji zw2{!siWwWm`A%4$VqP@u@eh@?o(CN(`;xb?5oiY7TU$t~u@j_+Sj@S~le4YG;0UKN zNT!CiU7e;Th|}?m20_Vak1+fch5#sWQvm$n23?hOzU7PQs1Co!UpMGFdui0{mL#mC zSZ$wUvl*ZvcF%fGfe^Pn!lA{WEJ~krdsX_FG-d!BEL!2-(*!mcF1Iyi3{|ACc`rR} zyv>j}Q?I54rhukb7Z$$^4DosPk@|DW$*%ZbehuID0)0*OV#h2_`ln3Fh=E-amRA#i zMp7upe1ByqjwLz0d!VQd@Y=2z^_q_NK3A&csqDtaXDiPAmERose0801X|H`GK3K1@ zXURT|-%1GO_-4b0Lb3_!a*mmD@<16Ld)TVW8B?>Sp-D00t#%qq_LIU_ij=NT7VXD7 z%a|e83y`nAD-I(Umi{p7zP~;`@{X4<+yE}$BLVtNGbf3AoON+EVa+F3cFsp%vL6JZ zFV(_EOI+O|KfGMzf#;+O}mH=rj zlDnlMHDosw=0oo93--G-ze4uZ7|U@=;y}*Y_Ib+9YG){B8#X8OOWlkUM=8hPh=GL@ zyDRM&p;tS}%~REB${skW%7=Y_q6@<7zsh%WB+%W+G*@W(Jd%(TzMm0iMVddK)Kh)8 zDFh2#xKhWD$m>AO?hDe1?=KJKvpiRg+)Dm@@xnJYvUDU&RI=lk{`SP3DWXt1w78<; zJf%@IU7f~qT7X8%FOuJI%2m!I>}$5vfa!RjXnRRF!^PLkmy}DJjiSvxOg-7MxhXmd z8P9t0BdQmZ9%-!Tk&*p>*RQGSHDbJ9SO&KF!I+!#Byfumuhln=K}V-&T;yTxZc&o zjwO|5T5zvi-!y)Ls!g-)=`73ByIhv% zK`&2TU1i(SjXavJVS<^!8ur@Jic^y*lliiWUgy7NF(C_1jb&AL5jkXgOLGzFiSU(` zxZ-_@jRb+1t&c`+oBA;e4R+saJ+EC|IAjj#gQ&!w!@HzwDO|0rW=c)=p!4q9u}yQX z9#4HeSZ5A;-2eoBZ3k!a>@<9z(#$gbH@wS(WjYw*8Ov+Pf0OizE!Ja7}sd4 zGH`@VQAPb29; X5!LZlK-eE!I@=V`_cGaf;*|dbEgCV* literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/@_opt1/voptzz5eq3 b/bsp3/Designflow/sim/beh/work/@_opt1/voptzz5eq3 new file mode 100644 index 0000000000000000000000000000000000000000..a8e08183e059fcf06dafb9e3edd4bc63a11ca176 GIT binary patch literal 6032 zcmbW53shBA8prS9Tp)pXNen2&Qv^W)Q$flH7+4?)AurAHL5{*`yioxO$$VtxLK01v z0>+r+iv)6<#ViGphp9^@nn5fT8_7p_6US(LG~a)py8G@CE;Do1+TT6jzQ6rD`*H5x zsFd1fzE?eC*02%2<@9lGx3yVH$$|~CN~MySIT7C!<+-!&J6>ZfZ8US2l4}A7eZ2<5# zBY;OyP$q(I2HHIUD1AdmfQC`wyN3*bOGi?sj;5e@4gl~r4wT8L;&b4L>{SYihHeJh zb^z$@8vy>CXMx91;L-thA^>`&0Jzi%_#CZtkktaf+c;AuqlypM1z9Ww-nRj^FaUb{ z27o`u6?mK=HKWXrLGZBs0DxX804{X{9#28<3IpJ6+$ob$r91~e!%@f*v{i%58vw5H z0G>Eh8vwk`Xy9`x=>53^;B7o9lTpRHi!+r~DWk>+#sHY7r7(ggcUu_%H+utrO=$Dv z1}p>MZ6H?97uui`;=v&U;BCeNPojVoRxa$qd|zfO4?z0$Yn3bl>`$mS=}T^~q>S&< z%m9EJM1UEV2nOuXe9vYE0Nfw~%nZV&-xSS}cFP{E)x3IN<70?e>NFyPqY2N~-F0B#TgW_U|5;1J`-8Z!Xk1`%L} zRKb7~D~gKFY69Q}5nzTi!GQA%$Cr))05^yLGo%X!9CtA2%p?GA5CLY$5DYlmUZtYb z2LRk40?d#p7;uoqhz0=MAOg&gB^aD3n?psX4*qH;4c;tP~7xl*Ne#0Nfw~%&C&H;4c;6cWG;6p{Hl1j9sk!n1emQqkw z7t{D0m6=pbwf|cr0WhY5*JuJo85PPKWumcCO+>bXf+C?C2~`|IztkU7;2nH&rVMrw zfJ<6PueJ@wfKKA^yp8%3Er9v~q#S@WfGBmPw#~p&gF^)1 zGB~1qs*KnOF%Qk>Q-9j`e0yow)Jr`J4kff2d9?=HyUg?I>U_E}+`ek3#_eF8cS(C< zwsGD1m^~)~q&i!>%I%d>*`*zQ?*z)vHn%J-RR7}R9~D>MS5|uRWKUp6QOfPUyW7J9 zijqEl-Qd)9r<`e0gI%5D;{9i?=Ui^~S$iw;lMBl{Y&Yf zWfrwOaB;ABbhX4TWcRl3lK-zUZ#wExyr*!h{r}MEiro4%_h&-RJZzMwB!AUZkQdM<~a&%;x zyZ6}vm&xX)Sn@UvkWI+yY=nG(cAz2L-{wen=Xc$9jmnK?+iXM=ALva!6E$e7XOl}Ph)3Z ztIqstrD1LSp}u|ncZz0Q&uTFmb}jBNl-*osb(%7>?${5It+)J|GW&bRARoUw9Hjky zBan~VlRvDlDP2@|<*k#QHpKxw_oh_4H#Eh3|K}?fpIuB}SZH9c+4){zO1ukK&b*w9mm|e0prXsz-#-qQkr|j&FMKjA5uJ`fv{3cqC*2ex)K&-Ac8U_LzZ zl`l;GCM}Nk{?4m9B4}^$+U8Nl!_FsXsIqMxdV}t}MlW&vS9+#zq$d97ZB9vhlSXF# E8<<$7uK)l5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/_info b/bsp3/Designflow/sim/beh/work/_info new file mode 100644 index 0000000..4d5f505 --- /dev/null +++ b/bsp3/Designflow/sim/beh/work/_info @@ -0,0 +1,240 @@ +m255 +K3 +13 +cModel Technology +Z0 d/homes/burban/didelu/dide_16/bsp3/Designflow/sim/beh +T_opt +Z1 Vhz;nY[bnOl:JCX`H[?B=Y1 +Z2 04 12 0 work vga_conf_beh 1 +Z3 =1-0015609ed0a8-4ae9c13d-2e230-1835 +Z4 o-quiet -auto_acc_if_foreign -work work -sdftyp /vga_unit=/homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo -suppress 1948 +Z5 n@_opt +Z6 OE;O;6.5b;42 +T_opt1 +VEK_QegQ[@m^G6fke`cC4R1 +R2 +Z7 =1-0015609ed0a8-4ae9c14c-1ef05-183e +Z8 o-quiet -auto_acc_if_foreign -work work +Z9 n@_opt1 +R6 +Eboard_driver +Z10 w1255952276 +Z11 DPx4 work 7 vga_pak 0 22 HGKInm?j6h_E?hCL1=3Rf2 +Z12 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2 +Z13 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^MIdzNk_IKJFBX0 +R18 +32 +R26 +R27 +R28 +R29 +R19 +R20 +Z47 !s100 V8gLA`OF;iU;=3TA;meWi0 +Evga_control +Z48 w1256832987 +R11 +R12 +R13 +R14 +Z49 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_ent.vhd +Z50 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_ent.vhd +l0 +L37 +Z51 VX7Z9HAb^YTj]zEQn8Blim3 +R18 +32 +R19 +R20 +Z52 !s100 2`MFo[_0ahEizlfnSiWU]0 +Abehav +Z53 DEx4 work 11 vga_control 0 22 X7Z9HAb^YTj]zEQn8Blim3 +R11 +R12 +R13 +R14 +Z54 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_arc.vhd +Z55 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_control_arc.vhd +l43 +L36 +Z56 VVP;2WF47fQPlT[5Y@8BWT2 +R18 +32 +R26 +R27 +R28 +R29 +R19 +R20 +Z57 !s100 _K^9LTDS;hFXmWo@eB[Y50 +Evga_driver +R10 +R11 +R12 +R13 +R14 +Z58 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_ent.vhd +Z59 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_ent.vhd +l0 +L37 +Z60 VWM]N=KVQa>:4ozHZC=^hX0 +R18 +32 +R19 +R20 +Z61 !s100 Y:4ozHZC=^hX0 +R11 +R12 +R13 +R14 +Z63 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_arc.vhd +Z64 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_driver_arc.vhd +l89 +L36 +Z65 Ve;Di?_OoPUgXCMBlVURO<1 +R18 +32 +R26 +R27 +R28 +R29 +R19 +R20 +Z66 !s100 m[>=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R12 +R13 +R14 +Z67 w1256830367 +Z68 8/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pak.vhd +Z69 F/homes/burban/didelu/dide_16/bsp3/Designflow/src/vga_pak.vhd +l0 +L35 +Z70 VHGKInm?j6h_E?hCL1=3Rf2 +R18 +32 +Z71 Mx3 4 ieee 14 std_logic_1164 +Z72 Mx2 4 ieee 18 std_logic_unsigned +Z73 Mx1 4 ieee 15 std_logic_arith +R19 +R20 +Z74 !s100 cALhF4medJd2ihUfkYfd2 +Abehaviour +R11 +R12 +R13 +R14 +R43 +l96 +L45 +Z77 VJShkSggmBJZ=6^]R:M7840 +R18 +32 +R26 +R27 +R28 +R29 +R19 +R20 +Z78 !s100 UND8aLNu~9KuIELdIHR9KuHmj6?W0D=-dUfpG{u{J~y&u;@yItpIJ=RGA!D z6@&m4K#>vwT_^>HU2t0p>S%V0057&UaRSZ~H7`=*tvZQkOnm@`)vHSb{<}K7q|?qZ zMyH(Kvz6su1QqX_;sx<2$c%^F^usi|y99#|KbTcv<@@^KTl_F0?cA^3q!;dT@IE^dBFhQV+Yt9Sd&2 zUj3wUabeKRH7D9-~@LFK|nLO@?vmxBszz#_IiJUMX)g1)OgZYwyqLh yaU26b%uc;LwPR}7P9XF@YNVLY1aEGZg$t8I2QP({np?>Z;eik2DDpzLBW>i)Dw!4l literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/board_driver/_primary.dbs b/bsp3/Designflow/sim/beh/work/board_driver/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..6057444391aaedd17b474302f04835d4c0f7a55c GIT binary patch literal 773 zcmZQ9IK`JBbI3ho@1?XPAT8EV$ida){DYN&fq_K|L;x`ZgASNvU{C?l%nXb`5`=$I zp`1XT8xTW$3N{p^0A{En6Bm%jqy;2EfDNPo?6zh1i>^#l4*3>l^Z#0fhUHBbt{;)} z9lW)FybyKO=3KGkptbgO>uDb|@*1yC58U%nzx}XOkaknxMMn*;yVJ{7p65NdOvXWP z*^R74rq5ReIE2hQv_o*g#i!CwX20!y{9$*sJ}YZijN;dSGsX0oA8M|f&9w96QMcoc zzLQ?&O+ESEo$bwQ;`K`GuVYzku_uf-rA5-J?kYn`OY4d+cg~rxE;L@M@P2vu*+nbX z{BL`CPnL7#`j+Q@32&4w7q9$Nl{3>iQR`z?4(rO-%Jz0o)4y^!>|Orn4aq*g&L-8i zd-a0P{mmORB)K>L{MENil(l6_#{CDSS^s8N_-g!5Hn{Q8iEnrGi(f6GqFo23^6ie< z`*!BWn2-7A*G*e^$MWeh`+3J^@9x>*BJ<_2@5}=Q6@UK-@}8=?HMdPxGGtT06c5h{ tX74uNe5iR;>7AZnwgPujK3A939j%YXcGF^}7(F=fO(rzTtZHAYIsl*X@w5N{ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/board_driver/behav.dat b/bsp3/Designflow/sim/beh/work/board_driver/behav.dat new file mode 100644 index 0000000000000000000000000000000000000000..f94fd6686a42495a0e7969bcf65d1eb7783e9165 GIT binary patch literal 1547 zcmX}qdpOg39Ki9-b#2D>%O=dmm|Ty!-_CMdb5GiyqY{=|j=3$DVyDL?M(!0lMeey& z4qA^@5=L_Aph6~^v{PlUh&-4B~&+GdJZemWN(m^Qus{q(fN1E*y;|u*WEFlME)Mmw$ z7zWIZPP}ijxpL0q5{Ny1&t39cyUo+uv0-CMec3Fh_@loR>Qm{0^oCAjma$M1|med3YJ-C>5K;9*?pxZXS10pwph?NN-#>wi0R-J_& zQ)P6P3Z|_Vla04wo)4TY6w7*R4F&UyFF{IXWWeBr2Xj;x2;I#E}7U1R;(_)RJa(mR@-|3jvLcG<&)5 z1E36~llOpV|l=Br68MNJGKbYwSO~6z_`={c1(56DWap+b;^5gR`yjO_=E34>|m5g*lajGI*4+h5(Gl zVj&CbR(>P-HubWfWUA}qhXKGI9_RLCD2*5+2-!X8>%zFrQ{ zmWPX#IewhiA#84tiiItP1JoHWtEdh)pWSrXj&8*~Mz1k|)MrIYYM3mK{6gKiGOYXX zzz0C&K^egr3yRL*qyVydgu_EL@B@SKKx6r^Dsy$ldM3&f0H%9?^duDVcM;nAh{JmboqdGv9zt&)p}&i$%Z1N2&H*AQrP+WeNIw%IZAu=X zVlBN#D)2i(T)uquyo6L-ULF6Cp@`IgxZ9!j7IKiTi9(M<=~FlAvB0bioDz)Hg6S zrd6#UbgykK zQ;O7T6(SXIh&vmj$f$3of18_nywtkMev3<~O&G(^N{LglWK0r4?QsG;s*8mN!R) z*7^k8TJ@~oo;8C_nL@HMD83U%;t5m3`sBM;^%{$u)H=$1qy`5s63HyB#6oE*I6+s6 zW3T8&VhE&r{9pM>@*^8m3UcJYbQc*OouO7{vVOz*#4ef6w|CFE| zS$|iaTUoed;`)xFBx-QrGeaUOH%A#^8{jT?HVX0%${JaTZchn>6=cU-?66IqYf2=3 zU^l}XVcdF!O8r&S=xb*yh8ocx&p!Wd7~O6 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/board_driver/behav.dbs b/bsp3/Designflow/sim/beh/work/board_driver/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..2c12de3da047be442df755da6caf873b315e69a1 GIT binary patch literal 4824 zcmb`J3shBA8prSBT;!oBDk6_2;evvQ7fC`cWRMB+(nKIhCe2qaYMB(~=!{s7nuCfn zXrM7EiXo2(W{epPtwd9)P(T46=wyyL2vUFqIF8Qu-?#X#m+y zu%gfkUQEQBQZz(S<09_W2u~lt8b<%`)Prp(lh7Bs7e!7AKv4>S zrTu~XP^BmZpeO~v(gDE7-Xpc8%u7J6`yhs%#!3=06>RZ--I1ptE)<^KVSPWaW2`&mz)H2KWG+i-mENaO0 z3V^q!6J?V@ikmPjEgR6Q_=W>Pjl`Urd=6r})IiXVe;3NtAiAbk`* z5QUoK9=>NEBn7}ycgnEG%tp!vNd4iPiHbrLjm*;rz|xVFVUL+f*#PO| zbWut~MInlu6aY)hY6r#vU0kBkNAF~0{34}|tPzfVda#8>+mD$H^ zfHV-kBvcfl$VmaPRAwKu0a7F3n%O3uN}5Lv{xP?u44*lEAOcYTK&v!C)FO%bKck;y zu>R4YzW)z}76wZJV0^*UlIByT6Q`(B%M1&E)q?=VasM)1^zR$P{cpP#U6^K$iQx~R zX5kOKg_v+Z%JTp5>X|Hk-1iB=cN>1=8F)^z?n&Gk66M|VFZ;>fRt5VH2YELJWwkF_ z{AOeJ^n>k&w~jc)das^d8|rC&G5htB#;{=xE+J#{&nEBozgF~2NZgLf{dT#vSDpWv zb7Rw$?<#(4(CN~XT)XaUA7;>A_WB@4bD-+8u;ZaInazveuKG6IqP69x-#h%1G%0Vw z!GrQ$+0))w4sIyqjq>#_Gne7kp9_3ncA@2+dU^oUhq>6&X(=d|hr^9paT zczJu!3!~eUU)B}2=%1W;^~EmBkVAWKw?6dD8!epHRTSrTZvK6l=R*wougiCY1aHw_ zxbu~tM{9Zg%7^avo)s@VHQ;bQt@s$5eZ5!TeX9Dy@?&XVJ5{!LzLNds#+g2OPHo#R zYA=tAD!5j*!*>0OiLbBxXpw!zC5INzeD%di4K|U!nS;a2vNqJTuWeeWxe?<#|8MQv zj8zG}%La9pBxP)}+vFEL!O`(Vb6jn2&+lfZWvtz~;Lyjz9fv&adO?4#C-rn$KZj2i zl>62E@vXU`r%UZpzPWYgrhe4|x4gJbjV|i+s56JAt@HZcXmr=+1uB z%BFHvPOnXMY_v{yqU&V6abAgE=#7}&5$#FwUPBEYO9w6UN$icQy>-(5@SIieZarVS zt#yd~`5CcoDYtXGzWA~-CiBB_nc)>Rs}p8j9PZ-owcyB8{=Sh6D&$2+4oG_Bs>QFP?1nDS%lrsplMZJfRJdga2- zDgEr6*bji zYxG~ZMjRb|Jz(I9>&T-va0MOH}aR9PHXxo=kg16Z9{!C4Q{h8`=u6j+Xd!DE*+QN zrE2cby!ID6%a)wbHp8B0+n0D0m44=)-r>-ZSd&zgo-il)<58abZL_?ZJ&SIQoNKK6 zb?N!E4&SqPPvyS)%?E>)9$P%}l`o^SHXIK#o{hGd6f^DSwray!mwoj%e{w#$;@{Oy Qb5Bow&A;jmm)xcQ1x(6)!T9GvZxtgalK?Vpu{v$A|v4)(KhaFosh3gfYGU;_jIZb4@mE?Ymh z0K9SuI04;(1|DwkX$inv-?;#{oVeHm&58!EVBm)dAXU^?00w-h(*w;r2)~ZtX9I`x z1>Y;bW%mRYcc@|o!z<#yF79mUHui8|Nl?XwLDZQ5+47eojwKt>6C;{Ymb4GNFf9%~D#uuB;X;g!x@p2!Hjh*E?jm<@M> zk|YjdNWzs5bX}U&(On2d+}_LUU=aQf2ka3b!QK#+2?zq1r;m3h5-oNBV0{D#jz(-} zz^fBoy$#o+bO>fk;JsR~TCxjA6zXw(9InF^t2-XK0ssI2+JGS*7FgqVHUR(t084kX zW&i*H00w&DqMRiJjut(@z!yyoFvl2Pax^D3cL)G-j4#9`85&)F)0Z<9Jve)i8ukXB zYykiO0MOYBj{pDw0EpoP8pf|~Asez6_<8@E6}`TH_#C{3G-v?;004NCjU@m8007`g zgq*_1B%vJ+69Xe2U@_7ZR7nUPlxR+eJ0B^zK#*|&6yY~V9*Hx0000xjlRJE0002q3SzIL`8r1<>T*Cm zBvaMwSF(Btf2wwy7CLb#<`RrO zDV^C5n}oCoA4zteZ#pWbr@eTrk1L=1akdeA(p*K_MACTQ8`UXXTY;8_Yk$rK6tog%IO9wZAy8;bbkYBqPtFVQ^KF#~<3jXiFPn6I zD{0*iAkFA&@cfo7ip?Bf6fZP)jksT#2+>2<1{8&#Wws0mvc(%mBmqyDyFmB5iDfpr z#L!;7K8W$xP98euxk_%^UZck#M=ACfqCqJYv=Y#o1<4+o%=iKKeE|Z$c;ShyQSb|AX8J9=#vd=> zmD63O3U_AuExy1_58##4Sf>d`X8J9@!b~6FmD5eJTW4naEvmy$FW{BaO|x2eX8J9v zmo`Qy=|VR1ytZ7EPAX`0JXHcmPd4Gq*#+FQO5Li^e7cMjTcFz7#k-1k4&92^y%fKM z)I@_ccH?K6?c-r$aQYV-pV!>jPMYhR3q@tDP-V0fu=jq3KAdSXoo42q|IW?1=imF^e_u`B z%q=y_e>SF526*67oczSW%x^gqMbU-`5ENz0AVpcgl})JuV$eni5EB9xT+JZZ6s{P7 zF)WCH4a|TM;EEBK(Y!b^t1! zQ9ztEfw3_~oV6xX#F+~z+8zL>Q`$2Mi1SQfY)lbn9x!?V0)$c<6c8J2V6+1Qgwh%m zkQyCev?IX8gTVi00pQ-T7$0V@Q9w*}f%!sAynxJJqkx#sM!7S9%4dKAQlkfqjVbaB z<^ZEz048i;p{5UjTQH?J6sYVC1>`>X-qRugV)`yHeEL&NQJ~Tk1;ms&pzlFS<-jN) zHF##(m?8r+r_}Wy8;4V+;4FxKDLjk!DbF$qaMFutnhOfaCQxvE)MFBD8qud=p z<-jN)HOwaoQ)FOswDCZIQ2NHAfY?|7qdgHIl-8ht)Chpl3jtIfcNCBsOJHnFk#^@z zrpR%(f)u?70b-&XJUe0tHj`tH2MUNY^A$t|shJPV>+Kp8kQ)4a(Gmm*rBh4bFH*xC zl*K5ApE}bsf>Tzj?qT=9*>iP;Zc?q;MeisZVeD3Y z@0MrV2Sp~{;b(lyi?`)kh~-vJnZJDOJnqzJ_&B1zFvle?w7V}OLH~+0C0Ufe@5f*7 zzwDR~PA zE$U}6zQ#0V-Pxx%wW^kReBg5|?Mbget$)w? zg09^ezUM6Dxp8(px8%NaznQMmb$Vp?Jg)g{Ol*8|U__Bw2%SXdbMI-@Y|f||7zp7A zjymd`{GldZV`Fw&VQ)n0ur zWL4f6xVC;Y~9dQ5OFj%_|)Q(Tf1~V`Z?fki9*_QN0Qo9FS+5DGhC~s>m_K@+w)C= puCVP3ANw6$TY}4bQ`7%?F#TAaLDRz*BP;!R)B0nxOyflne*-nu=KKHv literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga/behav.dat b/bsp3/Designflow/sim/beh/work/vga/behav.dat new file mode 100644 index 0000000000000000000000000000000000000000..39b575bed68c600497559a498e57bc7a336538e2 GIT binary patch literal 3121 zcmXAjcRUo1|HqBUxMf^8NA}1%&fzF`iEP<3WTwvMD$1&qQ6eL<<3xPy2+7JivNF$+ zamdWd9^dZwd%XX6JzkI3^Zj_eXnl#Got4yu>Mq@_e-i4zSM_o`2tV3i>{4CH1GBde z_@FLAQ>(ElV*2z{fF=XPazs0m`-|`J2Xuh0_q?5^+9C3Qjx##pEgY?tMI$+uOrv(& zZ-CyGG0@%Mp>|l-d;?M<#Cq&Pe;~$@p=nz{0`%5LioBnYw2GNIXEZq@E?(UA^ck7n z_dfppYvY`${#<^U8T&R;N(aAT!BpWu{LOg$T6L#NGkiXKV|YJ>%^VW zW;5>0*a267u|aibLJ&|dRWA0(2Xu4>UuXLX)I*4{>iB>M{*oL=4k0`l@X+Y=qg+&qCP|cwDlrS$~;V8hZ|MjKfDc5o2Q=qF*ha~Pg*8*4Ulg?hC#1EFK zTp;)L$@Urv4<7Qfn+jfsu-JD_!R{Y;k>Zt41TVq0U5b@jy&>M~I_-R27LOgN#jls9 z?^BDXzFPJFJu>#^w-O;}UkQc$o|l%n{N^W%8WqaS8Q`TtsFJ~!1a_`n0Ws`n8WAv% zW2Z@dPf75aH{QuwmplMw;~v#S*Mo^g z99eVo5LXOkfsM}b=MHrfjSQfbDyQ=Hd^V)_kJ!(nG+!r;LYO?n5;=sC&GJ+T1+W{W zLa`U*_0mfkI62q!{7Tx|fnN5G6DK;*gt(m5;V(e$SM+@<*F>9#<}hOJ=TQsdgEl?c z!~k7eXw6@O{!oQS(d{F2(p?P+b}8>C!#~%N!}B2Ct4wXS3cziVLTt>K zJ||fJ%N8hlL9@qQ*7)eSJTQe%RY6NwBCVS zKwP%mlTvh@Hi4AEjVIU)lO(jMFO|-6XzEMLvlR99#btcEyl_lE zrb01A`7u-|q{05PiLH*uRD{e+_%aFDQ>L)vccwQdyC=G12y~v#UuL%o2$XwxGbs=O z)`2I=ry^jyz{7G58kb)8HU&ZDBPZl0f5IuyXx#IS$6niBLYU5p;$ZvpTM*_$C&x>TdN8_>qPkQ*hZ=?nG>;fEwmTa8lMcRy}lIj_^S7p?w4xB5S_kjE0jsg zigLvO*791EbujHGloxHw9DS}lat!3O#-L*Xr^uGa{!m|;2+1H0(PI=JZY5s8`0J!c ze>7jVtk1iH#yGqK-NjD(#zVo+QE6CZ?(}dnU-{H^UXp6wm8((UKSzo=Wrx3&^50yY z>per|uB8wag$oN3uDSCr*6EtXO4YidpmviLihtlwT4qQlUn!%VPS%5U7ZbcQ=iY(v z&nC+?)&RV{xx>{qis1KJrCO4`QA^h=;fjxl{-2c~R{r;{=&5}F&?Ajq{h>bCJsrNo zvY^st91YhevDIR2eT6U9gxtDR@&FY?&Y;lX;dVm`vN1M^AhF;Z*YarO`4}E)V4B62 z!&H8q8|;t{)gxM_$2Kq`Yk31$7|Q`cWyGQO9IJVZE=lA^8!tyBW3_FL(=+{hl&UJw zR=jP5C8Oa}EQsvU3YMI-U+hm?=NT<8QJbjbNp}xVTHC#X&Cx3-ae4Yj>_iH@E}x#Y`+?`Dbo7JGmO}dY79h zmuQ$>N~aqPZI7RFWPzs;IBnn;<1;~IMSg;z1c{%RXQVK9C}5aXOc6NL_pgv63TAys zmK0?BznwzVokIV=Q<%C__*ndEh~D^jx8EFveA;Uy-BM)pLabTCg3gHDK%;^+`9fd3 z#{B4wAYJ$5ElrVNjrkQ={bUs!*|i2(=_U24Ew2SMtCB#yc}-W*HNRJ zLb1}QtQf?9h04!Ev&5s8jvvYI#~rPU4&zO|wT&nB+&|A)${G7aEa~GPkzUFjKT()6 z(EZ7!D0c-b4txwYB=75mAWlba8ye+~q}n0|3Zt@b%^%dqA@)~xa?nG@`@)+Td8@On zeUm3)2K(}F@dVQV_WyQFs!V-R(N+pwL9~g`bu-mLNe<-qf2TidKF#T6C>1y6`cS*r zg0~uZUHU$|fF$siWy2;mRCGDU>bw;HM;5&2?<0N1I`>1u6*>JvmDpF_Hvj!L zk3m55$wplW+a9Ah8Me-ORg!T%+vHSL&Z$($JZtKO zSEQ;lG)<2<#h0wsuYgI^IG7ytu$0hv@Z4A$GzJw-+Br#yN^<%MC~nVS=#?5JxR4_@ z>L%xml9Qd5wN=AprFV;_c^8Fo6EnXu|M=~xY$Rs|Csq@{nR(bDROi;?Hj9|5LU1a9 zefysaZMCeYx{n-l{~T`k!ux;jRRM%b;zk*$WYjLYYV)c(M*uz1vZ+z|I4+7yd%N8{ z0qoidAh@=i4vZ&_QZUhLvjf&mez=p4FC8yW*Xm=I*Ps0nkeJ*Yq^tbYUHe=M*D|Od zNv;ZAtQ%=GR+K0|)L_0)_AVa}5itC{Jm82xYaG_XHx@I(d`)2C#Zd|vc77~t+F+CYY*<}fiQA2~WuTFU`i|R{qao_>qlZT&D z1O#*suZs?S8jwg5qwO?&%RJ(AkHDRyKLw*CjyS6nFgz8RTixCnV>4U#QS>`a4RCgr zW)1}2{)-G%+?xLRtKOmEByFwm3yYRTz6=^;#1e#Jt(xa^5JNema(aceQZ!`8V{y zgsv&uS{BVSG{R>TkH`b`3{c$cqtwlDt(e4gIMVkrlrUt?k;zr zno_m;AwJ4C##`2(I{V%$cyG_QV%zzVKlosp)_cIj<={p)Wg=U^&)`qatTsD84A0hC zP#kN!hHxleE5}qaz>j{lK52wzC|LBYSNpaeoHWH|%j@P<+NUpFRE^zXC9jx&r7<_} zfGBUZp7afxg1_~C`Ie;2Lh2_cer;jxt)Hl(n9z2>3!Vpul(iUCKc##Y?Rq-H`eL~w uQYzfx?XsO=Zgl*RN7(2lzT&!~%C`#l+<}wI+AwFe(l^n^lFc@yr2hfWHObKc literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga/behav.dbs b/bsp3/Designflow/sim/beh/work/vga/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..9f3eb6308d69bffe5ee9c03ec99db64bc85f4baa GIT binary patch literal 8522 zcmbW43tUav7sv0t=aeELk4mAr71cx`nIz?zM3QF@dYVW^G@dmoGE|I5G~-pNCXFaY zjaM?r7-I6uBx5l07?X&+{$c)W?aN)~%)Q3UHYUUdS8lfm4XeX5WiE>nzfI|W07^2+2xf~QwjuFZOz-U}36i|*acpQcl_23+I z{t!}3EQU-_FcpjOuoNg!& zX)Xr^iX0s%(G2CGq8v3PqJZx65z51w%RvF<$WcBU4E~t1CdFS|eDI}gdU5fjDBz&u zj9Y-^=de=@KvR>!M`4^@1tY^*3&IoV?|;ispg_Wj6sL$L4e!Yj!3q*BAtajz1{?o5 z7#gq|ItuWIpEYTBIM_fJRD^;L74?8~egPQ8@KC6l!32s$M*)mU!p6iN1ROBG5aZBU zlc}kQ1XvMHF~0~5-BnE?D4@HVffu4oVRu~&uBN;4D4>Z}y#+@E92U+KAJZHZ1sb75 zKS8y^Qo)9Oi4@L_TAt>hEHXq+Y#Xq={HAfRdb2)s}wlg%Rvs3I8jKbDsx z0#?)$1biYGjZhR&rD~u=Kq&j%`x0`n@yTE)S>hiSAqzDm)T>^S}+=+D4@wy z9TrCfgyPq}Y&{rk{8li^5wZU?{}?g~l(*;bL!Tn-+hd!E&=))u(SF3EfCvuYQ3MIx zW;D>@FVhogTlO8lLm9%bIdt*1&7**z_-RiOUGVrUFd7R(0TFP_6k&vH5dptf=nICe zCJKmv{~jp92st7Gew_G17d#IrAi^i$QG^k`g%DMNpDOh6!p49CA~=CZ5k|-r5sbj| zhb|Zc3WzWOJc=;FP6*K$@MD8MKiC*hKm=#-D8dMNA_9J!Ac2Se{udJkL>LGjMHt~b z2+f!@=W=ggLSi;NkWu7#0eYb0<}O3OOQJ z!5iWZa=w@$z+jV&1Y-wX07U^oRbLK{2nh86Ie%D~qi%CU0hN!N8$}F|a709qgBJ!} z@KU3I2)MaXgb_+0q#UMr6c7R56^bxIsfeIvb3*|U@Liz@ zBODVE)NF1jAOgNC6k&uPMFeB;0-y_K5(PvU1s+8h;W&h7COdHVzI5)uJ5|eFik-f1SRw* zlzzyO`M_CE7EzXu0xDm1**GE~bUes;3{m+Lz(c5@d=yamO+cx9C6vma_#P@KAE8u! z6HqFjK~F;tl|KnQ`~Vm1TPUFNRi8PI2w47Pkn!RkQgQ9vU#1#c>JdP6xP;7I*2=NuS<`h$m1!AMa+&@SL1lwMkn2nc0w z^m$Ma6!raBL^)Cv5L9(f<%odLX&~o+VF)nTWPxCCyB91J3J9vY&2mIQXb{Nx3WflK zO%@Es8M*+90)ndUyc`h_8Uk|u0z-hoCJO~K5V`=00)nb;#vBn4ioaO=MTP)_O*S2j z3v>Y#1q4;IOA!H~pMspf#1LSx$-==PRIvIeAgG!*iURdrV=Kd(7%% z!yN0%E~ValQ`K*cMXTFC+C?~C+2TE1*W>K#yy17#-pc15|1-|WwlpN{hht^2B@3R$ zZq4ubsnfLp`$Z-{_Z{O~{J-Z$HkA8$J=`iEak0ALf$t8_pV+uzAQhU{`0@O@6gcGGaO)|3&Uc*Uw`#ws&Duo zI$MqweKO9X+q;t8`qe>~$5r=!5;4{5=S_?5w_5V(hpb~=Q@o<=YF`>ZjJztXw!alI z)pPT<;DxOsUfs*i{VHYb^rbZ~bRWj9F|_z@Re{C4ksi7WyBa>eAe|(M8({4Fi*ADR z7x%QYzgwE(6_frDDB;H*9R*z`($5M@wBFhwO;E{@Q(J>%h$=N85n+d5YN`KR?%d&u@iK?k4Ydrt3xv9l!m# zx1uMR8i1D^obWEbKHjLUSH?Fr+sn=O#vZQ9S#==czRMpsdO65W7SGw#N!q%g$h_fV zk8 zo=vO#b%UM5Qi6%=4OxbKUJ&fKftwHJ8CzS+4yH>ojNa=(9~$qNUY&5>O*FI1-L*{)3R zsJha9T$S&u%>QJ%6v&rs$eLu8G^%D-uZy3ZGN~vS*yYW}sf8DY+ca!k?~)MEY5p0L zb1&_CIIrkmGX7?|kx5?J$Xmx(d_O$rYTS`+S?$l6q!zU3(!$N-@O`&g3u|(@vfrQS zew7s9yU=(>+jeHXqlU!9y|!Ha+;Fnfn>Ovk-HpZuzdbVST0-y6Cu*)mMT|@I|0Z)z zzjHnJ{%6qhA!~NOO^Z5{oV@+;ozQ3fE6iMf7+c(@reH^URYTn2l)uvs%rLPJOKRnR z!@S_#^TDxS+8^)m^v&zbrYqZ(MIZHj(-=E!!@z0bGS2#iu4lZPe8#?D>&kgSL1$~b z8P#@smf^B_%%IhY>kb80bkNG_R^)zQ`?{1>cRMB<4dt^U`)PILohv^JZY(j%%(&lf zMWm;Xym3>--QF!fpI+wsbhh3@2Os}Z=M6`)CbTFm%5o~v+quKBan~M{u(QtvbVm-L2lG?k-Nv7?fR<&v%YY!n?AF1RK=ND z)p6S<+q|`3&~mdu*;v~r{@=%3|LohdhjPreeEr5u`{1GSl1;8XdM~%$bv7vVO0Vvd zlgm_a9Y_A64&~T`38++_~0qs!fH3dEVujb3Yv)x$knrp<2JU zd-597@~-9H8TD7)s{ESr(pR;&A1t2OYUhit>*n`p`TM$YyYIG?Tdj|{cFShT!L30t z@!kt|Yvt7^rOPeD8w|?&4~dFySgUu`v$XI0{I6>dWMo=rq$K~na$ogi>GIyoKhCij GaPYr2l1Z=t literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_conf_beh/_primary.dat b/bsp3/Designflow/sim/beh/work/vga_conf_beh/_primary.dat new file mode 100644 index 0000000000000000000000000000000000000000..2239bcfe28e90cc06b0deabbe47cbf87a914906f GIT binary patch literal 171 zcmV;c095}0aLNu(b_2fJO?Csm^~r9)Z`UWgxIWnp+C#>zC1kO*^-2H$uiWzioIT{n zW3z!Y0(C`on&hPe9$$Fl1h+SZZWZbU7ofWm1o$c;yarXn(l@DczvrN7fr*@#>$K`z zD%~R84j-2C05B+qfSwgIWVoYawr}r>#I59-*#4ZnzL@;yr4Z{f9l5&tArnq}S1z^? Zb4Oery_7ctMo2*=rM|m-EMwoOOPfBph7M$;iOKz_J5Ka04+IfJ7J&A|NgsSd0zGI#ZWp za4D~8&UYp0Nv8jTE2Q4-5%JV|Wh%2KVzT3kZ85E7fA`Mn5YlTvuj!Lz z&3){fA#q)iscX8r@%OHaEta~=Kk*ZAGlvE?iFFU(3f_*7|IzShI1 zb;VwOJ12L(uRAtX{kv79OZ`#RpQ}_Behp^YE@7wWA9%n-R8R5pVK3X;RVy~mUl|wq zc%v0re*XWgY^L7akQ@>mEu3D(Qt0Yg^?;=;!|HxX@`JuDoKrRa{$UH*FEinIpdYJg K_48j#-Q)p4!N4W0HUPnSpwFdwfuIri;m2(ohp_uX<_CKqm(`{L|e%m$jo zDN0Mvt0H;JRX;fc+tA6W zf4w0|-Hw9+=8@J_q%8nqwliV>gm*2kGyFFWe&g)A^ASo$JKoi$i~C^OXF%l=+WFTY zdw@*uyM_}9!$bc&PMH8wN}6SaFmyCw-1N1p^9~p#E6l#gSd%XyYQg`;zkwz?)A?9; z3orDCa5^jKVqNDYE-Q7a*Y4|Xs!=h963YGd7Fovu+H5|YPzeP<5>2NZU#B7xVPoN5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_control/_primary.dbs b/bsp3/Designflow/sim/beh/work/vga_control/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..a5eaef7e63319475e2cee2ec9956815ead3de500 GIT binary patch literal 1167 zcmZQ9IK`I`b(c4B`Cp+VApN*0vy|Cq^=?)M1_l->5COyt3_4(vfk6dGGczy(Nf2g{ z1`$9EQNRSGm4KL;0mK3;kO49f3YdXBNw5MC3#>p6MFB{uGFSnK1-3>N$N(9~z@W$g zc9a^B$p#hxISRyb1k)hZAO*}I1t9Yo82CO2GO+_`7IhE-5&?Sziyn{ykO2@q96*{y z14uyZ0WuglfrKs)Gl9(kI|QTvVJH`n=LW=(&;dIHqyS+kH%PfAkU$v91LWxeF_NJm z1$YewDL@#?3sSCyq6drbKnf6g_(00F3F!eTKt0|7x}hkrqL%6$!BX=7gWez-=v!UXLenvw#^%|JZ_%7;Frwah$S6T zcXmC>{B!d`xWLBCX>Dbm;eON9cfYK;9&ql)la@Og4-TpE8~Zu0MfAK!j&xKLDoZ`0w7N3xoE>fTO1GHX2# z)k{99{*pVv)M}o-_Sq+*D(xE|yT5I*__OZ}OUc&7)h%29u0FHP;ga3=g?ZPk?rCLa zKDqy;YcaPe`=r=c-{ehaMO}Yv5x-^M!8UoxGcUUCJ_u*LEA15iawe;Ls$mWQNvavO literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_control/behav.dat b/bsp3/Designflow/sim/beh/work/vga_control/behav.dat new file mode 100644 index 0000000000000000000000000000000000000000..6da2c3899d4bd6379c1c956914eb775b0f491388 GIT binary patch literal 1033 zcmV+k1ory@aLNwY?h?M*e}tUc?h?Kg+g-xxRcv>-V!KP)A6cynRhx9bN&u&-`lbJp zD=Gl;qv9+9Vb9O?^GvwF)W(r$09=R!mI4G}n-j4qlay|RKSKbzGGRCaP>0!entdtK z-c;>7z^mE01mB!B-USlk_99?EhyB!p`VneT@D~PKe`odwB4z=2pFlYC010oA2&xx5 zXbJ6tQz0hU27?GNFxmhH@eG&E7RW||3OyxPTr3EmhYPrPZPG`@5Ps1H1Q9Di4jlCj$-}zwM+n}R5x0Dl*%A+!6N5!5 zT1gYfmG)c|KhA#a?-~`Ft|I9abCbI`yjB*WYnQqdE~syf(i>*3#PtvfUBJT^e>~g9 z5bkUP+!!grb`ca0N-Pt?#IH;Xt;<-oiij1jkVGV&-5e~y3X)=D_P zec)9HaY!kZl1Hm@niV}tva=a=I*Fx-O(e@23A1n`8%{O{cN{cv`W?FxE;q@)S^gW! zlPAy8b{H^6doi@W5XI}H;QB*>q%Cf5zP?{3wpsP z7Zf3Lnmwun49-evtQ5}2YICCN4Mn=rq#$}sB*<@1x8>SM8o`aJ+#l=8kgpn2_|}&p zBYe=oeFff%3yG}~*c5$$QV1+q45EUjDj+?pU%dVp4ULaf_#hU9>rR{_f+~i)8VR^B zfFXwhUnv@)l;c<;e~%dS`&qI=3q~v9v>-@N4*TS73?$yl;uP{NtkBEX4bgm@%^;B2 zhlW(8UP|kD3)YV0?Gzc`9sb@t41vv)*c4)jsfn6L4Z?Y*oD?<7-n_y9a~{d`5JMyU zBHbwu3;OaQFBCxYiaV)g4Eht0`Qp}pgkpra^dY;%mp7hd{U(=6ZfUW z#2{Z5f7FFxdjQMe;RSy@|Bj*Dno7Lk)?SSM9x+qqzcp)KsB(X@az;9nHzb>Y5KFf} zWR4A=a5h9JfLZkrbqY{1d-Nu8aW4Y#8CQtpKzjBODc<-_{qZ1py_9dcrUL@$OW54? zP*B*pj;+xr5YRQ-G&e(P-#9@0y-NGnZ#ZTza}Sga5&o@Q`^S1{W-oIOh9*ZfWikTQ zpv;mwDEPMR4mzMex{jg%e$aZ~iF;o(@Z;G`;!zjRORvR{jzEZ^q1`Shr=^GLs` z=B_L|!nS9!Cr)P}`_9sGT4%g7MBBU?=9s7zC!LA9-@sHfjTmxO$(k@1W&v)6%#w9x D@I2e? literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_control/behav.dbs b/bsp3/Designflow/sim/beh/work/vga_control/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..a9976ab216cd80973de3df0205e3b824330b1c90 GIT binary patch literal 3872 zcmbW34^+)(7{`D2-k)1{rAYY`nQnjGD3X$pqPtY&-e#nxMwuiUp~X^mI@^)X$O(ta zpJdZotJXN^g#2r_@@Hf!j$MqDqn5>x+4KDF;c@l4XY)JfdEVdq{=UD@`##U}zQ21# zi5j!34=k!y=N&xP1g%Tl(O|3QkE@M2j^nM-fpOdj!E>BFv=UAP9*rN24ooKyLn{Z9 zaCj#OhQJL8B+wfq2=Go24Am2$)J_oKoiL3(+#n9oy}(Ow0H1Q40!#|VJD~&9EyD;} z8JI-S3xN!WF5c(__|OkbD$Ic65)|lWG3^jpy!UcQ2gM|U6bN&= z;fr9xCGHCiPC|3?D4;1Upyv!m1PKw~fw&($hS#s!Q7A|&Y6C*_2*J^==FCk=%RBnos%LBadr@I=wx zf2W5nh0)tQ@AQb-#@F99oM))W&2qgkS@xK5cst09+j{<{GA|Mq1 z3-B}bpp5WQU=T_Kgt{YCr3Yn%j{<{GA|Mq1KZwJ?;U%7>YdNVg-r$Kk>~Kl5BFW)i zah`UJTezcLp{0%4gQ&6%cY6DKcs!Ktw_h^1=7d*!mUl-@LdRaq|QXn4g?vo%r0h&w&Hl zPg-rJENU*;G)lcFFflPde@^%G|M9L-zzu8VvifO{Pw(hXFxNb7*b)6A%Mq@rM#s6zXxm-cr~ps{^kVRsDFN2o>$~{?VaX*negSGFRti-gl~&(Jo)s~ zTbEQftKtqn{<+RB%EUExaZNzA=Df5sa=}N%>a#;UpVeo0?n!@a^_@*gX2a&M;~v)Z z3pr9}zEt+yMII&(S{B_}=YHghU(!a^!w)MO?-lqRsU#_!qx5tLG#uYU- z&bf5sUPZd2@uAEZY1!E_dF^v2XU!v{O7;28?Mrr+E<9lBn)XcbY@c(W&G<7rCLL7D zA|oPxy?i}C=)~MpnInBSb)8V>?)AA+G`dr{Wp`+KP@bDpN__B*&e_A3TiKlKzTh-P zy-xE(mT?(x_MoYro2c3*E7{{d-=<>4)6_3Vm@019Za6-}p?u-()GgkT*lUQfbZ-gv zk4nfGpJATz?b5Hp&Sc-V7@b#KmH$h4VX>F@zS7+(4RW#8akExEaE({B*|F3+t4$A? z>~dW7h14qk55@dj=XT9^No`BdUhB4gXjM+O_O9`O#D;#S*M_&Ll%an{`xZ=_UFqHG LnJ~S+I_ToR@6{R2 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_driver/_primary.dat b/bsp3/Designflow/sim/beh/work/vga_driver/_primary.dat new file mode 100644 index 0000000000000000000000000000000000000000..89e4adea790487bde9fca6d4639c97476e2ba728 GIT binary patch literal 875 zcmV-x1C;y$aLNwz9L3djo4oNH#npJ8qqr^Od5#y)a};&5p?Y1hWUfQy0B==rSyEc@ zpa5MC9rgj8UFQVnE*zesmBA_dvv})_lAEa zgS+29aU~W67h}I71-~jRsLzskCpG++RHXh2=m!3P!x4JXk^+W02R*51ky#W7&qQ%U zAL(y0k|9s#mf1=4BP%p?g0P*0RQy)T>t<80H@CU=tO`+ z*$rTo*HjHj3zCtC3JyN08IS=00062Hq6h#0000u3tFt;arj8Fa>w>XX90)YT#Y|lh z5R4QRN+G2PO;cJsqG%Cei~pq(uU;3nZlNQ5cdR(fR=Z001eym%so3002oC9fnYD3OE`4 zfdL(3)C+t^W@H*FM^JGA0000t1$03G0001d21RAtTe4sqdfK>=uSu2{ne;kcl&4&Y^XdT2k|MfzB__5^7W!!)W4LZoyj3 z0d;FVKlPi8EB+s=_}rml`iklHt0=OmbVi^#b|;JAOXmgwCEp_A8eP6KSp^3!1^{?p zk{)N9zAni?kZq%@CX2I+AcVjVq`%jFj(B1(~;8@@TD z8@l!cpRk_!tf6IvJA=J3A0q>5sMK+NO!_KDYi;Bm(L-5El@Fl60UG9xVFFD}9VBtmKZ=BH@cuRDmgCMT_2ZWF0y4{&tGZ zAH}nJR2aZm@693Phe&ck%%#I(d@1O=>e^!A;bO8Rnd|PyCW`#4gt%RotQ`^&_{6p~ z5LGIjnuB*iW?0^pllO`2QBR!qsY692DYv&G7z@Wa&x1x0G@Gr(iQsMn1%YdhuzUrZ zN#TcnD2(|P0?OA&+3gjd0$o8Dt(3c&481l!$o%n5t|qSlcKCy;ywP(_wABdS(b1#P Bkxu{s literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_driver/_primary.dbs b/bsp3/Designflow/sim/beh/work/vga_driver/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..60981f663d61b699c6037912d833a14cf56061c1 GIT binary patch literal 1838 zcmZQ9IK}r_=IB)^{gRJBs`PZRNX5LxJ6IVQ7+9o01Q0VY=zvKE1{EO9%)kgFL6}7v zL;x{F0TYl`0%B$c5DTn82FO4tU-Z(=MqyV8u0LWto3Be*m zkx39_49Ld_FA4#9_FzRIF>ttn6d;Td2J%?+K?D#(tQG;%jzG)|76a=6DM08E1@c%7 zfCM6}#eh7JBBZbeDL@#CB?3VT5Qd6_lp6vGgrO2Z9!L?Ap&$i#4FxGc7z)Y`EJi4L zutXq80YVQrTN)G615$v{1J0=?g!F(EAoPGUuPGrtAO#3LvOpe_1&{y%Hc-@no>VlIDL}h&1GFr zwzBEW>puL{n7@wMbZSQKteEK*m%^oHaD-0O=ULj)n2oG&OgE0)}rZm=RtuG^~FV-mD!lx zmOuAPpS_pCZd)qf?fd!1zjbds?q>I9{*(!RHFtj9I^8Kg&1TUC(+iIZs-Di<@H{0? zN$Ti(tKZR!SegVKru@%iH|m7Wo3JIO>~n=dQoC9qr>lYm&WC*ihBFzY52*m iRxh}>GOO*^?LgnJakJ)3_KabhCNMSD_gKYK?HK@kG?!2S literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_driver/behav.dat b/bsp3/Designflow/sim/beh/work/vga_driver/behav.dat new file mode 100644 index 0000000000000000000000000000000000000000..fb94daad3f46a7aea37d6072052a039946d394c3 GIT binary patch literal 5559 zcmW;LRag^_*TC@+jv6J6;OGG(B}R>nF-E6?bcl2d(h3ODA>B%M2uS^ql14(hOF#kX zZr=ait8?>t&htGN2gh}gEZ+Lllt)_ga^nA{#5kxn=vYaFRxv})LyE{ZUBOL&c>@rN z+#;B-bCUoVhZ1_?bXn|!*Y>m5VkZ&mfQIoR30%&oh?*qAfzXcJDFy(27IGX9#d-U( z!zJt@HaGyvd*nxlfA0zLe+28Q5E@~0D(*g?q3?efy8|jOp=JoYJ*rJcQp?tNo)04k zqwaVHiTd-2M8fZO=Li!%-|PU_t7>=E=C_K8X9CX7JEa~L-u=0=B8f6(zXrMHmvWFU zt3GbS0RR9DN1yQiHvlr+et~QRWM=L@Qcd>7rR2~|!5mN%9w#^HcvW- zH+3vkBov7)-y41x5javpI{yMdqU77Fq%-6(_gJ}pDU*ejm*WV&S6z?wV1Im3mL%d- z2Nn(>&}AQ=BWX+*zcf}JfovHai3Ah_zA99kzJ zs})v5P~JjV-9#&HdlgK#E32{=I;WXIUtRc031k_TVnxfUza4~?ce zA;9Y%W|nGjGIYF?Ul`@7XJR@(Hsd0-{GUbKZa{S(^|GUZ+q%rcYc=Bym( zC)48hA}0E4<}ft&+Y!y8yJo8TJ{elTNY0eh6HKpETje54SAIg`nY80KFbp@0WQ zaADQVCd(&10#0#{V{Nd<)4^SQ&f}a51vW#jcljtzu#YZSzfA3H6hd@QbPSqj#Ums2 zSgWPRwow{A&d0XVvaI8^3I8{J>deiwZ*~Hz6HV7AoeIy3#UhGpf;uchvPRN!*f_K& zo$@d%sU(6n#SYa;HJ9=KVv(ykkOqr%<@Ra)oOwt7xY!I_z}j;k+d%hZ=3KwusfpS5&CJr+Gtt_#K@5?;wXFkcC1 za#Vo!_I?7~Dyz2&cQ{=`M3?mnJ~+Ip1izh`_7+FNfkHvAqNKpvrHskm!x}W_v!ap0 zw0UPD&j7L?4t&KU*=r|JD5x^nIi0N%c!aU);M=@ENwR9*~T z^$V@H4qjdm8zZF^kFtOegIV&U;D2afMli102O&^9nc*evYRJ7hHgaMVet?Z!{T<5p zw@;w12_~`Z>)H-dIKTCxjealw4~vZRdmvck;^aRmr}Ru25HG1s8BPEi^Z~}v@*bH` zV$sGU6*VkMo$54C|G?_)XPN*10gQs;X__EGk9#~Fa5^M}L=$A@DA}uIvf#^h=2EUzeAA-do+EviE zo6|4(5&o`2P~wBHxXi^Xx-fvvsb#Mart<|*#g&_!crq9-(m~<2nK8S)+dyAnEab!# z>b@Vd-JzR>Tr^n@G` znzdChxlg`qB?#BxT~1VyDZ_EYZutOmKNG(~-nU}~{J#Sc0#}SHAuka zj(#xx_s3R>D3)?nMjr5;WY06izkXriTSB1!6Nv(V8b9fJhxn6&CLnCq{G3i%=>6i_ zB;o#Nc;$iUwiIy!N`%WotVrKYG14LCEwdp!E{B;Bv!06qIyTExrKtF+G>)3bfY8GO z2A`RCjvW!dW=0wgq-3sPH7ZI}cYH^<NhD>iNT)7UeA_A5#?&Sb4Z3HZyoNRT#sJK?M3(RDv%i? zsyi!*Tkj7TVnjsDEG|{jgC@;`5snq z;d;Rh74hcDO=LiFmH|^Xv*GOHotiPoI$%Ot8>M zFShjxiQW!MPn%^QZwO|I zCI49B5e?3&>k>%cWMZwIWoKQ64|fF~?K5k9ST;Iq4rjTKvTjG)Tpardpu|GhZX_(T zGkY+1`r0uG^H2}=1(Z0yme6<%U)-aoCR)*B^^f#IS%|H;w9vMAxRQh>YU7YhPJLDK zntEsXjeqRWHnHE@L~PW8&NAO78}+Y3`ahWSXunQD_}x3R#2n~cq69iR)|pf%nzzMHGTYlRIoBbDnjhJd zUUVuySIjjiw?fDkVHC66!v3I=2#h5G)G{&(MFA3r(Cx}lqg31uhOJdhl@hy09 zkFhkT{E5szf@Hgfb*HMopqfKQ6EleY{6xg0Htr0=6nU+CHw39olUqY7EZ7N2h(2se zXgz0l5%bj3m9=(poF+k3AMC8|>v)6awz7Rdc0 z!kmBEx+5RE7-pwiIXcopJ`MdR{8l&5VGm7vAd)o!@Yb z?F#E$>yl_=b|^V1$ySKYbPlyVA3IzN z{3?oa!tBl|#N*6eC+iNGwXOZ@<(hW>w})9@X*hyUdwLSOU-Ex_JSLfy@OOj3*lKK? zfkZ3pu^T*NkrlKPk+1dAiG=Liv2LUqVrM`u25B}0#z@qe2R@=n9#+4kRANT-Pfcmc zaokx=Ab_x_SEyy~&&k6{U=3vH>Kl4_=CEQrZ$;R?my(O)Mb0aCUNm2ky15C-p*O>) zPm3_;0lO55Zks-LgQG5jE|>2oJLfn4@{8Yo+IuE@Xd9=FR1mNK=jN)f3beIObWh_I zA1qP(0*g75ROOngU1KLW+2cFI%YciY&X#6l3g(4sN$w@4SBIH|NB#Z|dZAn1$h|b4~9D$dm*AZ9GMr7pDhRXU8 zmr;(DsLJW;H`9Of1CZ7?(FO9?N|UL|Il^c7^O_o`w;dh8F+mavQ2+{!+XwZs-~iL1 zEmD93zL@38*DF7-lC_nAna#pu>6zIgd$Za>;C{iBpmhczt1r`6&Pa|}pp7jjTUgb* z9y*XS{KQyhgOE9kcbuEQ5bUs3lb9}4Lz;D(`pJ-u@HEhv(YisH*9K()bD+^u5LA1? z|B~agyD@C3NiDu}K;&JPZl5r|N3W&}t570svz_2T27P|mE+<1jT@VL^;*`j&B~VG5 zcKo%lr@GvLih)YJMh`VqyKYoS?`EeSU1_FwW*5$R8hRA)bB}Hm13Ns!nW8ZIB{KHt zvx(97S;Y`&gh8s&?b~+L{kc%6%kCw5C{E$T`wEi`6VaWNqxWMT9L;AHF=9j%8t3Yy zaTX*|=pQCTu>9!hH!$AHI-4;3Ei}lIVEji zw2{!siWwWm`A%4$VqP@u@eh@?o(CN(`;xb?5oiY7TU$t~u@j_+Sj@S~le4YG;0UKN zNT!CiU7e;Th|}?m20_Vak1+fch5#sWQvm$n23?hOzU7PQs1Co!UpMGFdui0{mL#mC zSZ$wUvl*ZvcF%fGfe^Pn!lA{WEJ~krdsX_FG-d!BEL!2-(*!mcF1Iyi3{|ACc`rR} zyv>j}Q?I54rhukb7Z$$^4DosPk@|DW$*%ZbehuID0)0*OV#h2_`ln3Fh=E-amRA#i zMp7upe1ByqjwLz0d!VQd@Y=2z^_q_NK3A&csqDtaXDiPAmERose0801X|H`GK3K1@ zXURT|-%1GO_-4b0Lb3_!a*mmD@<16Ld)TVW8B?>Sp-D00t#%qq_LIU_ij=NT7VXD7 z%a|e83y`nAD-I(Umi{p7zP~;`@{X4<+yE}$BLVtNGbf3AoON+EVa+F3cFsp%vL6JZ zFV(_EOI+O|KfGMzf#;+O}mH=rj zlDnlMHDosw=0oo93--G-ze4uZ7|U@=;y}*Y_Ib+9YG){B8#X8OOWlkUM=8hPh=GL@ zyDRM&p;tS}%~REB${skW%7=Y_q6@<7zsh%WB+%W+G*@W(Jd%(TzMm0iMVddK)Kh)8 zDFh2#xKhWD$m>AO?hDe1?=KJKvpiRg+)Dm@@xnJYvUDU&RI=lk{`SP3DWXt1w78<; zJf%@IU7f~qT7X8%FOuJI%2m!I>}$5vfa!RjXnRRF!^PLkmy}DJjiSvxOg-7MxhXmd z8P9t0BdQmZ9%-!Tk&*p>*RQGSHDbJ9SO&KF!I+!#Byfumuhln=K}V-&T;yTxZc&o zjwO|5T5zvi-!y)Ls!g-)=`73ByIhv% zK`&2TU1i(SjXavJVS<^!8ur@Jic^y*lliiWUgy7NF(C_1jb&AL5jkXgOLGzFiSU(` zxZ-_@jRb+1t&c`+oBA;e4R+saJ+EC|IAjj#gQ&!w!@HzwDO|0rW=c)=p!4q9u}yQX z9#4HeSZ5A;-2eoBZ3k!a>@<9z(#$gbH@wS(WjYw*8Ov+Pf0OizE!Ja7}sd4 zGH`@VQAPb29; X5!LZlK-eE!I@=V`_cGaf;*|dbEgCV* literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_driver/behav.dbs b/bsp3/Designflow/sim/beh/work/vga_driver/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..4e577f8ce9b7eb4bf0869aa7b96bfb4b5bf3604d GIT binary patch literal 15586 zcmbW730zOv`^UfE@4XTVMRuY^WQmmQsg$gtCK^i-vWwAJD#k9dWFJ{emQgX;$Jp0o z3u6sqBE~j}8h`8m`P}dDeDCkxx&Hs(c{%6ad+z6XpXYhbIrrYaFZ#%{r4t4(`t94l z9EL2R;~!D0yqaCM$S~LGbYc}WRCT)gQmfNB&{5FosYMm5qM>RO80ct6RnXx~5>%rT z76^1zSrXt(64a0hAhnkSIFp8PpcCMLE=H|@4&2r0oT-{o6>FoRYILJG9ZOIZB)t?! zUAlx)Dtg>>p=ywfz6h95l5YA@HAV`70D{pC^L)K^DY_Cr4^QXhs|pkXO(+BmwSc@H zHJYA6pb3S5p_Y(i_B5doXhI=iXlckB%AjTFs*xlDN6IM#notNBS{8Cl3wx>pg+LPu z0Yj}IhhLgd2sEL_$VjEb>{~Nwe1e#C?*wb>5H<8(trz*Y#RdYlsX$j^Q#4eKHWlgUNmY>gq;Vi%n@V&gdZ3~DuQm{{4feU%91Ycf z^$h~Hk)Ag#s7uoZ0=AJJL9M=K0|DDePo>t>#S^HqeIZ~+RGtYCFtj@4ZN3JDKobf9 zL#4+f=0?*~2sEJ(7@=6dwvZba7M-E!Yf{sIs>ve}0!=6c>}f5?y{TbOYtq$!Kq1hC zLcmZv$bDosDhnI}w!!;D^!=I*1Z?8~x!>1pAYdCu$o*wDwdo33G1*8Eu#FSs9nerU zZVD9}2-v0$U5TC0Q2p191OeOBr7N*B8mj+l0|DDeZ>z41)V6aq~s1PsMG6uW;73V|jR0wWX~NqWNt%AhWEWqhxJgJ-D- zfhH6J_7v|LF^C!tUj+(*CKLjO;$0(tBePN2ND#1%E99Zn;Im6Adc1zHUP88u2Rw4(ZQRlaTpNvAP7_uu{*V5f9Vt*RMf^CK>bDl1S(@fV9X8# zc$s0hnmpXX(N9$>20Xj4Z`mn<1+@PR(z|aEDYR@v2#kS1X-ADWRTC%^0BwewL#RO! zhfz~ZI;BQ$PmK>%HkJgOXr;?~5RAi{>7*C$h%W#-QA0w&X~KIIlWUTukuanx6@yAd zEI>ppNhgk`#^^Sk=#_p-0v;7_W~?-mQ6phURVpf@iV$$3l|u+jfLqdwd@R{$LLp$N z(k+1rfJ*P_D9J?%I+{*+_EfeB1U!2xOBMp&CfKh*RC)gO5PY6qPdXQ4so`gY%9xYC z0O&+*n?S%bp|VY;z>um`40tl}v&x*V1QsACZK+F~N{yC{B;Z6VBNdncs2A}JGpIok zXHmn6#=_x;7Ce#!oM@%Xl7JJ9PeIJJNun{t=R|u0Ip@oLI?=0)2?6I(Wgo@Ckg8M+ zDj~A~A^VU{oJS4sBb7iP;8EMr71n^sK8lwSl#z<_NucGHB;cu1`XDd?e)|$nT=+F8 z1e#DL0O|+m;;%s=V5l+~0uumjPjbUDYEZ=0)bOHG*+~%aqEgwt5b&bHSG)9lpri34 zKo5b@KQo;_or`O!(cHc3NWk;2GA0B(|0=t8JqdVv@%IUyguK!P79b}b=%$!Njh2lh z;6y7U6_@~MM~s+44T`v#8cuXIx@tw0J(2{RXr;?~5I*M--@M}1F915xs~{oZG^uRP zpI}H;Dh8E^Sb&H+lTJ*f#^^Sk=#_p-0v^?tRGwc<%;`)_gCSL^sEjHJIMK=>1SY_( zE(8*{Q=+fR~($f(D_K zbQc0;0D1_F0hsB6>0CSuAyugul)0A#9J11DfeCdeJHFOaQbu zpqFJ(r79j-uu(F^lM96zUyhfKi`zoHY0HgK; z@21Q~#Zwl*CKNWesL}Kk0`}CBuIha;F~_~z)M$Fj0*o34o4Ya_mC1#Gm!Zn!G6B&3 zfZmfqRZ_+R*bIQpeVL7lBM@jh!URCW0sVs-&74BOIW0|B^}m>y<6bT`da6=Ud7ua^ zz^DUBC+1PZHY%Y&pt)g~0O%ke)Sj+%?bAEH=- zPB^*vc&shq%&0Y|Dhc>|1)o<=R84+11)&6WNk!j)4)`+V`O`yS^v_HeNw>sO)B(O! z)P6R#AOY`cl`$dUUEP#Gj#N#4QLrQd{WBIreLCVRo}Cg{fSin>n_`)-*hm6Sv@%kG z34o5ph}QpjA(p2TPINuGC;iPr$A1zH0Vi7NvP9rSD;I(ugwKioj<8|{>fk)8j0pkf zQMt&)iX@m7RwV)bGZq!MBmqyA z(g%SF@H>h~V)d^}0nqOOt@$-51PoOsLtp}+;|Xf8CmR&enNE07sq9_|cu^^L zk{*K3Yi0tF^{GR1b2cCWFDfNcgn*}4Wpg$p0sS);10E;-RC)dd79iw_bW?PZ**H*_ zuiSOs7m+{FtjS!AO$A#zyv@i)mD4o3?{8Gs&w&x>~ooN7rO^v_rf%G^r=4q557zyyT+1Chj5GN>~5l7OMg zKm;ZLIu+2?GN>~5l7OMg-~}cCIt@@yg7Vf>Mkjj7MpcF)umI5MfO^YpR6K=%R|1w$ zZL0h-7MK9&3_yKkQ0o5AO~L}$%!G}v%tpm|7Qki}Z2ZWEGorExArMI^6}=T5T&VIM z5ZlW}Rq>Ps71&=sz@A!@O(VIdon@n{c*+8d8i!E>WHu_3 z3jr@fmC0oSpmPE3CWERt!UEXLgG~>ajfx`>Xgb0KK;r=oBpc1yhk$chj%*rJHJSY& znOiEMumGdZ$EZDJHY%Y&pt)g~0O$fhdy$Q1C=hTcWyuEL5+dEP%}-*z}dzsDuxJX8241Gy%|lGN_94EP%~ogg$_5P{e_<0hNzMJp{(JWu{vK zi@~ztR6d*`(2Riz@MkHY5i+PNb$vtCWQHKngfaopWq=MP8(tU6*u>$oQB^{LKyza; z0i!O*s3T=il~5qigfaop6@ZSGK~+43KoiOYKvyEW-;oW9I8jFbk-A7j9}8yGntv8B zy%u$OQRV3om;m}J*i4c^6R8Vsn?NC8XkEJ5iK+>d34pGqn_{#Kx`w)7X99(Qp?C`f zQZ<1x0noK{Q;d;8*HIUKf--?Zz)+R%4JH7(9?&T==mzS-8`cC00Yh!*CjLe-@stUG zCecmt2N^V(x&Sr#k6aKi)PZjLQZ<1x0nm+fQ=BP-rcf82jwYT$z)4Jp~^qw1ttKR4(MDNbUSrn#h5@LV5ssbAus{Z9e~c0 zL4T$${Ml*(g@B>RX!vICIGq%BQ78t6f<+Q z#i=eWyp|XqyZ1!Q${qH#vr4^M?`v5+W^Dh3d#iWaRN3C*Z?{2b9$L8`TGMF2!-Ivd z#4!UO)<2Z_ZGrW+3+XRv4~*G+((y*h(t-=mkL>R-U|4>(M_$3=cV0nOCrcmB40^p_ zOrFR3H=}gxCpi?`oSu_Eq0y3&b9erjG-Y3xT45_JqU!r^{J+~eFT2$#cyQ=Irz2U< z2CjMJd?_oksN#zUi#i64^s_!GG;8+0)7tZ?T@oJm?6@Y~N53rNNU1o>oeNzjmFTqK z&^p(=#G|t#vkuHSZTFy{M^c&pD(t_U-|ZRxiKZemc6$>`yoU zt8xFyw_S`!*lx;q-K-%yukX#9=JnL6{i8Y$Yux!lQ40pWh~8&DHr2(zp4RxOw*IO)+i9`{3^L zFU-xpxI~{A=r-H?{L=lWn;(hzrDgZY)%yJXyui=4@$B|*M!)HheDm_HcZH>ArN_E@ zUs_z@&2D%5+@5~V>dYH7$ZX`yA zEWI3#4!d5qb?~&Prpd`S9_;;d^WPJ4QzAa<+d0gsFs-xOjotSy#MQc5F1yU73wP&D z9+MyT!P~~A+i1_QKi^GEbvfW2UDPJ7SNWfgT}i5F?&kUPm7y`0oFlr%i#aZ<-4IinYjUGrXVnAC4#`mWb*j-y5u3;eL{9oBeOR7M8cW?KrUN?5eST3+7Cy zI?JV})%AxvhWlp4&nWbCyAjs^uc1l9PI|T(dn~{rBx&%_4`mYy0?Z1-I>mh`o7iuD z9h-=D@3JrNJ~ZDYqSKt)*_SiUZFt_k)4Y32K1M#<@Z6`w`YOjiMn29xIx8fp(xYGd zG}w7`MogZ4k7s>cR!lpbxvN&>8Qb!9mk&N&KCoVFKm}dVn;mxU%|nL=_V(GDBv^MA z?;1MvUvRZ=LTrWij;)$oKJ1#&F?{1D%X$qjH~TZUN7d%BmwzAMD`H1(RLq4v+}VrG zs`$F61_uvn8#!#X*PA&V9)$h=TSVdYYNcaa96#SN-YaeQ>TbV}bZ+E$cm845y{k^_ zE9>(8h?GRfUoKR5+2WSynNa)Dl2+y!7k8d)U2%Es`!1^n>>D-xSVpmW31f0XD?ROC z@z?56Yj#bn(tgr_vQM5h`?K?heuK@sj!O<1{BD_U!ZWjX-6uvo9MkpX3*BY+ z=zd|VmUZ{1EnF48X?oxpYrS(;j>R|oo^}py@9nu_SN8if`y`7u`(G6tGS5qN7`pH1 z`2A)Jrsec`{xUlIj#rV{io8xsT4aWl?7aTf=D-S(;h#oX?i>I7?LL>qkDj!*zMN4i zJ*8QffJ(V%n{6mA^!&Bw;tpYJFXUH0SmA?P?Svm3dhKpG(Zcy$%R0%PeRnU}G_B(| zM;cr$va~rf?8M>W%la*KOtd>1)4asN>k~iPZ%hu_`(W^>k8^Ay3eHUbTd=Jf9qf=b zy;r-d=~Ew9KkHHX<=v=V&fRB+r|y3jb*|y`ET^Hm;#N7q3*y3loW5;c!nF^L!ZY>4 zdUwxOL0fWisJ*$jt z`}lEG<}8~bxm#0mT;2{G^LL|haBk}JJ%`VAZaXNkUbi9R?!L`)_w@L4e8uV8)&~^$``0jZaT#&lwRpKIUDFSE zpIo&-*YefmgBgEh9P8NI-ucHn2W?KxJ#w_xhlB@qPeXTKu{;plZgbiEC3Yp;n+1$) zkr}>igS)lw@{fj&BVQD=&iN^(V0~QCPaaExS5_QxDLSV_u|qTVKJ<$USa|Zdf2F@% zi?3)`yw!>-!yOMEs$61f^>Ow7s&*r_bawPS|8HuvX>_cH`8m%uRr}1X-7W0cu8l6m zF1-8o`GLrl5!QF3YwfWvH~CG#pFi6Nnk5_!N;vjpNp`O&*Vj>Z`X*WRJLQ}(`G)V* z!2vtMj^6Q$E82c)YRJH)f(I1j&OeTF0Lr$_+{@0QG06LZyWHw zY{T(`JU#n=N}RNEN@D-9zphJl@F+k2f4bDTIbvJ%$h+m*S4s3(5o>$4U1=L3vUtY% z#1>1N^x6?OaoNg4W{%sQue>*7z=-5Wm3CiWeY|j(U(G`E3mM;3w~lq|m1=pg?2Gg; z*IpOwzF&}EUpK1t>+9id2lpFgHf+i%kEr66yXX5`6!h>5-1SrPi>nnU+<9_u-T9u& z2NZ=|$ZHelGbOx!e)QXzcTFzWFV(y7=Ju(fF2~Y5>hCN!>Gb||+lE`djnTCX-5Ym& zOna+Z&&o~cxoOL;)VU!Sw(U6QX#0K0hrAWr_XY23_uciTKVID3r$Rtj^~2}fH{`tc zusZ+qtmbD%ByS2j{&Z5pqsqN{P8e^sFtdEx?da**eVR7E7XGeRX65vGc9pBu+mlo| zCce$#0}t&hoou?zadc$fu(0OQZ+nc5aH`a%&aJL5n}@D-?r=48bNN?qvR{Yn4-9-1 zn07X+-t=7?%3kX+Y0I5M^+IyIT$X>ztls8DlQQXljGG+ar|5-6)&LvJJG09@dFt|4 zR?cMi(NnA{?!5PFm)t&+#``@;4jbcS@AJuh%e#gdedko_KH1Hu!AvKYu-o^X#yL7v zcwhaO*cAJLSMo{@YjLgg<)oMQPS5}Ogu}HKuddl{EmJ=^->K8$ZaofKY-txBHZiN- zu(QJp`t27JCiM^RnjH`qSh(%Pjj3mEy`BHV?;)>}Y;O8@*toa45IQ<_(X*6s)r0(3 zzBuRc!`Tm~UpEPefAn*Q?XhXA*UfCO$@^iM6ImDc*gTm(E4O7z!*z2u9oV+rq4ndO zy@wk=+k4+Sw`t&xpwt7?!`tu39N2hnTJgruKZSiCzjWlyT(dSyXRfsxS|)#y?e7D6 F{4WHSD=W8(z4_Pj959W3CC6Jg}FLyK4ID-h<%_B81Ug2VM(x~yy>iaMy^yP zm~L{^?}nhpVKVuZ63jP*#S56NYZMf+l3sSII>@rTjAS-xY?aC4{~Ju%%YuHz2cJ5W z{DxIwK|4Mf$+5)trMwCOSDGMJu-l;OJmb%Ad2-w>y}AkSrXhmaU$#>DuFWSBIjtPB zQ{m-7aha^oYi&{lHwfVXO>Nc@fxerx$_j(Qv}6y&jRCcNTra){G$EAd&z)sqOo`Zm zD|)yVChkAPyt)t*fpQ^2jA?zl1{!o0Z8C{Hai0h0mSc}dz3}!X2V)~jIleO@cPxju zYJJcQaVi_v$kw2@$+SUrG?8v;uD#<;2PU>7LR>j z>Q|^X4APE*>IlDG1 z3arlQnMpNkh~;}et^pg!7a#D%N29JmrSrWSEL4_x(jLr{kw7zk_q!G)`#|B?+ZZr} z68Me>WqkV#RC=*DL_p=1Q(*CSmw4gzooTP;HLspKWN(S3MaekOy?yK;@30H?1yuWd zU#Ws>UBbmFYq+GX+Y9p-XS$G5^2?en;IlnS`n=TDJT0h{id89tN*TU(396{HbZ<|s zGSvb84fJ<9=v7$ZMsYwj-Gs%+ScWOF%HH#Dmy`3$?(LA@#_JF4zEGfjyt|=zFXnL! zF_JT*o_gq$^XFn39i`H|vTqo+eAt-9Ek#qXA2zZ?4 z%fToSp`72+5V?r^+Xe>#CT9bz1a*iqjduzyGGIz6AZh+G#&WAUHu<+ zJN$N)J$H}(w9BSwJlI;q4X$jK6a&BPeyDl&)9&ldS15`JWEU^Bt6`e&{dnVtT_8SM z@`qiKdo>v7?`86kT{EEBY`0E}l5Sp@{y)2br19`iyNHGksQhWyBL8~REacYmU$?-2 zyT$)ow|!T*LQs(9R+%{Y&mIECrb0pO0mfvz#Qv z&d-TCzmX1Ej5;eDVH~_U;9@5yv=%C3sBV0%X$EEB9|Y%bc&tkja1ZWVcO}=aM4!Fz49?57vth=pJiDi?=M^p`XAFEk~9|`HS(WD74GI=1% zo>IWR88y^?LRhAc zSY0Gb;lc?z?>Zmltn4+*tS^cyJglbiPHO$I{D{l9pj&q4)KlwvMRN0-Lvhrm=0|Ci z53lJxk21#wbA4|~uAH2+*L%62q#3T}(k3zl-$~nJe(9)6sD>|$U)nTG9jotiQ-JMg zK@mCH?TF%E&&ZLO;1g%=Fi$lVgczK2j_oS#{d1PHA#1B#BrLE(az(|0c4)@=0bycV z2#1Z2%Ln;o-gdCD-{-_;x zY$kZ;7Je4hM3%-3Y^a8a1(K>xn*`8Xh7KGpZ&z6gWs4hsLU>=HkdTzy zr)WP%__+DRQ0ZF;{TYp2JFo(k!Wwv5tkaaFZfAXff_7m^uAUZ$v3h@){LF_r7+if{ zS#`aIB$P^Y$f!+LpxQh2m+&teWRf4xUr3Q7Ka5|KEfzOx+1AL@^rpwO9viaaY+foL zeD#+tu1m6PR$X*Mr;)bm=Z*yAI!(5hl=@zmIhiCsZ3hRn6MUq$HjhQ5EwTvpff}oT zL2t-{pQUiuhcvp}cOM^6<;_rCuTPqQ_SCc=e+R9~zn9L3OFUtc=ojRE*n6n1=gNW@ znXzNf4M;qlbqD>vYd(^@5QvYZPZ3J70^ejO1qCh4uT|%LBuPu{nMApM8Gcoqug;ZF z9qmccD$3}NmYX|8?znkk`l78ceT{1i(Jr=}=hY&ePMI(2&L!N=401JmnAplEqM!Rt uE6;>mUstj6!Oi1MLbMs%2lN@jWWGraUVdogPeVzJBXL5*8Sy%Y z$N*p&V>%IMG+G8T09eL^PQ(R`mca}FmKi}OG6jv+V8)alXVH?0=Wt#IfMpbPBCcq( z3}yhZ%t$(ssc5teW&p6vC_0g8XtWGw0IuOa0^mvkFzGnpK2lNuTqyu1 zwFd6{igbuC3IJCMfJw1a5kDy@0In1OlZspFyjP_7lV_U%Tm%4D3V=z)Z5U57`=W-B z0^mvkFsZn82T4f*aHRm4)E0QKloSA03V=!NfQLv)0dS=NnA9G4sFV}{R|2EI^A3V1;ys3hOGyE6r2v=|dmM?7k^?D_}OgT%KCmMVs>*<+=<=fD;w=VPZsffcj>vLa)-(*v5sl?ol|_A zOFv8T6s)DbJtMf*5 ztL42%dvA7^UR++X-R*SoX!Bo+ zx1Ky!pmlTaKGf-!emtjZN#dl0<0hM1*YtQQS3CKQN-pzj{~y11m$zE=qTpfA84rJ5 zdqTTM@@i9+f6*>$vjSy_fBS~@S&c_^xtSAg_s(m4XW&8Ak+^_V<-r9_CU$uinwd(k zl!})d?=Gu}Y`S&eR%X?)-%g+PI{996q-o3#ZELGHzL=A?S3l!}vGU316kbu<+%X|0 zXB~%qe?Roc=0f%7UB-4rdx{SDCLQb{uJ@+z$eFtBTz~z&KemR?zhkv8cvY?9_rUWP z(iO2W8KDn7;_co)Jl7??45UE zZ)>b>R#0HWme`f{U#4eWvhl8-rYqFip3J-4(0?iYkk`||hRqq)mKOA9@~~|qo;-C5 zn9#JgY+%%dM2D~r_2#HPbL~#qB4u$wN1Ohq(E2;si5X`rXDGTCUa8UV4?SRWtu!fT zOYPd@mD?=OXcA_Z$|oMS@0eL$yyf=duk)!7*x~Hj>J#=<;ofc*m#Nm6rx!RsscXPyHh+9~8YbtB9+%GOsDcflVxA*3B2&E`YPiH?hQH66S=kFiu za~Hf>{5vE=!E)`=-$#|D67841=NBrR zRNuT-UDGpluWik4t2b7)4|R6xRegx;b4^YRyznX3`)u~ntL4P&HK}o*k-ckP)wx)S zi#uX|9`=>+)w=e9mv?67#&#Z^d9PU&x*9IKUU0hF-QDbd@6w7qM$v=`37g*S7GETs zyXc*ItA^C#Z|SZ#Pgg4D*PSEF&&8Te_kDKhJh0iI@$0hJt(Lis5nrrsPq@eu^l!%Q zS9b-@m72PP>*N34muGfm<(RWSVEXmiV@;Tha_07$4F)0B6)sz>EN9t2vO3NP E0ABgOuK)l5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/beh/work/vga_tb/behaviour.dat b/bsp3/Designflow/sim/beh/work/vga_tb/behaviour.dat new file mode 100644 index 0000000000000000000000000000000000000000..d0c608dd8a0488c4772951e0d561b67042c63ea7 GIT binary patch literal 2359 zcmXBTc{o)29|!Q6vCNslV3rudU>M6-h9TKS_O&cobJ0S`!zHN*-Egy(of|1a8cVWF zWFO0oCLt8EWKZ@bJoo4P=AUz(&+~o1&+~e|-|vA2^`WM+fmE-FlgGL+20F#KX$gt+ zmhfVe+TdtZYvI_0APM1Y&~ImcU2CbYM-1-4qhLxKeh{`+P8WZ6?J>s~zyL0axEq@jSOmGiZ3Imk!p_O4ve+RcmHp}I*w zXl_8`zk;>#`8)%?oGB>rjZG+TkSOy|+T5sD=n0-36F>Lzc{ zvTEkGBM{&W_x^`iG$ue6k-lUE^xHUZ6)a-Q;;rJ#@8hPqs+(aD2t=~)ZS^T(A(JBL z$zVf0a6EZI$|409f#g^nfqP@r2ZGphd6GRkz|h3U!R-yas3>(-;|#7fxKdB(?IEoJ z><#4_w17R*j{{pYMB$roOvjPt+gCU=J*MXLb=g}PV93-Y;VKv^zb&7wm$Z%&v078z z6ZHwsCLUS=vy)BJoeVL2fYE0yW!x4lTbsb>d71+DJ}*&Hz#eg?k08b7@k_jB`_QZ_ z569nJ-7axij=cm7g$E_$z|gpvOab?CH;<(51Y0Z78@hF+1QnD_Fg%%KC zmgBwMDnt68n~1~jwScWn((kcQ64PyMQl|J<(~@jX?)g{pRlp2e5ry0>ml@KiHQyqy z{9ocx7mm#7UN9GFQY&))4G{!07={r+ZKLKnL;o;QZ!c+*228x=>Lu(;4ikC;7I4y(0L_@U6f6A7@Gm zYiSVEaB$3ohiB7Ys$c-`oL1 zcx&NVW!=k)Mc*ZTxXOx&1Fj)7AYk6s*WnV+eW@5I9SQ80!wQ4?od%_)iMzG{1(mfb z!5(Zv@(VKxl|ee#7|OmB2B;txs=5hMINznPiQ<(;=c3My>Zx- zMPK&KqbNd}!{mQxXQD&Fu@ebH!o_B25)6|L+d zb1Tj;P)1fLnWhbo??aSQQlk@2F^U_^&g8%}9@`VZzaS9nZKh`d&wpcnR;41t+Mzn9 zExBY3MqUx92A3~DULbE=OYnJSsciMHdkb;RRgvkh>iof=o9tUTqC6ihtldP7X^+=Z zn`ksnQJ37I+x^W^oe^i^_BP7tOx3M%U+|7DC2f3FGis4s_PQB8tEmIu8Env@(7txR zgKIZGY1Qxqq7!r-UK=C>-f?{I%!}leJccpug?FA51tW$r+Es`OHcL&F_Tpz3Pc2Z% zLEcd0$v1i6?!j(yjBo@h(Z7|_2UiH0{6&3!l+$}ZGaFJFe=puXvCr?Ek&ESM=h-#= z7abpTH4~=44s$qb@ur0e8~;vt5w2UebLq0`w{2779-o&l%YS|ug-9e;wt4N&Ce|X8 znJYgN=4C4;vS(V*FYViJH*Q3{SG#4M7~|2xb^qE!9u*1SZi`p=T?>i=PC&CykNF2G za5Bwe*Dm6QDyL1xdH6*~PxrSsmFXk8-o{w1jFe_-Ut0KfnndJks%N{<)f(qr+xtJi z(57DAS=!ts{Wu@+{>f^^K6D*2?05Y6dX*RbNwdzEio=zLA&Fh7HUur!aI;F=N>zvB z#YjE-hAPKJ@h% zt|Qi>eel~;jPK0;xhhZQ)jb*J%4A+-3Xr-YruiFO;Wm{azbAn5=>rV$u{sMl- zk9KCFLQ(Z;0n-NlC^_ea#T2DDsi@~nC!S4jn`fPQ1m3aHK6zK)7x z4+vz~XzE_AI`*ITs@MyT(5{oITG_byWO8zX?SPYt$?KEpoPjNvELJrFljjWWz+~|? zoo(a?^jWrg_Q*O>sqCoaTO+r+iv)6<#ViGphp9^@nn5fT8_7p_6US(LG~a)py8G@CE;Do1+TT6jzQ6rD`*H5x zsFd1fzE?eC*02%2<@9lGx3yVH$$|~CN~MySIT7C!<+-!&J6>ZfZ8US2l4}A7eZ2<5# zBY;OyP$q(I2HHIUD1AdmfQC`wyN3*bOGi?sj;5e@4gl~r4wT8L;&b4L>{SYihHeJh zb^z$@8vy>CXMx91;L-thA^>`&0Jzi%_#CZtkktaf+c;AuqlypM1z9Ww-nRj^FaUb{ z27o`u6?mK=HKWXrLGZBs0DxX804{X{9#28<3IpJ6+$ob$r91~e!%@f*v{i%58vw5H z0G>Eh8vwk`Xy9`x=>53^;B7o9lTpRHi!+r~DWk>+#sHY7r7(ggcUu_%H+utrO=$Dv z1}p>MZ6H?97uui`;=v&U;BCeNPojVoRxa$qd|zfO4?z0$Yn3bl>`$mS=}T^~q>S&< z%m9EJM1UEV2nOuXe9vYE0Nfw~%nZV&-xSS}cFP{E)x3IN<70?e>NFyPqY2N~-F0B#TgW_U|5;1J`-8Z!Xk1`%L} zRKb7~D~gKFY69Q}5nzTi!GQA%$Cr))05^yLGo%X!9CtA2%p?GA5CLY$5DYlmUZtYb z2LRk40?d#p7;uoqhz0=MAOg&gB^aD3n?psX4*qH;4c;tP~7xl*Ne#0Nfw~%&C&H;4c;6cWG;6p{Hl1j9sk!n1emQqkw z7t{D0m6=pbwf|cr0WhY5*JuJo85PPKWumcCO+>bXf+C?C2~`|IztkU7;2nH&rVMrw zfJ<6PueJ@wfKKA^yp8%3Er9v~q#S@WfGBmPw#~p&gF^)1 zGB~1qs*KnOF%Qk>Q-9j`e0yow)Jr`J4kff2d9?=HyUg?I>U_E}+`ek3#_eF8cS(C< zwsGD1m^~)~q&i!>%I%d>*`*zQ?*z)vHn%J-RR7}R9~D>MS5|uRWKUp6QOfPUyW7J9 zijqEl-Qd)9r<`e0gI%5D;{9i?=Ui^~S$iw;lMBl{Y&Yf zWfrwOaB;ABbhX4TWcRl3lK-zUZ#wExyr*!h{r}MEiro4%_h&-RJZzMwB!AUZkQdM<~a&%;x zyZ6}vm&xX)Sn@UvkWI+yY=nG(cAz2L-{wen=Xc$9jmnK?+iXM=ALva!6E$e7XOl}Ph)3Z ztIqstrD1LSp}u|ncZz0Q&uTFmb}jBNl-*osb(%7>?${5It+)J|GW&bRARoUw9Hjky zBan~VlRvDlDP2@|<*k#QHpKxw_oh_4H#Eh3|K}?fpIuB}SZH9c+4){zO1ukK&b*w9mm|e0prXsz-#-qQkr|j&FMKjA5uJ`fv{3cqC*2ex)K&-Ac8U_LzZ zl`l;GCM}Nk{?4m9B4}^$+U8Nl!_FsXsIqMxdV}t}MlW&vS9+#zq$d97ZB9vhlSXF# E8<<$7uK)l5 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/post/modelsim.ini b/bsp3/Designflow/sim/post/modelsim.ini new file mode 100644 index 0000000..0a48df5 --- /dev/null +++ b/bsp3/Designflow/sim/post/modelsim.ini @@ -0,0 +1,1305 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0-in compiler on the VHDL source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageSub = 0 + +; Automatically exclude VHDL case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/report/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect ; +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Run the 0-in compiler on the Verilog source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a Verilog condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; This may make major reductions in coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. Allow VHDL +; subprogram inlining and VHDL FF recognition. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Change Verilog gates to continuous assignments. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block, or +; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active. +; For PSL, Default is on only when vsim switch "-assertdebug" is used +; and the vopt "+acc=a" flag is active. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that +; are included for toggle coverage. This leads to a longer simulation time with bigger +; arrays covered with toggle coverage. Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable is 1 +; SVCovergroup63Compatibility = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the memory +; requirements of the constraint scenario exceeds the specified limit. This +; value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Maximum number of evaluations that may be performed on the solution graph +; generated during randomize(). This value can be used to force randomize() to +; abort if the complexity of the constraint scenario (in time) exceeds the +; specified limit. This value is specified in 10000s of evaluations. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both diff --git a/bsp3/Designflow/sim/post/vsim.wlf b/bsp3/Designflow/sim/post/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..027778dab341e44df214d2cb0d1f3fe94c093e72 GIT binary patch literal 57344 zcmeFacU)6>_wT#2Q%Hr-n?S%sda)v)G*Jkmpj2^aih>PPKtw?hDPjYWQPELhCV~_x zI*v#c8QUllb(5fDR00Z?K{6H)5boM>p65LGckemp-uuV7=a1wy;24vg?6vpz^IhNd z-s`j3JCM~#G=d-$_(2E@9u?t#!h;AuI`D%ch!#mHDQ;6-d~zHqal_0x_`m+^;r*ti zpES>A?ivb0g0~a#-w49Nf8iS--kqA7>PPh9e+lp}UTj1Kp^;E7M~RLYs7;X&g3&?* zA@4}ouw~Oilz`Zi7oyV<+xV@Cwp-$(x$8C~T0|<6xNdE%?dA<})TEuZL`#&6SZ|Hr zp12VHXYO1(``HUo6~sCrF*-geaXqqO^SZdGE$bE{DBCu1%U0VU#NRhCAZWvqL?m#@ zLL!x5%Rp#mgay0=-dcng%Zui1<|QG#ojiZuN?riM^WgD$?z}|^FO=uY^W+60yd^vz z-g4ejgcrhF#aqk^LU?YxWxQbC3RR^A(THobD&n1h5I6A1N(46fh28?N{ru*aJH{Yh~J!)h-`?DlOMxmkp)TFg*k&N|8 z$mY!{oJH|lww7#Om$)vPvYAM5v5iIIx2#LBU6Zukbxl;9ZS)3e2Pp}qM9iFr*se*~ zI@{J`9g?siCT{)a_+-R3Vf$L!9WhZz#MbzPh{QEEUOQr=Rh3m2y29Z^?KBoXcGXz; zE2^O8A2OHIYFy4KyWs zn1&!kJA~*l6Hy997#_h0(Fai?c_9pIQ#uWCrs)urGNL156H?;Vk`{AyH=(OcR+5cT z#Mp5M#khn>jE>k&amiavb|E1KgE3@-{ba;ojgo;0g>=S*M(Cd+gz}AbP#O8SD}@~$ zv6ekV-4cgTlH$0D>j-d0^y=NsU@P1$fuT= zP`L56YA4BDVknYT<4%mL*+$`V$|i1;`83tl$dOA0#>8Wn+9_PGnqmiX9BsV`a`}PD zQZ|A-*h=OS_G<^`6S&hdkU)577U08L@lZrS5dlR66cJEFKoJ2&1QZcaL_iS%MFbQP zP((lx0YwB95l}=x5dlR66cJEFKoJ2&1QZcaL_iUN|D7Yy28{ld$236qixDb9K({6k zXT~83O2JXv<2J;hF$8@hAiPM_KZKWn(s6igi>%$eDPrq}xCqw`am3|(A9N8y`Ocxy zDIk)|`2L(laQT28;RgaC1SKtxPyBfufyE##Cs7>#6EY*;A#?OP(&qmjW%k1^AmRL< zML-Z1im-?X3%d1LWQ0XQtQ-ksG?B$78z2TKflS~`?L|=*fdkJT7$WP1BeO^!3C;#2 zP6qV-6A%swB?}NL89n`uG#}9?84x%s2+AR#92EZhf>H^nK7o&DB5D-WgNPb_K*?%| zDWdl!kH|%IrpTHeh|UO66JD)vj<{t_5mB!xBGa1TH@Pah-dGLB9oPpY3``j4GOb*^~*t)6OhYtyvS$_dRX7rwvwXdOLSJ8r8$Y?!K;v_*)%EdX{2j|zhieKx*V-5*DYJMNO<;F1jAol=<@>^aEbu4s83~8``^t`p?!a?l4q3l5)9o{qsnhovy+5<{ z4XW>(p)-w;ZFl%qai&w2nyj)#P0UwB%O)K z!FUSoUB(-f_|c9)Z#R9LLSiMV-WFXB9vLR2Dv8bgd_f6&I zEUe|o2T{w=TgI0%Z*F z7hP`}yYbGioc^aD@oPPHSx7>ziY|vqI!Lj}!irI>!H~3L#uM!7ASvyIsIjJX_*IFx zVHPR;)3r4_kweO4(s-ki=yKKWX7U;tJ*B(BVS>EvXyc6TmO=7PTTx3*Yu$_C+{<0V zcR9x0$ccqu$9x=N!;&bkSxk<@=!UFcd84$bcu_-B)$Rt`T|03@6is|oz~J@Jo}c)l0?$^{m&h0p zpxr(6fNRZb81&U&+Hx*mtJ)nx@5m502FtG$f-GqWzAc*%HdUvs4m-okX0(N7ELBZf@=msLd( z45r`nh}J0hh@H#^j<`XWdF%OJ0iD6@tSX)-Eu&tmvJ7F(^&D1#1AMQYwWy-{w@vLV z?^;oVEu1#2OIyctFEd%!AAZRA_3LL9CD!dA@#|>VTC=jPjQ$mCcvY&r@GI6Bsq8UT zM!(wBn5$fUy__z|QEoEEuF{lmz^AMcHNdAdtC-8^GBT!S^X1+l6(XlesQS7!Y9v!- zK3~AHey`$kSNW9a*3etMa&}eQ8m7vXZJpTV=Hhu{J!OohD$Dn5u8fhAbNQUA{&L|R zty;<9n5H#i4trLyP_k#}S+f$`;qP<8cg@i&r{bSn5AON$=XK4ho)>F+{z!WN?D>lw zFC+u$AD%mYOxjZ%cX;$qQF<@q#oyw_tAFw=cRU+>RrBpx{_-%XdCup~up~QuUcuT4 z#sJOx%WLYZT}{slw!g48udnTQ?0Y%A8?&lk*FS%1vRP8#EAF;qmqv;ocd%>Q1zPIt z=f7c%=c~eZ2}FG-Ji8@g@nKcNM@>_**D*Fv?y2pu7 zuM_v4RIOCSdh1l{iyhOCmzg!ycBtvdjPoxxr8_jMnPROEcBb)C2P9Nex3 zBUU;~%}Nl3Nu$)NiWjCm!=&~08Av5Oz%B$KwZbnH(FgMq0tMwDBoJ57Z8DXpZ-!8& zi2FglQ5r&Id&w1cgA%t83^NE^#6N?B>ny^*KqUF)PY^Ock^Ik-1nD#yO7rJTRuWl$ zjs;^x4yxj>DVRKn>InCLQ5Vzn4s(qydB$&4zZy;KE*e8|bsa=){3{pFtQxhf!Wi~d zEjFQVmz(n&ef+W^$f)}|*D0bt&ud)~!}hTGS!`Kt#jQhidTBUVpkX6kIE1yZP(M;xvrbnB$ zD0xL+ihbG9CnoL_!bSSFGrM<{8qk{Kl^B|k6jV0G7lEo-p;91Z;^ zSU*j}r16F5?YQ&(10~}A5RKwe>jme{?KDc1ME%JxSei<=H)S-oV$xhqEpx1wrfJY< zE9xJ2PR$w?OBZP#TY*XXP6dmkP2+Lc6wcRcw=Dod5d-}b_ymXYiXxT zL<4C?>9<^k56dhbm1@)PV*M|*RlCY8u8qgt(M~B4 z_KT!T?X+`cSg)J*krCLD7q`F29E`~02eNw#OuF`VI!{cArDPqlE*bkvhiQ~7dNm^1 zs*~_i{HjAI)2%sYd7Vz4XPJd0&0c4LOsTt1NoQ(9Iwoz`rNoQ+5=JD$x^Z^mKBjK! z(fCi!?YjF;R3;6?sH<>aP(FyU1W4{PefY#3Vxfo%SM$WTgIu z%&|`-J&~h7v*$>glQEs_ki=6A>(?WeLjKMFYy-(dYadp@-0!XX|tcC8aWzbxug zH`ee~#U#dGf{Fuf-U_Rdq!}1LZ;?5^@9vvsJmGHCd%QScji?uP zdite!nd78LdcJh}^(WZdueae)G=12|wJmAL5>K?|sBy!c-^6xyOWUq<7b~^q*e1U? z%&nF=xYRc1jEr)dFzE>y_l8Ic&nxlFhapnD)cGqgX)n)3ERAS92P!7Frdb2muug!A z5eX{9`S7PHE^C~XECSJrfX1R$1SOP@=ph;uav@QfID?{h%l{t%q=VND=`VOW*);%u ztKfMsnDQ*KHz2rjCW#<@2ucMVWFo|)C}oPEPGZlPBp9m_xCCV8geq!aiqMEx$Tnnu z=RpudlAqxikqhaoh)~a-#Iw`}vV>Gq%kqD_e?r9%S40z(n)-z}Yb8x>fyO3p%}@${5|I^VKBvg55){i7eE z&jq!$F1HSyx@uL^_$jO26O^#ZXOk0gkCM|zl_w7B@Is7bU%t*G^V4%B?W?HGIi~Ut^BoY0UW^eXP2gGNq znW-E0s@=@S^W?*`Ih`k(YWJBPS1Ps8?!x-q%mf>-{$Hx%Mg2`5a?I61e`0o;8z05` zGR@6Sh2+l+j5Hz3;kY!#nL(plL7hA zwAgV#<`_c7q{dLTe0D)Bj;@M=pXVT!OZr_*%QQfnp*eM^IPrOci(a~Lu2Ewf~f z!<34Evp<`QcY=u0ERX4d8l8$r5cPvDTA`d04lYJkY+Q`2w7-iH$VC^fb5@5dAX-JZ z7>yT9$fby8O{aqvS*yMl!!T=-S*OSx2D+uMO0081jATcq$}B)dte<_kE|w14kc|aq z**45K#=#S1<~v4`Mr{&g4j(b;-$I*AK1f8KZ%!vnDj_y9cE%r<%gnWHCZ@J!-6z>n zk|iRLbKCH^yrNuk=KV0xUB-Crfq+{?ao=j8ZE7+0ad6)`(Z`|jt?wemgB`YC+naN} zhwYHtWtQ+pyP#N^Q?tP0i_mVz8>~Mh?StqQ2#ejTJ$1tis&gKw+0FR`S~L!F5%b06 zDkL&9*T|d>boV7G&)g<*$a=t-xpT-xBpqt%o%s?e*rh#QW^aqv6SjArdGEs0pZ@ zsyx%1R6`Lqg6boX6UuYE6$ph$wp>bBK(I7H{5T|+g%rOD8X*h~og{jI%7v0iq1vb_ zVhPGdOQT%k(~X&wkuhd4aSYb|#>$}+M1+~d3VTFr)1qBv1yzc)YRZ{@%XOnXM)fI- zX_ONx7s9C%k933@H80duOL@_gji^?Y1x>>b7rVU{*Kw^gD>7r)yYdNa+MmV&Z|7(P z^5}C%&DAbhMZYoMrqwX^c}{PmR^{0-o3Pf^nu6{^T=(Y3LJEF9psg}IQ7@u$OU!bs z(C#a8?PKEFrxskfNClO|t^YCb;-quZ4}>?h5$y zz5&b(AsyWB7mt`Tn;n8K7W{nS49P03apRj^Ro(q#t?ULnVLwft7T!8~7?X6Kz-MKB zhqHhDWQulQ{@QBc;N$^Rnl`OQ!ZO zFsYr>{8d=Lo0E$?<;gAQ2p`QB!QZcd#;k45m8UifxFXL|i)Q$c1sbzJWfmklfXs}f z-6`FQ=Y!e{=G21B{1z^jf5<{Zkfdg6w{*@z4J*)@g^9Bv>lsPgdHQ;ZMRl14d10k- zCnl*c{>vr&_-_21cGi(Od=;4gRqAXCLi5tu#`pN{HrO}k<7{DLvq(yE7Hm_;dYu!i zl0}jc$*7CE%waA0#)xKH!;`P=*Kc2MihmDMLvk@LCS$`cX6GcL;WVRy^^xL%SeLWy zm?ZH$Bt0XNovtjI<7KRWp{tMn8?4vP)#QVy7lx1PfjUSTT&;>#1!nE8CE8_X+u_A- zO7P<~Sjeiia1`pI}n8Ma`<9 zE+9LLno~es4lUYWJe)2&voc+{C|?QBP%f z4Ci*fsPo8NQM7w?k4Ii{z}%`DLc&Ororg^OVee$ObknHEREogddUP=*z0CYC5X!}I zpf5R#Q%kGGBbkf$mDh+z;QUzp4i4QUCfyyf`0L%~9A3y0q^mXO6->WNVhhAl-6cCF zW%p;(mZUWm2do!K@ng5-mCQj#{-ZG+-qr_`)`^lOpJ48ljf248>AB|`Twpx6xqav_ z$nxA-9FQg}v)De8)Z_UQ67;N2mweA5P$XT?&r>4l_K~zvuQ15wZg_11;XCBDT_jDv zHtsy(^%S<&?lpJ~RL`sWL)-CG*mfU37?Mjme;pmh1AjA257L}Ye0tzxGRGqVi_g1b z`F%93zxu68nFWYlpEsn05p?g!<1+#bzqPI!(!yz=bxIrp3o4P2(BUgx z4(O6QN@3!-Cv=c7%49&u0Ud7nR^Zq2ni_3{{%@e znXT+UNo4v>E~Yh%5`!^@O7OxlzG|szIt?A11of))m^OiOHc>yLCflb#CV89`hUw}y z9=&opx`F!BTHeaii-P3Ws+VA~fh69k>9$+y!?|waMI$~M z=$7~`4F#dtx-=^H`s_xLWPI0xyPgGiqN$H11!rbry&X%%6<9B6>GN7x45!)qq%PTd zXYUMAZ-`I8LxEYQ&uUmC4YjItoQThuwwe0PaB{CcR2_sq4?#Budq6heR9)tJ9ZuC{ zzQ$Si&kZjN${QNUxj!)UE^=ARKwUSaK7ZFOyU!PxYcE^y`1@LExf8xtT3*fH)|^dV z?w9<1*e-9dA3Ur=wte(W)N|nd+x(RGdF>pcxZk^O`K@BCUv2qB=ee9N7@EGRb0cA~ z>bt1@lZ)@LulMb8%b@PI!=aMj4*7-$J~W{IqbJ-8XHMRSEFXeW>`cUF~9rBhH zw?Byc1E+d8lZQ5JNdggO`PtSvm0NcBIYS+WtTR-`7usN*Eu;@I(E+W+I`5C`zS}Nz~;e152`b^}kk2NETFzzQ7A<|G8T7 zkLofYAdp4i$pq(n9)Z%_p<*-%X@ooE5d_5Gd#Q-K5z+`go<Qzhh9DC;1P3 zCJB_FQly5`$aHjaKP;T6D4B_lC93!@oLC`WI3;JH?5QuPCVf8-VKIzmJu;w_Yg|hA zMl~+!M1MlHuSD#!dK#!vjt`Yk4FL=l3m;EZut>#vWuv8WV$A8=Ax>N>apRJ$(Z<{S z@aa6O(mVtlVoB!gdfSk7%w>rwV*$d02$K{bd|S!eRkbhNo0cvp5(l2o;nr$7%pF|; zi?NG2VxD3^wqT z&q>)z-O>*w!N)dW{q?8fTXT1eND4wwJ7FU%_aj5tN3q__5N&y8lbKriI5 z-ZgBXs0~UOBWVp28DeSgDiCmK<|@?zc@DHH#YyHEB(O+{UA3Qv_217|5%t1Evg(Tv zrrl60@=Pceg);5slXOpLg3K|BQZF`gdej>ZG7*}I*KhK^Co?imxy6;J(5bxw3+utv zlw8<+lWcVyEP`N)$z7dVf%V_oS1VrwtZsg{RV-z${`$}sHva=6mT!LahgetwbxXGk zf7k(v6p{vW6ikypyn22;w^R3rPp6DwS+X~#yS{5qM7O4WmAqJLfwVx{{0p4@bU4}J zq=u87^bN?(U4p zCJ^l_52=4!7$3!(&)~TkEZt>;_FcTGJADI2_wB$uFNFse_X&Jj}kp zJ)2zU*)^E{wa9Zm@lF2e!ietSlJHGX&Wa4*eh$w3R{ij&cv^Qde6SrGhz$P#D@eR- z6%m{}dSx@#uM)BDO@zQo7_s>So&`r?b>e>gh(0}4O!8JcUA_X3Tp{idKBivrI#QQm zw6t5gH8K*?JGaOf&|pZiyAZKdKT@I>g-QBjUX+`2SOedmH&PC zMBRmDBQr`|zf@pW7xnzAeA&3>`4X994<)a&^HHzzl&x6Wz9s+?Jnc1!t3hrdzv(Cu zOK+`tRD3Bu=*QH3Yn~{{Px`g4ka>N9lYVrquerdSwl?UsExu;&UE2by#+aRJ@2_ai zrmcN^JQynZA8tiE{Zm$q=BL2992xDGj;CXBJEI$1Ay13GwWIWtb7pjBdCq+pz_-@T zg_mQ}DZ_P(zTC~~WUTZ4(p6>-(Oh@wOF?d@{<`ZsWJS9f>u!riTC?Hl^|tVBs>xrM z^_@4Ln=Y1itasLhf~VX1#YUpPAV}3O%Pl_VtZ#C|B)4vOesTU2q7-8R1=_66sRc0_ z^Fa?{nq8pc0qb77m~uODZ%51(Py2(*jd-e*g&j9+xM8pmVzM6 zinXc*MTm<1tvDc}`mFy0i8yM5A-n-5d%q3VAPRLG=BSjJ8 z8|v*hwPr&$zEKC{rcETBvr)qo1Yu*dO@utV-q?Jc1H*iyU@tz*H&*3-aeg34UbIQw zQ+Nk2sc$l__~de>WRn@JZ<;H=TWmNkr$118#q>g>F8?I`OdgyhY$9 zv(5UT45OP(roJcEn-5$E8QNTI42kuP%_R@Z$5KR$Hb_dhfL69G*rK7u$NINS8i=H? zhQ^1tWMfiW@|I)UUvUikQ#QdS4tHj@_*|ZIN_Jl4cY) z3FY$I;kd()Hm{8KNNcpLKHV4bGj+8*pwenhu7=JtAbi3!xaMJ$?NWTd(>m$Jp0n(4)pr4DAeuOF^qa+~x0QwOi{c_OH2GWm%elL952heXq zK>_p^0_lgcthLt{9Q1R6^dmax2+8^#7`4N%nJoG=Ux?`L{rJ&ZGTGulK-7KY;$U zMism=o2Sc=gMN(ZKjMMvya&)9YIKY1?+>8=8-RYR`a(_(4*D@3x(uiRkUj!(;1S858=NH!X zQcr*Tc#^6!xcXp4uBfFB=lnFB^Gif6-P&K`>ckCZD9-tNP@MA*y){G=Zi$5zqH9-w zQBp!PX8`9P1kR5`_&OP2ekNdkGIkj-zirMXfaEymcL|~t*G$|n9KE4ie}l6o`&Sk* zRS!V_zI=)3dbjqw=K%V1fb$FJyg@nWuNjKMLI2OGSc3{_$CC)GK`00PVQIZM=wH`N z0?n7*?$)B^af{YrMw$>;zaQ?&CWt{WlWF6=H2Y|KbQX_SNmS@UAKhCcK z^f&xqq%OKj^^v$?SL@`YAFcoh!AUo!pSKf)w^IOXrmy4<=@Sp&!X%I|T^57DTTWBxzwO-}hg&lI&JCuQT1 zf8mERy1l;h@)CBqmgI2Py}p|3K>16wZVdf2x2m1(aIQqRPr$C4y87h0<$J*V2YOxv z*7qo-e|h#izUhVJW7~7bk*|*9cRd#YX_)}ZA3Cz*Pu|UEgEh~tJj;JkvD7@L87RN9 zzLe+n6d-@_rPowj@t@BMejJZWF|Y4;G^l+!z09iKW~$%%v~J0}cemt>f9LC%6Yu{#YN)yg7=Qc(&iKQFTVUbPrgs{zS*iNq zjK2qG{4V&!D(=M@|Lu!SZPV1kf$_&Qf^qp zM!gAYdzY9W{bNu=tFLuvccY-@^yrFHMMVI#5_8m&Ds5N3RmH0#>h9`pFR8>w4swQZsLukZkLL%lEQItx z3zcdMB>?qr)|;LdSX}9q6a7pe`gne@ez4usr?Vlf7-L(_~w7md|#|j2smH#7c9kq=Htj6 zaDG;&n$EL4Pthw#0Ci-Lq2X8@fIb;^n-YJo%nDwO^L*)xVF3Eg+1k1b_MH&*L57C& ze1r6EsS@yfNB{xnyW~88S}frFHb5DG^KtwSIA2cr*Wx%IPyfE-{E%^#)?A!L0nRVC zSa%X<`MocJa-c66kpa*jz*pIFp#KmD`mm1x z^o2bQBI!#x(7$0AQ+#^t>)Dk+89NLg$aC*krE;Dx!+AcGd5!M-uz@)l0ne9a8ATNb zfL8SaJ~9HL?-B$^zY`L}FLf6V1JR$#G!nxS=+<+nPd$YX1EgPKc0|-~Jqk!)V8P(5 zmVohK10q!y*F5Y&j&dqwF9aTDY!8Z{l4Wr#(?y-|Agg{xYrnnK2Y^^ zCFA=JhXLsW(LV!AF%u^wB~#GT*$na_Hv!^>jJoo zivwy_^lq424fWW3oaLwMa&JKDiWh@<=6ErfHy;vMCeI}p>dQ$+_Cfz7uSh23 zuH&FrL=Hl`lZz6Tkvs_~gHGg(q6Hih zyu}$|?4Lk`P=Ys!=wyB`-+;W2b`-VxN=!z{9z;kVPpc8gL=UUPA~D&+9myCW5;8Io z2?;*lpFK$=&b1YU8 zVP^8_e=stY+Y8yo)FNb`2D5!(p%2og=Aga!IHR4K&L!B=`FyG`RYYG@2$$%hv`!M^ zsH^^)7R>@p3YT$$T}43ri9`;A=+0pY-Ct1dSZL<*5nC!2xasu@kpIuMO1|h;~xw>m+Jbdb*WLK$bulp zNUP-=EYz5eeCqA#O4PuWI?ALR&MNbA0%PNkRs~v9T=XTOjZe9wx5}(uO;^m-U5$Nx zXyCn6UxPYW$S$@#kDScn#e_Fgo)%gTSp3Dc?A6tHgj)6U!i7=U>Et}V`Z>c@g^b_X zzw)A%MEjB5Y_*Q@^;bdQ9n{D-ria8}Ix1Q&g_dt=C)D<8FduLD)EjK7>uueu)FYrbH5_>AaZtp;@33Yf&%*6`S&>nr;8I^;tgIFI{j|RRX@(1zgj2g zu)*1%n3^i#R$K;Br%d$baAO!G;n{~%8U@ybzg6{Dq04ub7M@;@(vK{%`_*%v`Pw;# zKMJtue9ErE$c&Jyu6wyo&yY0Q%*r2-vWddm3tlMm2pn!y9OK!Aa%-`6JvT(nZwZYT zZdiKZZspYluG~oR#)W)mA!lgAH1vma6;~<LN5*HPhJKZq~EF&mD>!?7V>xUYFx99t4MuA|6+8G|D_r2a9bse zvB84BIaTXwCFya$#vExwJU$OuWlSbEX?DP~T*|_tSN^B;upB zP7BmCvln&HyVjo!o%XU&bG!eOSr4;j@4AV_jd1CfmUeuz$oXvd)u~YCV88EEM!kO*e_#v>%nvkZ3?n(bb%!Sz_G;V$Uk z6+5WeRk)m-cU55FzRaz3z;~5q*IAVl^ZnkkD9zrs4!UWDWx4Buc-d;x$nPyg^KMwD z-7tK}pMA)#aN1M14`oV94{Wt^`OGDAR|Oss{vA7@@_1>`(U>)*pJyf79W&Xg{J2O< zjU`+%GQMSNt#$!#tHs6B+_B#m@Aa#;wwhA=YHYdS+Yy!tA4#nHowKrVt(BFXV1i_^ zfq(?_kvt2FtzLy|{WEqPwc^q`iPQHJt=*V5Oy!EAYB%EsQUXFCzvYv6g)FUp$v9+3 zpsHPtJ!4VK+TiHQO`$E??eUSo_%-znC6OsoBQ`5PS{%277qaxI_x52f#ro$Khf)SX zRb~BY0eW^%;;(Zy(T|W8{z6diX<1h~V>Q>09a6=&yJq<(i{+(N8ord=20Kc=a)zM0 z(Qzv9ptW|Em)JaG>z37CglTh-YxILAIyVuzkWV4c2&682G+WgpbRWTpVa^NRWgenG z*T9Tme2a3YW!c%Qr(6l#0?)vZ+GVGCevj3O`~pv>)zz3E!8G(d(^^M^Z@0>?TJye_ zg~|G$=HQE6C39KrR*CP8SdN9t?K85Yy^pVar{5;Dy>fWFrPdyrIzk?wwdZZE6_Lwr z_~9qx>2v0K2A$wdv*Jj3%gY!SkScs3@-7jwCRxYC?1LkC?cSUfFc5l2q+?;h=3vjiU=qopoqZ#rwBl! z|Gypz{;%Nw3jVL){|f%E;Q#;E9)AV@SMYxY|5xz;|MmR;DP}SPlvlbd?E;c%a0*3%=<_ zq`bxGGAdz)3E|KvF|iOOTtt>37d;Tc6sbIu=m}(+oVT3(kdJz!87N^DgUFe3Bi#cu zO}V#h44tor+(Vows_26$(hYwss+@E~jlPmf8sVem)EMeUHTboa=0)U8Q2FFGH@Gew z_y?8Vd5pQZ>9$^*T5@iOK0CFWSJBG}nj(JVdl@Mn&+ADetiAMW{QB*d; zy-lDFB5(4DR+Jk==9LoQm6X5LStCr?_&4Gtb4YmM?p2m0UwH_LE2PD+XH%tI6h&Us z=tJtWE3Q;lkUY5bL3YmpGRb3-mEaD5@@6gR5Kmv3mcS?bQ$?hFw`%1!EySAbz*mh| zqp;%(4avkHAiMgE>Tkg$=kV?;L=%R}dHy2e+(nwcM% zzS%?$+nB4L#xEMv#)YFK14<)K|}w}nOstiBVWCE zh7!|vsqq<&4MO4E6PjF0rVpQ(kBD`|YSc5tAJM%`-M1_i0{8K85<~APm#A&{l27ZT zLr=3BNuvIdaDkEf#^7n8x~9${FY>g9=8Fr78cZ8~?JUv{R`1PR1ST78@BV1mOmyZ> z-;e0g==K&_gasjTP=gy$5b(2B@lZrS5dlR66cJEFKoJ2&1QZcaL_iS%MFbQPP((lx z0YwB95l}?n|K|w&2meQyD0JpC5bCca21Qjs)TYeHQnJDgf8@@pxIOAk__i#03l;Ob zJ!*Vy+y9N>j|p!0Ltvk$s4H>#a))RV0da@+(VxL08rnylO(qCo`h-yEdy+c_29m2( zQRLERD7>!2n?H|&g$m)T3Vj#!@VhfvEzI!Aj8SOPLJ26BI!cQ!1-BEF72}+w5naJr z<=Cy&P@&?tNo`E6QlotGo3`M@cmESM9rr)63NsqxS%<2&f&WS1n`l~GA()@|T@0uM zL!f|*O^$(9D{T+SJidrCUQo0DQrkIsAnZ*hN;KR`cF34y<87hLpI!DdnTL9R41A0* zFOafqYC?C_{9M&Wt6i0N#l^Pr&bR99^Esxq>ep;WS9Ba>{65sCSyTDACNH@P8lK-$C!`Jj&Z_71{HtbG`P^QD?U8D>UB?Zb!g<1dM~W z$AYKyt?kK29~{W;oIALE4{kp)Uia#D3HK|sh;IM%o4LR;a{D-LKGLe6u%;FIPkR%# zfpHomVduPH;cFML-H1?1c&Xj@u(ulwdlQCIz`*J=G@n9eVq%zUiRnQw?~P2{REnGK zByNWm)S>ZFXt4y3!Uc(Q2Jd41AI^4_SwNd%QgDIrh0AzOCpl@I47vi{k~WY0%QM-C z+*Dkba^AcXT1SORec&iJ_!gQ^!4PG~3NVz**%9fM^&l{FM-23yLL=z=9bGcVQ82EH z-67e4^;`AFmsvqGX|j)9m$)0E?CcjJF#1`_!>6w@%c-V zAt(Kd3+&gyk1nrg3b_w3isgvNA zY=ucxQk(d&12@3R^(yQ@ngv^Ur@E$RsbAXsZD13b=29GBgtv?)ji!~$9Qw#N)Tf%S zlTY6uPwfNT0j4jEv@5u~bn`je)?E0wJ>1B1B|OmpZO^RZ?E=fXJr3Yh`WhOM-wp36 zl{v1*`gh0fseJ=3PQQJSyEvsALMJLS-C8w0tFt|Qj&?@ZX;D8oq7A2?hDOrd^h&(_ zGrhhM%y`D*CNgyKUeOFwyjL{C=DUY<#^iBmzm;VOph31TqYB!5q3e0C`V=NzIl9*v z4n+N4Gx!qVa|Zpedkdgt^wH?vv+#WH-t#YTYwEbsOjb$3uA4azcJ*dX!#ABd9jsXr z1H1m!2cHaL)(m2%Ecb81&B{plokPIpS%CXIlhpZO@J#0N3E*xI+BF~4hlI-y+_XfM zpfW*V>YL0!bjie(7nPjJYgN91DU&I(5u`0m0Il&Pf7@>)!BYBun*Rm7HU6!aT$;cN zP+RaJ59RoMnSRNGP3LjK1q>4nTjp}^h4i8^>rnHJiB_S(JahLjpU=xiSC$w0UGzW~ zsSKi?)V4&8i&q0KRyu)P<>P(qoXi8aL#)BZY}b&LcNT8gRBWE=LN=9@$R&so^$3o z)X(=`O%dOMo!QFH14(1z{trg`lyZho;y$LNZpl8a6=Y1Z&mb7L12)Rm(#}}$fO_%O z{;jVM#Hou0j_H9?oRa&_+h;vP!KcVCiw$k+TeGyw!Kmg1D=R%_mAnT&>oDG^KA2V1 zh4;YMO+cGEGaJ9ngCtvZMD8`5U7d3E5-+9R=5onHX~nnfsZz||x4Um^_I_RLm0NcH zcblRw(58MODu)c7WsDr=5J&~+M^2(riLneElkIXcH-L+MPF_6jtDh@_F7>w3oT;Oj z3wb-$V#`=DKmbde}YuQVVxL-GKNN+DD`J5}?+XItfGKW;y@_|hiF3maZ2ew0xI(&}<_n&SR z_YNNzdTa$D%EKe+Cz?I)M^gPY~e)RMY`7+4cA5B3><$m%2= zd~5pMxbzTiSPbf`aww8NRkWLYD8_d;X#5M-p)U6Ba`TQul5GO>`1V8n$*tLV_xWKT zd*L$%dAx8}AJ|%je?8jW^{~(OdBHbmRqqSVJRDkF{p4Hb;iz+>uP`w0M!F0;eL0?L z9eY^Zj!B+_lX2%+{~?g1BNIzA>$_vGd3y z=vU|U9C@OBJQX4-%XgJI^>z3CxG&$=p}#ONGe5|s%mPNgets){?~301`%#}<&b`ck z98=Q+ZS1!SoMcY*F#R2bj z%3V;a3vIjm{#;w=jP*%w6)qO_HNpTWd|wT|toDVXCaiBubK#BRfFn7b-$0a(S>Rq@ z=PmxKJ2oHdi(7llMbwA8U>++6ljfk1V^^@gtFmLw#Q}~(VASw#xQHurh!I%4Ff5t{ zFPK*(auD_X1>>Tq6yBX(REzZ`=@PSh9l&E?-7bX}lfxu9Vx|IKjmADenr6in*X zr#9do^=jZz4~BDlk(qM)4$1|vUHnY;JNjST7U`z6f7}*n|J7}ggz8YffbMC~al1vn zT8FORv_+NEg6X(XJvc6of#ag>2GujRPruntRau2LKGqOOaECchv_k#Ye>=?mXn1ww z{K9RCe)$`Gyr#@og)YS%<+;|n#vze-mgOJc}dvV=lbzyQ+WA|T^ z^wTeMPl9<=x8zo(+ess;NK)_l%gGsFL|41-xUQ10aX&bi5~|+J?C$kDZVDNN@VE`g z1XvmzxBKp^d|UuRak2{B;b9&hy>o3XZ zDO7IZzVMU=8}f=%)!Mak0Xx;7zE#**W^Q`&)M4)_3;}l$`zKfDP1-yX16%DP`TcwTQTH_BoAhQJCah|IQfv2;in{=UyIt z*V=>irNo{a%ES5-Kj+J>_DjP2ay$R@E7??!NqS1QgI|U`DcV``ROa{*GLA(hgOF5D z|L_7~Nknhc3`*Yzmy}z~F5R=f^!DxZ7LBf@TOhB7TchB2{}5QD8J707f|Er_>04X* zEe3vGG2E6T&iOfVMONpP$e&|^<%js^uJ6WgPO(2rQXr-4PnV~3zxcR962tl>v^2dr zyZx6am@FZQ9sLEILcpE>#iC!tcd`Dy=Uq9S5P&Gbl7bT6&6=JuvLHYPrrClWt3h&c zI}UNLpaZfUiwVIa46Nk^Pasc+V}9Nh%r!L5|KJO^M;JaIYGg6;W&-s4KjYhE1 zj9~e9tKzrZNMiYUqU}Uf-%KC6pX6< z7lGQ7{6;(`2=)4e8n}N6EVQe}$fPQ7rk^@W4gLa4+-s;lkGgJGeyKe27#OC6evWL* zS~YK zpJtsqKdbWCrX3#h6LT!ZCKa>5TNj%mR?oVqdFid!XyNnfJpNvObzX8{V|Rb6(FNNa z;mPLjndt@RnUFkQSZrT@vJ=;M&uXy2KSYr|&5X;Rs*IV9^>USY8wax$}u`5>()D_p=7A2h$B+_Qw!h3Apr(t!20S2WiSPkY_@ z;%``Rh1pl8a<{_mm?~#I#3b#N4&aOra%@*w3a*ipKfax~koL8*qCWe9Qf2K|ZA@DE z{@2T2h>e!CXq(vlMvR##x3CaHQp=dJ-5=R?v|Wpx_Nhm@)+`7pGr zn(JX5m(*=Q_@Xj#?vIJ0h|jAhoU5LHbTF!J)@4JkS8cit_Pg39S)S1yu09T_-Xu9Q z>+*i%gL^yELdNq>DshPM;y$-(0od>fwk(A(T@}HQzss5`D=ZxOVf|v zo(&v-2F7mcSo39GG`lV8!&j3R3vz`|Z)1Ibc3eFB279G; z(dzt%?pH5fou8@~NV}5uoSCY6P$qUt`s=*Km3Ot7H7rQ-bZe&3RWV7;^w-+r-nOg9 zPe%m(cJuO;KcAlm6SUg(2V_p!)b75kIdDnWBk9_I)P&4}bKe|()c@u0;|2HbA)<(K zA%#lRgS+=2Gbq!uloo-Q!GBWfMB|$tP)-kDsyJGSLjBmcRmCM49 zfWetZaKprkoB!3|?Eh%*OT3yo*X~ImNCae-BBG)oGgw8Dp@6ffz2Ly06@hE7+G-v8 zp`cYkWRSKNtX9R^3T==A0fQD91px(7h6GO%um%DFkwGAp8H8`YC*I!sTi@?bsH?KN zFvH2odH1`Y{XA!HFA~>dn-e%5TKwFvRNR&Dsr4DZ{9AUrGLCbyokl;~dV{;SYe(UZ ztn5v&e^-BAct>ru5-$|a?Y|Rtr9V5wlowC4E0g`aYZ}})ua!(|s4BVYg;aIZn zsix5t)4eIUJQHei?{qb4vGetcqrKMyx7#Dv?~Tc+*5Kcj;CO~2Z}NATJm;`}S@g|y zzJ!}}zY4o;5dZfuqB)%jgS`2-v?L0iEUy72@vY9%-sv0F-q1Ml6wr5 zWK?eWk=xd}SO~e&CAhM4`>tN%SW0t;u9d`qu;kniM8+8WvV-p*ETG!h_rIJfrG41$ zzqlHS>gE3H*613G@caFp!|p`U%JWw!T8(-8*c>bMyf2!yNCM2^#ykp+x5jxg(TBw` zQF-0)JoCpH&EE>w?6ab_&%*PAcjD#q_lts{vcVEKzkUJeV!q_WIamVcx5s5S3i0@Y zEzKJupAjRkih^C~(Y0q+6@=WO>1XxPxY~EI1!5pqWwW^6(Y~eS-g{+)nS!d~5!R8x zUsVOX+eui0F_WRBL6(G$lAf~ioM~NUr8!J9B`*~lVr`8qKur}NMU+AyiBhP;eL$hHe)5Bp zZ4V*8rMR*TwL>kT&?UwXmfw#Pwx55nrVCX0!KU{F`oUdZWL?#5>&;6Zl+YAqMH=|0q73Ksn^)EUzB z$eHUY$>R2$hdjCGKeHMQM<3?RD2c)4J6Sf5mPY55*%4#J@G?gS+Ua_lyOXtCZiGjZMOJPBj9{1BH^cQC{}51q)icf7xSVq~CAuc6JTpzPYAWT6+g?1V{)YxsUz9q2UzisG)dNBf0RXAbZy`$ zfWmzA(Oe^lXtroOj?DAuHg-Jj$|o6RznsT$GX4u@$Ao{Yk!hH zHaQYoON{m&#}J@+e0k5MD_^l6-yk-AS5ISg&Z=Z$HNyjXR;9KdGEdl*hJK}V24g^F z1i&J;@?tAj98q2g_vaGW4i??nk2o3lBRXhRsk3{EV_8+YT0JGPP^GGBPV!+iSaktt zF}sSJ;6%sIRGk=B=+gsFSU?NiC&d~;v_bZh)1g3%iYHM3i+}K*#KRyP9^Ru*P`$m4 zuC>v6GMzpVUCXLgy#w7IFJC=*_-LAyM)hey(Uq@as?Wp7P)_2mj*nLNpuVW69wU{) zQ>FLje@_n(LHB7GQC**&P1-R|AAH5r4&sgdlso8E9IN}3JKlft&mqJfCVe_rbgMvjN>9X|Nm750&o zL#Hz~*>2x_)ZS0vZ*eY`PX3Fr$%X-~%FvIVU=F{SU*Dj9%OU88X5l5U;y7ncvPbla zA{X|ql@)&~@=hPQ1tIS=i)t?^mDc+667@EMCkrJ@YWL|R&WjRCYQF#!K#`)vRijam zaE)GnvuOMqQRlZZ=h5#GMbQm;9#UZ4HsFC~U2sSOFH$Ix*6rU<<3wHkH#u;a?MSJU z01@Qv3AE#7ea#jhajQ@LEfsG8!$F5`C$`6Mmdy392;IB{S7b0t|mG7IATn{qL-#vpwueE6ME2?CC zl&fD|=QjW!PWDb zxGk~4D}|3&HwGS1@P)XN3Un2+6f4*si4t

az5`02M=y9zcdSTdJVH%b^I(##PxdkxqEQU5s!a77| zk&#cA_Y8Zeh?cf`MAxhmId{@p1o|=GVKK&ucx>cec|3 zyNr@q^u9DC>7|{BwJLszypks9LX$6Hv;S=L@KFMis|Vl zm!C{kG|#uJ%)FuD7BOxeZ>IkT5kL%@yE)sp7^HDlo7$i6UdRlP|1$guo4rT!>$~0Y z9=^U3G@jN5OHm#9+l2tw4ocKR0j)35ytA}wz3ABy9?tq_6WNJ zB_H%8Us&cyB;aw=q+Ce)Ju9V*85h>l^h~O8plaY3HKXT}nlF`wt0(nZSk z4&X_ObZ{$7pC?eF!1TFwFM^icAOO2dmwBgJA8kDbOj*?$jtT{>C8;MeMOypjq@bgt zs88Cy4ct$lsw1TBU^PmIwlC{RU8MCQ5!l0TdkruN=tp^it4rqZp2rs6Z0ZziZQJ#SEjJ9u^k!%KuaKxuQ7;wUG-+zRb zu$<94atZXT{e6AXu|-jzBzNp8pgN@;At+B!w}f<5M-I4vCt8#i6ezBM#8(x3Sd+ghZuoG}o%O28>CV-!uv9xw zcq$;p2WEv-H?PwV^$A(^oh4u#PMwoA=dOIE*U5d30tJ%o=xaor;NU-ct?!i%ox9iP z4jt?~@H!uaW7%tNrGVQw`*m-vxXzKtp-42}tZa?BXXzg}~o_S5$z0P~so%Hp)v$RPG)LnFJvbUwY z8=af+@SnHcf?nKXP558YFM6*??`z^lQyg$b!tPlK9s6yMhu^K(Is$qdCb) zqh62p6jy!6A?V&~hSXB@cH(-Mc7cfZI`>~aeVlwzy(y5gjeB`lb*K(rZ(h=lh!gkk zz!RDGW}e*jpF-JB=ik^lQ=L(796g`{;Thp?ZbHvCev@$`1Om*Poc-C1K>WJ6&!~(8 zjPFS4vxukKgZu0gvKtAT?YruSd@!RvPIC?=EAPup+A)Px65>wXuZLqouE@d3ejskk zXul=B6IJvlA}7S4f9e+1b|f5jk(Gg@;2i+{#c%rM19PDY%LW$VnYd~Pz6NCZj}9aO z9OVPa;CiH=s)m1bos`ceFDpmh2~I(U9C;_x2J)%Pc-c|;jWkI?-uRt=zLzywCO{lD zK+OmLZ{HnBNi!KN6q@lw=tZW8!fRfYLbGZc!%fxWl&Vi6!7$u@7#ht8CJ?3#2Dgtj zq_VF|B`;xe=HgHdb)wJcunUdZd5Xw+Hi5G#rZ2+u)CipY$y~kP@+$PB-qrfI2q#n1 zuC}}jNmWJDu-@|F4Gmjr+%7VTxj@CBF~h}b^~H6kh4yDmm^wbH!)tum62GOHAD?nD zx7+SxTL=vMTqmS;^b;%fp-)@W4YE&leSX5PF*BMLc!mj0gTQk|J>ub+D?qrH8%Cq8 z7RKCw#v_SdLF!AJoNbA#P+xLf6!m5C4Z6M*e3>Oa=$BDsmJ!VF6bjoTOd}F`p}Jsi zBFRNvIWJx$xzaGgy9Q7aFPhBb7uK<&A|K9nE?BpII^#12J4*QGt-2AvWv;N}Ek}30 zlBVblI$m#2Vx-3S?S(l&kAw{b0OyZX&fq_%WN()YvLJ1g4Qd>r>B3-5=o0L=gHeQu z48~teNVXBlNEqLs++Wbz`$JE7a>Kn}Y&xNA4o+V!fJ!r@iXIr&)X-rTUf(qo#>U@^ti|0Hkg7OL1&1I@>ZbrIdh(vTL!UuYn=!`=<$dYmWIpJeX#^W^A7+C21Y| zqB_w4NQ4r8>{F_fF99XFJ|^?R?l9Kvt?2lVZv!WZ9S=T+(lK~^f7q})iEJCMcTTnp z`E$^HdBC_NiITF%+q-X3qKtdJE-xmAq;Kj5KXMH#?Y|V(?OrtKCJ7ts?MZt!JE`xhgP$*V8;ZDr zl8VWSCW;#~*&yK6{t#NUYO=`#&pw{;ZdLqE-<%k3)H`nh?_(ji@tt4NWZQ%AA%hh=d&d{lVDKEj@fN9RqN4--% zNgKZt@d`3+ET{5MQupM-)JnlUw&-4Mi%rQ+B$P}qPua|2g-@?d`P0B7CW}A4c?OQ} zOvv48il;tZc1v(i#69}NfgIcnhLXcsd0dv1q2w;O_dgVOfT6T5X_fo%ZRNg<`3$8! zSp~;xi+9ds*eVqX?v{(Vrk(h5Qi4iL`>l z>qOi`xdI-ZP+U(xf!S)`>t#GDCS@E6$Cw9aZU4#!z-la_Y$!af=G0ly9;r?!F8G?`ocV z+l)T~C3{tj3ici6#&D%77F4-7ilJgpl?U}?jHEJl`_EU|eRU_rZB*e1c=SUuMGqqa z-s2&|4U~`2Fe4fdNkb=+bYf7fs%m)B$sDs6uyE(QlByZ1)Hw|He~(t0GkjRvwP}^w zOL<6z^z@NepiwH%c`LXJCDD5c%%4W~iCQP}~`_ zalHz;GiI1z0ER0xjIsBkxQAw3roX)#cj#|V&5e1C!w6B+7V!Q@$mOdUipd4l8j*x` zp?2{{0Z+u`Uzw&avdOY&uK6Lhx`5{{%_z#WIlw;IMR8YL5b#<>+yw92 zj5pbRDeMufAKpxs0ON;@^D{NVO8gP+6E`!|8819DO7X(+x5x{p$gwV)rUkr7A$OI! zDp{DvwbT#C8{&~GP78P`BJTNqTp8o5dvYl5y>S6=XADGVobf;Szl7*T{npYO8Tnm`YTQ`#Z!KjDRL*5ioSevJ%{pi- z$nTn%yz_9@mn~HBap5thv}JPme8oI=Ph8#AxLV(_mKgEGzhbk(vj0dIuf||SuXFQi+m^KMvvA7O+{z*mwd8o<JmKS z3hy#!4a^{*@1!;CFQ4@UJ&7wjGu4FcTKf_N4Z`+htuH{vK`AJZ@o%+cf@W`#(>B zzIqUAVX5nDgn3Wh-Sg?Gj0!2bc)E@a*jSqiH;`_|q~0pIGm3+gmU`ZwQSI0D{IIiP z_tGoD#%iQjv-lF^UA^bmXzs7?N;C(^fcjoPLk0}i_W>sd(F@Sek3@=~ekE1WqoH5h zshH$400s6arZ1ujd)Dq zBhGf;Ssa&}JbPUbSV4b9)&h)7%yuloc3NH-M~?l8p*3>yh{|ZVGChWBH}s%tjswYt z-GlzqB2b2<28n*1!_Xv+di+PC<*f17_`kb#N=zm7P3YF?f3Iq&Y@)hIm$7{NU(y^N ztWtp=bN{RT&oK1O0|KJQO#lyx8+c_V+f)U-P)S>d@IsS+x4Uy$_6$=qhCO4;ah2_S zy7c{MQitw!Pay|xu~IH7-|Te^e>#p?#Xn8czgwZ)?HXii?7E|`>hR7m(_!v!tC=4Z z3^C{E;N6VPKd9QIKEK1{{;l`H%<+b~3+5nKvu~4Q;+@$UJwx_^XQ27bMlkbV$wONX zq>MAyYIA(%*zPKFIc}TXWxFK-%?vXVCoiRn$@6XHv-#u#0%zg3snOKvbD9O~g`Uhrh z*N_X8)p3(oCG3p)U@0g})CbPsFkt8@WGeUrM;<6l-jDIn-ijt%MvdlmCR>iG{c3sW z$tUxw^|ey1joj?KIM!uGj@~reGqSx20k*;@;}`@oBio!Xa@}jrF>>;VteJ#nH+s4I zZG70im^z2XITlILb?S5MG0D>n?e%JKnziN}P8phInN{@aGS(}J6Skc2WXLI`uVA8<^fl_ZYjGyC<2hCjf=x^iy-r4iOk#Y}tnxxkF6R`-*iD+; zNDqH?+lmB)&%;>MeAb-|VK~o*gdF+hFA9N#Y|%JR8=?<;o}pjMZ+|=&4M@bYh~(1P zYnSGICm4MY8S%#R#52qYAjc=vbHw9t#eyh|$_EWBh)+|fLkp0jbPr>p3-qUf zL#Dl|Xh{GDO(zeddHIxQdRk7&^h~+uM`$JAv>EeJBuL)JbR5Vtu#hpIo)6+JJRrSx z`tyo~VHlJ@RkrZ#20H3ew(ynQnM^J47Y+h`8YiG)k|KZ6-X&?)fw7B@0e;wv!i$ja ze%m?_orp!!_YkzGkL30am6p;+WA4bF)YgLAVdLmvo8xfiEcOr4o&*5W?f_k zc0fzfcQyCtC(rLKiTz}W`97$OtIWTE$fy{Pn$IdNmtm6B<1D%NknhX zI4yp;ZGpJs=;GjWR7c3-{m25HtaE&UVYJ2d8mZW1QY;pUY+qUGwvDgA| zVp;4GAgyZDB1ECtSyZF;oNF;9hGsWu(X2=&vRn`4ZKkC!3fHBUe!IJz>R(;zhHz+k z0;B#A`98AbHh2}su`HXs688!^JR8@}|X3Nnry+Bfb zwTu~op7g|0AjMJ%OjF7BXqcR7qQNBbmFwux>oW+wRvlu_yiZq_N}7Y}hMJk0dt7ws z{NKq2rgr)4{GrXJFU<0NGtAllun%lWGvIuFi4Kupd4RIbNnNWQ;bryly2C3Ehcege z`D5UGg3=#N{gmxNZizV(eDI4McH6>Y=Hjz>GOS&LaYGDSIqD6O{PexbA_t>M_rj;@ z0@G6ChZmYVs=-wMJ@b^AYYEJfJcv*Kfqx2sm0SJd0vLrlwQ@X^8_|*p{OUWJANN~x zJ9c^AJF}#0j2={WL1ZuNC*@$c&2rv_-?oTaeQeh1P!hh)W<$Dkyeobf9HMq_BUF%%g?q5ft2m# z3G~uUw6pdhvDN0+?N;WXcVOp{M^8kih4E{6tfw#cQLP~p)s>i*vB?onJ&234%Wb0G zRu;G1wu7R3)ZQ#v{90zeta|FA5+!qbZSMk{=$i;gk-O$l9d7n+JS&b}nSGuEjjImr z^9Jx{GP?i=yRB45l!GIoEhPyz<*o)tgt8e987Cp==R^>gJ{+*b$TN8XH@41j$!?1j ze8wgAY2?%AT!l771o0&tz(i%63Z?VkhoE*XvjD+BT+e5IBEkSbRFFc zJNX@F3EcDyIO6fNn(z1>8wdXbs(v)DC;7>X<*~J2l`U5XQ`xg*xvmpJ(ONDpKMAIC zg4NK57UbDU;DqjS#|yxTghDWt3HRQS1$=3~s6)Dfbq?#lcZEjMj$5=)=F+hb~-Te(XYetFSl00LXlg!`l`2L4Y%M45EmN3=HA zFBDpvtB`1Iu2TTlBa^P(qP7-{FS~6MA_m$m7;ot0HifUCzr_A8+C@gHI_VfShPt97 z8VSZlHw#of=|WR;BMMDJ279gI9==WF9=^?tk6Avj1j9Wp{@TjOI9J*;bWWMRRZr>A zYxNm>EO4J*mr97rU2hHLK+pHL>Mhjx6gSEYY3@%~`F*qIPNA2YmqGq?x0cTh+zqqV zTmv`5L^xRG{4(rlNHQGLowRKOzX2$HuTyHft1pAvu`|_n3k^-=Hkh?*v~U~DbgF(< zk?)QyNxJQ}Qz`_h5RS6i=fW0L7u)HHr-my5CQ3+4p-s5ncQ^2`zyJJw2L3(+f1iQB T&%obj;O{f=pEIEP@BjT@uNckN literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/post/work/@_opt/__sdf1 b/bsp3/Designflow/sim/post/work/@_opt/__sdf1 new file mode 100644 index 0000000000000000000000000000000000000000..f1862c3264d210576effad1ad3537b99693c43f3 GIT binary patch literal 26687 zcmYIQdq7NE`~Gm84h~7kr5aQQIXbT0XCovX4WdxYA>>*rNw?X@wL(Qnhc1KAiE0qK zHz?gT2y@b9m}wd{)tH%@OS5P1-@7N@_w&zQd+ph4ul26Ap7p%X`-XDqm;dPhjmpay z(`LF>rb^Xbv6wTjBk`}r^IRv5bei?;xZC7kHm{nr(s=#IF&8Y8&T0QOoi}OI)=o=_}2>*^8 z@MpE1s@fK~yW{Y)Pa%k;gu?VK4nOtWZ|hNWeiV1zs>SMfKId%aG0ms%)eimki(mN- z`h;cBw4H}S!kn4-{2Gew5nj&H`yOfb{7Y_G%6|vd#UUqK2VWct@lUstoV!s#Zw+lq z_dJ>5DBL%615p%(FFnBhJlNTiKf2q)Sytqh?ZGN(Xv-;xD<7eI&_<8^I(OhieQ$Ci z%NgDM@Z)XoyiXs5U1njX&fRa>--k{`ydQJ&z1H(vXd3g`&g?%NgQ^!&%llFy-)GwM zbsj|q9$CB(i;f>iZ)9heP-nisRIfYXG0<*qyT5Dx-NT_C(`PN--=%dsp|wbmK3W?1 z*N5fV|+Wi`panUG??&TpnGc-%PmunA2M{F);HN99g@Ko9G zVjj~BTk`u0wu@7vLQySyD|*T|uWpYoa9diG!Ftqmx;-e;L;T%E6DmqHcYmqZJyoTxr)QtaelPhQ z?SH0XM>?;lkm{;~mb~70AGuX7{0rrmD-BMZG&$K{G{2lt+Up|bHT->*Jpl&iYIdK9|Idn88f-tl|Fi zCp|3VeE558WuH%mONpd*l;cbOU{U4ImBM^sX_L$C_N^5E(9)|N%m7||sq%IO;-4t3 z_}I~;%`#X0v6~&#=GyCO@JX_`5iK{bEZe7RH=lM(d99%>io8Fc&u+QIa(Z8>Cp^Bh3 zo6?i7LpSuDVY!q>l!fABWZT%DOUe>1z0FrTvaNR;?kWGo94~p+pWV+>8H=y#cD5pQ z?5uoUSw)8J>fMNPyWFLneri{u^j1HSVx2p>|ZvO5Lesrvi6Le3i}opUE$~1{GeT*-ehH5NmOW8TOdbW?F1K!Xb1n= z9fB1)6dZVaq)D6CWQhcZ_%|s}p3rr4MKy*$-OYQ6moT1iTJsD13u)sOQ!wwu0v}a` zqQ`E$#95~OTM4uey(#<;%j@eoQlA-$UWt4-}Z>ib}k<+AY%dG4~vP zB%rsjbhiQa{Rr_%yKfrzV4&e(mPR$SE1T{cz5d~|yqT>;TV-6PfoSS`e$nV0-XSx` z(D&C`mWo+U4RM-+u8ofSla}s#U+YHG6j{W&AYav?K1&b084N#X&$y7jdAx^RMP2c@ z;B~fkU)6KPkyi?Q&Kf5K2AiKrU#x!?{%i2bKtQ97P10HZi9b_K`JLF5VC7ML6=#rJ8R zUA?}TDr(iv6DhwI9ne~7lr)FpXuJFtW`o0o15JM~&%2sXMBd|~Th1G2=^G3*{M`Ig zu=cobfv)L)mC`Z)t7QJKk}~ptl~->$-H={#!8oQ8>DV&ApEfKP~&|M`hWJ{}x94C|q7`x*r(_W({1YFYrt|xGT`0 zFl3w}rG@(nhAk1bs(Ss&p^8OzJ$R(DfE)%x-uI*AsZly>caY;X`CsW}lr|Ik#XE{l z-pFD%`)9suZ|rGa!{UCMvDGf1{Zr$i6ZcJ<%otq*xM0(zf&O1R%Dalbn~byc?z9qI z5Z-J%+a5U(m($tQrARJr_Q+UqjWxe%INobyr)`QJ4@}=7`VP+Cb9sMh98_ z9I}hYRz~_Wc{tg_BZ|IAfiv`hXo`wto&OglpZm%CqLrj24`>g;#7U0p=j76WQsHsK zXi>oM?Q4xP>-`WFUgh{zMAIvNfh8fm9>`C&A?=~Rm`V@$hOB zqyIZ=cag;X1pmdgmV&N~C6QfsH3bJ69V6TBzAN2$XNO%-r={xCyRRFJ-_$g;(+_&H zL%Mz&QMUN<%#W`%gR9Ek=GSRWzVy8;ySj1rL2rd!V)?hV`Ah5l;$`MY+=cbR)+*gO zVUO}|Hm2Ud&m5SI$cu=-pDEOC1@|*(+#3;XnaMq;jT5WQK7Z8xE#&=zFLsOK$IK=bp;W3M~k6=+DV+wEMX0>R?0HUzLW=@<&0* z6YQ|sAlIJ^8(E^0OM+yd+Uu8wbeJ#hm^Wc0yWf9xulN~(4Bc3^VBzi>OXOYA$ifzW zBVnWK`_#-ona_EVr}abnwZv^sOXPWn)7Z3Ap@^xsr|ro=c?n%C`7`Y7wk3w-ysecS zXidETGKrBx9QSQ!<~DCGYkc#JqV10pPWU!HwS4ZN?~Dw4o9T=%AEK1PYlJbnCG6EH z)6+0C;Xj{3M!m?t0{QFH^I*#`FKPqIsc&Pna z7O^G(jYxcs4ES!4v`|K7cPYwD)u@1evEzZN7~TxIO03*w84BN{N zKjl;&{-9>pZNn}Dk7UB%Va(f4Zqp0|s@!B44DpVmE8W=hj6+R?r<^^6(w%8*_?|Wy zhO&uJCK@TVQ&!>pCf!f)vUCpB-p1Ees%y!Q_s9`Q>eLcn^M7idPAcB4dZ~5MKmGOWNW^1TWSMu z?8jp|BP>$_8AOUBNL1Ld-l#e1AEO^0L` za(h=Ga-zKJbK@!V6$6#5QCpy=+KCE%RKRYdT?Pq1qu0Vc~iD|QO#Q)%~t*KqAJV5 z;ps!|^zIX#(zD~7p8svPZ24F9O_zv^+^i)$V@^+przEi>q^FbkR`K9@`^%-FUN+F_{_0!0e6xk!z|EM+6ygO1D!P2)z*S(#; z{~)hdY<7pzRQWKN7adU}Y^dAp>v7SEu331zhiQgYJ0X~6_!NO(9dnD-_^ z9d&ZXsa8kwP^hJERqgHxzbnm~7{b#dg$m%1HT%`PcJ&%t?Z_fYV7B4$@clAln zO!VDo$U3iKM-40JAFI$!7#)BJS>|{ z`2>Eix_2MS zrOZXlTEp>?lK9kO@-`bDJ#8G{m)4g2M_Yv7{(yu0PUh#TJlic@7nB?ON6if=#8g4+ z6jMLj7LO_pz0>4V*rxI=d2RnRFxYT$P^qX~T=6oQ;DblkS{yd8*_}UobDFmNUPw@Kbl!Sb=B8M7!l2*xbgP+6?kI>$%Yp z=u~~U%JE!LC#;e>=gRu{gt?1EEGX;BQ)YTj3_5giwRUb;F4V)=r-8q%#hS{Ql${3&*@9`V>ALy{`-f6jWi;+z5w^OTQF z!^#(5fURO)JD@m$>Q0RNeFpcJVbGv8bG?eSVuOiM$Z^@ z;f1&Wvc?=3y77sDw4aF|x-BUt7ijSfW_~}L6)*y})LH`y{ywN#1vPqXz!o-h z3v`3czkMHWdFX^(u;lXPv)+;A!I}J-f$e7!hi-3K469f%_Z@e8*uuQ#n&8lFfk^qb zlwfCD5*sXt1C55_6YWQh<-acd~zkBy5=DXDGW>5A1V@lPJ@He6zZY0VzI zv?W*R4g2yRik!E=sHTm(k!<6@ZHmK`Ujgi*oSV5(ymfhgl@XiSk}cr%7E&&X4d18L z4N)Y!-<6oQbIS(uHw=~peEFbTf*x_?1no-gaz1{TIi(LN4#dA@(&G+hc02tBOJUSi z?HVTvjcJJ@-14vH9}<|aw1cWkKc^>P8vg*>4S^;dfzKn)9-%Ax@I^NQzY+glZQjJN z2}Qo@O3b=*IHR{V7M=8@n$a0^dOw>Cyb;nR=ICCoY!!ooOw<^c)`SKAbt|AmcTSbo zmmkY-zB$9@fo5Go9X?LV>pSH{4bv)6S$1U9TV74#W#yY#e(Qw7=vd=}{mZ&-VTr9< z)3mCc*{!_VVL08B1023jto-*~$cVfe2FcngBZs8zlbdm1mDiH3t$)poz+oEFSG zMs_>xeP3bZ>JNT_r#LPBH~X=leWES=M{xDGjzQ5y^q+;Q-F5vXyU6Dw0#bK)f7Kl* zWd)VKi$KW|T77c%q{E)!QRI!puhesiaGcb(wY{i{r|kF7c>_k$JA^lRuahs$VmlXp6hP(gJ9vY%($#f)8L6G4j+9Vk7UaO?cD-)%+&IGN8Df}bMr9A&4;5NOsON@2lf)tOAfNl zeYZbu)MQujd83wa7R4zYZz$+-#Pwf4KXlCY%CRIGjcRKCuB+z06sR*(A5QhgpC$KF ztXVxzd;Qd#MEsB>b$-IJf4TToogAxZ9~I2;_~(`5s{HYUnfXJ3o5 z&=dy+Ra}+5ZC#ZSI3xg(poi;| zxsHqZcGJaqej?V~8{Lw7aOW0q8=4wee80KyUZzksaE;2MYUYYrlZapI{$TtlHvXuk z96p1ET;F$}?VY|$lj_lhxkxSW7V|5bk2kzEVd;&Um=0Gg#A%k|$e~>14oo=xvc%fA zu;F`3)KL*S@2s-ttIHJkC6P;C@@UeB$VeWP953>_JPd=v46}nWO8^ z70IS1NuC09lFT)8lG@{mE^z4(`iqS5c;WY~yHS6NY+RzODBCr>Ir#V6e{zz`0xVep z!dse@h)lj@BIjeH0r~O-S#s2s0+!09#ikW?7O3{1aU4^bu{K0@1G-jVGpnM(zbwB~ z?cQcHwa6>dp5F0OvGE(_5|#VtMXlPsGfv6peXL|`OgQG05pqBj>ah+9%f(t}zFqTp z!1J_pUlTI{X<<8dFxc46Ge?{@_SBiOKEEB}$~YZ=&Aq6^>N&wnYO=dmVvdu1h284f zu;9uU-ADJQ^CM@xT@$3-mV$~bTLVQX_2u%+fT++fj?)#lT5#!#TXB0m$~w&MDGF|& zfza?aCG{cNe`KZc>vOlE%_K!iX9(u*TtlcP`6{}{oTez8K32kb`TTRAW>|6DnE}4( zd~|E`HUCg%VK8OW!DokKjyhfM-L=Ezn!n>d-k~_{tkA@~ z9v-JLdj0K>58@6GOH^NH+;K`fsI&>8Y=-yuPT{TcKN5UUKCh3JXjQW!GvTr}J2{=m z#Td(P#Ryz9FODi*>$*^^c`)ky%ql8=VhCnN^Z&7>6V4;@r3P| zeA$Utuj(bR$f*61@j;JK^T3tHYo8FwUIm;gbk57=tb~VW0(?I)^HEXRBOQIk?Pqgy zY=Utc8SBBJ`hv=bx17pK0}2=Cv;8L@_P+PQ#tUbZ{4T2d^q3ix#ABy$cD_8hZG&#F zhVM4n zZ-pmWk(xSPaYU_kZZvul;w4({5sVkeZKo;+29EpWGSV+giYzp~jP=gq#Elct<9i+< z8$PqF00Sq>Qs6tNk)X8X-daOy2>x|wwXy+k`Bmm!r2+h*$8qchg*OK;Jx!L3%kkG1 zuEehT8xVGGF^80?YM(jGhdVguI7ikdPcpx!7!~eRsMwOj=#*LE4OeySi-VVv%b0nX z_pFL7ob4L+ny7os$8yGb^#eQ#>S4~-bb6^mfbj!b0aFf3U&J#}*ieK|*C)OCZQ zEfrPm6))br_KPcZIXzPm?pJ2jFB#YNw}G}RShvJ9STb~v0SjmR==_hIMzhUdcIq11 z%*Oe4@b^{B7jZVnI@0EP7mWWq9!9+uz;tmeo1Dvj@{&a1 zZS#4j<%TI&LN_;xkjbG6tYMmtN6#K~;+)n0%u^uM4?xxWerPPpD9ApX!ysoI z{m&D04Vb!f@Q8>K3q#y1I-&|WVRje3P=WcDHr%KF|FB=yB5(u4-d^S1V^WvhwUx8p znP(F-hq;AQtF-FhGdf_AxqDmC=tWYtSEQlMalg!C>c##x(pKT@!hFWiv(#eg!sB`P z{{GUjz7NqG+WwKYUEK*zlzAea-^8%}WIu*p)gx17=tPMLHAGnxez1XQkCvJI7`bY; zeoB%jA~}p;JWjGe!m5i!if-Lm)^RZ@pRr!+jtM8CA3I-!B~9>|iOXX7;fybib&?I4 z?CSyq+jY!8UAd`eoHaW43HnE_O?oGsVXVvQ4bgi<+|^O{Djv!GEh@G!*3+cK7OrN` z1Tf=ySoajLZN-(S!ybd@KZHatrpS_qRzJ51qW^Y0M7CX!>Gh@S)1kP?2$<}xm5G29 z5B*mL>|zW^7+sk=^wV3QA8zEy(+yhgUv~M|7?s4*TUOrL51Vo^M~`6mJjK|vS5urLEJs! zP%C|kTqz5ljI~jp#%!+s_+niy1-Rfr#8N9w@6%ZZ%8nqie)PCq=vWe)bLkgRKMsRIDHDaYE6nR z7>hE7WwaGGkfRZjL+}3mqKh@LsEVG?eyz4uIL0D-_J|slDq9goQEbU#D2eu1)(4(F zaZSx%jddzsrt5qub>VxooOrdHE>nTVp@sr$mSO*_1e-iQ<)Tm*CASe_FX{;+0c8f) zFnu54qcNOS5zPEk1QZ=h6T#5xengyH5C6=8|Sr5m$VpNV;ah5fQ z3H7b1MTt|3icc*JLcPAoX$POF#G?ZmZN?*Az3rCxu1AcO0nyC2?D9t4{?kVW;qvW!r(dB~d zDq`-Q9o1{els3w*AF zb1zepm0^SwGc94_OJTRc#E1QMJe>0Mv*QyOb`Gs4wITH7yxKg8g>~2oa>`pvee^S5 zGr1^;)!nXG^!CbRjb1dhOy!ofz(*#PYo~Msfn2bP~oGAnXSJF zCo)=CRxA}gCu}>XVuEYbe)M|!B-CjbTbR_rGK6wkU577(@wg5zWWMJxPHsKz9@vbx zu_>n*H~&*Sh#wVs=nsX+#6|jM3gXCEK}SWA+>YA)=-F5LS}dLf z4qwLhKGp@b#iB7$)NrCuPG5;_0}u(psl?`8v$UbxS%e4dINSzIoDJKINKHXT$x>HH zi6?S8xuk8B#GB45otqs9)+Kc-^R9dz72p81M$H9@Wp>{)I@N`8u?61QJd*F0fLaq< zs~Bbk?P;<-kxb0P_rnSj63eQ*1q=X_W+O%%{Nz`-<<}zsF=}Fxy=C|{0nighc(`b@ z$NN2#39kxPXH{lqcAYNBl<>kewYd9U=E)=>zn+*SkWS_@;G3yYGi+XSJ~-xvy@{C! zjY98jRz_Ljd6=O^#Uyy^d@Q?)D0d#=m5DDs^CNJT)R_PzEl8waf;#NwD4qoDsvV zCqnCJnrV{LhCyy#EIW#*tLKcD)l_5MmNlFdVt*BXMHfo7hflEqC2?!bvMG}ZeLp!D z;Z^cfa)gHAn?QV^yPO25w6dDoTf3q^6tAMhqS@?tVDV5K6dgP0%K7Z$& zRoAPCTqSBEGbVgFl7TpD?vZDo>MozX;3iqAlzwNLwOtz8{OL4oe_#|(Cgft$;fvOY zvBMzjs!NjVAv33Q>b}3T$uD^1VKGLi@RD`lgXA*!vFk)cgZhV49?8)&>PnCCO2=Z4 zYGfJhBHF^P98wc--0IDd1=9rsU8=VV0mrV4W4LjReBNuqI2P$Wo8oFeJbm;z`1|dy zj-+{!^Xi8-W0m?cF;$+%TV=(bZ+u&C%Esy0a7wl@of>(uid}rc(BXnCEC%qFp z`i!8&vf2z@$oxcvv5c)-^F1+n9}>-4DYrclagn0m-k)H8SfsVM7EDLxgf76*-6l^M z&xz4h(n@?1!H8x3OOH7O-eRhc&86;gVm33Fb#{lt+c|i3!-B+%NtmCAGX}8qT|Ivc5W}lL zJhfr#u;ns`qU6q#4=DF^i>1%*Wh%If;0Ry<@=7nN-wZw6;~(Jbi}qbm=i~LS_s2Qj z2b6!N0o1oS9`3vW5f}MVdPfuw5~T-4{UnKep`0+aXxVPLG&SQ7%DpJTxVWdQhN9lA z1@UR$K*0?T<*DPRQF%iV*&lJ85jrcA4Hhws=W6nP(~1U}|AEu)0!*O6oQf@h+cgHO zn9&8B&?}NOl3aV0I?^gz2>AK<}&XLGqp!`*|9E;v5ZPF zQ3Df`UJwaA6D|oAyI?(LL!;7lQC74(%mQp40~a7yF)ak&GR87-3&t@7yuiB19gmEW zW!GT6mS*cl55%&MMHcSn0`_^z>$Xj1ZQ;r^BdX{EDk<$u{!u^|!8TM( z2;K_Ka9d*e7qx6sCnHR&IF_FbJ)WSsScnlSKT}`&%B_jzg@=O=7DctES+Rz))z^Tw ztq@piJOTDc$Fiyrvvm?)JtJ1_hKVu8699bJ;)RQGo7GsJP&GE4JVtERVX|=xW}ppj z4wo^&vY%urQnMWz%37?GIRa2L`|D=CMq%}1b5lUD0Z2?7Mttf-B#!tNlYpT|-8IDF zDs)*t$3u?o)Ud#Q;}$$AonrNx(+P=JUITc9+U}sXiK8*vHN-^@NjvKJCH5h;AbLUgbe%9ySx)+02ESApRkDXE6)po?z!4FhrX< z%+d0u;tz_!&NSdCndF&XIJs!x`3ja*e;)8I!>DNGirPFjRV6X#VitoZ zaJrZ=|9D1ZIqEuHQI`>$hMBE-^&Z=y37d`oJ9`^7Cn1y;`tcRoq_^=sAF!OL~W zN{twrsN+xH5h{y{B+x*m!xzdd{T*cvT&!4%ybmE5_KPefigdt7#j-m?>avgDiCQ$6 z&IM1&lGOm7Xyxcd!a_!5Dorold2HXXB9Syz540nd>>N&?Qk9E+vHt3Ls7S& ziqs1?`aBfv8wjbXC&mldPSo!i`@r!}w7~y8#r(R@H|&5Gys1xXU+O7_s<MwK&@gmrg|Nk^KakLY{o$?1x4~(kWewo<^`^iG!Vv0Q$_Q za@N`?k;0^o>b*H?1F5vYM6=S-4Vd`91rDG*ajH(+!x#YKu=rbKN?mxtPmDbZuFM*i!qt z9+@ml)}5J;?mMpa=Qq@A(QZ$#CL*UEu zx-6IPUF9jb{QvvL`z?2iz1sBffJd%iRC+}fpPaHEHR>n+N8LV^a z4dt^zSU)zI=%`#+6*l;DdET2NagL=gL`D6)-5vhomHlf9z|UNHxNxZc>kT%}2tC@y z$@Av7WRqJ&#nS zH^NnT@pNDm`q0Ew_+^?ec1>M4hbbp^yAoku&B>jc>k*JSI<@?F%%wzHEE-uVzrW5+ z2RM(cdVJP(fr<^Vq8_b9j>EL{Y?MXZ~#__(btsCn+~E$5ed?oiAW141cX@5 zYHT0Tq(QpgGUZh)}~W1u#Ld$SYKwNizaWyHwgOqtLL0!8J`!9q~EW)JofoLS5#Oj0cJwt#}Y z;d)1Rj02kkbqCc2n>*Oc@;Bln4sH_=<7LU%i|}=ycLysNd9S$BuF$nBAN5gaBFqpsKeIku)I@s0|cYE|84kt419^$9UO=x800 zHVYrk+iGqi`uyD%v<3Y6XJQUG|ABf7moaT!ppJB$L-du|p&P|hws0Ye3%i;F0$kX` zk*U0m9N&Bn1ENB@W1@gvU*5O+*ddD+f1LvC_m z#mDOrO0ER#Nj{{2@1@%(B3-+o?m%W`9-5+*UXA4hyVW8d)kADQQQ{eFoCUJJo|VMa zxW3b3zXRRoqEiyMh8H^&VK7W)ME>v&rNy#+IZx#(FbDIAoPP#dw$!AfcQbiXpC6x7 z&1C&u(C>ba2~teR-AqaLsN1?hoe#ise@9-3Z*z(7cb}s4RhTj-CEKnJ z?2Am1)zoNx?%c)Z!@wq&a}Aq;nc*-SOi<@hQWI-N;&E0yESwN8+?4E_H33xS1D&*9 zPq;2qZx!G-zQZ%+;M!Bnbb!?_4?=U_~C0I9-x`KGrcCCu)nJ z?#zX~atU6}%Ed0h^kw14+G=cEF;^SI z@K$el|MBTxg=-9*-OTSfU^~GK%dGY5c3GW2vEG?06~i~1VQF$;-8yWP7iWTc*c`AX z$~*gY(V+&V{UV+x90}OR@P-rwke$5-z_7GPb8_Vt%-(M4tA$Lt%r0*7HN8I z)=DKwph9d>%`;`B&I9(mKwur3`06y)3PXy_#n=#dP_3u2sX5}op-{l$OcIO3;0-AW zCV~0_jR#Bu*UW|KO@I{?1_&eF4wRRx zj;UJ^!J_nohNfF!T1(IbR7t8FSQ()?x06^PB0zlDRu&+(+$j0{opKr*ol^3Tuj}j(G3J?^ zxS)0y^1lWxY4UNEha;N@XnX)mH|J@pV@nC?6?ETsdRw6{?TGcsA>EpHky_%kx}JFt zRvHV=WN*m~VfNbd`}!9<@8%p&dUQ>ihlP^ViD`7N8ia9OaK#Q22uHI3+8KsW;L3_K z-w|oEE9CacDG=4O*2bl9Mw6G$kcw0YWB*2SI})ORwKC^umbE`+t#_7EeJq&p#!-eK z!1GJ6uL@$3JrJ@$oA!w+a=|AEFRxvDFGBk))^SOPt8m|dYfU|6C02K7L16wcoVs9R zu0rS<`GEBKJYnocT8B?)PeEX!+MWL3pXi3VboAS?eZ+)VR(jwQG~eP+(xq&Q`-8bAbgN!i*uEmT%{cIt;nCBrf zQ4j2&)S`04YQCX7O|L}ZdL;@4^as})!hCL+D{>+;n+p4a06|yoJlY!EaK@v%Kp(mV zclV&aVaHl*YPerPwxh55s#b3uz_F7~K=i%KDt51rqSM`RRxGG&lXI~wBZfWkSf02F z+u<@rb4z3?GH{?@!Twf#-ojNxE(E6tfn~-qk+nW%h9n6$$wP#n=iwPGLhzG_$H9p< z$MckO4R7G7jV?RQ=Bu&>HIvbU?OLV zPPng$aN>|$q5!6&fJp`-e&Db#NCk+PNYaUCgYdx0ap5D@uLz|GU|(Qe z&9q~@!@;4^l#i4pbJIfb`7$;TE4-7y{ZV37EIu!c7F zZatUMb?+Fk%EXBiVET~aDoy#z`7-G`a1_Q{kqHn! z`iR`4JkSP+s?d+qOtj)wh{&0Ih(7>~)({LdQ`{@Y{!ZgdXEC=hI)Ocd;+w2J-TnK{ zLLQieJWwG{4t&4I#F|(&e5o0(bcvPrOtsB}#+T(m6q|Xu_4xAj@GfFyK_C?nN783KEkX_|k{Rf2gW2eOvgoWYPetSY-BYN)Y6N40!v1Unn$;W%*KW2ff7? z!l6$A_-5o{2pR2OPve_BmiKWJW@P7Dy6WOj4$mX(n>$_HOP3I z2`p_g-UdU=H-PY-Npqy51v6N;79#m!u#_Q^-@o&V96$UlY#%5XN3$6)!mL@4w~*WR zjvUxgX@GuwW}aWFo!T{XMz565+l96m1bJ5vy>`n_%*CR?NrfDS#ukeBHps4+Z0e8i z@*$uBUGs+JYeO#PltC_&%v+G(o@BL)a|K)>tp)y|?zGhMJU9x5E3qamM4ong+fEk} zo1l$x*2M2s{Dq%PJc278%WGg=Ft%%A$W(>x8le%Y-t;$v8qP?L>L5U9u5^UuV*@85 zcztC4g1B1rsG{N&Y#5MLBDbDSyHt}@ZalWF&EdBoDlB4p=+NLO=|fi+$XVE44BF-dW zU1X-h3=*GY-IikTlXRXv_B8sB03#V@Q~K9&;~Vzq@F)^E3Q{5iAgv-MC35%aG@ooM zc0Ghv{1W87=z&v%TP9)+ zdKbmlRP7QBbJy(w`vFNE&8$gA9x>hO7jX z3RwwAg4$ieVI-54W2)#C#B0f*7wJG=Bpw=}!MXH|&d?(wbOt!(%lwh$*(BS+qJ9R* zA@wXSWYMsy)q(%+5ZHh?JhbyNx|Env#d`Eeep>*i)nc3FL;DFsV3Q0npn#3b|K}w5 zYuRgov2#Jz<4gdz%OG%RK0gigI^>a@a}LD3(6Zsh?>Oqm^ zM(cLgZyHks#PV=!IIGEgKt8Geoz|}#9Q$&ziVknIv8NQJlNWs~zBp`h(PGXrfrXfn ze~ANO3e74Gqywhu_eXhwJ{2TvO3_iN_hrrC?y5Y2_^ihhh{H$2AxitZ0ED<`NMXce z&S3HF#a($`*Jc2br^t8a zpDqF`5TFAOt^Zu7kIWmASutB18S!=tW!^x(DbXK`m?#;;-zOqW!7f0F82~pB?gvTY z9z?uBY$xOI3FI-3O@ZA)W(087=_|*2KhOe9L`M(&1Uu&{FiF~uFTu2eVAcXME+35g ze5uCXfA?!L^fkdIKvvg3jK~{WeP})eW$4p>kjY>I9++T9)y96--qJjb16!Bk2C74e zOOHM36r+B;XoG~z1R%h{Cc8Nb#sj$+WCGXKPDs}P2Yoppoh!-I0pFs*O-0r_w+`o3 zkf06zDQ&1ENSDu9Jf-MH3^}-&!(*IDngH&|Pr^lSPg+glhmfcM@GkXv4fpj;bG3yZ zN*}2P-%SO4awV1A{jj5Mr#J#pj(%Go9dugKm8LBA02yN3^D}KFv?sxgE#v@|phl3{ zYsbd66>(2g>}h1P*R{Pog%O~X}-K%?dIqz=)7i;A7U z1tTK2BS`5T=C$aZ@^DH@#BP5J@CC9Vz)d-?uBZK`DPwJLmNu7y=tC!i0_G5DT;$&7 zJg%)R;_FC_3Z-kpI?NBnB2NWtx^)rRVn`Lu|V|91ypFpn;nB+rAFyI>$i9F(ML* zlY3EXVqO(1%2%|j5Aa}s_J$2Cm{b$=9Dj{I%#48S0#T>LRb)*68}%-vYZE!(lT;@r zlX(Zrs#wMveN3M^i|N?mD$z&a<_HX{h|~@;s4pgiTtxSeVAN7F4Z)hQ)HjVH@Fk+z zVSvos=0ec$PQ6R|aHa(F+3&+i6ZEy1S1wy$OLxKS-y!fVcbXQ|_ zKLj{AnSS6;vTV+5eYDVK9VQB5>eDZ{uGsS703k59z?z}qL<|?;O`oNm4o%`kO^!*R zszYeplrWhEO~4L8fxeL;w>A0@8pJ6;9VF8{E)woW=G)_%jO7r@3-hJ61U zEDRqlpvsaVcNCK0h6{un3|!3n=@0XJ>glVoDeemrA?)HOvN;P{7x5|pzZ%uU>hcB} z2KZY=kRdF|L_~&mo?wB;J8!eCXN?WC-H2`w2FP zw?rJNdh#!;>%`lm$`!M-2u_09ahDlpY^ABG=Zqqq$aX!gA74eGP3{d#QUJx@ScNX> zxA92Qo#5Q!oUM7r1qUKc%FHd8#Dv7JGhDoK9Ki}XHM@$AzkkjdD zw>e|hU>OTH2XTEXa5iGom#(gYDxDu0ZYpI&hNDBqou9NhDO&MJUXQ_Z)s2y<*m@0S z;&DImJ#)yg%5192k!sK1sUgl`Yf}nCw?{{F>p>N`5l%PDpX^WqmDrDktJe_rJDcKoMQeaPN#Zt> zZMd^OttEaU(LD|EctYf1@npgD0(~O$a6unBR)mbb3yYPNXM~1g zj;r*+=wlPnp(IkM0zm=tIBm#qynHAL)}6q$$DX+xPB(15M;u9(A%lZl(CQt3US{=8 zM7KLJz}*HJ!dzyMdQKCnFOQJ%e5a8V=ZJ{Z&9J${N54J1r}G99VmpgTrk13tEy%z# zDM>(>Esk)FmjSRmJmpL#{#c{7Rg~$xR1%QTUj^)o+ERTn3^ofx9?pb6sz43NGPDPQ zHvkYa?-BN;icB<;+Ex>IEMi~H(F4*5_)kvU)+%@q+Jx+>i860rBefo%3})l<(LjiK z)FgUk*3gn};h+I2v6p~=r!zL<7{Zp%GD03Bg%!cVV99Hg zj*%RP_#=T+m9Z7_-5`=y47uRpagn~jLfMt!gt=kypo%*LIh)zTnX`xWX?)pJik2bQ z7^oXP$+df^zAn~rnl$lvl9*f1f}9|+cgI$0F)$W?3%vF`m_^%O&pNAi*C#a+%V_m{ z_=5tJ%v08n(3%sk&O3!qwh~e55+(V7EyOqwu=%TCM$gBx+obS!B@pyx8d#%? z;b?Pg^S?}h_FK$EMuPZ7NF} z4D=zB3(D5?6yxO(64jdRgRJ9=BW-OVwIBsDUMr)uFTX}*47t_jNyEPJ3>$S@!Ca$4 z*b9!=C0^sA>%m+(nZ6f_-2KYMmeMdU{8=nQWl}!4DIvMtOi)PMIodnwsgL1$S~-0% z^MfLSFa>|(3$8J8s>#S=5YhtYki`=VF88{bM=LHA)mEa;#SK0qPV}tkH2*tmTsh&2CH5n!GJpTea;))N8eRSXDRBwy^A@2(s_%pK`DWsD& zU2!itotumC=HPvcS@5TPx1;82Jo;22FfFDlAvGZt&X7}L zDCN0#!jG+W!yn5HNCi9>D~GTu-45BViL}6Po}zVH7H24}>%QilPO0}> z2sb;R4!;IHJf(YI_sxGPD8@+m4$;QYB;DR;#BoTGC9}9(bdH>7`#EJDHLx`d;b=YZ+siB4=-?~DNJtJ1OSNGo_AR?;SckG93a!*UKGrrm z7)tU|4PtGyjfAugkF}-3>Ps{vCZmjWn4K9-GtD#4^Ii9p>aVGJX68Qs4%hFxZeT(7 zhp-t)O=b^S(6-y7U>p0mwfF5e5$CA=h-K6(UkX*=lUpw@(t(5sevlDQpRX77c|tE} zyX_^u?wFq7XF$<*iK!JgvY;>!)Q>&ie;vjQd@_T!WaqHN_J?*J zHCUmL+yAK`eJJ5{gbq;3zFSHlK%N`Qz%r%E2fl8(akvu;)!XDZEeF#$8=wj5@y2?A zB~oBq(ed**hv^?2GjAT_%#vER;2?qV7l)1|6*2=#X1rvL+F2$$JK#jtQA03((kHFd ztGp>ZC-^bFfC+G7>-OGD1?Ym_Js!$gK|qwB4xpqd|3i ztxAMhBfKMozYAvbjZNz?@yrA`M*AoH^rpXo7qhe8If?c*3D5pi)OTBOmT*@}>WwT| z$vG@jCZoBq25Okb?`}+W`L&5NgV;<^JZl3o&RlzXr{sElDwlB!K1m&o%$AQGra-AS z`J}$O?T+o;pNvk*A&Cn0Hj4pqDV9Av zf1_wI&;>1*WN0xMFQ=~LFE>_qp!2eVlx(>PwS^wvpj)>bXK{i``c{-b8bMZHe= zxZ>yir+6+=x;Z=lZ^j|Bc7}ncbZeReQ&FAxR{UVzcm0Fd8Dd#(UpH9Pfa*TUhu&RX zSh8ecQ=Jc>*Sm*PXVF3I1`GA%&5ZJ<2lrrL10-NDo03SKPI1e%hIi%-l^4FA9>uM2 zWb5wSj-E_*)Cg2q3oaKFlMrG@K^hJCWruFP_sMs{vj!qZ!h6URV5Hy3{f`UJ^T!D8 zZbqF#6oR7t3xbk|1H?W-5}dpfh4Yv*TUw!z(CH7YkpJz`sR#CS*b*rnf>TbpKqFQ4 zDt5GS$`>^en8o$Pvi?QrQ!uK{@te2}zMT4iLH5TDN7MHZ(FU=jm9i|bUA3Yg8X%l= z%Qi2Lyt$!4jgkxJaY!d9k|_N^E6@Q>dp8yEP5WHN*_)iocAu_42(RJh)&1_Q^)Jja zw@b1H{i-aX8#P`@w;qK&O`e{{?JTCY2ST2vLonV~bD>O+(iOIWIaJ2j);^HpBKuEE90yWs`L!)Gg4kG;rF=1vw!LXUa3#s@QL+XAP#*m&?z0AUOO9b{21!il5vyAV? z#TG|M?MTXVNh=T=%HuMo3?dYhwj;n3V3aUI>{JA&Ir3bhl2|JH&Nv*nmn^P&1Sf?N z4k^&`eVAAC9K17~3vxnInGuC3KNyMzb$ykDesW8pNA8X4>CI}`rz;nL`pbt*y?cLh z@hbZ+&mK+?-2RzKy6SwbY#wvgT{xrMl!jVcnWXK`qd!6p#TE{Vy*V0YNsewZv=E&32$INS^W-W>GNE=5g|GCfCH1(iH)RhJ+bhfnN#Y4>RqZ57;YDfy$bO_* z5g+_=cHkpp^~_|W%BGlC*Hr6;6_2d-!0jzsN- z18>E*qKs?N0y5qk`UQXWY2gtjR1Vm;>*=Z&w}IUvujcS(pU zd6@B1o(^y}l3m>p0XfNWl{GZI`=?Ee;C}ru@x#GA5E1JFx>y47#R*bSL)R&N@H;wtSFBm%R68g&<@Y z_ubKeBehavubpqH+3-*>a`-)uxFdO`M@=&;qiv36y5bS z9N|L#z}Rk*8W4fAqNUTlsj)FqS`Uwge-4ov1^7@DkGyn|)Z%bV2@eg4nT5a>)cLH# z_uVrA!R-lS%LMN$_|9m;@e_Qx{sGWc_R)R^!F2!S=Oe(+wV`)>U+0&j@xn^%5?P~s zB05eyz;wie1tVNC2l#S4B9X>L7O)$jPq~mg6DHA%Q&!ZT8q96A+?-)g7-K{cNHo|1 z08t}Lb8E}{O)T93h+KNGlb8l#LlJu{e5Js}p|$L%xHA7dX)e?5#IA-`rHhp| zn+Q_&Te)_ca#4n&G3*EG>X23LRz?c?-kmI{AClK+BM$;+#NTM2e4| zEK^pH^6e$a*2wTTKM<%KS+UO=E-K~Xw~FOPl>=MVa$Bw@tUP_&<4A7U^8ZOQYUSDu$hmyzeZ-eXYwr-QHHUv}^2g1YY>? zyk29!-!*oca2O#WLxBtY#G+e=K~E$cFfuO$%fd4uVJW^3Sxb1c@g*i*hV%F*o1^DZQ)Kroh*t9{>LjFY;HT1k1O zKsytD_BY4tjhw$@!HZL0b}`UipqHVTdCCf{FCw0{qxm{`1qCBKTSP@G{a#o>zhkxi zxO<5GoLayv(_D-(htm*Ky6|&X9=oQ#KfbvdQwxUXE&E-7$YT6n8DhYgVkOw^(O-bY zO32x;gW&DmbTZz^0vOdqrhXK`nvF`4l+eDS$zVtY9d3Y-N;Avv zGP+NcvLEA_I_EVx2#}>eGyM+p&fHZoPN1K}fom7zKs?O~9EANi2(Ob^XnF&Z713g1 zg-M`kpRYxr`B(fMW`_TkZSm8Zg%yo2uHh1kECtf|96!>g9oiIIQmiroDD5HZ8uG?= zGK4dAv+AqmiMh4I2d)f}Dxx)qycbXvkuUzP$}Z7qG`dMH*#blnF=D|qZD!K84R2%; z!#>{cjM#F$%DFbD(vqgozT}t^S2GwHRYfGyNzc7R{ibf++uWgw4+-SPl~hauGVMf= zQg>)15dRVu)_Qu=(cku& zJaV#%B52}zRIF}(dQj>S=9uC)mhC^3PSCtYK}+rf(uU47qd4GA`lA04xMpO$l=8NK z2W&i%M$cASU!WsCZ&5FD??B|K*cnKCCIK%pZD?CrsBa^qxF}VTLwKE-v%)(X-G#Si zrj?KcW3LT@d83aSLO&w{q^G(uD3Ok5k%~TNk6Oem)SdzxWMw8C}t&BgxWxztr zD>X$a+Z6nBginrUmk4pbpcE1!`op;F6T!2OK3&OG0R?2i#oB}aLmR*!L+*b3<#sNW zIp#^oVl$D{n*$KfL7dP`r36%cW0F4BvC%l1CMgE>5QWzlxK+d)F%3Y!G;QX&+YO9% zkM*&R;6|o#I{^L)b!HO#cDXThv`B0GD#^nGF{aeMJs2wCf*R^yN|_kGhduY-lW~Fi z`%St{WfE0r>nsmaq#&@sJ`&|b0mu}MHZM^U(6nt|Sz}W6fv-u1{gEb>E0vH;a*~oC z5&ZJ8>qhP5Uc#+E&g?I~rU>8I5>a`m>1X=>44E zwu$o`D$M5;mb#X4h%#bZTti^sBW6M8!)O~kq(f&^Y?gVg8vQ1HK701b9G=z$N@dF~?ifPtUfH;Y}iCM zr?)5&!yCL_gmKfv+}F9h)6O4yc2+DiaEr3dG9RXt9?I~>JmVWTf!A#AOS(Ka+dR{e zO_hEIoymv!umct;wMx>ff5A9YD)b9yKNoz8QShhK(0tHwEjMP5dg^g|RqDa!_)=V} zaqeFSDV8SfyST1vKoXzW61E^2r$iI_a>=fhM?O5D;Usp%=2fz5G5134 zGZC((v3&SyC5sHHKCpB01bxtKfP0O=P9vvtwAWlM?uM)*;Qj=&E=iC4iR$mT~@Fcwu zvguuWI@iS-MOi`KstO2Q?GQM9W4n6pA0!MQGXvFcaI=su?FhQCj!U=UvI6>>vb!hW zjjZ&kO7{9tD*e=Is(bv=7&f&w+pL(!6c!r}q%^0;UPWlbnrwvSf)gWKkj(Lu5%+(1 zKOVb~^2jzz9N3BX-6VtxEIFJL+Q3>I#jH99=)6!_l%1y7EH=hxK716GOag} zFq^#+u=x)v=n}QNnDToc&^;S!u+x|uC1Kn{F-3__1ob@FYe>MUGVgX|$GD5S_cSVK zwx)K^8k(o1)^D8T*0uP;!YA7H{AQw;9Tj$&8;UHtrf0jyJKp+%d!D4{fpmYWj&m*V zvIykf61`+vdzH`?2}5i=kp^m0m8MH!52~d_*<_ZLgm0sBd8+<*lINj?0et# z3=}Jnz!hHjroCc;9+wED`+jJrW5-&vZbxx2L+`6(Bb-=|-YxYdNQ7#Djf?_W2)LfPn<*zFF`%jN{pG|AA7x=L_I<{=iMo zHY5Gq)~jKT7-)%S4JSayx-8a&U2EL01mtt88cnUc#&Spy@3jr7BjcP2|R$;yOZ?vNL;tj(PJra!V@@`5iL|-B^B3u5_x2zB-3huE77(s zJ%;j3!vJY(E9QzhwZZ7qz2acRq#3A(VcaV*rBaPkCv-0% zkMJ|O%a!Z_F?DB2F9Rllvtp`0xpzKp|2H=tO?@D?xtx-EEumxVGyPY9IK_>?{nbXGIU}k ziwxs=SdZC5%{sw66V4D72r0~`OP9_|@U i0%9)o^dL=t+3&N)b^E14K%pEX@Chq?uzG0UkNzLM`jd_T literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/sim/post/work/@_opt/_deps b/bsp3/Designflow/sim/post/work/@_opt/_deps new file mode 100644 index 0000000000000000000000000000000000000000..33e25bd4e5c71c2809b340b8708d105d5c825989 GIT binary patch literal 30430 zcmV)9K*hh@ArX&#A^J~+3p6nfIPTUe9`s=W_BzU~)+$0XAMd!HdGdCD+PbhA25If=;hi_KjwA$~f zPT{5|^&PnaJI!QvU63qQF;++vIeGsv`j1(=El1a7zS_TDg3aZe1VS#S)LfJePQJh6 zDzIfD-5xW;bq*VBlpOkDqP>r&SKs{2l7JUpy!-9m^B{WHh)oU}lJ%IEFkt-<1b|*tb$YRp`gyLVOUF1t;@a$`Md5$z0uO>N*`#!T5UqHkw2V)%yJ z{Yb%bv|O;i%Yj>(GE9y2$nwvaOd&FbaGM~iz5@ny=;g3J6P&pIvZaj7_WcE1K0k2Fen!mBxmlKeZ3Zlpracp1@ISX(7K(@dBDC0@{$w|TxL@mZ{+Ex`%J zB&T&)?@SfbO;Ckbb~4(x3*i_sn0kBq&q%n|Q9Gfthd1t|6@Uc(rNLGO%-)sfLKZCI zuxZtNUxl|CX?eR62jilT=8BO6kY|-8 z-|?cO%?CO0p)2j?M`Dd`q}3B?Lpm2W`r&yG;Cys>p->@?fJR5?`E(?J>VTztAe?4( zu_k|0S$y@Oyt73PMQ^%_^6(#zRX*r_*ApJBB}n6y;v zq_4+_E|~iBmR*w=&)V;$Y5Y1gM$t8W$6*FMy*jJ#P-J}QGjHJ#0YLbe0(DR4Oz zTMy5qa~r59z(AaaHHnb9(zA3W#Y)nUFftY znnMMv6g$bi8kD!4gW(8cQJ*3!jYW&U-Xeqqf1m524zPK2N#E2Tm3S_jt=E3JZO8UG zm^l;81P2$$uwCXvTx$nEWfN+B+br{uzQ$H{|z+=Q!O<*C5*gC%re*E5{=lX6-?4TytTZ;IO#kmJA|I^^g&EB zC-r>G2IiCG15%rhsJNt9E>r-JO^SJ$Et0>#nl(d4&^-UM8!fux0=61Xhq_M1`1Vr*@=*aCzo|z?Uh{R^_WfxV!Z8KVByONCW zXX1k-qYvv8Cz+(Pl_vrK(J2#fR(VoQQ;*oP>3 zx#$E(z=GO!6e<@<%<(l(R@lb$1RHMQp;X)U3nDwp7Ff0-3{^HHX8(&>|2BxBvIz}G z&=-&~4Ss^kmw>CmXr+J~r;cFI4eVG}U?I?=Wnw}!Ui_9AX_OH^toBMUA6vPcp9qsg zJ=WVbs9(1ehEjWjAM9^Q-9vUU&DbzcY=j|!0$UC5#0al!r$5n+q58(BlNP`uu6{ub zCqpOQyIYJm+3U-k5daYUN_8j-?|)w63OlKUQ*VzR?TMmVKVqyXH*zHiaR6~rEn=e4 z%z=D;>+JfB+5oa-)DNQjx6AhX{j#@hptN|so0$V#hDP)<%&A-|fw{1l5xTXoI<)K5 zpf#YNc>VM0xrc?>Lr3ve=rm?UNRm8~SZR|v=V`VTFT)6hT$)*es+y`BF!@1UwEB{M z(g6m@H62DLFDHLYk7?CEYo#a6>XjBn%iI6d+`kZE+fx&fJw(|(%pwvwHykHSGKlpd zJ8jMbC6AzNN_d52*jR!Kcq;DZd!}?$9+2v-g9l4j6!LGwG9zyR2nJCdWW}M&@qbP2 zi;9b?1A=0+f2E7G`|zBNwa0FdvLDTy=>TkiA%r!&s%$Lg$+zedinG^BvzynDGsn<> zjquvB_I(8mr~L#(wMZrlR#dnz zgfJ`|)`^1tv3omU55uWRm3m;dNwrVm1RB~#V>{FkES^8W499dAh?1+H_%ppIQC+d9 zy7L1O2F+(R%l1DfdLF0b1TSl#b>(M721U+^*I5m(5M+saoGHo@mLf9Ung^j$~*E;YpLUixUyzN#~-?e`_B+<9~MEjO+?lCiEuSZHxT0q zV6TNCH>rzJ0{@_3q7@S#x`TX?Aq{sqp?$?eAwxu}tLkpoNgYR1%*vx~8oM%DrR2oA zc)@h_ZHkdNng}}LvSb#!ze8}HmIn7_C^8<_FWC#!03e@+jG@%5=h6h&88S20Q2?vl zD6_`P%)R?!G52P+JgjN2wR`g)uv(kiSqOuj-S+*$JFl#;;w$MFSNsPDB)hh3o*w)~ zE!itHzCX4DiK2Ryf9-!pEka;X%*a2#ZIVHN5>)-}(rB)HsL#-w!TZ*zV;9y#uWnBK zCRIn=t5ke8&x^{89{vYn@k`qkU`2nlXvZd0DqTA zuC|@PhAXw{96bYe1?6B;7DFw0D(`CJZ4Ay+eMJ#{KomGX#!*ymdc+O*82vlY#GyY` ze{X0aJ`UD<9IZIM9!$3iigG+J;mwQPd7^Fnh^WCzmIB^$H2>OyIfX$^lhssAu3Xsu zh8|{PKCt$2H&rY?FD9GzJ{6Cp38LbXvuu`j>(b2k{m21ATtqC3``&Bgk#YIWQEFvr6E>;mXV10x`H!UfLI?`M>viWQN zxn+hp)>7&Z$ioPRF_ye%L0P72GodY|bA+{=`ARplkf>f{eZkwqzK6$iZ!?WQVOJ1qP7S4T2pJusx7H(td3Nk`iq4Bp-iK-{z0vE@0G3mB~!N zA=Kp@^H`K#_|akbj;cQd07{Ok8~y9O zhzw!Ycb0p(EylUst27Y@j|ws?7=&Y}kpTxO>34pzBqclIT2U2LKv4em989}F&n_9) zCxY=s0U*PXFSK=0L%$+`HY9Nw&0a(Mt_+|F_2VMttacTxx+c7OxT@`sdsa2 z|IeHKTcL0N|Do!->Nf!YJ~~z)Tscm(6>l`^lnaDK3`ki!iPdFRIwkl-Ul@=J1Yaxl zCEuei7ML2P;2|?D!zka3F6A2dNi9{`Il7`H3qmq~`^_bklHa_L^pqaG=BOrF(Jt+U z)Rp#Af6d>f={26{u!~fEXisV$WA5Q81fTFC?oOjRywqL7dfhf^>m+D0UM;JWFa-`B z%k9!%1(D4rF1cp38{!{256kf@vV2CoWOI6wCN#jOzU}XF##H)mZ$X-T$h#z(uiJcQ zG9Hfmq)<~&6zOD|}fe_PB*=H>}CGxIEx1s=dj=Q1AVO&}`K>?8uJc8vP&~h1U)mV({=z zyf>@p-^p4En(o+-swTNLY>BV%RK@n89@3oI--`Uvw5n>mv8Nd1fd@1M5zYzUL z(eCj{pnNz^J{*b5MTx`_C7pff%=AG<;m$`+rC}VCvV?;&97tg%*;25wo%3bds@lb= z4&>)6zsGP_vs+L_Ar1CgI9?Qv8$VxY|=T)$CN=!stE*Oio|=iXDuTwfH~=B zvh%<|Qqa~o-1$`GeUk``nkZM&5m~IjS}PIU&e4>pzf28Ge?dC@PxU4Ub;N#0c1thr z^ip;kU-`qE(RM-&-^rV?PY(Q>i^Q?e-Vs~i{Qz3=C{I>UMQWi-Gv)usJAn~FnLG-t z{6(`3VcRZJkReWDBSa;n-^_2KAuZ4E;9JOV`?=oJTF-PZ+{?By+|y~Dq_(XLKC1Se z2PY#3zCbWS7jtC7^Pj**Q$DbdTn*7KYC;=5dQhOv||3GcTnG^teOg!ee923CYa+chz7_ z`J9*wX3x&3yux`J3nn4uFbO81WcsPBtPXkHR+gtGhMaVvQ;AHLEdNCkngJc9uecl~qm6nsX7X)Yz7#22uH z?VyJuF!@?#HKg0M`M>}@&*+)Ws!r?fh={1WQ&QARyp^u>9+FhN3Vs>d`E3n=Q6SDw zz(}wGL$dsD%Hbgyz<{g=c3e#|6!FvjNjS8T+5Zs#8gvlrhyywH!WOUD6xozQhP~?^}u>k8a1ubB*L{pXZ26evn|Nm&HxObSzR(yKqIc= zAX`hLXXYIs#G6j7jU4 zY&lc&UkD!cZ&P3c03F3cX9`W$EwV&4RXh412XI@;?ML#{^tm<-;Q)m(`2$a$~>~u`(o4pSBqkCP3;unCMQ81j%ZSer4T*T8ZJs` zhd_Ynx);0*_|e^0)HxI$_LPZStT*Rr#OcrQKjdHN-KD;#y8s`aQ{j<-j?Lj@SV=On`Ls+#Y|ez1&bS9rQALKrlI^8$N!_4fwr0f0M`^ z!){&qzVj~h6fyQ_)6-o#2P38ynqk4}>CG=I>+aM2dxCD;zOaGFE$oV( z>hrYjAsyqs0U)#WmRs*M&2Vszy~uqN_~orcRxD;|v5L2009OR1T$2P4X%km)nx}AH z8>TeUSa8A1Oa8fG!AsJQ9>d$bXjykiC`luP8mpv%4K9~M;oA2v}=Be_1BF1KlF zXSNRwPWL+(B~t}Wz!xJ=9n(6xd4V`eMbtqX?JwmIlTI6Q{|L(XcdGc%G2Twv@5q2s zqWyJknV<;JfwrIy-CQCS>vV->4Hx(*@tuPzUM8SqzIwC|Kqg6rNrH7ODW9P|loJF! zwaAX*ejUp3fN|pC%_lqSNK0_--WOQ zNfIpOmJ)nU8;?#V zs+A5dVvVD+b17%M1vZh2v^DC38>+d1Plk-mmdQ;1k&PWjXL-h!a9IwRd09rClVzdE z(`lrK(+rK{Imi28qYttforxS^!X;$Mdx$+2uH2YTW&V@4I==_Ib_SKhBUJ9^QnD}> zc;AXfWJhI7_Nd_r2#0vl;1Nwgcz8}Q{D&(+$;2PUlb!60^iQVmE<+BbZ~UE7fWtBp zsBgyI7rCnWS}Pusp-kQr^_B;MwL(Cp1zU=?cWjYw6LhTj@i4OM> ztB@+PJ;Q6qpZtOW!HOYf+CJ;QtT~LHb`-xe>0wkIEe^THSU{_QONo{{JQy#@*tTI# zWb6b_%Qd|4vCzbGWQiSeRosXgN>IU?#|ER==R;ct1$v&;%< z`dTv}kgEEoQZO34o{;E-0B7-VmjbYj*nvi#`ufV(f!R(MKcVh0Q(-PE7ejSpF-=#b z?DsMe7bVy)I;Ctw*GzKmF4Pj@p|NW=GBvAzIsO&PruWIe(=Pa(jis;!1<_!P4GftB zHCyissNGA-TX5S&7a;TM`t*DQmR_&Qq#u7J@hzK#MGaXp;0*Z@|IKeShe1HfAgr;U zr)PuyBqY3E_1;UhaGR~p@_N{0O^K%Jm7T^H;0TYVlOR*5_m4S4&6&f_KC^7}jdf#t zgFKh<#?1NB9LUz#nQ<;s;Lh2|M8lC~)<5qJ9U1WT#(hw&imd&`Mtqsz;w#`` zZJC$H%~_RN_kul#9-3NcQqV$mqwC^*=~lxIuF@@vDsZ7JgALGF3}WFFaD=LuKQ*7o z)t`0zu!k=4)~j?Sq}O1J4e|(|mv+o$*`7i6Chor zq(Db>M0lgFi#^wL6tr2vdf0S}WV8ek;l%+SI=y6mU zR==soNImm)y z9Fi^LB0uLS_+vE^Nuqe)LDbLv(L>6-o`~|%+!|3^a^6TN&1n}yKfDIwhuJ%QB3;#dgVrkxsU%_|@wy?_tJKoJdz>Om7VWI^_Ee>JY+ zESPzMdFcHt2dQtpgT$SUhE}OHY1qBiHPwd3TI}|3r}_n#dy6|&ZdgO`GiqRio5twX zO(|UyQ_C*OAJPYCkRGse-O(JkN%MR1mf;t9%rF?-rWkos;Pn~JA;{U!%nf^tjp?7S zamXVNxWDxSwBW)o!lCgXy%wZ;@Wjo2lf0bQjT80;pI}$mi<=c?E5fMV#e{F(h2z5~qR-M5_Gfoi6O)P*|ccDXK6_oDU zwiAiDP347`X(_B6o#O01{Ux3;>g(ggloTG*|5a(xBu=n{=EhTXZtC%x1)ky)z5@3|YH zsp>;Np+9T~2S!1h#aAy2r3>52SFqbi&am_5I`nbc2415Iq!OmJ@gZA;NYu$P?9BNb zCS7khM?p;!u&ljbr)pYmBq)4Y=qmI@Ku|bF$4caI09!hA>+Cak%;=10%+-FmDF^p`ain zR9(p1%rEk2I!7783Wy1kB68cQ!cLtc?F&Q)C8U#QBz+T;3D#G19&aF~R0dQ;9b9FZ zktq=G$T&?JkwMxe`^W-!W|RAKT_K3&_G2D;y1mKA4hpQ9o%z*iQf1a?iv266FOg@8 zV*5(!`d&Ne)N}q!^M2Tb5Q6_){E4JW@PnT*9@!oO7sBP0VNW;u0bq@XXnrJ#^{#m9 z9=`1T#ZAX5)S@fxBL!lbFZ90WaYu=cxcK;S*jZzIlfl4Jc3Nm9Y=vSbITV(|2Qvm^ zX6gXSqXh{e+)T~iq3-;a z3&P(%J`q!3`);%mC`Z;-D}+HyJ7xK))nO4y89HP_97Vg0XRyhn6pmn|HPJ#d$G$9} z^0L2DCP9a3h?8SWRZ$+R_CQczY9I{5Ye<(MX9L6Zn0n<5I&yxuL-D$oH%{jujR`ol zAIEzCb^CaTx-JdjrmO=3e>eslyD0!N% zt`$RMKZUO`#jc=KydJyim$c#$TZ_8%$Wgx8+M2GqEqxSC=lV#wAi&07t9?}CMc%oL zG>U7`{Ye0_1%K{BbFCOGll+|IZ6(bZX@nnh!b0n!=EaE?!e z%Kz*+P{$GJ4q>^FrplQIh*WEVbK>v&mP!31)ThzD>eUsgc`YG>vt8W>ooLaV=nyBPMB-80FEp6 z;*UtEff>N}We(}?8DA%e&4Smc)d;cKGz@M;_7v)^J3UjU|N#r)O8k63h|p~+LQq*tLYmVS&>GXM4O^K`dcx_0;$oJ`I)#8 z3c9(-^sL7?joa>?tI|oH=+}f@mmZPmNK~;>b*Ndwdx%EE1sw7#>pF0%o}&w~NDOIH zGiQM1jM6q(dMjoX+1oeElwcaVu}!C&wrPLR3TY2)R?-c?d3Blz-QdB<)UNxB z!enzYaEUw(?Ix_y_nm{zs>k_24DgvkDY!tRd81Z+$847bsDMeWbWC(Rp%{Pyd1#vK zL2m-)xOOKhRXO-9Gt%q6;?E4!V(f5FZExp9`+)8%3m?g8srf3?z`b5 z@TOj?i?KkA74a$BksAJKGVEC<=}zQxr>w}RPD}ZjkL=fCe}|P>(xr*R+LNICzqEy-~g_QK~Hs z-2Z2!L~IRZb$g;P5_buDt%_OCSDmlh@+zWo(G8xb1)}W%;aSW)6K5{iO?r`5SAM%O z$v)HuUg1Nv{Sk%fKHL1@NUQY?@8XEajl(I8&b+pP6$b5XZ+^ebzsIGK6+4_GJuCN3*X%x|9c6>VaK}pcyi0qg>s>H3k%@ilXC! z&y}Svo4)Gzg+gV+LF#B#uCJ(gTjF|`yB^gZ(kk@Ft$Lh;3a+)$xmf_caV?mn04||I z8TW?!vW&%a&>hI0y{KRYFtJ&H+|U$0=5Dr^_p0q|I#=)x6VxC?GaKtz7xc@l($$H_h6TbbPH)ehA(yC-$)p1}{`6%U7z z7b}BYQX=VrY{q6hHAu=dIcB5fqyS$4*D1=K6zQ#!8JhlRS^^MAx}+K=!PUzRdxCG= zjO7tXwgCQgdJNCoCuKxdJ)+O?OE#(5-Tr`fX`ZvhSj#S!o-7o!0Y$GbraO%l^8#v? zYwl@4t?0)~v>QBbEkyilgLQLsYT#J68c~e(_}$VHI=tD;x17XDow(3|cK@B+%jbxn zy2{hbcvy3Pq3U|_cS>s)M?ba|SY?Snw(V{WgKiOkq_luqxbgC;-M0q;0d%l^DL zUb1}h>I#(`f-I4ixjLEC49n!j`CL%TWo(%JS+1~00;x^k#`mu5=uL1>&APakVMH>< zY?$aRngK$3pY%_!59U7It4}cB3;wo}{<`oo>Ht5xil9-JvyeI4fD7c$Q~X#*rEHQ{ z`KCOF0;A`D&UBw=$GhrkB ze%yltF+hRMa{*Ej8uPKR#L?EYd+fBqFRhjp^fbuXgTT&n`(|0ogPZ^KS8J=UuYvf1 zK-W&~uj!pvb2!oA2-gsNI8HI;5_?67#2(xR&FIYZPQQA7M^2?~xGfL`}BLe=Iswub}E~^pL3V9 zsaz5lE|a!bCop+76ThJeW}>m&5g|cTy+&z1vL;;Cbwvu;Xo~j+3KSMuBKUu`te_f+_I$%-w9k>eG812J43I_5gqs(*q`ShaO}$L`{)Xe zr_NSrhBt*ygC-Y-s8ygrO)fVgdYM)GgK`oo`YA{(@IwlqTQu)Az|9WRY3ndPwk*AI zYdOf6dS>>dmq^e^c>)rM+qXaxGCsVq`IbKzr|ke=iyXj zEfR>bX{w=4ZaW?8`+*c3N8T=YM!J+5+AD@VnEC=*B5)JVA%&HU@LT*oaU+FxO~++G zS?PJ3^%`jI;~2Ktm088F>HDebSz3PwIl|NE&`>@tbbhVi09{eX(J!$e2P3-@>N4l4 ztL7H(%$$qG{+8xCvfUneSxCD2?*WYNLMxTXn!UnvMu8r!#rx}GeH?U1jfi=JQ3N-Q z7pZNNZw@@2hE#{yqj{~?H~VS~`~3E8i5Aa3e=)-WOUpZfO5tx)OEPz4x3tuRocAn+ zt06O!WJ`^}5Fe)w1zYzeFROR%f{*bT$Z#3N#vU10p!5%|C#cF;;+F&c=M5BrZ;UaC>E^f1TS za@W6Y6qiOi<)ELW?blVpJE@4=xIi%4F4%$hph-dnX~cDy;Aj5e7`p3?*wBZo z6=~e~iuKplsa9FQ$}2n^!6A-3#eE!jtCBjg17;MYL`aM-_%JOwsDT*WDT00K-WWpp zZ@q!%smRt=sW(dO_=Yvrgyn9jrf;YCCaxm~C71-|D?8x=(b{dkCSzmC1C#+2R9mAj zpnn*GX~G-07ot-Q(kR+gR2NT;C`E+1*Ce!=&#QpLk{R6p`{TbMwqCB=xy@a5o+Ntn z@}agHJe<_Ke?E>O9<`&U$1)cl{mF{+PaMe2rISbpu~NorqJ9t*9D_xo;lTOZ=zJ4v z@4(Mq(Nhj+Hc=(07L`jiB<0|w4W|7`A$1`eQAXFUwC*1O7WK5k~Z(Zj#Y{w}Ro+rP}jTY}+ zqNgjWUoq7;x~a=YnP&4cfKn=v4Zt3>IAlkBv>MPMAuJIWdi*@oU{xr3W9&YrJ`v05 z!w0g6ypGwEWX?Mi42ZKnyhqT?0upqCyAw$o^i#@Zo7@L>GSbZ`7>`N5&h~RE^(C+;|l7X(Mvc`rD)9Y5lSp(s76p*bxNy}8 z%=W4d-H&INO-|P<$zOXLDlz2#bY-jsYK^TG8cH;I3$O-wld_z z=K`Qm6|EX?m%Y+-wX)n(E3ZL2pXXp0)h#qhlS@TY9dD+Ymve6jo9f?)bMV-9F0`@2 zdiPH{0vv}*rz}RXvjrQ6b~Md!#gQgF3o)}miNG5|?>p6$LV8+9h!F!mOv4ke;xH0@ zt_OL^OY1>AQMh@SgKa9h^goA#^7>aWRK7BJ)ovu>6L(034OmgU^%<+Q(T$9B|fnS@^T z47g-Z`fNtv2L~|vqA1%>;(WZlC`6wRxYvZ4&agJDVJY1H;8oDlhF-7052CI`o+V*L zol=GyJ%?h{j1G<<1p~|^y-Kut1yyjGxrA0UIAl!5rTn!dVarLiMOAO< zf;1H`8@ZpX9j0a=_-1E)jVX?{BS?J``D1ZNam<+3T4ny+iKvD-8BKEw`KDuQF|f;X z2Pss|b53jF4jmKw+je7b1-nQe;v9O5mUArAW)_4%S6|gS9!IvAi?t65y87OfwUF9Z zKDDg`8ue}}0{}rPr43fFufuzVS0v1A1Mwss8!)ei>B2fv?k5xUKwC;il-FTCP16#y zBv2B3z6NCwVOCA*3!FaUCm{dm(0KhPzb^$86U3G^06YMtY10KzUwjtEQB-gR4r&FF z-qBAnmc|suH)wcBPJ!FC31Hy;MNZ2a`@Ho=f9Ecd@*`tiv1FV^@a)XMSBtK`spG)N zTSIxm+Hpd3dTn%fG;$;v5y#lJBLqWZyBl_?r3qX|*z>VNE)|(jW~{+a4Kd@QIloGS z9N3Ww#U_l(s-%0zD(u1LVIQp_4UM3FA2RXaNy|sBxZc@aed_Coj=CYvMQPct;8U`O zsZ$TCY)(ZiOX-azkj=SPYwSHZetpCeill5=j`BXc$#{?X!Up^|^Fq)EdCV~d4we{s zQ{m{!{UONN&e7gWjE(7@vZDAS54gVQ(1hU6G9RMvcq2+G`GuyYtKTIq= zB~lO=lPf-eSa_V5HXi`TsButTCb3P2NJQ(4^nKSWI9MchEcbCr zBi7E-_pvXa$Oq7JsmX_d*;wbaOXitz>mw&K zkN_pj&=4P?Z7~}BYR8HLxGzqJ@#KQBNi~5qNXl)Q|YVa<-ag_tkQh`#IQs`+{X- zI{$e%Lhr(Gz=iwA_as+|TXRzCrZ$oDz?OR5a0sX8Wlr%yWwS96IPe-&JO_)s$0}XH zOU{Ie;C5NN9uw?v{_0E%{?V{<7JQwIv|_EeT_BP8m<9CR%0qv!g8oZ_YlAxQf`~%lB5==sOUL=dSB9X&QshQ9 zo(OQ3bv$zrB}=72Mjs#ZI?zQk4?zfZQY0S=u|zXXB!JpnfLL^u3iV}_?%B{fr3UC- zc2aAFT$&-ZlHnv4rQLQH`NA>m1O9^KKv49nO-6b(_HF-awLlri0PDQVX5(dj>%r^2 z)d+AMjjf{9m!R-T#+zgvkI7wdHX$wFsv*OfqkRAHQ@>hc_FlSdLz(98xSb`P5p(l8 z{5>_Iw{1^frfI6QS7U3;Cw!D8Jb4}5IBw)YP7@+_E6YLA!_Tom^6*>i_NDe;wQIbU z*+uX-I-Jj|IWzaLJ%yCT@N%fzqTL&K=e1eG#k0#JD=ciGP>0^!dGJeP*EYv4X8AuIM984`psnAd7h%nYQ3 zRSQUDzhD|6z0ok3lOYX^yd+NGQZ4?WO&ERDOp)raR6EN|4mw?sQ1bnR6=a0wU%0eI z2l!R+I^|_uiYYVtZ^Re1)$o6JA~5_5clI(XU4^n!|)J?Wg6{y8|mxV+7bMf-m;9fz~IIQlC4p!#R9 zZ#Q|t;?D!Cs10On;y9R+cL-xN8Mu`aAro&BFYm-8JA??eTKq-$M1m9$!?`=bn({Y_XR}m?a8{Df0Fw-C&G+b=qaa~%a8C_0{>S@-p~SYgOrI>aY#>pR}4&-Zw8^? znNbxE9tmt-+61>bsv;`;eP)sx}ibnp0jU-q24%ibp*T+R2NENvJq8e zM=2cvzSlKpb8mfp7r(|iP=UUZryw2~Up5E2qJIJRg}^bb^Bv6I@k_z{%e&^v(A8Xk z@+-cw-ucY5G`=kk6bRo952qn59BH6RmvK&D+7HOaQ4|uU%m_^IUM*S=jZ_wSWQ2zp zNt76TEHvNT**@iX6EE)d4~T5V4#W2UV4D@BNtoGZU|Hf;m`1maor!NL%$T%^Kf-MM z$k+9ZZSJk;jfe2AE+{pj;-$EaEf>#FTcVn_ypqbHDh4M_#tX7g-4Di zssAtsCYFvGIHgJx7^5KwmN0)8bsb<$4w+8-OSg76kM6e(sCKAd19N7P$0Be#XV5%? z5+GR{W0L?w00U_sP{7HdNmk>NMbSrYA3Yn;%*77}m8ch3i8-FZkLPQp2=moh#trWV zYwlTW$b)Ec1QjaRgZH87(LwGqeU8w@_d|D0-m|$x?DZV}>B~4THI`qa^u+A2j=6-r z8e}DtJLRRa*Ww3v);{jv;xt?0RGbHih3)}{1)}92o8qJs(6Q56N=Fs4)hHD9buWt57P6_c>05t4E; z+HNj{kML8%#+^eLzg1h3y0a*gWHyFuk5&;TZ?j_AmSIU`S1zB4Vb-*|>h!SCT$_Xb zLLHTC%ix0XvKKw;y6}y0*SAXW&vCL+udLUGuR6!FF1DTri2x;GwFO&&02NJvZxvT8 zJk6_k@+o?+{G2pXXFq`a1LVG-RJl!w%ZIe$6bQMS?(Yw!j z{L{=2Gg@bUvec)5o$xWIT8g`^!Ar|kT$t0i;oGMG@D1I9)j>?Q65SmItccw!Y?msP zoNI_TC9?!>H(xSTghvD`{u1!Dehz)!Q_UadwHLr{4Nr_@6onpdNoYm z{f7u{<1_FY_Hq%C;vy*6f9Tz$Jt#-71sTr-nazMa4SA{D{m@@=$_8Gn@C5_5#hxZn zPdrKn8$P#ab(D^dBQ*(aJUvOZdm8p&HA#e5E}SmoH=+FQ%PBI(X`O@2(tLwPog5rL zyXb!`mNN#gUeal%V`bCree3r_KcSJnD}Ca1o^5@uWdu^!v-LZ8hBMC_M*Qx`HbFLh z%-*tEPT)eQGjwnU;@5BiOg{gvk#7Oh?hKZII$9NgO|6m z5Li!tR5Ggee+Hr4r?+$-9tm$?COvqET}Y4+5lQMvo}qVA8>k^;%AzMEyw@*`y(hbD zI_u|zmN7#E|4}K^0TW<@;)YRBGdl5}MUmQ)aL!_e6vA{@hrAAf-}W}26um}Hzf}ZC zwMKZ8VQvOvFi-bXb3jRXoW?nCt`}tjR6ZXu z$B4#12d=y5vC@gE>x;P3t~v#2+L(ykM#ia7Il|+*1uRJ4<*HG`pIm1Sb&!d6&PfG$I^<2YhTcd2VL&NopPN>*=a(|?Eyl&SwPxKVP-FUSg)K0RY zyLFUx1dUyI@Qjlq#Dnl?id=8EyF-{ZIy-Blq zE1mg}QJr$kpfXqeykcA7Ug`0K-n2E-nGsVIS z!i5HJ&B8zKv1}n5>KiN!!d_@-ffhH5rzS0~7N}cF;YOFIB6M^0@H*lWBoK*1m0&{z zO8Y-npvk2G#KV;((L*PV9UZXD!A6y}u6JLG6C0S7M{4kY6i&HlxxX#8VeakN-5?h& zsPfoC@cQY)%W25p!~Jz;EclP0fyT+MsjvF;x(aS14zSEd$?H4lmxY)?ekN-6oiOsb zv>>1aWYtN543TMY19j_^ot*~L!#?Wr-|U}-A?j@p0bA}ETjwA+Pgu1c*Kk`i>l&Pf zPys=g*<1|qOMYt_*%TLSH?@*X36%{m9U+UG-(IvqDT}W2YTgh3`+~iO=%$YpP2RBa z3Bgff-Y+5K20B}Br4HQ^jP@#(UcPQWS*XN*w!_gYmrZMPVD@jSpYP zFgv>cbDRgdn()AnUxK21*NIWr7r*|tk@;lMBD)X>twSIfmmy`jl@2p^6KtN0JFo(I zVmZKluL9<>)C3lmvuvI15THBuT+NPb6O?of?bIG`SG0#ro*|QzF`ufrXiXfXf+*N< z<*#EOZF}pvr(-2SPl5MwP72i??bSJXp64Ova(6tj=e{Z6Oms zs#%92XAD0ziE8BxHIBcgQ}Mc(%a8UjjR`kN-qvdWb@Yi;cObxtHw;XX2Z>j|nNbsF zk^c-{%~#L}t1GVdd*Nlj{ol)CS32Cn&;?U$Hhqqk8dLM;kV^d{;(5ubUQ3RrNtwzb z_#q`2xsXtV;^mSU+HZIvV2b|zCZ?(NmwcQ)4jM8GI4`AA5;8Cp8_yiX5fCy3-@J|p zg;q9@8Nf}dR;fVr2PTu}BX7+UkUpXlKdx^hksv}J^c`PP;K;tdBBPOI&{&ykeHrl0 z+W2$Tsy9)dUH$lx2W#Xp@-FWp&3@>RbKdHqLFRTno5i8tHka2z5b8%GITprL2#k>=Ye}m75nqQ7zi` z=cMk_eEO`F*ew(GTjr`H?w0Po!Q=X71M@$i`%=}#c_1w3X^!;+Od~NL`Gjm1%-ctP z%k>lX{%NNfVh<@I8xm?^@RA+pIq^(nIxG z%_o^*g<%BizRgB-Qz+Wg*^mS-GkN-x_L`+s5$A5Y+`3ebH-mn+gXIJmx@rL~pfpI? z7P5gNWoUzB&O+kv$;n$XfoJzG?h@e4NYsE1RwIsglv>ydSX!!KBfYq+7lg$MR`j@yRU_d&m z^u(6W!fT4Iw(1N5;Va~c7SD(I&BMURM-t>uqS#>c)NvD(K{V4PLO-P}AO!|D8@fuk z7M|iQj|D(l*!?XK)vcbh#GIP?l!^su*{Z7d_O`}b`--iE$_1NoE9bj5#vWOlQX;S-W^9Q91nKc*W}BX6}2hX+9zW@A6AO0ZTVOg}W5U6Dw2j=r^Ih5p#f zj^zjWO?OG(#a)Xrw24(@7qrcH3{8SC{S*J0PykWoyG&ojTy$%ecW(D47-T?5P#*}I zK;iAzcP5|jt*gj)xlaOV!12a2U^{(wT!RBJSOLZJ0a6eGbGb0V*s(}VMe{_!S8oNI zd(qw14jnVd7fXr=glF&Od!{+*b2*d@hf}GCW5|#5Wv6E^j*#>EcZ%!fsX}mAv;R{B z2S5nWgW#)$5h#lBoM=UxiNMZatH6VA=ZC%{Ri5pJpB9jl2(pC)N|=&3p0REOPVEzX ziv`A-P1H`m0yxwN`%WOz)e~dF|Em4$2i0%7nyrs}X23YhjnxSn1NcEKpEl;eeOb!5 ze>h0!L`d0Zkozvu7tHCsz#rpnpyq40Zj5s*!)31SoK>Fr0!v3>w!}5i1yMsTVjyAwK(jWT#N(1>=zOci#z0R3PlEC{f%7oI>y*GC? z**c83AubREPoVtMVpbbZKP*0`JtF?K0|&B;kGq9aWX?DsIn;ALyhP*qUea`fxFCE+ zG*ilEsOej_GSbc)FU#*#OPW01{ljG@j_Rt#+=x5<`ljm%r`xaEf1^X z4kA z%KFA<8@5=Y#ZUrus=Mrfe*)@yRx9g9vtU(kKV9qgPz4M_?nHC}B~~$#hqQ}?91dBbx>lp7vlBT{~hEzkuDT-m~C{{@wdGzA03 zv>&4l5w&aaFY|6~2E=oI(=;D?2eb5YejtX#HtdvHX;@67@fJ97@c(6CPF?@q#8g%^ zG4KoUJqws6b8!CSKdd~~Jh=QnGweI~)5VUt!vgGOXv>L{VUX6xN!YVH;;ICRQWA09 zR>urV^j4IJPnlPCH;f?sU&SqP6?EIK@c}@1U=4xTuv!=X(dAf!Zv_4nZ%y@AMyX@_;|S)I|V}L;o~& z4?l8%dMuMDcB}~RF%d~_1iLAtN*v%RfEboAaPR-WdLh`~(~ldpO7p`h!Csq6Y!k!= zHKP0GJpB@b55CrHo^CLpJcmrg986>U4egF2u%LMr3#n>uDC`J{$a2P;Ers<{7vTUK zsl+}5H*+?H2U7`9@B6cBPV^qC)U))S8QH<@0)DX|&38aYPT@nb#P7u)!5PE2ECrQd zQ~X5lfQ^Q9wVG!YkOUR2GSt)^eT=|5zv3}T=8o*m%?$UqJ)-k7IMDpt?zap$Pb@Yl z+%<{C0}niEn9TK4Y(UvJPNZ`-GNts6F4BArSvLzdG+RW6zn&|$I$SRdU#8i%KonPK z+_kxuYIVtHd4q?Wx}^*^Y02J|rIY7`4z;Wl8OXgF0|8MfnBHcvuG4t9gcQte6Y?3( zD=@B>>i_9t?j{5GeTaHSmBV`x6CYs?^j9E$3%4$XNFK-&Xk&J0 z+2yupbyo`7u8dc&^FFk%vi&M3AIRNB zMCs`fPWF|WVSEhCmyI&gzk`(y;5xaBuc2hF?4AGn&~Lw?zzoanB}?suGs|-IrYr9X zdidI(KUt?g$cp?!>Il7=s-`iP%Y73I><(%O_zUgJN3?)#7H_Iw95b$&ASOd6Xq)3q zDU|~pl+U?E4h`S>^uOUiNbve=Zw!Yc$xGotHItQO4$%-+?cB!&zMrZD!5HO_?Zshfme`>5N) z)lzefH9))!%V%{`b+7G*BT7>0e*i&9bK_u8IaU7NrceM{DNS)C0U!WdF|y=~fl6e} zabc5ZZ7mb|^p52WHM>eS0J?xFfDr+=zFr_240S1!pEw-qGXNpFidnrHs?_mW&1rCw> zw=Fx;7vFViPx_}L%-19e)u+51I^$vN7B({j_t!yF24i50WbV;dLpu2wEfL+~aK_~B zl*I&Bk2+=n*oHQjlGV&vKd<_lzV>ws#k5SHlwe(}rvvA8QgpOYPoqjw>twu%$aCXi zONE_&-lkC-=Hbn8Bmg_Sld-boikkMUO>tq8e9`5T`Sg$eu>CtqISx@g;Q$c=bUiaS zE_u0Tu7(d9t z;(MX$Z!vTK`M~nWg1>)NTbJM&gi~ZTgEl&)bz)(u*kdseSanR6CLR#SrJ+$xC!tM> zm__@Fn`qFd8I@f*CGLNyGx1iS>2*vQ;H4UWCx z+-i_WzU_jb*+0tf&DheV{0e1klIh>O-JADraGIgbj_aHtd!wApEa9vt(r%jen2Ph0=jU4e!7l6|M zTg*-B`|)mSe9MBo7=SB$WDAB2)KpMVc8%KPKTL=YHB_(nf36sm8tT}8G01t{f#J@( z=RnCfOpA-RBejI%3EG!=$M_)0P&PC8beBXI3o``|wJIjNwQWdT#Amw<=Tus-8Np!a zf@p;#mx?zZL_|-c$dghuJ5M|qeUM)Q{@k5C*Cb8kTCzLiP6IM6kq?GZMkhYzZo5jccTBl%B${8hCxG9s zwPvG{Et;O?1>Yiq7|+FDtzJRcMPwC{()LvXSru=o)H@p49GQoL<&sPi8PBl)Z}}^q z*^8uIgGZ1L`h*6p_`n^k#%+M}B2V9mZ671dj z+kV}Kt3k$AIpBs?I^;MZV2_{_vgWDvfgoIf{8vMpu`{P=CMWElpa#YB;+x@I+)WJ^mt8(H&&(6J>XdL&(NUNeV zEsd&2eVtT+I`Cmz!5{Sj=#~kxQ1zZTc*xmlL=+c6+;7AIBu65k+-rk%XL!<}s6+q8 zGVLrM&ba$B5LZ2*pnE&!d24x>i(0ob8fGM<`cbzK>1p3hO-3-%RrGas)HWz8=*_a zjL6+-I-kv+nDQlGr-C}lfofkkQq&9TQ|_{T?H^qJtAZ_#WA9NZ(+$)SlalFKte!3( zC1f6vp3`Ip3I-9EB}!4$j)a+|0%Oj0G~+aFL{&f-(phvp~x<8KIB{E;K~*`*)M!9a^@3Z0$d8u=EE4;at8*{aMY=3Shu! zS51dFdN|l9-rz714)h$fuI3|ifyNED%z+;2{uNK0^Fiui#i3l7cw6i0m`Ex338zh8#payWWn*AwjWa7cSEqlI*4b08Sm>3gsS z6HlC@HtN(zdiAZ%xTgquYJDSl%rVR0QW|Y~oQ}`}c@fDo41ppeW3}+i3xjD~IY&WE zzG@D4J`^kq}4$AA2pYpMCPF#HC) zM>yXJO^lR&ENP?&yu=+)+6#*9e+zf8Z3>n* zIc-VYWd%?%27d5SZyx6)2+C*A)!wIn@K=K_j@2OW$Wy>hz8OJ6eEC`kv;=Z2nkKs& zh9eBXRL9sxsjZ4@OK;2WkcyTtO{Mk_gJ2#TdwzwD;8rPNhcxtNKoUB!PMB$yV;1=J zoY=)BSd5p3f0tGlj0jedRy{5~f_bPyi-UNwDa$@MEc)XKkxWGII%%lebI4e?E|uGk zvwGP!71DT}EC;@T-1yZV9YivQX}URva2~e=uJ5N&9*F}DoU})Ot{bY(#|VEh%R~>X zG0wZ>eH$8_i;J`#XQ?v@+LS~U)$qwsH4Z9SM?@D04%Z@ODi4SUAq+G0haCx_L;>!7 zuU0Z6357|6Vpwh8^kdupZkYMe8@b76Y^kF}xmLG=X~=yD+?cz4e$Y+q$k+kRf6u+> zf^)IF4>w83Og#&NaCFGAMk(xQ^ub4He=^`<&&ME5C>qm-K{j@CFRAKI;8p}>>O8sD z6hH~hB~FBA4+&EBphXNexY*j6QM#M`=!*I+2{eDXs-RzW_rEg>>R|amGITcToq}Rq zY_{WTtTck;Bkk+t2ACg^r+SMa11h|h7oYbbSdXxNp3^}axY%+&fihIMN21U`S#1f; zjpT43>*g1jgLPEO9?8+0@+-F^!aB=25pXG?oYv2P1F&R zi~-&3o-Q6cjGED&(`6arf>Du|EWJ%RobIDsyY(c~{$qHe0kBD`+UR3Y0)u6zg@DE-AHD(ZLr=JR7@^!MMNz zIG^wBjJ^);t=rwt(oxZT%ewO0t&}Uox@`+VQ9%6*=OlPV_E1jObQ?KE|ICI|K}$J2 z4IAz#r+5arn~FUNmPffX0|y<#IF$f?49mPjYh@p(M85v5pnn0|ZW3wBMzB#q zD}8cU!4+#VJ$sbk<)F)uqG&ZTByOh>F?CpSSBHPE8ws{4Q0k*09aMiG`dj7TZc zE1?hPWF4$0cRh*}$6aHdjK*v0NSWK)$!m0&bsn(r+Wxmp3I<)D&gU<7welUG$8`kB zG28-u9O#HON_fC>ryw74fmckYW^`V)Do1fxPOOJlK|m5L%kCPr1X5GWamrZ6Icj?Q z`W-%~u{gjG)SVo~){X>=$( z-h($`6hs!6VsjyDM2Sa_u}V_)18BCT{Qs~sIMvxtP%>f|kE=72^Z%meM{<{Cg&&9r z+Py3`@CYGI(Ij2NBo!y0@^i4<BWiI~!(=piZCxQNk|;`Kq_CvWMX_Ume*6R1%bs%j0HmU2AR zMmgu2q)GNsX5@0-?b9(q>5Y@LJTcxmVOZY|0Ko>gX^n&9{8WZ=mF1%^K!0Asj!q#8G?Jnq(XZ&HZ+l3c z0Qrutr7K0BDPn2P67&@YTYY%Hu_abG0m~3GbarBMx6|+K5zWoYk?cpJRK_R%UHGJ1 zrA>q}_i|^}!CM$^)f%g^ejUw_PlU4J%^_DLy#s6F7((2q$TSq;B_OMcPeknFv^u>H&ZjjkRqEhjtXm#?J)9xqTj>XlM`Q{$Cr#BwJgpH{S86^NhW z6t~KP)D@7mf7yz+YwFo>550p0_ydQ)9oA{Y4a2>OnJ#6m08FRJrz?g)7uyCX6LW4h zY=62ufqr_n6!^cvS5(;O%7KXf0dnYOM#g4iMg9C=W1ak50a|IB@Yhrg;voyWqBDM#a2=e{2VI98KtItFXvJ1w4x>a=%*i?L zfGAW2v$aaLV8!auHW+?-=hnk;k5BkoBpxD1Bk-3455tKv+wK~|2Q@lEO$@bpb@)0BksGahAP0KqUB>FL2t82!1s|SRv>w()MBv-UuEP{XN190fejX^LLN}J5N4v=VQ3vFQe4*5>#3fA<7d3s{N8>+BJ zVIJ~*{nlj{Sd|XBnI*W6_&aHDy@s+6WYV83H#aFqF0vjXh_nJ8;(cB^8jw%ICoD(V zUB$oQ8etAHZ-TD1OEHL??eCKYH`EmNa+CCccqDu$cgq~}Z57qVbXcG;eb~(!j_7H@ zv|KtIKfac!_zkUIZX+wCF7O0USz!~};9i?d;ow27Sd=aU8LT^CNartdAN z52%svHl<4?P5Xyu%d2Ok7UuB*)$dO2h`@T`&cLS>&))t2&i^eTBdjI>$gM82j+S(; zb=@p1F}Q2EkQQMMm3}z(?XZ)^=LsPVz#Nx zXp%v!&D?-4^cv}soG=M)fZ0b#K}y1g&)bDyD_*( zkEO2`u>&pSzdph3iC7R9TAIRz_~b|sd;R;>&7cfU8X8J2P2O7KAqQeSgDk-VT^X98 zT8Cu>S(V}SQ3y4OV|mMM|7tRm=z8ecNzT67Z&ySN{_eRG=sieH@wKi}-p4dhWC{hEes#wS>t?!{Avh>rH$ z6{Q}wvH^pxRgVWZC!kVzy%LDJO}J>b$TZoym#4?Ab+e^jnCE{$a;)1K>%{MIKGbn?NO2b1whj z02am39o?2ja!#Z|ojH&kdUUh}2dwKQ&qS2ADa{5mX{Mu=P(uSb#or|U(NYu$xy z{b@>C>*M7CM0ihBexNZF8#Ct6GGH`8L7Nlu>Xi$AVAluob1XNxUy|?fO)qEVN{FyP z6s92fF{1t{uBQd%Lq-0cPU$uX~1(y1o3dGP1ca%qD{Q&y!s(X{7y9$0A{(E_o%DacA{F%kw!mI){)1lZsb7Q9J1$>8 z%8kW$LPTrTs4ReS6gH1?q6sskm3(PF_(DpDVFL)3W_#i_QYzpe9FuSjYESlYr=a`t zamt4H8w+E=PoUf9CQ;S!J)YNgGO0q742D){+dojv8|(o$c~dK4QEzzGc@*2C06F*x zz0iic{lajNHqaKSI)>la@_y18h?%_cUAAYhQ9smg+jk&BL*2{icjS_-1U=vrrheyP zYlKLI2%!f%(>KJYcWVs;!CaY3oKmgaYP*dJ#i;AbWEH~GeE|ujtiU8t z5FhuaBn}t$j}Le3#(9j2znhahWU*t>p$l1 zDtybPdbyWnU+98v>$PQX>b7qAwPtpL0Wg(%Yn-S)i^63cqPfnV*>(D33$4qiU*SAmR|dz5<0l0OxTkl5wHh-*`p&X zuoZsV(f~fz3wKwQ#qw2L0sJ~URHohJoAEVH*^h&yP!@mctd8bl7flYsJfs7<-NkLhSJr#}0tuorC59mtNPL{8By%DSXhPSRV!|4H3SPw$MncV}@A zyqm>Da|b81S%3vYLOziSr4bfjIiU!V5d>g5uvxeq`3~y(V-at&BDQ%J(B2xG!V?&r z_Il6cHA}kUF74w5Nsi(??cjG8%MGu&`gMp*jEID}!okxt$ZS)2s&Ri$$$$IFY+Auf-w?3@*lps6QuTSU0XVY_Bdpc+J*5edB>$3`G;^Bi{^aW zv{N#KL{8g;Q3!-qPT2c;@qqs7(%;L1-d1&ldc%k?s;TWXZuEW4&9`Mt#9rpX6<193 zT-?D2S5Lb!djD^TF&K5`Q7MCKGo>v%oZK$#^i*#8gk8+mWlhqL|NhohPw0>B{?$4N z7-c}`H3~Mc-}BATV+zc@@|{r4=$X#`!93l{ukF^!p6|+^@9fEp@5zm!&^WaIW+bRm z-2iJ-nOdXyhAdYw9N)X66a_*)=$MNdr*J;lnz0(Sa6Q7LXK3g=)ZQuN38!AWwl4mD zYu1KVSeFG#h~Wl$qb6d6Lo|AmBv^z|Ga+l}On4R`!(QTp73c4^BUb?d=fD=Da z7=b9T5d~2Sfh*iwqitYlTP^j6+@mcw>US~C6q<*~mT->z_U3xDORd!PR7kXYukZCn zNVgA&*pC>xxkPjO~yuFwvfmCM8>lZ?w-hX zR_p4?{KF|9B@3VHq;*1JZOqw}R&8zH{@J8dR&CAx+SXPeRo6i2Ih#V8bA<$D;=na8 zvvz;)=5rm#Aq?EqLO$s$zV6g=Jkl$y?$U8- z5Dx#=Rt8WFcw{d-{t4berpnx#G%g_<*6b|Ca1&hJw{G=9CV%j~+{Z!|eapSh$3aed zL43IU8@gqlqs{@o8IQb(g<;2+d5`4Vubz25kOmSjl1?)tfwc1XUb?<;Vb-`3Iq zS7xk7aijaqVLeo#4%?v*f9UmI_>(kT#QyBclLTJ>{{G645D32L(3?Jv3K}yT>l-}3 zYp8lvjH{C_z!3`F_>dp+GAP=rq94LA9oo2}9JLd5p+wvDvfjMHL5yux4Zz8-+Ah^d znV6ce9`Sw3te^8d_f5!|pW!_8Jngi>Twei-Iz4!dKg`^0nM6@}_m9oPF#{~hw)bEK z25#uT$6_!BeCWKlUQ>>)?}wA3tr}AezR|95$-zF?$3Ppt_I>Tod#H-@JkQ5Vs=xCt z&%|8w!7nLEE2^@n^DT1kB@*Cj1Bf`cZNM=Lz;EqVa0&->P32~CFb8H$<|s<`MrcSr ztw(S_B&fgF9%r3{uL1{98@%54Hg<6QO%iJ>mF9w4lHFsNpupL)b=G zlN+Ubwsv`;2#s+RM0$}5w{ZqUd5bn1SE{~G5%0TAV@PDEd{L{JKD*EpD*L5u-tsaZ z=!|E4z%eiCwr6g@GFruzk;Nomeg`n=P4_H3vD#77DzG2i*p5huf*tJIv`CtQ9`?E=;U=KW zEK}+Z>$;y0tkp}J_o$hPggdUp(mScLIEKe?39EuSyvJe*sQPDK&vV&xL{}Q?3^uUS zve-}CMPC2-mJHJbP0ZM#5Bd)8{@RfZzz+TX+4C9KOqYUU#rJk`zi!B#(p`NL{C_bs zDG%zC0x%O148xkB0udn&`I@o<5@%w@2<2iUHGHf-1G}~WBJais;HIjpppD4C+PRCF zj^MUvi;1a>+qQa(is0utu}_2T4ib+?qi*hg`QTB6#5Z30upjT{P)M18FYL}=`m2H; z?)6{jtATm0k!r!@O%!^V8&`ZrhRss+%>RNnYnhJZ^DqTzsf^$AA_Z!| zvSIGkZX05FXg)3Z59R_PQ8$Y8?$&ZOZ|}zUWkLsR$HYZfKsRpu#8+2CARzW-6)7>Y z%TtBpmVr&1DJU8jUQ#gt5GHpsFcL5$C0ICuATkptV>pl?Fo{K>E{ylv>L&HSm z)cS%H#Mlx$tkQd`<#ITj=6HqdzzUw$dcN$^3Z2VFAG*{jtp7K=Ek=(XE0_4LX*b)s zxShdJ8tL5It@Kkh=5Fb)#8D3BeCe#HcE$oX$rTkg-|bm&i0wgtfO}9@-t=0tKouRj zw`zq$IuHnjXthBB5E_MRsU-csb~q<4eLe+_>v;V}fuv~8RT2*PlV0~edcDY`UD!Ox zkIIx^<2>4r$@D|t%HG+?nM+Xs_;qcF1?uO^hBMCkxRa)Ha->;^tEF6V7Hf)|r)O~l zYl)5Fb|*Ov7jM%9F*8AIcL-)+4dj( zMS*UX;hbutw}n`-mG4CX@9zH3;1FA%?alw=K2j~;&(GlnQa|6!ulG=Nd!+Iq>V!C2 zLw0%K#`pBT<2kFSsh<9^OxT;4ozTDj>Y1pY>%Z*kn%=?JHK0qDq+;vy%Z~bqG;8*> z4)mOaRpgP3DvRXZ1+fpd@0y)tW z?0wQHsJ499eZVQJpc%9*#Z17Y(o|USQG{%sU+JVqY zt<6CIo}6_y|D72EV-#4X|KMgOcWa*f&1sZHX|DeEX@*5=)1@goX6ex5PJXBgA4V8h zgx1Q}5ky<5vY-?v0SKClqd6%%iP@6e)*TiZtmAk`>e)%?$lYd{U+-r8~P?ukMVRFgjH9^eIKZOMTi@&#rp+Tm@lMd+UIxGEd^h`DoAY0WAE+-|2fQG}SqRrt?8t{;Ij|hKnzT|1voNwKhEO`O3$i+f zQzqM-lu@vZgux==)H%nn4OD2wW{fzaBMz|(#cHq-I2W+}4qB+KNki^be)ZM&ZQ*6RJ)Lq^ ze0KbyDpop`E>*ZtsUk&+6e|4(xNu`Yg$pBT(gexxy?KwvojZETGvI}w-*Mi|`@MPd z=Dp!?ck6=Lx^DP)!CXG3KduUTzaXaICH_n>7hDJif{7r&TtxqC!2ka5+VRti7PDoX zZ<#B{K{?9^Q-8r2#U{IiXBtO5A8gt2iqyV^cE#wbeSWTbA)s+%2dO``4}oOIx6;3O zkcPxRJ%j%;`YW#@>8bO_!vC@(|Erg*9eF&N5r0uyfB0cg&~q3>F(J%~2;r9KB9QpW zpK6aHwb$($i(NN_CxV>juj^Af&!Id6IIgBZ^3(5Se6d>iWJj_p*$t>uS@Q)c>V_1g?}de&zs?L-W&h`BuhPO@M10>$ROTR-uOWAJ+Ha{mw)XblnS(p8u3jYhyt8uOQ zNByaO)ApIzc_^s<)%~G<)OFByMGWJ7aPxwl*AK#^ZAqT+-%7crvdLv+E=s>bv+cdUGYft-)X5Ib*_{C4@Lj9 zy?(Bv2KBT{d_5GNN9}pIK7yy;h3C)0^Ry+;EIqL2rqZs9u7jekgW^Y`|3ypvI7joj zG$=x@Q>h7Onm6g(p*)Jpqj)TQFI)0O@d5KCxs3zWtEhSvKNkJhfmCNV?Gxzdx}W9i z=WErksQMK@5gxB4Pna!6T-R}sCse(Ps#ozZqW`YwzX-uu^^5vbJJyBY>!5z^y-xKj zs(!^!g=g84C&WRC>^HzJar~L^JnqQjnN_Lr)ut|gEDP9=F57b*uuB~L zO?bSPJX-(zCds?R!E4Y@_2_O(p2I9XuyLIpbeWIxEL-w~D45IxJP*&~mOMqneTJ^T zqOQN9&gWT6o@ADC9Qgi#>*(IVcocW1+IJe-cN^OG8rt{IZXfm=+8cO>w;K8@Zya(y zU5so#O%?^`?Q*uT`*;#gX&vKm&i6=JY6_b7qOf^5HIt0u6>-Jms`hZo{=zVBhn(x% z6pBb+VZu3$djkui`JVE`a}+Y#my2InoTUZl;UEoJ-!drK9s{JfDwh%8S5)sezRo9G z5f945ig?_9qn7=`mi?j){q$bNt&eI~bx=SN{zC`yAIzIjoYv&lZw+$S zUrjtUfARYou3PzD1LmYZ6_0veQG3*%8i%f2=j)zBbbK{mbT}){A=fxLQ|`{UiAU`W zB)(UrXY+%z?@s2iEB7KzS|AqTu!hZ|8Jiim3 z9jOCFne%y~oE`GI*PeME0Y1FYyuzuUFvba^0n)q=X4VghpK$&%Kx$87$yX-ld*ymP zqWf{JM|WSf^DT>**MGJ2W&ek47hV(X{U$E(h~G~$=7}aT+qcZ*{(55XTWfkau5dkP z>#ZNM-E$})JuwupJ}9U^8?#8{ zyskL*u-&Kji=$ub@TUHiehi?vn#;Kg;dJ(Xs`9GcKe&(O?}1hR7dsJVyiPc0glqZR zdGD#l!4Trlp>QpK2MPNrz=iCZ9$cWF*&i34)UN%tF2at0#@GD9d2&;peOL1A+mheh zyqbhD+mTe~GJ776b6dCEysGPnbUNYu>b^J0`wQiJ`W{aAR#Z<=1{i-W2;gVH2U35K ze=Z;6`8&a{oablq{12!7oBFeUC#!$2qWip;^4@XdH#X02>UXnczoGO~KGmz=sq}kY zfQeE4t$%N#`gj8uV)QvQV zW!zN8DUaH{lKyeSxWjXfdvwlmPh?zU{Nf-$`|eb{1|{#Og(>+xb~{^gecuie#yAi5 zXurMXZQmnY1-Y&N#ok-=>}}in7;G0@zZZVOING-RB$w};hrT^GW{ZNy9ZVzm`N}bl zH~4LR^iQ#$pWuIlBYsyOkAq=vXT0A#8jo({k3sJ!j=bLPAnqL<^~zh}uvhN&J^Z)h zJ?tIzhPMd3-oV@IKYZ95R()BxUkL{+9ElVV7w&lPdw)>c zA1TXv3?_vv-SrA>6tb|z*U7IosqEvH6X`08l`QmJ?QiPcrc}R10ve2fgEZ_|l z0q8gwBTMm;%ocx)7Q-mV@x~F(w#;MP5}DJnGxS%7ktlDD$iZTt9m0ivtc4lrOZMF- zc41bR99AQ%;p_$$SJ@vpy)n^+n<5I3i%u{Q5o-Ru+)HhZ7Yq}E?Xu#${iR8_5(?8D ztW@TE2#VZik)vcRhuG{6^g7{G6)VZfgZu)u#= zFu=d^5WqjBalk(clfXYzcECUAoWMVjalk)dyT4uOTEHz#B{ldS%C;rOU@QyFkr-Ck zQ*i9??x2{Vh5&H`ML?oP(xqysgW5#aoB1srl9Jiceh-Ksu74ir7Wz$tElh0$Pv!{UKL3xq?( zL`cWV|B;%M`=N=dIRaA^^+e`sqsWYv9ne{8bKvuqzU;#VOI`$nGiv$P(v-68d1z$S z_K-_BVqn)WmVoXdS^>#Iuz@ZHu0kD5+QfR;{m8ZOpwKI!3E&q3OY93Qpk9>FGGP z>S3KY6M}j%szkLS9mpyLVbB#yi{NY2O@No^(?D;L|Ecg7$-sY)JbMeP*0xrPcr5Ky zxEPx0D{yp^AE2maXK8=<_&{J#Uwbqa5wODLO!@P+0aQB5Qb*@Ws+#J^$VmZ7a*N&F9Pm|oG zV)$*g1t?ar&BQqE9*JYlE|Do|ykh%F#Duof-AFCx#Lya!+2D0t!+@*!)<74r-N0@l z>cE`@r@(tj9>BNKOu#SY$-p0q--{l-%_Hq7VP`7aKBZu&&ww30!o-I@zih|tLUM24Uhw^Qea0K{pO$>*+<`_C~Zop@|x5T19a(O uPpFcE#Smpj!(fWe-sw2AlqrSryb?+bi)7STUx?`O6tb|z*U7IosqEvH6X`08l`QmJ?QiPcrc}R10ve2fgEZ_|l z0q8gwBTMm;%ocx)7Q-mV@x~F|u*_r66q(bPKlE42i70Ot_`zbYe8PnuZiN{s&-UG? ze_>Xa4^|_q$Lt0c8`&Q?B{9*3t0D@J>r6Hx*mV8UV0=892XRpA|KD3yCoEUXQyA{$ zeQ=zMtDtySCjhbT9zY_UO~3?t%fLyr=D^b^oxtZ2O2Cg}#K2#N_`v^8puoS~Gr&Ll zH^4u(AHY8>Z@@nouE0NV48T92Xuv-JnZQ3lYQJ5n_`og9VKw+3L$)Qxax4qXwHQ{| z8*uFKexR74rT}pR1wf)kQl)CBgW5#aoB1srl9Jiceh-Ksu74ir7Wz&dt=fWml#g2b^#SI8sH z*w9Rtvfu=`;DAXmh(OaIOTgv<-oTARBQXyfynzybkO{p>)>RgQh_AgZgTUt6PQZ=z#jHQWz25u|-f9TV36xOU z&nd3BjM2^I=;GUpU4-{n=}52dq|jB9~Y7r7!|# zNg$b87XWhgXF#N?l)wlTVZc#pnyiQX0^W>qplawd^^}k&HYqhuXwlXm7~<`Jyo8&- zDoA%fBa!-lLZL}~NdnWj5k%%OYRHTukI-2MR^ana^z6g6-d+Ub7;5z`he~sf&s}w0D&$B%|aba8N_~gAbIOfLV-jE0Yb@4P{h*N)`_vQsgd92o?`!vzJ$J8G)O)7GtfG&F5opB27oJg zL_im?(5V1bm%yJ2fP2fJueR2miY)DWTo{_S<8X8@kD#a?MrnTtx(UKnEF=Wv98hoDG7S7g7d zj3kV#j0jj6%uw)h(cqw^`G5fnyFf!$F07)2YTw70a0nBtRGhfV5W|Hay~azOuguqa z7ufH$cAWb|+rM=d6UcYAlXV@Bo~n9Y(iF9nl4vT?|KC@q^DiocJ{}Z+>ODLO!@P+0 zaQB5Qb*@Ws+#J^$VmZ7a*N&F9Pm|oGV)$*g1t?ar&BQqE9*JYlE|Do|ykh%F#Duof z+ej_v!q6Iy)!=np+kmV1=0F#*y})iFD!`otC%}729>BNKOu#SY$-p0q--{l-%_Hq7VPp%w&roc{K9l+iENx=KL!@##Q_rNbF zzQ7+248VU}QNX|W`M^K1y1+joF2Fwo6u>`7aKBZu&&ww30!o-I@zih|tLUM24Uhw^ zQea0K{pO$>*+<`_C~Zop@|x5T19a(OPpFcE#Smpj!(fWe-sw2AlqrSryb?+bi)7ST SUx?`O_vsHmf&qN0w9IwmP9Dk|1k zsHmu8QBFlQCe>6}Q(}!qIVI&(lv80%g*6o^CDqt|@6S1(>w@PRu6^$7)xKW)`t`#< zJo}t;U!TvL`<(l}uj`!iJkwG8z?ywES5CLxY>}eP2!o((!}0r2k=m>!*w3`@em8xkanAe}66hqo#KMThjlh_E*)V?e}h}+mF3C zekX3_Gxhc-nVS7ISMNvrnza2)`hUcCrtQx7JEYIhNtv`vS ztLERB2F%X>lX&TeYVo}$Ubu7P$DH^yoGbngjBAV=^v}-mGw;M>obK!gFMidD$9T<& zuS*Sg_MiOycKmq$old-5$D-%Ok2vx5nf$X(Jp6M0{$(dVO}AO`TTZ+k2j1UbUJteV zuTBjxpC;SFwK=q1c$)Z`?e<-pKJUf@&Yk@Oe(;PrJVpQQSjSTH^1PHf%F|wcF8TvJZTw8X zeb<$7m-J6Z*-rbXm@g8~Y>xyM5?@-FS|d?X-XD(JuARM39F%%CkU!=z-@1KeOGw?}yJW^Yh8Fo$}lX?Gn#? zkcT?TvsCIHgdTWK@iW`)JD5K2#Ew$Q6P2t9DMr|oVWt7SXwmph|f^4|#d3w5+#&X>9ep$GpperCIU4^E$V<5@4; zDNh61C7y;fp+?3zb(Ck5{?G%@20ydizK6hPm+J=gPFhFzcc$&m^K=)qPh8#PlH=9R zljzBLs!fRr@(bvJ>!Hxyg`=))w|~TN+?5<7KiR=np;cwDU9D?R&Vx(^LCa8!1V}+qu1fM2RRy3LV)Wz_qr85;TRwX^uPg~-LBigvYlQp_oRO> z{cWD^&2QAv^D|6;=)r%8pV@BTBORU*!_!Efu^>A9~=K;Aghm_h^S_%JAHqJj+2I>L||){hs7Lk~Pl{LFUy9_R2Zm+kh?rsF%;%kLvsKe^<1wRKALWZpST4(Nfa8@juk zr&WjJe&iSna)_RAoF@nL!0~v8W4&yr^ItRl=Yr>lI(mLK=?^{lZ}2nQ?Yn>4kNYQ2 zf?w(%&a0_a@p<1?+5Se^kM_uCmK-jer=*isugWcTlq)qV@)_uX>&a#NOZ;ogb~j@F zPrCaafNk<$3;I(>{p;xuJ^1%J{td?e8v56yLU6Y{)BF3WqyD%*i+m1x@PCTq-(>t- z=-(Xlr;hr!&>wp6f2!l(YW%OIe|ONII_lp}f9S#gX^wvf{nO#%{9lJ{I{za7YM#)F1cPasHtP|ED|tea63){)<6>>Ztz!{hG%&D|Kh$+I{$U)#z$oQQAhm`(;s^9?|1x1jel{UC;7Jr z{i&n=WAuj}{Ga9cj~o9sv`hYjL4WF~|0MmP2Y);`-EID#GX7Yg;6D-cr;hqh(;s^9 zzuEDhG5*DUo8&(q^rw#cAEQ6?;6LE_&l&&XK27pp3Hnn<{paZqJ@`Mz@jqew+tDuh zZw39Sqy8u94?Xxl*YRI8{>A;6(&&9HJ*2ZRCI+I9}p#w3qGld=&Rh zlK*`0d{9Tv2cFv_FM}TZhaCSd<6qn-N&c%rf9j}z5B;GB|Cc)cy=A*gu7@tPPaJi3 zD$h^u^&on39tOw(J#f6t;TUu{iu)poqcg}MdcrYG4(Ng7-5L{GWfsF@xNgFd(kfWH>U@!k?}ws_1~mF^x*$`$A8QCKZX9IL4WF~ ze|kx{Sf8N>|2H`PwPic)|EJP_F6d7k^{=Nt^x!}0_%|5;r_p~U=uaK>Z=^r;;QvO) zzsdOb(Z8V~cK)fO{w?%}9{k_r__rGWr_;YT=uaK>$9sFo&!7kYH#`0v#{U`gp9=a@ zNBz6#4?XxFas0cD|1;^o8uX`*`uEZwdhmaX(4RW$ zKSqD(!T$#x|8e7A+}}$6y?2eBf9k0JB>kZW|F=2*Q^vozzm@!_g8tM||7rR|5B_g= z{AY}RaepiMF9-dpqyER}4?XygJN|RVzqr4Z{Oj%(>woH~|2+Mn2mg0C{wIw8AlfDW z!Jt2N)c+*?p$GqWI{u5szqr4Z{AYvy)KUK>`a=)??{fT48~@_|R`OpD`cp^!&(I%w z@Skw}SB!sgUn}`{9*CWP>Zt!J{hTUpM~6 zeXZob9Q3D-`ft!5dhma*fcO%=)wO3j(_<*k?sC3?q8+9zvdpX^G_Z1Z=*l-;6LT~x0}EJwp6{~^b}*Z9AJ{!2lB>ZpG|{h^-Q=uaK>pP)bV;QynJ|D^FR?pr1Qy2jY~r;hp`r9brGKkfKW z8~@^dRr2o*`cp^!XXy_;_(CD8Kj=># z^c32X=)wPE zj{h0sKT7|(pg(og|1ABX2mg;d{;S6Sjr3m)`cp^!*XR#D_ z)c*qgp$Gq2$A824znT8ML4WF~{}%nB2menx{uhn^5&BOB{i&n=wfoEb1A6fPl;e+I zPS~0My@mcOL4WF~Kfd3C{0DmQ|Fq+O!1(_F{TuERJO9*C|0eoF5B|p-|7PR=R{Hk` z{i&n=t@MW;{6FLPw;BI2`cDP@siXcK^oJh&f6VdkH2yzG|D~Wmb=1F`{?LQ}k30T7 z#{X^fZ)l30f9j}zAN`>R|2fCM-}t|s{{2CJ>Zt!9{h6Q&wEnFJ{i&n=qx6R!{6FjXA2I&LeX8W&df(Xjr;hrM(;s^9KkoQX z82{ovRq~$*`cp^!r|1to`2UpSf7JL-pk4A`3i?w={b%S8J^24Lba$!$@jbtt^Z&c) z-}rs8{-=)m&(R-x@c$Xd|G4ol?o*||e=z7z9rZs!f9Sz~-tk{B{>6Q&VJ;@(1ZU8$A8WE7x$@B|E~r8siXeu^oJh&Kj-*gF#g4Ts^s6<9P5AT zsQ)JYp$Gq8aQwH7e{r8G`HuztsiXes$H0pG4|?$byyIV6w!1MMF5bT=?o%cImFC#r zPaXBIr$6-IzfkI5^1YD;<6qpTO8yP^kNHza{qcQVq zHvYwZs^s7LfY|w`j{5h~AA0cr7018N_!sx7lK*JXpE~M4K!51L|5qLVLF4~nv`hXA zL4WF~{}BD52mfDl{D+Nyai0q3Kj=>#^*>C1=)r%{@gFt*#eJ&e-+WE%{8LB$@%?j* zf9S#g3y%M|@h|RECI6wIKXuf9lK#+x|F1j#Q^vozPlfXz^rw#cPtzZI@c#|Rf5!M1 z_oVJ&>(1ZUe$A8ZF7x$@>e|Jml{8LB$=jjhU`2VKkf5P||_oVJ~{(1ZVPIsS{r|C4B!{8xhh)KUK>`a=)?Uv&IW8~;zyzv0?g|5Hc(&(I%w@LzKL zSB(Fs=|2?or;hrs(jR*8|B~Z>&iEgr|6I_YI_iI({?LQ}Z#(|$#{V<)Ul00INBuYG z4?Xz*j^n>+{C|x8o!7{qg$|nE#;%|1UfK z^~QgW{>wps>Ztz#`a=)?UxDr}^?#%B{|WjxULWg!>ZpG+{hy4e=z7z z9rbUcKlI?g?D)4E|IgBYKIl&!_3xxV^x*${j(?Z&KTiMkpg(ogzlZ+Nga7Y4{=LTk zr|93_8aw~gQGfg{2(EwV!T+m{|A6uTY5I=_{i&n=hv*MI_@8n7hm8Nv(0@MYPaX9i zp+EHC{|Ao$VdFnf|Mj3hb=3a|{hkYBZt#5`a=)?f9&|r8~@LtUGi^!VC?);NBtM*4?XyQ-SIzZ{EPck$$v2DPaX9? zMStkQ|E%M`Wc-W!RLOrf=uaK>U#36w;QuF%{~6<7+^0(Zt3iM2sQ+2|Ll6Fc>iDl3 z|KdIs&i{jA=bt+2zea!P!T--3|MSMbxKEY*M}z* z>Ztz~{hMe;{hR0yJ@}t<{PFutJJ-MBJ{8V?(4RW$-%5Yz!T&EE z|2E@a+^0(ZSdk;&|D%rjchDbt@c%2vzti{^_oW|-@LjDIm`2V%z-(&oX z`&2mpL4WF~e;@s!2mdw4zu)*5_oOV+-=)wP+j{hO!U)-m{`Tzdd`KONh z57Qrd@c$dfAHUDF^ZGCDQzif2pg(ogf0X{vga6+;{zr^|ai0q3Kj=>#^&h7{^x%Kq z@t-jM#eFKA|DZp0)PIWp(1ZWqIsQkD{}S3I|C)ob^G_Z1pP@hW;Q#l~-KGAYHU3|s ze{ayAI_f`1f9S#g9~}SV#=p2vh4UZur;hrcpg;8BzwY=i82{ovRq|g9`cp^!7wHc@ z_F2=)wOV9sgzHU)-lk{>|;N>yJ9>ze0cL!T+Be|Fg#b zD`=Pehl2jpQU7!FhaUVdIR0zK|99y>9rUM;`mfU;dhq{e$Nz%yU#9;`(4RW$ze#`S z!T(*)_Y_-{D= z4Q0Eu+86Ue`7&^>ZpGs{l7~8e{=ksjQ<(5Oa6;Nf9j}z3;m&ozyI5gf2;BT z1Nv_S{i&n=_}xY1f6#;fcO3r?9rYieKlI@LAC5nMpK@pZ_eb6Q&*tPyTXZ(x%RLQ^L;j#Xwj{2XcKlI>#nd851{EPck$$u#5PaXB&pg;8Bf4Sqo zY5a@(RLOrX=uaK>zes=R!N1n=ueoF8`d8eiO8)CXf9j}zU8#Ezdhox(@vk@jYpFf* zzxGGO&Ode3{{a1=2Y>tniM!SRjmH0*^q&a&Q%C*ryTi!;pa=ge9sd^NU)-lkfB#a@ zpE~N_Mt|tRzs~V*H~z(as^s6$8S8)QsDCH@p$GpvIR0J6zqn78{QHCc)KUK)`a=)? zS2_Opede9{UvZx*`OgOZsiXe=^oJh&@96js82{ovRq|gA`cp^!578fb@UM6Lhst*Q z<#%xBQhWS68^!&q#L@W3@;Z>?wdwe+{cf`8$?p&zCI|GuaVLjklpIs(_|D(!E$&+- zjv;c$@oF5RCmiGCfF3xmb~q-;v1mBHmEtfj&67ipSK|;p;W$bT=z-(T4#zY()(yu$ zl4FA$a=aRc=n2O$azGCp4Gzbg(;xptj_ya5=PS2AL{B(QkOO+)xQoNFK#s=CDzA^? zzE(OfQ{<52)%rv9gyR%Bpa+h-Ivh*nXf@}hxWAP+mdPQ3#?^x*#=)UA6=d0rC^#@qhyrT@Ts z&Eq$x-NiUe>3=HuYKyoOU!N}1n%CCUz8L#!YHD9J#J}f?^a<@uPvJFJr1?br`%OGLAho|F ze$0smFC0KO0|D?B70r&vfEjo%m)G|8yt5m+`j$x=sAcocrNx}gy)U$N%O1a z*)%*`XqR|e8SmwRmWMjZbCEpI15Y>ggl7~!yYSTBsmLGn--G;5v`akwjL+qvj`Gx( zx(A^Lo)PK^&l}*g3(o=a$h!JpXqR{<7@x~S9pz~v5A?t@OFiLvJ$!cIX)*ot-)NV3 z<{6*MLmlO5BMaIWAknx9p3-W(UbK~{A6qY-Zy8S zx3_1uE1rj?@iWEt$GAPt?dQ4Omq60|u>ZU1Kd>I--_1f@ig;X~sH;#<70ND(5I#^bU`V9M__qMbj^ODp-*qc7@dp-A~pYU1sd-d7M<8dCg^Sf6# z@m_tlX5ypu*@lUa)@Lo)Px z`mEo?A9nn^o%&+P#J|RgA9CVHP5kSe_z5R|!ot4FCjM1Ud@ti||E-z$mzDF5&Pz$In1@Cfe_8sxv%cCe z@h^AcrxLOn&^y>Csp88?8`{L|R ztT=#{?tIv)Zp5pz4 z#4{A+p^ow#ClB<%Bl(o@c=g!{^5DE+T`k^MNIYXf9_lF1N%BAsJdy_rk5`|aGW}D$ zzmRyQgFMtxp3~%k9(b0_`FdUX_g_-qpCOO*Pw_rO;+YTfP)B*rk_UR=k-S^_$E(lI zkq7e)&R1QE$M{+b@=!;4&XWgv;E}pQc)a@Tg5fFNcSt;|K_2QT&n9`G2cF#e?4seh ziv6<@nZyuw>}%?ctJd_&-5|cXodwT!ef9w-zMtGS zKkGH|?{nfuocJLV|9&Tan(iRKv48vgPdfeA&iGDq{j{3+2RZ)o z{NW1o_xGFlHYa|B{zy!B_TP|+e=FO`dYQ_yVw~9L1qaG?MDNcR?}erDIn8)G57w4C zNL!$%`7w2LeQM(R1U-!ZdBc+)ckas5Vt9)8!xGP8kcT?T(?%ZXfoH|=q}K#?<>?@g z%p=8nVu@!h$U`0F=^_vGz_Vp|(rYWb^7NSgDc%=LJatbhuj}0NMIGhoBMcofr1+w~3i41#c@B{Wdf@3bJn6N&UHfO4JaWG7N}j9Zo z>F^ve{ZqV0md@8ikcT?TGfp1pfoIn6q}P^r?Vm}*Q@l@>c;oWD^dJrAiT0Oar<+yBZI~jj+T(-8I z%o}oCwzi$jcXC{|ww=t=a$L5yovbf%T(-8Itc!A7wzi$D=W<-Owq4_GT%SBB^ZXX? zMW%7m$@Wqw^zrixxJ{Qo#+^RLspGgnJjMm`d*t=SdyYwO#Kg&S93Iy?p&>=#Jv;1A zI`wx+pHU}ZKlJ9){^WZ}o$x8{M}Owj2@?g+cAofSPP|tqOq=*)PP|tq%$fMlIPqSc zuwddp>BM_=!jg%wQF;<_mJ`HJ^|)A;Nz^tSJ>q|;ff4_=)>9bFesbNoXO z<6q`O;qmH(Glu8hI1hqIbYvLp2Z*!b(H4-d7uX#$y0>Ks}q{YBjXG2apQVk3Gz@!d0NN=J@80= zB|Ki8&}Mj=$+I5hp^ox&kOz9;k-SiNygH%F@Z6s~HBYI`qpQt7)KQ)u@<0zflJ^Ra zS10t5N3Nd-kf$-oLmlNAAP@AwvsWjS>xrCKsf*+~M*rX1^9~t*)!NCtQLUZKch%a- zJYB7wtS{Bt$+}provi28+TC7t!ZjQx?Hnh$b;1JI9mHc?Aiqam-$K0}>ZOlGeIa!M zk87Q9*K{0p0`@1J`n#piFHLm<_CxPW(A(vGuV3PR^lMI?Fk0|z=ZU}S#CvtZgo!`t z#CvtZw2A)}C*G?Q=1lxAI`Lkeuwde&b;6Q~kJbq*Ccd>iZ>B8FiJg4rdm32n6PG~mq(K?~s#LK)Q>!MdD44ZZOTKwH9kLfJ* z^6LcZ=(>2A>mu|p{$)NC9V!%1$UJgAc}9Xf z)KQ+JSz39(W{A5gxBjIBojpLF8Eu@=!;4&X5Os;F0`F zc)U8{tl?=R&sva&I?8j7JkSGAZk=%6@Z3nAtsoC|l;;9@pa-7ZI$@JMa{YWic^aM; zt6Qj}JQv9WJ@D++343({z84|uBCfYvtBYhkuh#Cit`pw;_{!^E{1a})bEVwp4hK1& zS~;F_>xn^*AH-w)pbkL(-%hhXSzzMsV7T2CBEQK%=dKk3xpJ$*(!f&I|?3+U}q zPkfd8WnMsQ)D_^~98k|3fEU>IwUP(X5I8 zeJ9?lC+1Cjw4PWr@zHu>*~CZdiB%IHttZw^{28bJyn3Rh?|)ZMG@AHmJ<)37W&V-; z!mB6h(*r!*rWTJ3FTb9kj;@~vO5KCd!}ynZQ+T|3qRH?)ggm`L9_lDh z3wfXip4@t(jXW}sJd`{`K_2QTPX~FR2cFz|qRaG82YJSVJk(L19`ZmBJh}BmpW%5J zd8UIr)KQ)R@<0zfx%I>$^2qsmICB2YTR<_hn?fKcrlLFZsU3QS!+7dL((af;`kwo*D8$4?Oao zkMKO$;W=h_9z~wUr&s1x$S08hdCy^~qddpS13mEU)f0R5#Qz`biAQrhwR1dq^@P*~ z-%ah&Pcwn)qlvv25a_^~9=)kJc0GCO%qEY?=7KcluB23Hu&X-824o^+dCYZ!53YOP!;KckHO!K=M#lqemy}QT|ZB8{6i1pKewJZZFn9_p8g;Y zb(H4}d7uZL+@cyjBB^QM2g$ukk;p^oxgAP@AwlUq-0 z8lK0KXEw+~9p$-59_WE5x1Oj?55jl8$Mgj9EChL|qda(l7VlL-4?MZ~`vLOE_-a=`(=Gb>s!n7M+%

FL}QGUiGYrzubxU^89%dAI+0!Q#Bw{+I77 z*ykS0CjK%fUfx5p@vA02n&+>Z_$wTLFVEjH@eeAmw@dPL?|aP+{grh>G|z7~@ws_^ zAJ^IR=N99mm*caw(96&BsiW)c0M}XQVf^Rj`G*Y8Q^?aD}ND9yR^bN1mx54|SAhhCI*% zPi`K2%=FLG$uk$^p^ow#ClB<%lbgq$Fg(v7&ti~=I?8jBJkSHrULL!9o+Hn9FkWu$ zJr8-WtXex6r`6iY{8Fu*)c4ie$$g1x?PQ*<)=t)kYVB_8_gZeRJocF!cPkusULGs? zsNApa<~Tz<#u@TYiudS*F5&#={WLO>`yxN_er0T$6`P9I+<@! zC$%cq<7=HfMBaa~_p2M9RhdWJ;KX}*Y^#aC-ii0}*iIAQ>co3_Y_ExLapJu^cF@E} z^Vks+{{Y9|%VWn({54Lzm&Z<-_-GzGYvQkS{JlJO-o!`q*d-Gm&0|+gyv$Sbyw`jG z<@8-D-+y@)$LDIHm!HQ{N7vairS3uKVf@SdDm>o%FJ}$Uv&pj&o?Kd9384lE*G`UPL^`8S+o$ zozJJ<4D}>V?#J@D=CMsF3VAH{C!PBHrq9S@vA@t`zG3s&CpmeDm&Yy_JlpqUpX$VW zdF-l*?{(t6Ja*m0KgEgn^4KjCf0GmM<*{|C$9MjIN;HpcH1SV#{JlK3)x`HW@m?O= zY2u@KY_Exbvg7aNv4bW)n#Ycs_-GzGVd8W1*si-)<{>ZO_?#;A^7B~g=sMd|>K=q1 z#(!=e+h=%QNS?VM4|SAhfIQFxPi`K2$nYE@&ti~=I?6Ll9_WE5H;+9`9?8>QM4pu( z4|SC12zj6fp4>ck-1N_j$+I5hp^oxQk_UR=$<1Srn*MnSdD5a$yk{r(pRjt|THQe% z<(VN5^uUvw#~w30L*!`;@=!;4j*|y^;MvP#_wv}iJl4-+U&?XU&T*HU$F_5vAs*ul z`6u$umr<{WdNz;c@iYsT_Zz-1ZIQ=ff6}SHU;2D=%44w~dU%f(?-kUgPq;sUz1Y6e z$wRz6cC6sp&SPKW#Cv({l!+g4;=Md}*2KTsiTCo@c@zH%C*I3r7fpOLk6kwLFL(UC zJa*N@4?FQ*9=mShqj~I>iGP*j@8z*| ~fqIqnyiI3*7?Iu1qk3GqCHvPH9xOq9p zXJ?_8pT|;1*V$7X|Iowu&&^{`8=hhE^apvUqdaHG13mEM=CNlD&nw6?66B$d@|+_N z^uUvw$DSvTTnGNz#M|m!i2YTSi&0}ltR@P2=Y)zdGNzpcz+mr;K|Kn4;Y?TlV>@|LmlO5A`kSyvzN#2<+1;l^4K*qK5YFd zznl0Pj;D3D&&^NgIlmwt;|F;q^2ygy?;`c~m+PXwFTmqBqc!r(=CnnAiv3Ba{{HFn z^HY9`{m}aa^9XyN^?go$;pM08&#lZaKIp`I`DwR_|9}(k<){57e#(jW^3x#`Kk3AK z`RS;MkLITnCO-Q8wrLX|{eIh=iGQ#2_cz>B-fx*t$9I0ealypD---9~(L|}Jd7uZL+7P;Z^apvUqdZ5*13mEM=F8)zf8I!*ksuFslxLDW z&;w6yzI@d5&zs0I5#*tc^30G2df>@@5BHeic{6!tgFMtxp5x?!9(eZh<-L6Q|5Cnu zTYsPI2*=$5$DNl~OMWcxFEn$UAs*xG{#fu*9{d*Sou=NETnFX-1s=Z{t&vwhAZ?LX zV}H`Azb1ViPI)!#Cv&lvx)z#6EAr+?sxCZ3)@Znk2~>RUfpftqj`0|iI3*hLnc0&SC5+b=zZS_ z6aN!V|4ClGl`gEE{Xc8sqj~j$iI3*hOC~-yuRh6jHvPH9`1k>i&*efdKd+{auCu2& z{-KBQpPN^oHau@7&sva&I?8i~JkSGAZeD$sJTi}rk!LH&LmlNgM;_>bCpWJ?Z~Es4 z$^egE|b)JION@@02^uQx^hw!vJJOhSjf;`JX9_lF1A@V?PCy&e@vQ1dUd?NQ*rGAs+vbF8xxriK> zt!>x*?HmS$=O!RpGkKc^es54s97Ih2uC!P9h)93zFw_rc? zR+wMfy5*FUcY4nQT3>+v*sfcaoOr2Q?C)oHn)u&x;=Q`1*TjF(iI=*?)=h&Z{x_U> zuWlJJ@zJ_v%)~D`{@(j4QzrfkPQ3TN%B+e1btk@o_kr#6fO!-Dn@+scE%x{B7EOG# zZdoz$(Yj^L#LK)Q>!SDl!NX=2AzYiHf}^2VitXFG5FTPNPj8&^#HH=TGdZ(K9+f8)e^dEW$=8b2$&Za-N$cuiM<8z|W+n)b1+HNgRqmHh#=Q#eMhw-1AH=Z{}LdZjuMND}RJM%RwIMC=dQYE4;@G zJ@Dk_jR(jh{qv*bSqt(|M|qma13mD_{WHlMA5xx=OY-~{!!u2utsoC|l&6h6&;!q2 z-ne_-vv+@R_kNN2Sn_Du%Dno2EpPlV$6dpV%6i|+8zujfym6WHBH}U5knbVS`v~<~ zs3&pqo&=9;-iYVr$Q!Xg>D1%-H}Xd8hu%HX3BY{Sv@{Ww3E@6j4tFK-+yc((IK z6im>y@m}6IV&ZF^crR}pGx1kA@m}6IW#aca@m}6IYvQAMV&|oFN|L z4EY}NyiZZ@6!or3dsBX<_ZxX!^Tr3JfIxBhO zr)9hsdii-Hb#$FQ!SN40jQ`xc@ucB7MxLc04|SC16nUTrp4`0gGbCpS+!Z~EuQ$x}B}-e*9+VO>m{TfJXR z9p$+|9_WE5_c`^Z;h7^(bC8ER%5#xC&;!q2-dK5FCGXkG8+Y#)nU7^W$X3RM^xy6E zocbp?PC7YGygX0xHMu`H%W;8tj0>!L$jg3`dVSQB_Za2=AdhRFhxHD59`+}l`Ww?{ ze2)tIq4%`ZVYrWH^SsA$Kl(E#&zl~q%(I^0#7mxM-v^vC@!d|mm**{*_{Tf(lIPj) zQ7xJH$2jp`p0{G+qj}z%iO2omo#!Q;zT!Mco`<|*=f5AjVd8QBcei-S^K5+0ODoSG z>WbasCC{_*4JQ6^PX9@sXTL|)YT~1LUbl&l=6U@lUgni*d0zcJDxXt+c3p^ox&kOz9;k@w++=g|&Nm+7CMCeK`uhdRpBLmudXr};^h{qrb? zr_b>G40#rVJk(L10rEf(Jh^%KA@a!inkUankcT?TGfW=nfk)n_lk??$kLs}LpPwbq zdXR@Y%5#J~&;yU;SHk0ck80fT{2X~|URIuO^jl6|P95c$BoFk!lUuhOH9S90p2i># zb(Cj@JkSG=e7{Ee=V8wEe9Z8iAWwUchdRo0oIKD2kJJgm<9(0ngyH!dd3u99)KQ+3 zTiZ_7 zJ2@^}+fLSLIWAk%@C?%c_Zgo)hoYE$b%!W+&dOTeeJmv~H<;d1c)Yty>yR ze6((9HSy89rPIVe=koHpN}G!7$E#cVO?sQFL7386g@-&eLdf>^eTUt#2{3>}GhGTUCb(E)# zJkSGAZr##hcz%sMtwA2@C{Gu8pa-7Zx~0ePERv@?$U`0F=_3#Hz>{0I3>cm-kY_N+ zLmlNgL>}mYC%0}HHax#hp3xu=b(H5Yd7!tG2jk|}-n-bVTXwHAWIm|Ye=?6%YbWz> zwRW;@RBI>eUA1Xvb{F8(IR=R~2GU$;<4*TqS$i_pXPm-$e5yt?J6;rT7{%m#U=qdYU@fgX5d z9v2?3ZaGGtbU76B$QQ}85agkb@*F1*^uQzQkMMYP%L&szOXOJ&@=!;4PLc+kVnqfZ^eTP_-&FO#P`$U`0F zsckI3mkK@bL||v@<0zfxphmE;rU(ij0SnAqdYC-f!W&8bj8CTW%MdpKQ?PMOS)=uW%YVBm*sMb!_yK3!ZovzkS@{4NiZm+s!nd4-N z<0Q9k8Ra~Nc#I3w8>lmWk9xGwR<}%W zKl(MNZdomOw(FKjC*G@D)=m6-oOrKp*)s9(b>h9crS4Ugb;G-yc&~10H1XG!{ig5V zrS32Kak-~lZ}EBOdtR+3{(2|gdrz#>#7FCvUK1a!TLw-1yPf{?>XuOxAFW%aOnkI% znKkh;uSj0y)h!)nUHpBH&$&V`ziy$9u8Un<7omsoFY}@Bcy&vU;rS|g7K1$0QJy~X zKo2}Jj|-1iw+xUcT@J1M;i~d8ng2hsgsy z@W{F;JYL;$#PIwfd1^*tc{z2IXPi9H1COlt!sFE~ljM=}^)>P|26?EXJV(g`J@81L zB0Qa(@AmULNzIDuWrjSG2d|K)J;*~H{0IoHjgPC(l@rhdRo0hCI*%Pj20E*6^Gq&vcN7 zI?8j7JkZ<8gK@K0x9rs|)vg1{FRHb>z3P@f;W(M+ILWPBS~)Hdk8y!|19irqQtuS? zu1tGVU7_D&=5eiCI?{2}E!dxQ>hWGG>K5#W-e*(C?N+yZocqzQId#ic!Lwbre9DRU z>Xy1!SJn;qJ#KJWf3I$7H1VHw;=Q`1)x>|yiTCQ3P7@!kTY63W^<}?bQulau%bhA6w~Uzh8=Uxto639&dBo1TYs|!d!s$P+Zkaam(Yj^c#7FCvMH4UcisUg~-EzUK zi+{%Pxm4)o*Dci1b#as9A9@)7xpm7$!?Q}B)gTXbl&AJy<^5OafhV_asW0PhlyUs$ z{0Ij2oUc^2`Q#sG~fSYbyWty_3p>z0S7DAX<3pLFVR9|?5}_CxOrspEF5TTXC4`ZcF+X?$&E-Lc@r zdv!~ziT?#B-m6|M^Gqj0SnAqdfJc?m_5*C%0}nV0iwCJX1j)>L^bW zd7uZL+`6U3@LV9zT#$!4%F{+3=z%A|H^T)&T*1kx6E>$M?A&_>J8Kx8`Qf< zJzKZ%xYjM5DGGH9_9vbCN2brHTd*H`UrQagTix>8+>d_EsarZ-euU49@IK1Ux@XJ8%e+#pZaHMu#ed`Yta*JbFQ<;Ki^E(O zp@;FGTeloGJl`fyV~~eB%5#J~&;w6y-7-#|bU76B$al!o9^|2p@=THkdf>^eTaKFk z*(6VIkcT?TGeaKefhV_aIc9kNojgN99_lF1aq>V9Jh^qt3G&GK`VaDq1$n5WJSWKm zJ@Dk#EvHQXe3v}aK_2QT&uQ{N4?MYb%NfJ7MV|Q}4|SC1EP0>@p4__SoZXvHPf#es}+TC7t%SDcpx;K=0Om5xM&vA-)j0@Bos58Dty(a3}x`oHJZh2IS zLfwM>Nv9s~eWPx{e(3#O>bTwNmR0UYzvk2}g9Xp_dt&FDc&~05G4X%l#Cvtin2G;} z6Yte6Qzrh;oOrKpnKkjzx@F$PN9&eF6CbTxmQ8%LZdo<)f9~|3SGR1K_-NfyH(FUY zN9&eG6EE{hwYud%xqj*QQ2&SHv$@dAf8IhJT^F0UE{0I43kIB*X87y4f0S&c@C2Ydf>^eTaK9isU^=skcT?T zGfp1pfhV_anKV3CkY_o_LmlNgN*?HeC%0~yF+BUpvlir`j`AEM5A?v3TeloHJXexu zE676~d4dZ#@Kx&>L|}C@<4AV55~=2-LhA=RJ#r&zo^#k_NrU% zz;V*bagtlN)Nx!O9^>NCX*{6LxQcpR)VpKao9YVvehZIl-GcASqHe+dq*IUY^FA}x zE!Ype%WI2xy|0bEs8cplhoYWAzvk2}BL&ZP-LmP#OWlI+uYB*8y8TzdF#S7JVXvyEAFW#!O?yRe6((9HSsd9RI6Lgn04`v9G~rlUVhy|9bFgCa{NON z<3G1)tz)XFAA39pyPd9_WE5HxF(yJPqWT5Ask)d0NN= zJ@820iR)r7&)duMs$B;%A6IL4d*yi#dUCm*RpYx0$I}wWla~)lUWey_x7>2|RZE=j z5RdVLJP!HWU8#4LdiFjxk83`N?`a|*#QvmHpI*Bz?qg#=^zP;4gZ12xzb_{rYPqloo!>pfo=H^z!pT z>gf8}#q|?<82`EXV2|NBK%R{t4|SBMk37%=Pi{UqKpvS#?oOV%BbD9WCm zvp-FP#TNVVnL5gIh&<2(Pi{UqZ2IRO$;}6k7@m8Qr!&Yy9pxD( z5A?v3dmnp}JaWDo$2YTSiy^np`@HCNUA;?1={0I)R%GkJ53KDPs0zy>K5uK&jIp44?MYbOA~ogSTWCCL!QK|HSlpB<1DU54|Tbf3kV`qny0T%ggKDTA7zW)`^$A+&)ie zH1Scub~D4@&=m_r6yOz5KkKI=U_%<+=zx zjDMLAh37G)-z9m&jNxe`&w7xDI?8j5JkSG=%;Un-w zJSWHlJ@Cl-BRr3Gcut!B`F`>=26?EXJg3M5J@Cl7DLnWdQu_NZIbWv@&q4CE2YINY zJZH!QJ@CkSFFg33)owgz$s^~hojkok9_lF1Ir2acJh^qkdDA}+CeKiihdRo0fjrOy zPww-CO~dmL@{9#}sG~d=$pby`M z2YKd$Jk(L1Ch|ZJJk3w8tfL<4T+c1!k?Z+kyP)B*X$OFBdJovl!o^R|u->7ySNWN38-ECb*y*U*&xIbIX_YoW?TO21|9VPXU z)KO!cR}qhK@x(M9Q1^6Ful@&1e|at<&o_8n>!_X-g*poRlTLm5S(xIzEbNEgA?CZb zj(Vn(2YYo?Z^5(up7qU6yjMpJn)qiq@m?J@V&b3e#Cvtrn2CRe6YtegQzkxIN6nh} zXdN|g;-hucqKS{zQOhR2-|0WEj#@ME(K>3FC>y0>9GZC}4KugJRi*fd&p%RBqb zy7)+r&xS&8dw#%ZyS4X@sH5xR0M|w6Vf^RTQHKoAqsY@5h@H~b*qd^|(D9;Re zpa-7ZI_emCL|}~@<0zfxpmYD(?5?R&s>m)I?8jBJkSGAZXI>X@N|=B zF~~z5YU+u0(sVhJk(L1^W=dZcyjBO z3x?;3`HQFRHb>z3P@8j*~`?6R&QO`a|lLZjKAYV_cx#K%H?D_1dT>aZlW(hx>#T89)upozs!fi^O!VxcdMfg z7@jASr#Hw$9pz~v5A?tz^SJPIIXo@o!TgN6rI$QIK_2QTPaAol2Oe2}gy+!?PlxHB zr;ukX$U`0F=^_vGz$5FX@I1=l=`lP{CC_w_hdRpBM;_>bN7j4cd8ETLKpr_?Pb1HK zkcT?TbBH|91CQh>!qe&S44eMxBhONhhdRo0m^{z}Pj20E#PB?wJgY$->L|}Rd7uZL z+`47b@H~S&8$llGD9=&yKo2~*b<2$5c_w-4-Vv)4sG~f`$OAp_+Iv~|ndoxIblTc!%0?YiY%PP|vQ z%$oRjIPqTHGH>GF>BM_=%c6;Yn-lNVEz2f8TDPp4_-Ng-ZsMbL%a)0c)-84KtgJi6 zo&NLcmSz(lty?-xe6()qHSsd9NM7~WG+K766Hb|R@n(+C{z5OmZlR8@i>J9RLJ#9V zw{AIOcm~Kb66B$d@|-0P^uUu_x11x7ZKz@||k!Zm&A(B^)QC94B5KCH0Uz|5>$>YgF$O;Yd5v^V8< zT1WA?)=^JQ$5BUNf6}RcTKbIVKiChw&oJM;B7MUBS?tAj+R1~xI%=ul*{-8zoOrK} zS~2k-apJu?YR$xd)QR`%s0|bUqfWe6N7YPJ))CPrZ>!@ZEAFZR>O?NfEo zcKXk&qXtcUw2m4z@zFYJ!oHYl?Ak$@e!Vn=7CHyp-c}s?f`?qo||n;?Yv~ zAoMW)Wj++1$2dGQhUaDEnG5nzM|qBs2YTRIk!TgMQ<>lmAoQUOl)KQ)j zrS3uKfk)OK;qjjToFq>QD|m*!$E{&woxEo>!1(J;*~H+U^F^eqYfCJ*N|r@ z$U`0FX(A8wz>{0Iv>2Y(l4mT)LmlO5BMI)1_v)72>k*j`s`a1DW7XQp{9CP^ ztQ*zZ$$D3K3U#q;47GJcf9T3)CB^GhRo%n}^= zD19$~zR=6BTd1S!Vo#}i5PBH@G9L=hWBB*s@4&c~zpu~myn#GRK_2QT&j5L#2OgQn zg~zK~4v`1*GdM@dvl`@~j`9qX2YTR<^+$NTy5+FxpEr_cBgjJ?L|~7@<4AV&*f>J+xwk>z26C_ zb{$B5QLWwWRk!>g$H@Z6Np9WJ&T)Zwj0@Bos59P1z0=gYbK0Bo0e#-W<65^oBOOQG zg8fOS{+a1Beg_%*q4!nhm$q&><>Z}S-Lg^eY}YMIPQ27D_IHqLCM)ZX-*V!;x~0Ly zf6Xv2`{~J!cSGTmA_-NhIZQ`SKOTUSa)-6LOK3cbon)u(WEw0N;{yj*kTkP*3 zPnh^<-7;(9qjk%?iI;gr@+z-x*);3o+hx2Ldiiw=b#z_4$ng(7jQ`xarS<`pb<{X{ zmV-RhQJ(rz_aOAZlUuhOAP?qe)GhBI&sva&I?B^T9_WE5w{B@6PYNq|-kJUbb>>!( zhdRpBR_Y#v9(Z!=mX0#+#uQfYyes_&cpBapt6Qj}JYA*kLFj=ew@&COL^bid7uZL+`N3i@VuKm-9aAeD9<7CK(9DoPcHjg#`{Cd^Kr@Z)nW3;_52?43u0rgw{>2g z`#Z>!94Av8CthAI`L#SxSm(Noc#I3=)5xRWN4;azYe;){=H)!Dd3k?2j=UWElTQ7! z(r4u5*blwGtu5m9zAN@({XEP4GXKbaFE3v%c((KMRVUud%U4bOpE~hgUcPSP|ICT^ z^71Vc|8*zc%ggKDUs+c~^YTU$AI-~KO?)&j?=>u0rgw^v^NqZ}tg94B60F8Q_O; z8=rT+XHqkT{oDO_y%WF2_|$zB@eL+EnwK}5_-J0L|}4@<0zfvTh2G_nyzN;rSSOR)aj$QJ%x(fgX5dy%!$uJ)a}wxt#s;aq?^gd8ng2 zEsC+E3ZJGnlqwUcpGt)0vV)!NBCR;``Pzt!5wx>2p2tasJg z$vR!Fo#YqQ+TGT5OYXl1a*X3-g5$)iTdpj}-F~TC8m}qq7Q|y*6op8tGd@GT8S2UR zvA>rlYW!Xok89mBkaSVEV1Lr7e@^<0->1TU)Gb%1f+fxCnqOlt^6SgEAN`8Yvfry) zRtlc&ynDYB@6|19Ccf5*_v)4n6Muyh@6|0eKU7&a>~rG1x~0LyN9&em6CbTx+D&|G zdEWHAavk5d<k5{+UmvMT&eu6w}K_2QT&jIp4 z4?MDN3XfN}G#Q?sB+pimhdRpBLLTUWN7j4c@#>Z~^2qu6EO{D!I94Z6M|nEP13mCa zo+3P6-O^?H=Qw#^eqmG*X`C0PJ1$n5WJTv5h-cBC;-FtP^-g{Zqt^>(; zsZqUNI9cR4@#-k4hop{Lxu(n$5RY+z`UiE-&r|OV_3o1Prg>GrFTvwlM?E(k zM;(RzNvHmK>GQKw9fkeSyMg&`+7$CM_F|rHbn;-Yj@l}Cw(F=SCtm6(`+bSJqm^~c zy`6Zkj%qaV_i^IAI;z#g-_wcr>Znc=AFZQ$O?qkN9(9D6MrwK|D=wx z-@dP^?a%j`Ex%5A?v3TSuKYJPYJ$4DwJ%c`lF#df>^eTQ&{P zFOsJ{$U`0Fxkw)9fhV_4sJ*sW2et0~CGzwJd8ng2^`-7X=z&M_;A(k<fmwUcpQt)0wQ)!NBCTdkd}57pY;)_G^{_W^#H<79~A#LGJ+ zf0Vp)mg5xh7#GMFktd#{-Vy3aoZP?Xam_miQxx(}>`yxN&rhF`cVa*EdeQ=()&pJN zu@~#ZL%ARQiqEp&%RA=^p6$Hz5l+09cP^OthdJ?H-nnGrAMV6^dFP6We~1(B<(+FL zKALxKnD}VkS@R>6d3!YPY%uZBytCQFcR2m$<(=&&KALy-n)tTzy4CAi?ss{4=b(v~ zd8Jz3*>r8?y7((H-V43_ypuY*F1D1q2cd`YFY}@Bc=zwy49~BUXDrA=9p&jD5A?tz z^SJPM_wT#NBlF0wk!L!{LmlPmArJJxBkPawc=zx7O#dvBXFkY79pxDy5A?tz>!$E{ z_wNrGo-dGRDab<|;tN!^c@y19901IGp8 zF)olVB2WBH>NQbM-ZzoFlgBmhd_jsr-iiH5r~ZZMGv0T{e(1g6irw?hr*pro7if+A z)5|*t3!d$~^I1;38cg~ym zXx_PK;-h)zvWbu8ovSAP8BYI6-f7==-!Spfyt8h)GVhM&osA|wH}9OewleShEsoFT zLT`H>!T7=RyV`ObUGhFIb#z@kR_Y#v9>#xe-g(^ce33kzK_2QT&k6EC4?MYf=SlL& zJhDWd{vZ!^l;;$Apa-7Zyz{i_pD&STB*;S@uXGew#cKK_2QT&pGly z4?MEoOCIdKH*%gla=w0tJhMR_>L||z@<0zflBWod_uj~+>7Uc&SqSn_M|m!i2YTR< z{7QJd_eN^d#D2>yb$b8x%j8)O@=!;4>Py{&&;w6yUVgyve1$w~K_2QTPZN2d2cF#b zMp_Kd?~-RL$U`0FX(JExz$0~noUitBUcKb|2_1%KnLG_2uFUhQ&Ck?Po-Xo0ZzoT+ zym9Y-`R;kQ%m>x_Pv)^|?PUI~)=t)qYVBmbtJY4|>1yrXdQ;`~C++1rl;;Dt_5JeP z_uYSwE!dxQ z>R*&Tza-Ty*bluoUa@=K@^bD+f9BjTA1!#c>y}qJ@lvlOEJcfKdEWa6WB%ZiDQ)-7u$K3cbInD}9* z|DgaL(|Y zB~RT)EAzC}{8sPdP)B*rlLva>k-7zc_ul*5d+&2syAEVMuh#Cit`l;fZ~O_zNi)ZZ zS0~)H9B2By*lvys#A93_zeisGr_}49-rX1{&o_AdX0*n*eR0~NPQd=8Q~#3m`3uS#7FCdb`vl2 zimZ!Xov_JuF%7R`{QMcmXJ?_8UnfvU*Tst*|Iowu&#e<`(}lD1{wu~Aez&nd$U`0F zsV{X8LJvH-b;1GiV1CAY^ylOm3Gz@!d78)rJ@Dk#2`#37{(?LcK_2QTPaAol2cFzI zp~LWegFLfA9_lDh7kQuup4>X2hdgq=&XH#!$U`0F=_3#Hz>`}i44D4;OY$rSd8ng2 zhsXmx@Z>(<7&bhAMV_@F4|SC1FnOQ{p4__Sh~fEb@@xfpsG~gNJg^Eb)U8swpl@*E=%^uQy(t0U{hL%II%=e#F%)N#Y} zH{|IK@=!;4PLKzB;E_5?c)aI7C&`n-iuw6($uk(_p^oyLA`kR-^58t|)pdJyUA5~# z@~>*`ZtJ=(_xVr5Gb`sa;Y^ozaed44se5F$JI`@9%5mq_iFYf%o7ohafbR1 zb=}`lZ<2aaUrL?G<2R!<^0J|{MV*NKNvHm$=`)@uVL$Zdn9rw8(H?sd_Yo)0mpZX7 zbak1dpt`k4*#7muMpC>Jw_!%eOs}omE{KuSluTESy@gH{Ly*hEr#HZ7Te$0NJ zRQK`9Iw?)FyT*IJ``T#Yqjh4diI3KaohJUHPX9@rXrCwbn)vARq#+X@trJI0yv$Rw z&U$s?8MDs*J;&!*p_gALQb*U>vs`DPhw-0VC!RAr|3IGUAP;qv=RA3!2cFzI@d9}; zk78a~C(nG4hdRo$Ngn8dC$~<#X!_?{`sV_9>ON7qPN&Q3R-aE$ zM|nEP13mEM)@5CW=by>b9OR*n^7N1gdf>^egZjuL=j&g{(;4KUj`9qU2YTSieV%m4 z@cb)z`hz^wQJ!J)Ko2}p2jTDDt2_4Uj%wF|tmoC*-PUzS?(?J#j*}6N6R%E?d|%!> zTjDy1c#I3=_sHx2je6tMJCOFK^;h3J<8iGMUY3rdPQd=8Q~&bx`IV_oz<%hRVm_BP z#X63?SkFJ_|{&Z>=XHSys(!NmW9<1clBeV){B;-huK zh>4HZ31cQ+=9Ox7!V#{E>2wt1=G*B%@cd??(95qAsH5xRIM+q!Vf^RT36qBBJLH)S z@=!;4j*Sqt(|M|n<>2YTSieO_|f@O+m%TR|S`D9;)4Ko2~*dHGqxvqheUPsZv5 z>L|}S@<0zfxz9_^8=n6pPiv5eI?8i_JkSGAZk@169=V?Xi#**y9_lF1Me;xoJh{(H zYSY4j=h?#Z-{ct#@=!;4>Py{&&;yUu2{J!>&r1%FCxsQ`{UUirgFMtxo+k1@Zzm7_ z?!CHYuWqS!9Y}srt=(;1x7=ROOTNc(H^p)1)j?9vNF6l7c?j_sXD?6V3w6u?Q12M^ z?w!9Iu9CZ-(C!P9Nq|bO>g8k6@I`h@EDdq|6MSk#QCy$jn$UZMwDR{Q) zpx<-ir4F*sOV&*M?>g~b9kgNMmz{X2gT_*S?5rbdK2=$VoOa^9I;g?KN9&+w6Q9ap zoQF%Ew@4k7@GHK*({AF^<+E$N)Im1B+r+17e%JVho63H(@%<+LD^CAO9b})E44L?7 z9W-X*qjk`fiI;gw)>-d)Nt;<`Z%G}4I%vAk%ddl|qw8!3*IDRc{O8s|U52M7O|;;d z5Ask)d3wkLJ@Di{FX=6lR5`^d8t(@0W#m~6@=!;4hRFjx z@Z{EshYio=X|sOg_8 z$kQC;p^oy*kOz9;$*s$d86Nz=5XM($kcT?TbDTWT1CRX9s?0wR;e5Pb#u2wC$dkf~ z^K~V8`hz^wQJ$0JfgX5rpO>66JjfH!KO;dN>L|}?@<0zfQU|4vdv!lYb+)!NCtQLUZKch%a-JYB7wtS{Bt$+}provi28+TGT5NAB~IJ0viSlL?L!uTGGB zU+RQrjtj(NT)ZNU2julvQE!HN@;;WlSHUpw(`onYeEoOriRI96FFoO9yc zI>E$;>jV=Yt`kgrxK1$f>GH#Ma7mrw)(Iy5ublpqI>A1#88Y$VI>E$;>jV=o^NOs~ zUY&53>muqVjGH@hd`=g7`E>$ybX`2h@ee(Wf0+-3$NQfCdBamrp7|gTb(H4Zc?!ixU66M2?`Jk(L1i{ybGcx3$%o<}=8wGXWPe$v(CSq<_~M|tW? z-Gk5rkF1-*^C*YsfZ@3_c{YMP)KQ)$@<0zfvfc~NBORU=@}#ihd^M1#?lZAEfjY|5 zMjq&aNAeWm>2!EHO#j@4Jk3EK>L^bad7uX#$*+Xx5e`p};khe$I)gmaQJy~XKo2~* zb<2R^xf^--lwFuRHDc%s=~e_S&CM-m}hGYdxR6_BnTgJhFb> zjy!Wt9{NO{bL4?vF;93|&UNKnckJ&sOZ|1+=jDdZbr)+t=_JS93dfz56D99SPF!If z1U<$X@*Q&BPt$LcezFfGIg#x#C-wyuaw5(LpTcj3*LbcD=izs0DC~;!0M4S`{gF}U zTRHLMUzO&p|1|VgPHa&69~*irC$=d4e;9hni8`ltDE$u&y_FMtl-`>Y`;>mq`1`V- zq;uAg(*MBF%YKs9k1Bom_?3JvPVjSK<-|#)-#7lgp6W7^!uCwsN_)pD=i;CxG$+Pd|p-<#lCJ+3; zlbVB86;CaBs$c8N9rTGj>*RqScv5rFCV7G^o9Av%o(3lmeIn0!^1u%~sX1sz_0Jv1 z)8^!%PvqGn5B$KBnu87$&mGCr$g}JTS{2XF zk!QilL!ZdgP9FGyCp8Ckl1Fk-J$crgJoJe?-Q2BnRo|>YAoXbI@%Jz2u8GPO@=s)YExkLl-`{al>Qpy?@Lb5`z0${Z}gv)6SkDz zn-g}GJ~by4!XGOB9qD^;eC}s{={bQuab2v*eNVv;<3BYg)GD54@>IXhmlNm{dFsgn zKk%g1!HtUNp5$q8^3W&pG?NE@;7P56Tgek-**teI^0Ya5=o5L`$pb&|q}IWms(-E} zPmhy_K9Q%JJn#cg>VC;-#e+PLx_rRNL!ZdgOCI=vC$%o`S3LJ7!K9OgTJn#cg z>VC;t#d9C>OgVYz6M06+13&Pj=7cfw1X=cZzAt$eoILc2JQL)BA9zytOU@~tR`RSl zdFT^)rpW_8@JLRO{cP*Gv03t5!v47*dA6NA^ocz4$$P} zbKF%-=XHpcgCx&L4yxcdgC64y`31S<0rab(pZt!S{LJq?D;8XaW@EZFi zI1j%r)~h-PJ;dQj{EkD#>v8_@^P8H3meo4j#_?H|`K9L|`owj1mE#|N z82_m`XkGCxcv5p>z3QKbkZ01#L!ZdgNFMlsCpDKfE1rjvXU@q(pUBfn9{7PLH3zkmC&;q* ztAji%P9FM1o=)<>4?L;AL(r{wevv#|P9FM1p3~%kA9y4Og_q^rQO+GV+T4-4U-B@H zlYNd8D>kjl77pU)1*FT(og*W8&j^qo;32cu!VIZiG6L3EG6rK@YW4{FF z;n&Z4?xx{Y@qWp#8g-uJ1ifF zr@_fXpU5*p9{7PLwGJLrJdY$#o0Eq=k!OND@B>e39ej>FL6*&Tk0MWxlZQT$XPP|l z15aulJgfSri#!8P9{NO{dGf#yJgNI7i;Cyb~UP za-evAi9Fj*9{NO{%Dd*@Pl6wKBqtoVUsA|*@%u@?OrDCFeEkV8vz!qQ*|9S3$4gJ} zi1T3`xs*PUr-nT6E9MbDIYN)$ahB)oC2vW)9QAeb9GkSuQC}zTi?qv8Unid@X_uqE zPR4<>%TZq^<5$|{sIQZGN8076uao&w+U2OPlX+g+<*2Wd^-0?0sIQazOVTb!eVyDF zlXf}k>tvmlb~)j z{Tk^f&zngOVtdR%zZ+D@K{y|L3cnX#W4{FF;rBw;t2zfg)2L%52kHHiGa1ie4tkEE zmmD-1c#7-b0i}Pop|^6-u+k41ddWe0zhqqL2MxWIgQk@J%Fw$<)#28D$(+((W#}ab zq3*tEm|rgs$BJ-VQu>B)_Nc!vIY{f*l-`?zwv_%^M*m3;YEke1uF`vRP}Q4CbBs3! zom6_6r(~VAzGq*r*4f8#eAZ=t={bl#ah+}CItxFHf0& zbMnw9@|-3Q{J*Sep^3W&pjFAU^;E}pcc^c%*I>o@Rq*nmj?4yU{5UUGu|`{PRgGDC0X1f{<+^zKo0x|tJ{{w2oWmz!0e_wLKe)v2V=fr)b_vVD^xBSm?LY>mfydvwO zl@nIEF3Nt%Q#d{wGQY$58lw&O&8+)z^oi@@I>$f!F#cse6do%lY$~3olBdndL!ZcV zo;>gakE{#AW7WYsisv`T)8pi!PvqGn5B$I*>zVLab?^asf-I}6`p7fjVjj#o;4>AeIidgdEf`0 z)SS>so*>IOpGKZ-Cl7rhPd9ns2OhaEFa2{5qkm2-o~M(iVm6=e!HIb=9Dd?_NT0~l zOCI=vM{HU(SjOQ>1%^G?u z2aPKIn+?5{gC>>!ErwolklrtuQTjI;dMgJlDE*b8cRe|1Md`i!B^yfb-7nczdT$Qe zSNb;@{U=$)9{NO{P4d7GJW}@vkChY8tNs}x&x(_WK9OgKJn#cgYEIl! zJkKG|mXn7*k>`Ls@B>e3POJ<8p!jz_o=cv6Cl7rhPa*d`1wZhl?w8b%C&;qT&-2Jr z{Wf0?qEFp^WD+ zCw$z{n>j)0KWgaBoS^g{GxU-Z^nS^V(tp^{n>j)0uMEBG$_YxpWc+<=zhpz{KV;~w z{gQ2^cjpA9UpD@}QF@tIq>i!nOB&TW{reoBZJA$sPM}X* z7n`{*!VlwL=0oAJ_Dfn7&mWMd$H_yV$kR?9_<={(1>v#k;7-LeOr8NJ4}Bs}H+kR( z9$C+X$Et%*lPAcsI_3|_GwS4_Pvq$(5B$I*>#*=xb#TAxpBIp4%E?2Y$TLVD_<<+2 z4nC`RUPzt=Cl7rh&j@+o2cFbAcuet(kY~-wL!ZbqK_2*lCw0H%oZ|T-@@zYK=o5LS z$pb&|Y(FHgtL5|4%=IKptJ&vP_HSm%6J*)>7m=r8&R3VyC-Tgb2Y%p5-7i^GJTE5C zNhc3|BF{2;;0GSb3DQ5IIa_aa|E!Wn_De>|)8yo#PvluA5B!RG!pm}Q3As&jn#?=L z^^44x$6Y7${BhUG`gGiN|F7kii?v_!$Kj1Y4(i~zvvQE+8OcF)9B0sDoFTs;xBLnH zPSfvXI9t45!uFVho*UYcgK$3h6rLAe_k|pU^YHr@)~i+FRq(;|njOE!^FdZ}UUHD$ zFB#8x4s*~q4ZW3vrj-60hTh6Sb4tHu=&c;Ir1YDH-pWC1N`GbEZx?*e+j?$nOXx|SPRt~DXTWSBiggm28 z9{NO{LhgGCe&CVyQFyEzR70L1%jUJ0l4r`vL!Zb~OCI=vN7i-Wv2sv7d8AHz8F?0* zJoJe?jpTtJc%&W?o@+Tjg<+cYgVfc{if5cWYfc{eM4ndizz;mBIjCLnyqrASP9FM1 zo=)<>4?L+ks9W*8f;<)P^yLovM4r>+fggBMb4M?Ef-HL%59{NO{v*dvvc;x%)@_7!;`2T;p{ydK;oe3E}I~a zjQ2k$&lx8VeIm~}^1!c{C%i1@vT`nq`-$>-2p@~=b0K+E>K$p9qrUEj-cL%+Wq%QX zkfVk;POKayc}Q~92J05+F)omQkb5TSH%33%hm!pyw#OWGHmH!Ja6b4Ho*!Q0xig%H zU&Uql{G<1izGu|IR*qWAcn)*a4-LJQqt=vu&(K>rYD?*VVCW@B>F3UNmHxYi-pWxG z?<&n9SBBp8>?c(#z4y7ZI;HnMch;oz-W=7Y^xrr7PjXaC_&gSW|E5dny*a8+>Ag8> zNak#*YIPnuQh;;T45hcmzQ97UhFF3xjZgdfI#YK~e|Jg+9tq?3m}k!P7a@B@!L z7a;v(J$JTBo*>KWyw{Lt&dEcc$g@r!_<=|63kr|*+}Wn;pL66{aq`e7@|-6R{J@i% zGj|lvUy^6b$wQyWvqv8IfhRR*9*`%$`I`!~mZ4rDz)?z$T~C!~H)|8)Tj zIbnd~#L5X$?@LaY;ye#M#s%to)b-Q!8=>D%hqJ}|Eo^@*uEze!?}sCD0?r4Y!XJd! zy&)&yJp4{FC+Pi_oAZ2-Wj?qXepXIc$aoHO!YvKGl@nH!{uYMb$_X1ve}$o!oS>gO z+gAF^4ZW2U_Lbh76RQ5UG-p&9f8W|~IjQtFGxXMeOM}vTb3%*K7mU9zIblD1uu5`* z(z|nl(z|nl(#yOeb*GgRy45=UdXCTG%r8AB&?l~or@1b|592>IC-f?wH;`x2$wQyW z(@!4wfhV;N9#lMUB+s0ahdz<#EP3Dup42*cggil(&1W;@S#k2vC-RJu2Y%p5t%E02 z|GbGjTTUMOM4ofxfggBM>)>g{^JenwJ9+36d1lE2Kk%g1!SjmeE##?ww=XBqC-N+k z2Y%p5-EUb|JhS9!aPrV6@~n~ve&9*nZ&@c#kY%6ex00vL$wQyWvq>KKfhTo;Op-<#FAP@YCdBV$bZYl3? z{IB2NnBzDZw8(Ndhh;5o6>u8OPA8$ z+2}vXEqZ_BjM95^%aGE0bIYjGr{fggBM_ct2J6J%LkHBX);Cl7rhPcwPo2cFdZjaJn^e@mVXCl7rh zPdjRz>}Km z`c?ldkf+YcL!ZbqNFMlsCpAZ%RXpz@Pm7a>K9OgHJn#cg>VCo)d4eo^zurrpE+-Ft zBF_YQ;0K=6+;UFwypKG6P9FM1o@w&H4?L1v!pm|_DCdM5ZB9tt-&o{08Rj^#a)Q+R zk`pR8E}+M_Kz)z8{{8fupx+hYY;jIt`(tr+IDg^=;fS1o^TDU^!tfg3C&796wX>d+ zdK71&Ycc9PD<^DaJcl`<)zC{$(EAI!N`D_iZ{>uF_mtN8_cioZPN-J;YYn}X6Y7-S zn-iLp{;K?aNX`juO7G1HT}toG31^i4-bVjPPS_8h$Ksqgr1ajLFs}68oG_*IsX1Yu z>!RF0`vAx1Oy-xK6X+Ay#YK*P_+k8~=7eR%^Fi_~IeF+4c~;2-Kk%gHgmv-+SvIdM zk!QonL!ZdANgnutCp9OWSN-!L^6WZ!=o5K%$OAv{q~?S@#q(kERK3?%m(wTm9FPZo z;7QF1mCbqX4YKV0`UrXIoILc2JcZo%6#T%GniFcsBjanCJS|Qh`b3^u^1u%~srx_m zisz%`>2mVWC-O9s2Y%p5%`MG}=VRpQbMnw9^0bl%e&9*n|7lk|A1BYSlZQT$r;|MJ z15avh=_XH*WuNC2@=Q8;=o5KPlLvm_k=%0JeoL?FpHGly&dEcc$kR_A_!aYnm*pH) z&QUko9F_Wgl23A+tZAbxt1oM4oB#zz;mBIciq*&u7Wg;^d)E``sefH8Fuo}C-R&p5B$KB znxl3U&lkuu>ExkLHjA9zx8OReJh5_z_qJoJe?_2hwHF;93|&MoEK^1nW}e3|29pX0>JEuqZA zI&rDwmI2OV&|_R6Zy;xE(68|Rybckad=H-OF}J)ZsE}K5KKK+~99|>0;5_^WSYPVg z@?@S5vTXgr)yP3sZt2N*4)=e4!_Zr~rBCUfV(6{hGNklRHS|_)8CCix8G0+XOe(!M zx6CO0Re66W=avPf_vV%rrT6BR4W;ij`p?QOyGrlPEma>V&CTB2a#HD2b4#;Y7yq8) zvo7;X&n@(c>tZX{MfhR-r{e3Zkbj*TjW`B^3W&p%#sIw z;7QFb^NQ!2r0(mo@3OVR&E){cn)*R^9{Y^mdU_V{M^#8 z(m&78Te)Ri>CYN^E4NH3{g9!za?703dvnW@(q9$c9nXHtn$ml7%a+o6bIY#MKiB9# z$t^AF{jXRm%^lv{a#HENxurqrQ*+CXS{MI`gaPik&yR6PGmo^dA+eIidYdEf`0)ZEfao*>KKuYV)YjFX2xk*A$J@B>e3 zZs{bCyk9%yS#t8wC-QWY2Y%p5%?YO!&%cvr!^uOR$kR(6_T_ae70-9bQ}rPq4}Buf2zlTK9?31? zWjQC5bHa@_C!~JAWtZcmj^o722~zLN{>B#T1n4m?P~W4j|1SNS>Gw0?Y;jItd&~)c z655dya6b4H{xrOPTF41F55L#2p3^yD+^F-coG_g69Oi@xLoYc&f4^m1>0e>!t(-8W z^shAZR!*2x`j;7cD<>=|{gt72kE-)m+VlDHL})Mm9{ZZoUuEd6@4;^=y*DT9D!n%+ zRD2l!Ux)Ai%Z>h%oUk80kHtChq|$qHLX*;ab3&War{;tSu8WuC@7Ia%aeQ`Ue(5=Z zK5<<<$8`~Y82_m`VOsHgpFC%rJoJe?v*dvvcv5r1Jb8jFt7G=aGvwrM4nahzz;mBIbmJ#{E$2|P9FM1o=x(=4?L+k;XHYQEPKCxM4lxl z4}Buf4td}Qp46PMr}_u;27V87!^uOR$a6p*_<<*Nf1~o+(%-%KF?n{KJoJe?h1~ZP z{J@i%TWS=~e~_o@Bfh$vK9Q%EJn#cg>i$N(;`vYV)H!+R6L}iR13&Pj{!TzMd4eqa zJRgv!#mPgT$kR$5_<<)iN3|=S{~}M9lZQT$r;|MJ1CQh=$(h#gn{|`t686u3lc&$g zL!ZcVnmq6;<_Ry$xvre+ZnU{B_4_v0bDRuwoLIR|@|oniQLa1CV_YDQA%|5|=Ih!7 z{X+SS`6}-Jus!CwvCxiOhx5Uw@RINv&#mD+{NBO(Tj#oIqb|2{-BQMLSl7=OdMnqh zDg7G^y_M^>l>Uu|-pX~mO8+`TZ{@m*<?5ruB%geZ?0=ndT*|4 zQ~K8%{b%L69;Nr@x&fv4=DJ~}PtA4xYF#{0nUBxW%r8CH(I>8pgIpKkhw-19>&_~k zOUN_j}Km#>jJ%{PTR`CgfRg^3W&pOpphD;7QGO=T!exl4s4yL!Zbq zO&<7xCpFj2DxRB?XWPj`pU5*$9{7PLHPsD3& zTt=RgP9FM1o^|rT4?L;4Zd378k*CSYL!ZcVo;>gaPin5)Q9L&zPluC-K9OgSJn#cg zYOXs_JeQN_jFX2xk*BgH-+zW5cv5pqA=kz4|J{ZX$BC6&LYbH456LZOIB!6Yae=&noN){KP1EmZsgvi{ z*dBAsOG7(y3(g0h!pp*I>__1|{65P1@}}WcsFP3NEROFr>P{=StYtihx#a_f-pVao zO2266t=zJ!^zS$HR&J^ISZVHfkD<46OSRH_b4#7lUzNWP$=|nWQhIN0X;XS{Zs}6` z_Zj_X<(59B_vV&irT6BRaiy1eMegU_pYO+|93L1c7$@~=UA%(hb29Tw&n@(c>tZ9< zMfhR-r{dvGC-R&o5B$KBnp=7m&#lR`@8qFRSg0P9FM1o<;J&4?L;=-p(?4XC+q5Q*GWBa+;vjN9Cw}6 zU&mc{v2xwda-6JjoLIR|@|onilN=Y&V_YDQA&1q{?>zl#^ZGLGN3lKTy7ADCT!-_) zr||Od`f(xG;XM4lby-%Q#{7V@s8>J7^XS*&yeGL1zaLrhoY+cfF8ZRO?`qF=lS<#9 z^j|RaR<3JN`gKD;&cCn!y`2uFUo-S`)X#*8u=xMKN9nK3`z`jXiPh1x(@15U&g&)Sh%!k5rKfb@0=EwN`ghH;1_h0Woo?Ryo zeIic{dEf^gna72v)!?ZmkIW-?Bu~{R^6`W7xPKcC*)cR@zPXg+B|DGT^ocz6!$GB$KYvIJa-~bi<5^wk*A$J@B@#m z_repJ(a#t3PbYcg{kk)Gx|}@pi9FrpfggCJ?h&5w_{EVtr^zFA=g*O+&&fld$kR(6 z_<=|2I^i+D|D*b+o;<@&p8nh?@(hv(e&CV1T*ikSLpNpPEI=q~9$4 z!pm$OlKm34$GZ9zp&fNK&Ig~uE5qyVP*>wT{3@&R`AqMZeAlRpth#zD<2l?f`GKLg z>grvk|GuHO>gtM5;(a^(`+J67>T3P{p=zbyHS|_pU8nTky1Gf}zis?|sjGEe-=_56 zG4xVbYkil}UmZRjSa;=fA-SOXx_o@-y8MjNf6w^)R$V=y^xnF9RO!8S^`z3L*3~0i zXJy^LisN%C^GmO*=@Zx4F|M=l!}w3Ft0xps19=vlJoJe?=g0#;@TAs_(~9S6@~k;| z=o5Kn$pb&|q}Gk|``xF01~zhCCIYDqV*#TO)sj=4`&# zxr08DXO%qg15aw*xUTxAkvu1zJoJe?o8*BXc%&YbI`(dyZ*)#PuXyf4o+c*`eIm~e zdEf`0avghg9ag@ddvw3Z`XKe89A%tJegA)@j=d|#T?faVRmXU@MZeSZW1SK253@biu@gasIu_@HPvOtPYt*qg55J!`>eyTJyv+BwI@C4scXsvt z;qi>;u#UW~p||STDW$J5^i~}^r}RH%=&d?-N$GE8=&d?-P3gUL?3U8s()jyU9lNXa z)rQ`xV=GombAY#wtycQm7=PcYW9yXun*8&2K^?vIfP8(&=f3#HjA9zyh*jmMNck&E7dFT^)>d6B?@TAtUjpUJe?H=Trbn?(A@-&kNe&9*1 zV_Q}KG?Qn}$wQyW(@q}vfhV<&?Nt49Px7oddFT^)y2%4S@W{TsjIXpAuT<$f&NV;%eFL4`UN=Yvn-FT!iou{aOEhp^t@-$%^P z>eYJ~b%=F8wk_j1tYfb=^j+;aw|>r{OX=@v=&d^TjMCrB(2w))>-(_-N`H4lKS#a3 zpE<1b-a2+%>6?tdZ`H9=N`E&)Z`HALO7E>>mz2KQ`1@8JyQcKsI(A#>8}rZc1$D4h z$L=e=%u}+?T6OFo*IB7!?;XY&o^z=9O#V67^IK>>@_rh9;yQbl>n!{*{!{DN5yf*K z@|<+?&?oYYkq3U@Nv&fi6wiIh)8yo#PvkjA9{7PLwT_)8kJPcPL=`*{9n5t+s1J>!*Q2d$M$iYL632U`V)2M z1L?O&KdFDEj%9nSV<&?Obu7*YpTeuc>&J#V7U$vj6xJKzkkzj^i~FvRHR=$nj@`|8 z4(r%oG4xg)Tk%<}`-l2pGW1p*TdnlJZ0M~zwod6EW9Y3qwn^!|b!?l`cNu@*s$;v9 z{?Ufss$jCgJo`=_`b3_3^1u%~sda3l>Ys;@r+UqogXj}^n#lt{@TAtUt*U<> zN}dKM4}Bs}J9*#-p42+FQ}J|=r_ISjpUBfq9{7Q$T*n?=ua)cAqx(hH2dQ7>i2CWq zP{(flQtA9*@%z8@Uf*Y~ zQ~KXC^j3Y^r1akUv_t8=^=XgN%lva(ecH?QQ|i-)b9|o3{L<@F`o#6KpX(?5F#c2P z(?P}4NuD7m4}BufS@OUSJZti5YeRR27Z zJTp!n`b3^{e|UOu`m zEZ56N_lvAoQisY>K8OF;?)yHLT{tTbv4chpTb{;*ZAFVoQL15S^wy|`XxqPBz1LFc;kwHr(h`KIjpN+ zZs@z(bDe&EU{vW}X6UWDdQ$1f4gEO(zW$wt8KoaH^mEkfx^hA3y><19(tGRb4W(}g z@9t4`xm8zhE4}x=@4nK%)aXB{tMTu!6n}oIzkt_=pC4~s-JtZ|y1GT_WuB6{(RzMi zhwH4=)xQ+R8J=Hg%ly*oYWl==c8}v9ei;9$b@hSb`DOC-IC&{<=BXSGQ2cN=g!|Se)TW}tJ?`M6fbIY5I zx>It?1>Yaccn<5%w;FoME&93ZDW!jlp|^6&oYK!4ddV$1H!UgsjG?!3%bL=AbIX>} zzux%!vLB#x)2`CL!O%-?(fW!nmga^x8v1HuKcHIa-)!h5x9H!Ot5bS!ZfQ|^Z*J*O zdYM;bU9@t`v|1OR#PQjc`K9L;`owi{mg^$?F#cse6dvpM<>nR7lgZQP``BFOvuJGUlUR@(eq9=o5KX$pb&|q}F-ss(+qBo=GPUeIm~$dEf`0)cf7%RsTGd zJabMS`b3@`^1u%~QZLEp=WhA?al!A)?J1t$AkT`Ehdz<#fIRR6kJO#AUds`yQt^Jj za7()!^>yVs@96qX#;Nq19CiPd>%61;?_&LaS|7*V7RQ}cH-=?4tN)~Koa8uz9^(x4 z9_qZ`q~9L>ZbqHdjcku~<5Xxz-H7wSr|{R|HR?v3hu;?0cU?EG8tcAQH#U5!wC-3l z^j6*2qV%6J^j6*2q4b|M^j6*2qx7FL^j6*2r}W;saY*S`jK6QyjiXBc2}5tyjgw0M zNkebdjWbIBX+v+-jSEWetsB>r-di_rDSc|)SO^7NxDOnk6sWTxV-? z-&63z_)o1HYZcFLlc(a#zWZPFi9Ge>fggBeJ(4;ke7zX+*9CP*BYCi1p>FIa&q*f_ zeIidYdEf`0)Vi@%_0QAD)8yo#PvmJQ5B$KBS~qs8{&_liI-ET8i9FrpfggCJu9Elb z?#BCdTJii2dCoX_=o5K*$pb&|llC@~F7=%p!`oF-Ps@IRw98RnC*xGw<*2VK z*NsQN9~Y}`9N@Sc;<&TwMyda#Zmi%qgC64y^&aZHXV7nqexj4Qk?pZ={F|Uc-H7wS zr|`P)8g(Pi!|x`+0Q0@B8^2?$`&Qk!l<^$iZ~VTYx9Y|x9Y|%rT?Cxx9Y}S zrT?~}x9Y}Mtylzzw1TXkcT(*L`mx9Y|=rQbF5R^8a8^xnF$ zPwBmNx^YDD{2qBGojml3JY(d6 zA9!Rvk~+ky8z;zv^$K<4AbIATJoJe?=g0#;@TAs_)2e@-NuCua4}BufEP3Dup47T= zUiHtj$g}0-p-<#lBoF++lUg?}E1qYQXWz*~pUAUH9{7Q$TsIzFrc7wPerny=$8iQd#u@58)OpXLUp@UU4`;)C9KW~5_E0!~)a!lTai#axjZ;crF#f((H_j>jEeyR?H!dmt z6^7ob8`qTnR)*fH8@H6+TQ}}2y|-?x`buftFY}btMb)8OQxPvqGn5B$KBS~nh$N9K{U16-3UwaN2cN#0~KjS&9^G+Fhsq=K5RrS@M5`@Sdg*C(XTLtRq*`v!eVf3=~PI#26|l)lmEKdJNd_o&8| z-dpF*D80ANTTptLS7cob%T(5%m$DzFKij!3N}cxtj?bmcFTKvAPh1x}xh}#F<3F{| z>sCB3B+rJEhdz<#GE&j7sWI$y?GcM}3{-L}{0! zzOMW|s-ts(%)ioaa@74N>xQ(;QC}zPowUnQUnlFdw98RnC-sH2%TZr5!fWIfoQK~d zSzqeh@<5~Rv~o+`*GhB8b%x%`Elo=QU_)=^mNuntH}qC+=~DVOLvQ7lGfMBxEdxsL z%`L-9@69daN`H0ubR3m?tlToC^ba!n&&n+eO7G1rYfA6UEn7-2^NOsCVK^RHmmjEg z@nsyJ+nHZ_ZlONyp-<%LCJ+3;lbTyjtN!^j@(ek7 z=o5K*$pb&|q~?}>#q;On8F%u~C-MxE2Y%p5%`ImY&tH&d#>qpU$TLD7_<<)iw~Q&C zN%AZ?dFT^)CddOn@TBIJbBgCxmg zN8Nuik4d{6^>s4;O1m8Ob+T?qyBzg(vffF%9QAdwPD{HS^>tETNV^>Mbr&nQyqe== zm*XTgx9r|OuUnwUxOfx(heFPH4gD&=k=G$Qx3E3tmNy3#atqD}pTb+hYvdN3hhH!2 zOPyPO#i%>2+|rQo9PYO~!O(ZL=iGX~rA6rvpUAUH9{7Pr=5gV%a?3h-FfSvw{1tiXoILc2Je%Z!A9!T_5gseIoLBwx zTJp3wdFT^)cE|%i@W{F;JXUVmQ#@1T>2mVWC-NMS2Y%p@^2vbXC-M|>-&61dkJKr`W9_%pkVp12|AsunP9FM1o?7z24?L;4rC#y8jy#i2 z9{NO{M)JT9JgK>*S@BGhXU@q(pUBfn9{7PLHMg`Yp4XFS#mPgT$kRz4_<<)iw{$C> zH;`w`$wQyWbDBKxE9Q~wmNOS>HPby8nQyBzg(7b~~Ck>g~4E3X?;bIT0Z9q2JGkT;Ms zX6RS==Fz!@?J>8^1{HD(&Ig~uTf=MQ7MzFQb6H>N-10P|?zD1CN5*rQTb^O)t=!V1 z^uJ^1t=!V5^aF<8$}K}m-*4!x+%l^4SBBm_s_tKD&)>Hbp}qJytx2W#=9U?y_vV%b zrN7$XujcbX`Z=)`rGL87e^zeUQhIN0*;jgRZmIeQyf25JUzt~=uCj7Ve_Ls8c@xKH zb>^3zTj&$l#lhV76#Ov$Wj+)hE4Q3gJZ~mXgOi6ok!OTF@B@#`}I=4iwKj$+PX` zp-<$gd|-Yb7k=PL%`Jso7x$mvMV^XpM53j0@xq1)I3IipZx64LTW}tJ(#pW?#j>}_FI}Vzx3Qf zpSUhIa$STU#(!#VX;wUcN1hHR4}Bs}D|z4tp48mZPM({vzZS@I#>qpU$kRz4_<<)i zw{)xic@KGpoILc2Jg3P6Kk%gHmR`m4Uh<4PdFT^)`pE-7@TBIJLGsA^^*-{}I=CKS*6$+O|)p-<#NU4Z98;Rl}7+%m0r zK0uybCl7rh&n$W12cFd2GOu_(NS>;H^yLKlM4mR z92d}ITp({CXMC7`o%FjRoGs2RY>&BRF0>=J;C%2Yyd%8s3%Ld7;rDvhmp2WsP>@EPU*e5rA6tzxurwtWnMWhw`}I) zG~Unq2*+nv=9ivZ=o8n)^Bn*1!}w3lEjx;5nLK??9{NO{J@UX0JgK?mfILzMf0R7K zP9FM1p2`R1bsqe{lbTx!xh}q6A0yAClZQT$r-nT615avhsZ~55C(oRdhdz;~o;>ga zPik&yB#*pbE96;m^3W&pG?NE@;7QFbt*U=ML7puq4}Bs}J9*#-p48mZsdzp~o_!|| zeIidcdEf`0)ZB7f@qCIr)&K0v3G|6Pz2t!(cv5pqzv5XXPlJ<(K9OgTJn#dL)c-O+ zTfZlEmOS!#{xo^ooILc2JR{_RA9zx8)R^j@&yc6b$wQyWGeI8s74sZd7fU}LcU}2+ z0*=mGGOr%jf3hANcb%+b$6Y7u?{U{j-EiD>Qtuph-NnjLpXE3i;5a!K>WZUsl;k1F zQERMQpvSmC{z2|pqu&VqekQMPwUqH3=BRfXdMih*DgB(Gw{p~$(!aydTRCc1>ECMTtsGUcU791V%=`0# z9J11$zyBvfd+~E?)k=Srq2HqZ5~Z(GdT)+uQu?cnzi;KJHl=^N(SKHs>QQ=cjv7#U zZ;l#PdYM;bowoLWrq#OmIgZcK%r8Ah(I>8pvs@S9hw(4-q3~GGt<5W*&y#1$$wQyW zvq&EJfk)mFv0{&n9`sojml3Jg3P6Kk%gHx?b{J!v6Uhd1jnE^oczE!cny?mDSsj=N6k zuj8(}Sh?=&94AX0CswYLd?vYWlItM!7#GN6$YI~0-#YznAI^q)Ddsx1$6Plb+L7yU zKKK;=HoSg9$aOdmzt4rwSJ21&fwQQ;KFsszSG<<KKHl_FGx-O;n=DIUV-;lp=@qNV`i1%@y z{Fuj!bLD{2Uv22ETsN%rA2Iq*a$QUKVB!A8<>BvCgx?n!S9))*n^Agiu3J!gnO9_; zwsPIs2bb2JTa}@o!*hL0nO}OYqfcBHM{?g&@Wc3*`A~SQTsNk8zDb@9Cl7rh&jfkk z2OgQng~!Tu=g4!D{PT3;AIP)o6g7UaR; z`*iecN?pE@@f_~Ae8bRNb@{f^f6dTab@{&1f8Eeqb$QiJX|DK+p||StlS=Qc%Nvy5 zTbH*ey|*s!Q2ML$_x*yp-m1%clz!9bKdUb9Q~GO+zrPiJKLhnVKCi{OVp!=L4SnBr zx&Bh6A6I&rSEP=y>hhZQ(z^WLI6fyczx2ACK5<>F&3#Y7592?zF0WTSJLH*j^3W&p zG?E8?;7P5^o5>^d$iI_k#mPgT$kR$5_<<+2E^jA~)aBnM&z6&iK9Q%BJn#cgYF*x~ zc)mlPeJ2lnBF|~^zz;mBb$PGi*(Fc)zx#3veIidkdEf`0)Vh37@qCv&4Ne~VM4q$c zfggCvb?4E~<&^ISAKfpqKHOOA&hK%Yv~iqRb*I#iQg>EyTtJU;fqD^j;`iy-O~1>+ z+2XpB?Xm7$2<@mlaX$DI-Vq}jC{=ldktoy;^8P8$e`C~)h75=|a zH|XzqPbvM6482u%&ME!Ap&#ep*Y|^$lzz|9&rz@M2d^o;x9;3hdT-sitMuNwv*O!$ zUk=~DtHY-QxkEk|azELsJFAucherQN-KqZ_!v>}I)}3ui-)Qh#b!V5-%e-=2-8mLM z58?Zn@i~OO%Fu7&esE9bmtJ?$C$5VVx$i0XVf?4oo#zzK56Cm%`{c z&XPy!&L5Iz)X77i$TLqK_<<+2?p!2~)SW*f&ye3U0%p_asD|V&q*f_ zeIic{dEf`0)aQI^70-W>r^(4fpU6{B9{7Pra)P{H*1u!esCfRHJRMFR`b3^)^1!c{ z=eRml?(-jao$OB>cU}44F+4gK$ozX;|H-;>+;y_v9e16q)5l#W^~G`5-O%^TQ~w>q z>p4!&aGY4VMe>K_mf`k%9)lj^0(k>DBitm+>Y_pVU6IeL@qRhmV{UnGXh&|r`QTG{ zUwDo0dE-3%ZfE3{oAW&SHRXQ!T*h-)_ukUbTe)RP>2G1^t=zJv^j8>qE4OSZ{pE(< z$}PJ}@69b0yQR6on_H@t-kV$Ml-`?Lnv}j^^q-YmI+Wgwg5z^2^GnYy^oi?YXYP9nei;8U9}184980(2xr999P9FM1p3~%kA9!RQ7ar?5 zmR|D6JaQBA%s6@I6M6c{13&P{`XfBnb1Z}8kvh1NJWEa<`b3_yp7MY z#dA~gY&d!76M4qS13&Pj=9UTa$oq9Ed3K#V^ocy@$OAv{q~?}s^2qyj8F{L{>&prB zi9EC9fggBMbIZKqsUlCElZQT$XOTSc15avhSyntZBTtKyhdz;Kl|1kRPik&iS3H-K zr_0GhpUAUG9{7Pr>VFwucgyRP3;unZ^W>4w^UcZA=j5SJ38PHL~6f&82zCsDrKjpY4q2Fh|uJ zdMiimEB&1fy_KV?zE_$Ag9sL+QOasz>R)IjT?T z?_~6!m7|80-kYN)mEN19W|Us$6Hg0xIX>qyzw{hMpSUj8jt;C-U@?2Y%p5%~AcT ze}0NQZB8EgM4mzNzz;mBIqIz9xh;8moILc2JR{_RA9zx8)R^MA9eD;o2qxYF)UOle=WIZ_UI$6h#yH3{MW-RKc6oBD$il8gndRlM~K(O-#-{odT)*zR(fxa8drL6j+#>Xdl~)LbY1@cub-n^ zPQR`}5ynT4X@f_WD=9iwM=o8n)O^$!~Vf@Q{C_L7F)Op2o z2l7<>z*m>kC-Ur&2Y%p@d0cp`{ir?iV1CAYbVu@>bn?(A@*I!{e&CVyM|iCLsLF?y z=H5E;G&yd=~?!}@>Rb>-Yr&Mo*ot&5dg8aPgNIZmwHa#_BvUn=`QD_obM z$GAY=K+d?Dew9DWKmVeW+`{&lTb6@<+WoRzREe|*9PAj*x zWju%bKaVo>R&ME1`bQXgE4Q3c`bQdiE4K_N{lg5sm0O0D{>r>RlXJ_s(qCoht>5{b zQhIN0nNxaiZdp?LPNV;<+_Itc-rTaQ^xoW3@gt0YP0Ei9FNffggBMbIUAw zqz-N*Pm7a>K9OghJn#cgYHnFn{c{)cbUAtG6M2@&13&Pj=9X2(b64{8IeF+4dDh7T zKk%gHmQC`=`*n&u!%iOhM4t2HfggBMbIXqEpCU={dA6K9^ocz6DNc)m}5?^fo6Akt7;>Yki{QP-yOSRI=ydw9ft>@O- z)w*~s$LGn+FFm)=C$5W~To>Vo@h|hC@L2mT-HNA$JWWm>`b3`7@OV;0GRAH-*RAZy8ZM z_a)DelZQT$XN)}X15aulJfV16$usWcp-<#FM;`crN9xYw*6nhgcl3Sda-DZ{zg(<3 zFZK6R@5k{p!|`O*gHqSYee4#FALucDP{*NuyFdLF>33N^&&KbAvpv>>%b^|hAkGJ$ z!bihv+{eaw`28u@?a*9O5B|Qfo?G?ce#UdS9>2iQOFgK6$ExZ-O4svYLvPiCCzbvW z4ZYNZ`gg1vl>YgK-l_*%l-^qpb|}5K9_&&2hW!1!pq{kq!9Jz;)`LSz{|83@Nj<2a zzZqA0Z#_7p^xk@KLFrTL!CAF_K7iwMDf3IO2k8^n&v}l2_+k8~)`N?Rr;R)tP9FM1 zo@MgD4?L;$;3|2f9(*8qcAY%*i9GA%fggBM>%mRcKMx{L)qm#sMCudFj=0Za?K{vX z@|-6R{J@i15AG2mVWC-T&g2Y%p5y^me1cpgHYJ|_=-B2PVe z;0K=6`n^%{Jd`}cP9FM1o@VmE4?L;&v0D{S2YDu)JoJe??c{+UcqC8A=cmc|{B$aw zUnI|*lZQT$r<*+RE9Q~;LyiF}n@8k+Z8_f@UB}COApIss-G4HVNxK~Nbu#}-yBzg( zvTjJb9QAdw-buS0^>wmNOS>HPby8nQyBzg(7c1X9jN@d5l0PK3OmW?T9^>Mp z_#X;6Tb7hw<`r2N zt^3%$YF+H)_*}{S(sK)a;=0(+brF6T|1uv6k98k=Q1Lv1JX=m4`b3_yi#R&ok!R0EjKHjf2{sXyF)mOqqE76l-w6Hm@BXko)}1Rsg}M{xgHPcT;Wd8u2j}7U zKGp}JxuouVyHPh-b>~vXb9le}U4~xjPJO?8P3hlZ=&ibQOX=Tf=&ibQSLxqo=&ic5 z;=l2^IsE_k)}7T#@2xxQl-^r+HYxqp`RCz+y0!Yce0=D-vrXyejQ(q)Uf(b8QF?FP zIiU33x^r0RQ|r#ne4NJndA}3}627lGn)#*Io%D(8;(3mL_+k8~)}1?w=aaAH zxFy56FXg6m{pXkY~ZkL!Zb~`LO){EBwHdT6Y$5U3|ZK$g}3; zp-<$gArJh(lUjGyDxSxYXWPj`pU6{B9{7Pr)_Zxsto!AS`b3^?^1u%~ zsdf2j#q+D=>2UJUC-U@?2Y%p5y=iamA zk#k@{7RGH%QF@ALV~ z>KB=xq+O1>|72d3b~)g#0vm3BGm>!fawb~)QnlU8T}_Y zO5eX9QhINW8drL6j+#<>nO9_8yg&OxuhY{HEB*fLlV!YTe(5=iK5<=~&3#Y75943v zL*cof!85OTddaiolU|4?ME|2#xfggBe zy%!!UN9~bE-mgCL)H!+R6L}8E13&OcogzF|j;efk>G=9h^0YX4=o5Jgx$i0XfhRRb z)hM3dB2Sl-hdz;~mOStSPil^;S3JK>o<1iJeIidIdEf^g$q7Y_{F{c}s>?T&{u_qg zs>`>Pe#_8Xb@{&1ZyI{5F0TsFr8pOO>++LI@2$%ll-^sHwa>3RE^82je<6QDw&ydo4>+(^hmw83%2CFV_RqG;vvA;2%`K8z8^oi?Y zJJ&_{Vf?4o<(-P>cgQp2`{+pC*sYBLn1Fa`Mn8^7N7ie&9*1%llRT zJcB$NP9FM1oL$LNK%dAn zK_2*lC$%m=r}}4*JatYU`b3^-^1u%~sdf3R;&~={TAV!ei9GY+(g#^DOdo zIeF+4d6vloKk%gPZ>%bwXOpMT$wQyWvrZoPfk*y5XPKYx!SzDlH`yeQe4dBMGwkG{ zPvkjI9{7PLb-!gt@jQn-lTIG`M4mnJzz;lk*BgV z-+zW*F%RAc)bDZ(FU!xZm7iO~ur2u`tN=}kK<&8G?;Cn6*R?49o}ssLU5C=|8hR_&^(ehJ*YzpAH`fg* zy*Jm5D!n(?O)CBOjQ+E7-JH^2lYbtQbKQ#4dvo1}(#yOe>!OwGYV!3fJ_q%Dj?b;k zFFn`MC$5XNTo>Vo@t>OO>J`uLlV{(_L!ZdgNFMlsCpFhKlLzxM>V`icPxVcGIe|Wr zraAHJD{KF zu|C9E)EAfWJo*!_<-CNa?+~WmM_Cxn)x6y}4yZ>AksSLFucE z{p8JPu8X<%rQ|u| z<&t1`dz z{TBMfb#aXABK$D^Q*+CN;+Z5*os)+?k>?zF;0K=6+%iobse@ldo)#w$eIm~+dEf`0 z)Z8+!`sdZ;>2mVWC-N+k2Y%p5%`MA{=QZT%bMnw9@~n~ve&9*XE$ifw_v;*ahMhe0 zi9DO+fggBMbIWTx-SX|`OKm+SHyO_+ogC-|xbC_&t#IQ)n)! z%kOE_RaRZzba`oAb8ka$)#YtUf32an>hdn7Z!z>%U4BOCn+?5Hmk%htw=N%6dT(7m zuJqozd`juPb@`mq-^=Jfsmt~ETUM0*n*8&aT$gVty|*skRr=JryjHD?f5Y*)pZTTN z<@AZ`Vm;SI_+k8~*5!?g=XK<%zPWFIgFca`nLO|VPikG>N*<~6rpeRb`{+cdGt*J$c%kJoJe?-Q`{+pH=-cL!MD54}Buf2zlTKp47U0O!2&lJX1~{`b3@y^1u%~sdf1| z#q(zJEI4`S6M3e|13&Pj?kCJDp0|)^&B;Tb$TLqK_<<+&`z?#)k#`Fe%?yvC+Rmi z>i&~?RodmKuaosa+U2OPlXXnm<*2Wd^;g>EsIQZ{LE7c0uakO5+U2OPyI9X=BO2=_vWY#rGL25f0Cp0{>HY_Uz2|xlXFzXElP8|H%C<~ zz051JE?VCwIj`2mIgZbhnO}O2qEB2GcR2pxhw(4-q3~GGW$h`RcaW#a$wQyWb3h*W zfk)lV`@sL!ZdgNgnuR@7F`~^+@{X9-M!0;})OW6Fhd4M?TMgN1i1o4}Buf zY4X4iJW}5ZkM%phy^3dnJR43P`b3_7^1u%~k`tu9l4IzWa$R2D-}qm*zwsW9lUME-)Z_9WN>++`>da29xzfaPo^u31Os>{zP{ZkCRRhJJa{Sytn zRhJJdy|*qOS9)(FyVUz2|xlk4(brT5n56}K#{ z>r?CUvs@RY&U+unXI18xUYFA+u8SjF7vYETpIVoXDV|00)H!+R6L}`c13&Pj*5&8O zBX!LC$Tl!B&py%XkiR z)bk9z95H@kI6Zz`c|bm$eW|;ls+{_wX1dU6C9rnnO}O2qEB2GJGm~x z592>IM|CTnPm-t2$wQyWbDBKx15av>>LriVF`pt&kCTT!k*A+L@B>e3jv7?`vr3); zCl7rh&sp-o4?L+kYDDpTnmnUU9{NO{G4j95Lh*ctJX1~{`b3^{6$ zv+d-ePvlu85B$I*IYH`iIfia3*X8B9{6+*`*l-BjBb@?XOMY&(K&hc55`K8z8 z^oi@@d5(YhVf?4o+)K~vq7FdCl7rhPd$0y2cFdZjYjgw`}Oza z8Fuo}C-O9t2Y%p5%?Yilf4)MVNhc3|B2PPc;0K=6{f$n=^HuW9IeF+4dAi91Kk%gH zmeY!7lRPU<9{NO{Uh=>XJgNH|{fg&nIP|-qrOh+9ch=NzV2due`AZ|q=Dna z%2ASsBuA~WZh;=-0{I8I=bQ9vrQc=YY;lfad(2T^5ADcNI3Iip-w3bqySg|Jzjv_S z4b3GvYTBrStsK>t@f_x;8AEU7s3E0)gQ2%_)Tq+G(a>8tYEtQ6XXvdQHKX+29JQeI z-W;`}^xhn`q4eGywXO88H~P=YQ58Q`nq#iXKaa^d>ZHW3*xYs?7ht!ms$|;}+*Je(4j}#aXV4@Wc3*`A~SQ?{Ca2o^O$-!^uOR z$g@Zu_<={}apAGPzp+dn%*)~b^Tc`boN@BdC-SV42Y%p@^+$ND?{BQD{`p7p3^{q| z6L~ht13&P{x+y%?_czWfo_`|GxRZxIk!OcI@B@#m_rha6C$>i(dB6UdJTp!n`b3@s z^1u%~Ql|)y^?j1c$CUp5(l&XPoILc2JcZo%6#T%GnxkqI&%cmo!^uOR$Wu!m_<<)i zN7XByeYF0e|MxLtM`f>t&B2O!M;0K=6+|sUicF0rb~hzE0*}X_uqEPSy=+m!rN;);npJ zqrOhoX=#_EzE0{3X_uqE?qcPZf9E)9;W)8!i{uZX&|A4>O6lKg=&jr`r}W<3vZVCh+_I+h-rTaK^xoXEtMrRT|4DAq&t+BI z?thqDl-`|NlwRf)sjIBqa$2p6-{JUd%KQ%JYmBy^lw0T%*Tr70i}1tvm-$e5tlZMC zcy`It;pCxDg(ipx};r> z`nv6hVfyBzg(lE0)~j`}+3e`%MazD_R$VUj^^d}h zMtr`zI4+>axIjIPI{L@-tEHdR^YVQOw#T~sAA$;XInD>4!neX}>?hzn{JzQhDKwYV z!8P8!|zG3LCy1Yl}zhvmGy1Y;6zijBOx_n6KzhLOCx_ngWy>m_pZdA1Ev3IE|2!t|C+sV|w=S>x>C#*v^NQ3BR$acQ z*2Vwe_^i(S((7{i#C7q2;~#z)|1uv6k5!jfhLL~$^;Pk?qyHpNgOi6ok*ARRo`N5E zWF8kDt1ho059Vdm}5&%F$uLB(?kdDfgf^ocxY$pb&|NIfe&_cVA$6wgh_v+d-ePvjXR5B$I*IYD@u z44w(a^Z&8;{_&OO^Z9>v#R`rU41&=HV>WDOmB%WFFpznMhn?LQbz-ru2X#74&v6s~D z2R2{WeqxWh-i7v-*Itq5ueaoJ(#GS&^A__D^OkkaEy&}z_&)wYg=bvCzE1X;@0hpn z`QoUmo@Y-NkMI^OFM3M3PdQg=foK{@uxY-ZCut zA2@l>TgD{+Z%*FxmPyI~i<9@fWm@t(tK0KNbN6y@b-%%N@cjSZdQS3DZ&{Fh)LWJ$ zAN7_M$)9nypLvVkPgs-u<<9o={(tdJ$%nn=9{=CG<%nDtZ^h%YwbGaGE$m6x#iP6~ zq7TP^uD2W$o)+?S1bNt#c#e|?ec;LUmJ{T`c^TevYx0zXJnTt4C&`08@Z@^SDcL@^ zAFRaxAB#uKVvs8TS*g9DS{&%6e&j#8O;8 zZ^7kuezxV_T;8$0cCNXVllSKG9?9R*$$N8opX4ub^4?rNDEW(>yf>GRN`7Z`JErYw zpGSFf`Gn-7bNQ6yqjUL;yyTmm?Pqhj-cMMPd~_~fm3*hO{YRfr^`hc%sPUq8 z$=i9wKCklbC-lm7@s33?KJVP9^ySax>`B+feqI;RhvPqYF5e?OcOp;AJ!7Aru_y5i zkq3R?$(_qb$YbY`ZRBYW@~|iI>?05Qz>_>Y?)`+5!gCMuEC+em zlXy;%2Yui%Pq6c|cR%5@@N6f~T9Ai5iRTP?(04wM`HDSaZfg8~!q#=r+b(uKu;=Yj zx1XKI?0I|C_3Zp>&)cJ}XV(pT-X3*5yWZLJ_NeRGb=sb{M_te63wz!kb-kPGe!@L@ zoNV$q@w~F26*h~=&?24j4Giq9u+SuaxX7A!A%O8;E^ z3~#}5^zGz)srM7^=FFX*xAataw(cj~)5&|@(kJ1D)}}i?|I9F z=%ckV*ykgfy z&s)yPb@AtUd^Y`j%oEs?u8Ymjs(${8J{sa$TJ+|VNc@eB@g<*W7m7*@xBMuPab=}c93Tx$itq*vxhwB1CPxq z#^ZetYDl)veaSN&`6T1JmaeRRzGBz2%_rbdYB?$itq*bBH|X15d8E%nHx_$g>gTVNc>YOdj-| z&tvnaJr<|EhPO2Cw-kFx?S5eMh3zNysO#Na-g18)CoS#OIcD~X>iMYus_Ns_d^G`B+f zW4tb+566G5w;UIq2au;N$itq*bAmkR15d8EoFos<%kY*5lBX-k!=A)*iah88Pp-F| zmhH2PJbghP_9UJ&Ja=qoO@N|)9ILO1E#B+{3=mSr#w=_Sy`ujijem#gh6G0yK zB%V^WcNhA=lj|+p$YX!E`oZLx4)U-k@oXm#`oNRxEjxtgA>^44@~|iIbdm>s;K}ut zZsF-B&r*!5_ae;XnbM(X5cZPlUDwY=4yGv{?=ksYUKT|x9xg5)jp3)DB zpI=$bWo6F0Rf3lPJ z=JEl_U*+VzxqMjiJFD9(`+mZhq~xP>`LyJtbNQU)pXh8qo6GfkP>Yg}&gCnT z?{v1mH;5l}pa1Fa9kC}}7x(bGh&~+uxpVoD@br+U z={_+}U{B&1ArJb%lRKC1BafX&9zmYAAP;*I&p3I|2cF!ye7|g;N0O&2$itq*bAUYP z15fT;eo%NGMV`JO4|@{NA@ZOPJh^lEEP3qx+D)F}AP;*I&tdYQ4?MYZ`4QPZk0#GV zkcT~q=O}s52cF!y{Fv}OhCI_j9`+=jE7d3I-O;D`&`K`|}m#Sq<{AC-Iym5Bk7k zf9J`r7Y}lH&I!-s$g>gTVNc>|eopoNGy1?|9%Ve<_ryxoT)O}Kc=ELDh+Qw(lX$j~ z2Yu)B;C;Y#&>o8)D?c~>Ue^D-UF>{m+sz(z``LNkp0`I`&#q7QygllAc3rjS?NQgW zdBC2xM_te67<=9xbv>KE?0I|C^)9s6<^JAzFOQRU9w(mHnV*^0m3iJk9>)cI3?B9b z_I0z*?$emp@%iL+|5l{nby!~Xl>WW=8Q&Aba`e5N^S654^PRce^SaRr&z9G{$jN(N zHzD~KI(g6QrX)Y?3zRQLkH;eAMe!B_H*=b;-ZL z*?ykaHQl%NbAhPWwMo9y+5Vo_bx7XMD|TJ*AF>KD#P?`CiAKbY1M^brF3y z{_T8dJl^-jx`pQ|^7I9H*pqm6lLvj^vGcg`c;6H2B@fQan6vuGGaTe$PvYq(5Bk7k z*B|5Yz9+Uvw$BsEGZExrPvRLO5Bk7k*G=Q`z9%*!JWnFebdZNViDw^q&<7s7-W!ki zJ+X1}*!%Tl^2`T$*pqnnlLvj^u{p(fyzhw}knPh?o~0lUdlJt<@}Lhqxn6fjc%DL@ z)gTXh63;Ao&`6RF$b&xcdoAJ}|h`-wg3 zdN-H1Je|i$504YiTg*SqTiSSBAdlk$z5&m82K)NiXZNwpTljqPmW?6>Z^81Sr}Q7i z&-k7gmZR?-oG;Z|UhB-Ap0|uuc(%Od4Nl(kmPyIK&dGb;GA;SnJ9*Dr<|O|bC+~U7 zg5-A=TQ}k@OOlUz%ZlWq-m)h7sJCoLe%#r9<}La?v8Ky@MsJaPr?dTCZ;`y6S8T5G zyycW!7oW-Fv#ZjV?=9>}*TvI3{?UiyKi6B%2+tn!^aXj?lX%XO2Yukl^_Fww!Fd_p z@+|TU2YJ|&c$x>RpTD9HJh|Rds^-$?ug@mWM39F)iDw&m& z$+H^dVNc@OLmu>jC)Znsgy(tW*$DEmC-IDs2Yui%Pq6vQ9*e`eF_$;y@?tNk-4E>g zY5R#i>UtM?F3>| zwl4YT{e-6b)z0;u&i41_@>a>)d8OW5J}%eA7x4IOtMuj1MpbtE`bNM0i;Jl2ve3(3aK_2!bo>}ss4?MYZ`C-{UFCx!ykcT~q z=LmVw2cF!y{HXBkCC@~Vhdqhs7-x`sT{K_2!bo)hFjA9!--@{_WC zM#wWCqJgY$-_9UKjJ za_=WJKezh(Klb}JFD1`LkcT~qr&R6Tg+B1u|Ep)`=LhqAUp!qo&s@aEZRD~2d6YaY z_mAD*U{B)NP9F4uC--||JA~(D_Y@y_`HfK_2!b zp55d@-}yW?pW9>cHZ{Di;dRAcQoA46{AK%zJ?eTF+Us(^C-w>+Cj&fAJg+l9Gp`%v zc@=pa7w|E7*gp1+u+Q$(*!>nhpSsBSdv)H;3uUnUV)ay1SAN9JH z%WJ(T>UC|B{}pHZd0y8g`KZ_RNxswB{+`zjO5V;Zc3t%DxAe<(@s&J2hbw*gUdNtv zUEIU#BKmOr=X%|c@VtsV6G0yKB%TrSpbtE`Ubl}tI4{HNt|iZOkcT~qXPi9f15d8k z?U(H{MxOZ~4|@{N0rH>^Jh@(XPYN*?rqC)ewa3C}orS~_E%z@Ef&oIL0QPp;RU5T4hO zr#;BSp2TyKJm>>YuGgIsp4XG7C&MczVsP&Ghx3o$=>MiY(|1D?x znYZZo#JVIO_7=%^I@{m%7RlRrrJlDmUtRn8>zjCd4p;i}y@fsLx>&0A?m{1q|6Fg` zCOmH@&qR=iJ&9*KdC&)*TyNPy9-NoqE&Itc9pqt8;^`z0`oNRxE#0zx-a?-FAP;*I z&u;Rd4?MZv(knb~CC^fjhdqg>pFHRTPp-G@A&IRo?LGk7oNA1r{#e$Z(&d3*-swyfhX5n4hYXX$kQI=VNc>YNFMZo z$2`I2D|;*s>&9H(n9Ga3q;@~B>!e*1-kZy(B){V1y}5iw@_*^%y}5i|@_**!y}5i*@;i&I8=1?OB_Ey3 zS0x{v%hx3zoy#{R{};~ov$F)+?fOd57dXo$c?<K_7T>=kjCZ!Fd^T`7e@ZEXc#2 z#B-cH=mSsgTz*2f&lGv4f;{X=JSWM6KJetu<)?(_m&h|0`6S^$b&xcWh3CEGY3ho30(%nA4)UN6Jh}H1I)&$bUtO2dvm`>cZkQy zJdYF4d(B_Xd#5=kAdlk$z6wwMF#C?N&+cQ{{U|=4y!R(X3f_z5MNjFc#n1R29hRf- z2lNy5-ZjTtJn!AC@N9YSX(#V_Z_9&fz2|#Q-t*oz$$#I;d*0h2`R_P+&wG0$zq8o7 z5%2AjeAIgfB_H+PQOQTWcS7>tb+(`9z0;D9dhfjCJDu(CdGDg+?Yv^wMelobhvd5W z5gwmQmA-uMWly>;&hom5J{gT zVNc>YMjrHmC)azA%l7#gd0HME^91%Jo)hFjA9!-T_oVQAoILG89`+=jQ{+J(cyhh> zGKhxy9<5b$@SiC!t=}InGEu%BXK=TqdF3G%Qf@pO_0ec;LUmTuvh zBhNyRhdqgBH+j%^K99|x_E?Hgyb-kO*TYiPd$uf@<&s)qt z%v+{-UPT_q#ZU1MDm>#?*>{S4cPf?^^FX>^$LEu`oGYG(w_thEQ@XzR`P#x;upE8O zx2v76u@v*gb5=?ToV@2P zeUkr?llQ!3Q1Uyg+cEp^M2|{7>MawJk9y0L*BBR_*|{@<$DWz(sgkUuZ!rz@t^B0L&9^IJR3nC_9UJW z@}Lhqx!$smJUB1oyz=YhY3Yu60(%nAIC;YKpymgr~S&> z&+i|}{jqquvK{R6`-8&sY4Y?0dDxS94v`0a;K}utS@PKXHBX*_AP;*I&tdYQ4?MZv zazwVzXUH=eYP9F4uXX(n?{m1(|(I`6SQ$%8)dtY2BXeZ2d1XUJpw^XJL49OPk7;yFtm z^noY$e%(3Y`Azby1$o$$c$%MIz5k3p@Z@@3shUgopT9t!%^(kZ63;gBpznMhybq24 z|L4+6?EgEm^G?0(V&}_x>)Cm}-g~3^FY1zY>uh7p3PtN*1Ng9uJviv z^G`j#yJW7nz~ipJ>L`geDcKqE}n-c zVtLV1x}o^_C50zqIr`ciPrL({V>@8J!`gWCJaMqXvvq&#&Q9L*#8JuL$;o@3I3f9M zPTo9mRXk-%@~uwZ^TZj+@2qaGY)_n*{H4z8uk}{yMO4|(jo_7(Ds26@<%c!tP>KJeIe-FSK&o)OtTUnS3EkcT~qXCHac2OgV8jOXDF z&$#gX7I|iZJnTt4`^keo@Z@^X0pVFB&q9!gJ&ETadC&)*Tn{=VJYOTva*&5TiD#BP z=mSr#2OTDl?a#kWp0ywkdlJtP@}LhqxgK;>cz%aGn?WA-B%WjBK_7T>J?J=jipzcF zJb8>ftq+fVPQ{+YbAmkRJD;cC+-To#thb(hFSFiy_P*3x&-SN!>)CNoZ#_GH>#b+! zoqFrp`Lf=6cAl@do?V~nt=I6Ns<#)1N6mfM3++L_%j2$t$DQXv<}>C&Z9LA9$8iRK zfw%k~`*ySM&c)L6J&4aI4=N<6;z3wm^pq|tetu!$L0FEyhjU)l@A=)&nPbg^T8bU) z{QDiF6`m~*dVrHR51Ntugyb)G@}37xNxsv`n+HvZ2hB*n!^wLdG%xv`)%PXagBB$p zy`6Rl$%8)d*ym$5SMTQjUOZjnW#`C)>k&Rj`389g zgFNg>JjEHT>4qCxcA*bEc0DtmM>#yDYA$^~{QKk?3-Yih@oXax`oLq?VdL>Ual7#R z0ePl^JnTt4JII4R@YwudJf0_ZlE>b!)?jcx(=~?c@EO?;-No`}IfUSr78C zC-IDs2YukN`Q3OP;Hs(o$RywEcW|Ad_H*s z?sVXO36>WNj~Zc zE0T|T!kXkC?`%Kw1ifFfDfzG`Jo0Ds1j*ZZ#jcBw;rm$}Mm2Nr0bUpF^SD3d@!3)7 z%l8EKr0e2AUKi1a<3HCE4hhc*@|1%->`6ScJay{WNd2EjPGx7`udDxS9j*tg^ z;K}ubqq2SeoIGPe9`+=jW8^^}cyc}AxbXZ1d8UFq>`6Q)$b&xc8$Yl6?s;IJnTt4=g5OT z@Z@?+^9yT#Kk2W@vmWGOPvR+6dv~D^JoY)dZJ&oa=fiEnbCNtwkBWH$dlJuf@}Lhq z>sQu#o%g-E9pth7`TvloEy%;3#M4P0^nu6jTiEt_h_ijVh3Eg0rz^?D zd6e;Zf9Ii>Ja+y18}jr8dDxS9`pJX7^Lgsc>E@&L)-#W;x1PN(_13ffsor{a9MoIS zj^BFg*?FhldUn37x1OEn>#b+kr+Vwzb+z7lHV@QW&*qqV>)HHOZ@mlcb+5gmdS0pb zx)=I>(khR;VIFs$Cz|h>CrZ;_Q z=j1(4T$lXL>UPY&pR_6Y=Q^+NeXp)%cdaK~?c}}h)wM}J>WLkaf4=kjo+p+iAN9mR z$w$9eH!68MPuX?$F?>IZ!>Gm+hvYi@cRW7FDt-B$$ewha9pQBreK`K@{AxUpc6jy) z&$q}k735)0;u$9o`oLq?8RPNpm+TjwQ{JZ2mJI&x1|~&v(hw7UW@1;yFnk z^nu6bR^#zJ=oEQufBqhMx`I6HNj#^?gFf)sylp(52b~d~?~|u5$itq*bCx{l15d68 zog*vf)8D{XH%_U)I}xcAl@do?V~nt=I6Nn|?no zvOsSjk9yFUmY)&IofbE49h??LQI*V*mW-d*U!@t^BKJA`MQJkvoQ_9UK8 z@}Lhq_W792Mc()7y2*p<5xnD{$ul41VNc@OO&;`t$F66_<9)BLmpnEX{R?@Pf;{X= zJpJTBA9(CKY&@PP?h&4UCC_S*hdqgBh&<>6kIfIp<9Xr;dF=f$bGZ5rqPvSX39`u37JgDBB zYuxqLv+Z4PJ@dhO>#bjz_qn_IcfCH_KkKb$pLf(-&yK%(>)Cmu-g$nrdUidpx88-m|C0N?y8qyDGRos*_KNEM(|=X<@oGNWyl@`B1ifFeB>4|GdCwD8B>zDtZ=Rs9zb5(jIeE_$HYC5Z*t!u$A)V?;lFr% zPE`8xJ%K&xx_G?Wy9<3d{_T8dJl_416T)+rJkvoQ_9UK@J?7Cn)-W+^Ncz#Hp z`5+H_63=P!pbtEDJu@C}4n9L3Trc1)KO)akkcT~q=PY^92Ohf)8;>^!pCgZX%XQ>g z4f3!j@if1vvS02(A9!qjFrJ53|NXBjo~~>MTn?~3O4VHY{iIFuYy^4OlX$j~2YukN zxyN|CxqQ3u{Fpo~SHwJlJ&9)rdC&(Qo7arTyI;~NJU<~%dyt1ciKm-9=mU?Om8d&-I}37u9-D)2)hm^5Tmwo~-ocdk}llb#{NXcNh9_{O5Ym0pYobJTpNa z_9UKzJ?DH&}L%jPXhscBL5$5cR$+HmTVNc?jB@g<*W7jj|@$Q!#mhE#3@+=2= z*pqmUkOzI>vFot$c%FDvc$&$x7UW@1;yFeh^nu6b2jlTP@i=+x{kkQ2HiJCuNjxXW zgFf)s++#eRC!Un;a|wA`A0P7|_9UKDJa=q-d@Z5?#9YG%UB%U+mK_7T>J?JcX z?EPvXPdUiLp2TyGJm>?D&F}VpdG|}2_g2q`#&c`(3TI(hR1y9-t&Y_$wxh*rMK2IzV5ufd4m4k<~GSMIeG7XNr&X4 zp3o!tKX6{(JVEc53`jog36c+cg5>SIV%J6Qe#v%T7vXJ{&zpICPFDKzJ%K&xy10Ya z#S)MITu>Y?i@TM+ozR0Ye63NB%TrSpbtE`_e=H(&mG9K8RTJ4;u$9o`oNPr zm+u#zJCdjM2{BJ#PvSX19`u1H_kPJi;kgreI)XgxNj!(hgFf)&dcrJuY=7QHo^p_f zJ&ETqdC&)*-1{X*gy+uW84U8UC-EF55Bk7ko?z!^?|#WK@?6aG^Iga@7UW@1;yF$p z^qtRBZw@uzs<&R_dtNtvU&YSz^|qf~pX#k=pD)#0&psEcx1L?6>#b+=MZNVdw71+` z_e<`|<8F$_o##R3Gv-09JkF5EaRz^Zx3sZumVNepGxH!mpFHT&A_ot`@}j47`{HNZ zFTry3t#e*g5BfW2jx`U``z6a2o-Gghwv#sx()%T=l0W6-Jr7!!{NFoy^B}!nvMKq$ zb@HAEwOm>2F;Nd{ll*1HyHm{7H@e?q9)$Dy`S(jYBpu`5wfcbe%oL;~#xE{_Xr~Jl^-l zP7BZ7$uk$^VNc>YLmu>j$F2*;;fePk&ti~=J&ETWdC&(QyPg@3cfX|h zn%etY+sU&MJln~GKJeK5U_9Rak{#r^ z1>diqBTv&+G4Ehc;^`z0`oNRxiQVM6m^?pEp0*$ldlJuX@}Lhqxn9;QJniJ^3i7Zg z@${1iec-V<*xoPi_e=JW=N8;P_aaYUkcT~qXNWxL1CPz`#^c>D84;d)lV>={!=A*m zk38rDk9iRO-HrPtjr%3__5-_~*IVyGdq?i?m)wWP$pnuR&l7CkH&0mRbr5+R7ntuc z*Y9B8LH3znm?!Z0Ez85^z}C+f7Z!+p3v0yvw1?RVB%VX$K_7T>=ipi4xr{uEK_2!bp2OrpA9!-- z;3MR@1Jln{FKJb_)*!kJJ zU$UJ%c6{w3&qR=iJ&9)rdC+%05B}W^Z)tc-z5T%Ei+bx_Xm7c>?w54&xSQs2=XsF% zjCs)5HP!PF@;J`mFYuNJvF|YZ?pi(nrQa{%^T~tmSmfYASYGs$?o|AY`z2V8zI!+x zbUQA``vfn-+ITb%()%SV6`m~*x`UJVJZMeww|DZM2W?2c)ybO&>HU(XC)IjP$;o>j z)GGPt{gQUcUsm1T+4oDjBp>Yt|uOn?bAb^_8<>?63;Ao&OmtC0LHWhjX6O?^Sg;bDnvE-Y;3M@N9WPr<3i_JfWq()-$4>&?fo&Iz!$=i9Qo+q5*bTj zxA63mr#;BSp2X8j9`u1H_kKyg@H~M$JwYD!B%VFwK_7T>@0Sdb$L8`Y$ukh-VNc>2 zArJb%lY75ppYU8op3xu=dlJt$dC&(Q^8`CTd-qHBlgEy)KJrWkdDxS94v+_Z=kwH? zL+$%%_0}^ltGAxLFZI^5{i)u1b{y1O&yL@E>)Cmy-g=j*L!*Qa{x+2>33 z*0ayW>aAzj>3Zwgd{J+`3+*j8*Zq19%tG*xE z_e**tAH84FC;6xc4NCqBXZx84>HU&X$wxhCQu0v`nwGqsr|dfG-7h&L*V!lY_?)Tq z<$Dl&(sg#0*ID%8__y<`@p!*qa#(o!$+HmTVNc>YLLT&i$F2*;>eVNc>YMjrHm$F66_occBkFHU}Gz_xmN=$YbxlRW4Hk9iRO-HrPtjr%3__5-_~*IVyG zdq?j5l4tQanc{Kcd4kRR<_S|gk0Foa0`ooQ`e(CmmVL$7_;LMB->c&D$rIX&96SNb zi=NWmil0XdPr!2YUBh|qmerohQe4lU z+ByGfC+~SetK^^U`!>k0kBGf1ALAP;*I&mQui4?MY^Fhm}kW1dT%)gTXh63+;E&Jay?;Iw$Jm)(-Y)jPvSXD9`u37KG(J5-TVJtjtI{S$TJY+VNc>YN*?rqC)Zn! z3C|13GaBS!PvSXF9`u37K1a9h^H5%&E~!3VgzFjRh7-awOrFUg4|@{NN%Ei%Ja(VJ zcpl>LoFb3y&o3g+Opu2?iRUzV&<7s#7US{m-<*-{vzI&zK_2!bp0ngZ-}yZC=3?`| zdh40D)?3fsmwM|p?%!1Xw%Bbep8Ks^AHt*D1??QXj zYpf&*MJdO+a54>lDeW%!0{8-~rd_H;9-HRMN3d@V0 z(mjfwM+%R^a`e5C^R9Z-K4%X0JgWT}wH`C(Ug^vCDE6f5VyW7@3w=2L?R;oF-u;$s!t)aHG(9smm$N7F zY$p%;z+>le>v-$lbEw!N}jeL4|@_%Cwb5Z9=rY+k9WVNn>^;dqvYud@~|iI z>?RNTz?17yy~6V{^7I9H*pqnr$%8)d#^Ypz$BE}H#cCD*FmKtUw;+$> z0=@yycs2W)_f+SQ`!HwU6XWyATecTDcng*nJ*9gVKjZ!nmZNW)^JPo5r?M3D#Q|sT z^t`2~!n1Y%XUfTY-qI)eUvToCw+u@D7oEI$i{5`4mHa!MyyqMfg+xATh4!JfAqdugq=yoSeT z)3aipz@BtnJYMbHg+3hrc0M#7&s$Ci&uhuk7UW@1;yFnk^nu5&3&!Jp59*ZgjFYD; z$itq*bDBKp1CL$LjK}*P)EV;NdV%xY>&Vj=Ku7&E`L3F zhJ!rpNj%M?mHl!T`oNPrmzS!!^!M`KK%R*p4|@{NHu9hkJh^lEcHx;I&vcN7J&9)r zdC&)*+_}6{c-}~!`5+H_5>GdI&<7s-eBAb*?&|*fs!O=v;zEu6cQ<)#e|{5rmV!L& zNj$yeK_7VRbA99S?zi*{&zs4!8suS5;@LwU^nu6jM;MRyJ-Q*`*-xI0AP;*I&j@+Y z2cBGy+D9Hc-rqu=mS@MV7wkzqVRAqCwY9fSNihr|F9=r7Z38fh&~+uxgK>$c-~H) zo*)l<63;Ao&<7s-Jj}L_cmL-wd2G&m2YCj9JnTt4N63Rd@Yv^g#^c@pIV#)do#Yt} z@~|iI93v0`6Q)$b&xc`6T5$b&xc3(tGW(-Y)jPvYq%5Bk89I|ug*&-=(T5aeM`;@LwU^noXL z4jv+p%~kIw&uEZ`J&9+8Jm>>Y?i{>Nw$C(qCWAceNj&4^K_7T>@3-t1o)3^`Cdk8{ z#B+c==mSsgTz*h^K1iO0AP;*I&mr=l4?MZ|TV{plL*!Ww@~|iI93~I?z>|A_;|O_d ze?CN>wIC0B63)Gc^_13e`#pSdPB`%lT68Z!9}=r{^uB z6`n0`IpO5ZTlD_MgyjFk$$Q>1CHX&f^5!jie`7}Sf8^vnZ<&{T)LRxMe_8c?y^%MV zx2QKPOTNR&o406wRr2?9@}9S>Oa70Y?PuPi_ct~rAN7{j=lyKn(k^*Buh@0b^On)!Rn@|1%->`6T5$b&xc*m>M|y!#u? zFR%Ul^%LY74Dzri@sz5)yU+(7yZ#uDcYk9Wd2EiECC^xphdqgBJ9*Ft9=mQDkM}*g z9m4ZT@=OJJ*pqlV$%8)d*!A9cyzkL@+<~<*pqnr$%8)d*c@g&-rp13BRq5DSqbv6C-DrC2Yr?O=c?-GL&oEMFKdK6 z_I~{edDeqG>`6TP$b&xc*t~5#-u;bn;rUhaG!4Z(fjx<5KY7px9`lxZbDwe7Td&~> zH~oDnJ8#t6es;dAx1OD+>#b+kmwM~jb+O)hc0I4R-i7vr-1{59#^a=o$BE|&Ht(A! ztn#{yJdO*@_n7Msv#*nV#g90jCr{w>$rE-IId}q=7d@r>7C+@}4KmN&eeT-t&Y7$wxh5N%EIf-`8wU zSdo0x6V@buKj-y5PuP(BnzQ}P6ZHN@%kyjJ{HQ0iOFsHNs4mIddBv`ao+ljSbx?!=A*mjXdZB zkA3cK+sFHRV%vr1H_6lbf|w_;C-Lkc5Bk7ko?txQ{f$oH`2u-5f;{X=Jl*6$-}yZC z=Fo<>+_aC_`JmqRv-4QJ_3ZpxZ#}zi)LYN4clFk@>vX;KY`&@(lFzIYzr=i>9pTXq)9;VoER^pq|ue#ZR` zEJxohZeMxbG#_Ir=8GRXbEoGmlNFvVZ~3v4H*eAV8`F}%&dGb;GAH>>C+~U7g5=LS zdCyyxBp>yb70F*#eIL^Mg0~Cri+6uxP4ZE1*^qqHTbf>2>m5IGwx4;6-rs1I{N>)i z|FPB2{ovW>|Ncsc9txzd;KE$m6x#eQBF(TC&T&WFb1 zdCMN*`7(J1gFNg>JVWF`A9(CMZakj1jF1QCXY9X6$ukz@VNc@OM;`Qn$F4ud<9W-t zY@e@?XDZ0Up2V}CJm>?DT{n%#^Ogg`^HuW91$o$$cn*>Wec-X{z43V7a)>EY1K8xg83G%Qf@f;x!`oNRx2}gzJYvfrE@~|iI93v0< zD*Mk>dG|MtlgHk#-zHDfaLg0flXy;$2YukNdE4GE@BYR~;rSi%v;}$ClXy;%2Yui% zZ>cx;8F#()8uvGD+9&M1QE&U%`L5o2cAl=co?Tz+t!LN8dh6Nsyxw{j+7oi`Zye)s z(#7Ls_KNE9-+xv0@oGNWyl3G5&xE$LR*LSRqN6!-mD?D4C(BkCF#ejqVPf>q=U{vzYsJ`Bf z=Hx-<@$Wu=PMnZDHbB99qx^)EpOXAGhkx4PpOO3>o&2JcpO^fdo&2hkUzGfvoct#9 zdVgtI@`KLyYbyq3ynnj=S0#UY=k?3X>-)bh`P(`9VJE*S`Bo=C>Ev5pRO>mHI{7)~ zb^EnR{`t=KTVh_fUx(yx>%9KD!`~zMTRZs{dX{egKFODye20@Cl>BX+d>`|={YE9f z*V%rf%ko+}Hev0|xbXar#n3DX6lV4ySzwdVb`DaG*FLv^4%->q_3zGk5u4i-E z>)3Zm_3)Dfdc8~{s;F%GgS2;YLvVDG^JZ(W9_9UKe@}LhqOTzO?hiA8JpFbc^ zSCEH2iKmx5=mXD&@Dxv1<^?^z`pIL**KzXn1$o$$c=nJ7ec)-kqW1VIJ|@0No+07+ zCV7T~JnTt4BjiCJc>2gwcdpJ{Z!B{?dtd6kuI*3t*0bZF-gE!%zWpKh!wK$(<~fI%{{kO*9HqZ!wZ`iV^4Ra- zC-9O#V&6gbS|*1CsA>@^j4VoIWi1sOOAH{=UxZd!92X`TIEe z7S7M=In$Eg>Et_{{G8->IQc&2b^9$yes6Jhx~b18Mw!QX?|jc#lKeGJev0|xbXb#L zk$lv1)+8VGoK4Bwb;!KJ^PF*+dzN{9Xc~#l&Fo2Y&wkE5=&Q_O<=Q#S^PB_1^T*_A z3-Yih@f;)%`oL2b9?x?Q3D2L9rz^SyOA9!*-=d|$r1$pL!JnTt4XUKy- z@H9NzMw?|Dw2qB3qZ|ieHobB=VO`EIR^%9)^i|vas zyzLVIo0!=?ZR`C zJQG14_9UJiJay_S$Jmxw7hdk3k9`+=jZt|cHJh`5;Tei>tCC_}2hdqg>mpteL z&ywsv#nYAJTRpI!JhpxQhCE9_9`+=jJ>)?jcyc{wh&-ILE?OneYLJILiD!g7=mSr# z=j;=nza`H`kcT~qXPi9f15d+q8lHpSBgws=^LN}2TV7iId@a{=Ht0FXW50u+z)QZx zzU}Pe@s~V@&nM4$V3EW994s$-O1p}maX$yk(f10@BkDOXbmkP#bGj-#Tb}c5C+~Sq zS@KcO8IXL`bA~0q*Wvd(XH4?LPTupJNy%U1ZT&vjnk^PE=6KgY>?p3^S*tDU^( zIbD(;bn>3(lqJ8nI6Fl=XF&2%&l#3{)N{rpAN8Cm$>(~`0hxPF@%k`b>C5*V_N2Mz zAm<+RRpzjAp647Ap1&v0e2|AdiD#BP=mSq#cs$QJEIi*P&r*`6Q)$b&xcEXn&-Jbja%bCNu^eZEVc zmX}rMCoG4r7Z3lVx3eeloFWhUz?17ar^&-P>!R>YuIHQ;p6`>V zC&(e_7M?|<9Rdd>v*JLIw7 z!B5~N|G>Tx_VM^jp2O#p=XB9?u)OFgJ&2xz<>-4C=MnXsH#&2Q=Q$G!6H^*zrSl>DomyyrQik{@^S zp65(R{xweC^PDNk?=8+w5zm>C{54K~%K6^fyyT;vvnctf=d4IR*K$%8)djXG!*-V!XLY&l!^K^RMI?2=cHe@r;lMec;LUoPDx=&X8v`$itq*Gfp1#fhX5< z_6yGs$TJz_VNc>YKpymgr|*jD@osaxJr-|U#V^x5pSfP+_j7LAn=bU<4Y;{}Kj+`L zAI@+;%=Mfm?sv#zzk{E^Oa7gGN7$#H!{?LdJh;f=eh!uwJ*9^fKjVH5mZR@eoJZ7i zKIF_Pp64u8c(y#}-A>-~oE6DOJ!ehwQP0_s{6`#q&vTmg)z0aMoV@2bt&;z!llMHQ zUGg7x@}B2(Nj~a1WyycQd411w1|)$pE3C#&zJVRJ>F=&%)c-2|M$N`u*v;!jr(D) z=S=Z9Kpy)Y`~+U|WA>e8-^ImJe9zkc4gsG}p3_aw!SbS~^iX;ZmZR@$oJZ7iKJUya zp69f@s`fg6*vWgI(N!1C5*V_N2LIN40ks`YLl+InQ%Ch3BW_nGEu< zC-HQX2YukNd86L_oZZ56jyy9#9`+=jUh<$1Jh`6JPae!YxSw-9c@}~^>`6R($b&xc z?@lI%a;{hWQWeQqGnT9Ai5iD#TV=mSr#=j@m5(^ULD ztBWtb=;F;F4|@{N0rH>^Jh`58PnIegZGK1^afh57+ziJ%`UH&v_U<2g{3|(!=RFSdPBGzI`R1<_Rpt z`S*{w+|I|g-1D4)3eVR4oZoZup63ipKI%DRl8<`Mq~!m^;rBdeTJpzq4^*zs7ll&hzdCzk;B>#s_-t(NMSJ%$z$DO?AIjxe9 zdQQ9Kzu~;T=Q&-H|GJZJ;he93Z>=o(-*@sIPJTf0OHRIzdHp*C!;;@yJrAYx5>8h* zFO4#f^WOQMGbZ_{=S)gI>N(Srk9y9$J?A8O?7Dg@ z@@xiq*pqlpkq3R?$@QGmvVB^})B2j&+{~WDbA~+V1J9DYU!LcjmF;tD@^l1w*pqn9 zkq3R?$@QG(V#hlFd!e@>PdUiLp2SnC_U=L-cyc{woABJ0JcB_V_9UL|J8lKbe zoQUU?xF3#jKg{)<86KC&W50u+z)Nn&z60#T_5OU%;q%FJdWsyrw}$0KPw5fG&-mUN zmZR?nx4&u6`7W2+`50?s&hR{Eroyx3Ijc_I^PG9fM?Ggz@=?!Omi%dl-}9VR$$!tu zd!Dl{`G0Wop66^z{`*ee^PHB~*3S7+&uNqVcbwPvJf}nQfA8cy&*_o;nv?fDr%&?V zcJiL*3`#!gIir&Qmh<|a=S)ccZ=Jm7Ia889<>WojnUVb8IeE`><|V(kdcMi_oJGk; zJ!e_+QO{YGeAII`B%kX!oig`a%Im{sr7z!e*pud-Zq7aEtIT2LJkQxJJhvxL>v(K# zW>4bjB@g<*Qx=}$X`6Q$ zJay@6CY@a)lXE4aap2Raw9`u1{N!~BdbN0*jxf6NDf;{X=JO{{wKJesv&OzBe z+sHE&z=R`c`F5C|nxgX|wPCNHI z=d?>c>N!1<&-I+6GWWFc`cSU) z<$Df$(%f^5*B|s%=CE>}=NuQFyOC!w$itq*bAmkR15d8!oD`nBlV>c*!=A)*iah88 zPp;>jCXac}J;*Z^QsOxPM~X z=bq$Q4Dzri@sz5)yU+)oT+i7?9?n@8{Tz8#f;{X=Jln~GKJesv&JN-EdGf3WdDxS9 zI?01R@H9N9;W-h{Y3F{}^oHu^S-GAw&+`QG*ze#c@RED6ZyWn~{3Xxf^T~5|({r%A z=qWv#o`dC;zSgSe6i-*~*I+5`+uWJU?R;a)J@N9Wb$;o@3(N%s5 zZ*%xP&zX??U7WnsN&a?D-t(Le$+tRr&vSYtf2ouAJf~0c zd#mT6?E5)`l8<`MsN|!bGa>n?=S)jJ*K>Bu+;eYUA7(0j`JTg`H23s!?m=H=4lCz* zPQUQnhdc{G9`+=jJ>)?jc*?>v#{EVAKb9fk*+HJ=AP;*I&j@+Y2cBHd*+(9`uHKhC zYe63NB%X2dpbtE`p0i)J&rb4e26@<%cn**Uec)M=_shGVb5OR=W#nmnV|9MQcFXy@ z((Fk*hsc9I@Z@^VtZbhS@^l1w*pqk;lLvj^$@QEg!gD|Jl!H9%Njyi%gFf&yJg0U) zv%fE3=N;P*?XlP`|5yJVg8Oqn9OQnO>p5NA?~uoS2S0(AT+Y6I?7O&Fdj9v;_cqZ&)Mb7DW2y{S9rEOXQz|*JZDbwQO{YBeAIK6B>!NC z-}9Um$#*$<&vVu!{}3nddCrF9ALQgc&uMy7?VKI;oL0#{z3(lqKKke$$OqNE%^>7?|IIgoccBkFOS1oXp0kZS zwtXH%p3xu=dlJuf@}Lhq8^TlkcZGOAZuGs!9pvGhbT-&TX-Hqo|zyIdlJuX@}Lhq4bN$KPUL=0H}}H@?uWUav&i!n^4Ra-C-9PovhO(i zc>JaNIeb2OPPxe8_j9nk=qX)M{EXkv!E*FHi}OhFbdBdc(V0^`&sneVYC5*V_N2LI59c2ARpzjA?HpEIo^En391@;~lV>={!=A)5LLT&i zrz|{U4$nT}=^@WVkcT~qXPi9f15d8!>?e=S@sA+SbdZNViRS=$&ycNqn^{MdFS;#&uN$Z^PIfrIbD)} zfs^+ec;LUoNeT>Ilh-XO_SAm1wNMZJu&tqp6%p8 zA9$AJ{qj6#hisoGkf$xk!=A*`NgnipXG3^A&*_%!b0vAYf;{X=JiEz*KJesvPOtD> zMV`JO4|@_%KY7pxo`&bt?)Q!FtyQ;&o)2uh^l?8N=6*PPMfG}dn2%rJBhNFJTvzx9 zJZhQeQRK1T!B5~NPh{UX`z&`obA0}pSR0=|^cKr;KL^W;p3)PFpK(72%hC5soJWeM zYdq&I&Ya?T&P;`8%X7w^yyrRdl7B{(C);xtC65h&`_Q-KzYG6dY>x@&e$cYyCmnvz zb5!cdd_~~=_gM|kcT~q=Ky)o2cBHdIY=JN3%DLVg*@dT z4|@{NA@ZOPJh`4TE8FL(K_7T> zJ?EHgp8@hr1$o$$c#e|?ec;LUoD;(Hbn?svdDxS9PLcp63im{$ozw^PFMHM?Ggu@*j3y-}9VF$$!Ynd!92b`Hwhx&vWJ^f5^#up0gnN zsOKz6{sYeId!Dl*`S&|{&vVu!|3N43dCrF9r=7g#IZX#@kN>FWv`RkeIqi~yER?|IIQyhDal7YXHN3Dp0iKp zo)_@?Fkk7*_Z;@5xo4bn5Be%|SUJyg_6yGo$+HyXVNc>YKpymgC)aZh3ePZkR)ak3 zNj!(hgFf)&dd@6)%yV8uo{b<6dlJuK@}Lhqxt?=Gw$EPjwESXqUIAy$_tw~xc#e_> zec;LUoMWTmJOe=< z_9ULuJ8lF?T-`n@I?7U;gg*_IB$^YuVxAqe5hojsNb3JF6`yKMw@8Bo!l9#e? zKl?5&mKO7T@*F;&Jm*RD94s$-N>8TeU^)8!hVw}AbdBfysWYc|o-pCJZDYvC!DUGjhI zyuRl-U6TJJC+~SqS@M74Zf_dI7<@=?zjll(WG*Y`YUQu2S`i~l=Ju2RwN(woHfZuJ!ez$xt?=Y=AKbr zADVtCHm9;D%{}LM{Xt)44lCz*PV?((@1MMkJZ(W9_9UKCwRac#z+>}9y}!4%O?X~T zo~|GddlJuf@}Lhqxt_CwJeZI0IpizI(--7nPvYq$5Bk89>p9)BefE)OILO1E#Iu_` z=mXD^>_6W9oL<>JuO!bzkcT~qr=L9N15d8!?2+yBD)LMRdDxS9hRB0H@Z@^Vi11uX zp7|gTdlJt+@}Lhq4bN$KPUL>h827^^?uWUav(D=b^4Ra-C-9P2v+pGP)N}ZJ@|=Ep z4we@^rKiwyupE8=!Fi;3y2f+&G&-t(M6$^Vm+_dI7*@=?#3ko@kO8)Pid<*CN`QpTJ{S7Ub+yiHy^_&jLM?I%U@=?zjkbJJ^?3cOcHM~9y zR{HYq=ddTuJqI}VpszBAmGeC3pzyqwJYzu~_9UJ|J%EB|o{YAgGHY+^i`6Rl$%8)d^j%Rs-m#zJex5xRKi1y2$XstMb3J=s?DgzXU)T00d)^*(Jv$EUd3)6L z?D)0k?NQgW^Nu}lkGfu4Idl8nI&Zz6%=I>l_Yc=~1oB!`28F#N8fGl zP|4$Cg&NPf!I@J$&l#xjYh+u*=v&jsgw6SXG8M;?c_br zX?kz%oPEy8d!ExO`Kaf#Oa8~s>wBKlCHd=|yyrP($^XR3d!92O`AsM9dCsup_g0U$ zY|j~!{54K~%DJC2Dfy`9OiMoMIrEaY>yX{AC@u#$|J>;R_h^27?fsMeygn>c`nIlj zMZ7YfG*^2r!3ho54J^kad(zxfs`l`6TRJmddsJkLNjiWc$3GJRLzE_9UJm@}LhqHc#93 z@&4Z0h-{yCkf$8vVNc@OM;`QnC)abvh3B2*84U8UC-Lkj5Bk8<@SMi|oXGv01KbbC zxF6N$KqdCowQgXds*(NlVQ@iROJ%h7jV&cnsil{p?u zG0)%4nUg)wnXm9{c}}a7_dI7&@=?!OmVDH6RwcjP;rBdeUGjH#@}B2xO8%Zs-t(N6 z_t(zp_i*x_=d?*a>Ny>fzpL~5Wyf=RB!6co?|Dw2 z{GFWF_dI7x@^^6Zp6AR+ew&l`JZE0=cXaZe=PXJ->N(4jk9y9knY zzqy`sQ0AUr;Ps)U(wFZ!>`8OaA;$itq*bDTWr15d8!oRIDFZt{!< zdDxS9PLc!M1?|IIGlE2)^d!Dl)`3E|A&vTkSSbO|;I(g4? zS|uO#oOa1aJ*P|ZQO_w$KI%DxlF#*=ZPocC{k^qmULS@lefgflo;3Gt=iGz7${beC z^PC;R^8xZq1bNt#csj|0KJesvPPg!UkUY~t9`+=j-Q+t>&N4xdk+vxlC8d!Dl_`Kaft zO8&{t>wBKFF8L=qdCzk;CExGlJn?=S)dH>Nzu#k9y96C2yc z*pud-!@T~WuQG>~^E~H>@O*+iD?uLiB%Y(>K_7T>J?EJ4%#vq4$itq*bDTWr15d8! zoFI>R&L_#!^x@c?%AUk?l04`GPp;>jlI`=$Jay{pqY@a#u^aXj?lX#jZs`pRO2cBHdDOGdnbB$ji&v1~3J&9)dYyg=PXoswmjzrPTupJCCNuUXGQW+&smfF%N>5tb2cPD>f}AoY5GX* zoc#(X?|DwE!UP^*zriOa2-s?|IIE@-KAqp6AR-ey@}FJZC}j!%p7woF&OeJ!eJoQO{YEeAII`Bp>yh zmXFpR|GA#CL*|~tygsy6`tm)8J!$Ug*VPO@~|iI>?RNTz?17a zy~6VwJay@5Uw$EqCGZo}vPvY569`u1H*K-cY_W3M%=7K!zNjwM1gFf)&dd?x?`5bu` zgFNg>JhS9MA9xy`)9{>#=N#dFxWfG~*K^vq-yx6v?m6_5&$I6|`_yyzeDa(@dJdKs zJ*DT;bFdtq^Ipy)w-nELyECVFp0iou+47tTC+~Sq%S`QcKI%Dbl8<^$hvW}9{GR9Z zNd6s8-t(M3$-m3Vd!92W`FA>b&vQm4KUm!#ZuEQLZT$Tj?02^;{tnA+ibwptt_jJ% z&3S##bEYK!7ANm{&Wz+IoxJBc^OAq7llMGlQSwpGS(f~po!9p~XI1iVbn>3(tV@2s zllMGlQ}S(~`5t(~_lh=pAN?*R`uqVwuM|u50Uu6y}=iSdaCOlst&sdO$J&ETydC&)*vha9+ zhv02`4V{+gFNg> zJZH&+KJYBb`{n%|f^)KczD%B#AP;*IPxBkA_fOCVo?Oo|*v9=Z*K_80o@_ya|R^8*V+F(&l#3{)N{rpAN8C`$wxhB zM)J9y(=Bt)BCii~mA?GBhdpWT+0D5JeU&+^oaZ^c!t*uqECzYllX&{cgFf)&dd?o< z`EBy71bNt#c!tP>KJesv&IozTbAE?B>p>p&B%XcbK_7T>J!f3D&oS~e&Bo?t_9UMD zJay{pOY@gpHPg{_OJ&ETadC&)*T+cZq+voSl(-q`lPvV&+5Bk8Uv7 z^zWn}7M`z@r!UCEp2Ty6Jm>>Y!*d#*6Y-oS?uWzN4|6@IgZmxw*zcZ4FZl-h#@VNy z!{?Ld3>7(e4we@^rRNtv!*j44p7RaPBgNAdzrj*?&R3i{#q*q*3eT439C7lV=gdn! z>N$&&k9y9soUJ9*D@rX;`MsvZp-fNwrndRx3N$&&k9y9E{-(U`8Oa zabADW*O3UggZe~y7IY}P$fhX5#L z=I&aq&qv8K8suS5;@LnR^noYWb2gHPbJn_f@=OGI*pqlRkq3R?$@QGg!t*imOb2<` zlX$k02YujadrsSPBA)Yc?!!6m!$X%guNQ}T`)1zqd34b)3;#g>EMK>f$G*F7aU5Rq z3HF^}Uzxj_Io_W<=YGX;cn*#iJ(c?xU&C{79DQHmJW|}<;yIr-bBgnvl?Kn6=X}KE zo#%ACymiiwdQO+*qn^_v`Og}D=Q(|nUod&+IaSGj&g7lv3`+hpCht6FSn^TN8I}Ae z&H0_@j7$C#Cht6FQu3cNdFMIPl0RYc&U0oZAN8ENuKg@*g#M=Q&G~|G3FJ z&smZDyvaMy>6mVP{*N?YkACm{#G3isT9@Rbp3@`wsOR)aKI%CGlF#*=?K1bA;Pqj! z(U`8Oa4$eL3Ys_KQJkQxFJf9>_Ey%;3#IuV$=mSqxc--gKb_>s^$TJ?~VNc@O zLmu>jC)acKlBb;GKTV#gAP;*I&pz^?4?I({KJNdYv!6ViBi1dDXEw;gp2Ty2Jm>>Y zU3lE*)((=VT%XU7XFkZop2TyAJm>>YuIC(<_4zD$mV!L&Njyi$gFf)&dd^Yd`5bvx zgFNg>Jjcj`KJc_Xr|mfr&pF9`*!7C$&$A9)n&&zF+;_-h-`$^H@_F`cVjo|h(&yHA zfAXB+A_vdG@uH{lfZ}U-4vxcfe$IKMxVy!3zGvnX=Q#rno;A-|GI{4YLz0hrPEGPr z&l!{a8N=^9XF~GdH+kndQE0%-g(Zl zn?=S)jJ*K*GA9(#)mbKlw6wI$zbCSHO8u@%xv>&HwoSnPpGn*+3rjfhX5< zHj<}YpRbUoC&9jX{@&w?-t&L2$~~?>^Kq-i z_b;cfyuOs@D{qzWF_-4`eU1CD#(kLUId#5{B9DFd0D8&S*|(2<7ZhOU|9%edPo6VU zEDrz-gi%=w+?3`)MkAZ4tV+JT4we7E_TqmV zjo07b`<&QLnR}Laedu^~Y))lQntOI}?m=H;4y!h=cO}n54bN`j`38BqgFNg>JbTE4 zKJb+DM#bJ-<5aeM`;yFMb^noYWa}LV- zoF>n3kcT~q=MZ_&2cEj@ANPLFVOgJVlV>c*!=A)*ggod2&x-KuG5h(btj~AIGa2Mz zPvSX79`u1H*K>{w&v(f)6Xan};yFPc^ns`CIc?90yq~koeOTu{%=MfB?mOhM@2;Si ze2;x6*>^$n`I+9&;r+>Tb{9E#4vrT+l?N7I!*g&Po^vP8bH&{)K66Vm=Q+<=ZSbsl z&IXfrp40i7);ayMCeQE9IYaksUT^X5K7UT>mVDH6dL`du_?_qUOa4|S?>uKf^0zU0 z=Q%@?zqQFbg1>N#VQzlAx!^PCCE-^}El=S)d{qscqZnUVa>P2PFVoaCdPGcWm@ zn)5r)S(N;ZP2PFVvgEr>-g(Zd+`P^E!UVqTnn8T`ho^wWcen6hlAP;*I&sp-I4?I=j zah`Kdc+QY#BFMv@#It^1^ZgU_fhX5>YuIFqd59f$= zKO)aukcT~qXA^nQ2cEiozntf6mi75Dc@}~^>`6RZ$%8)dtO$?uoNcl`E96-Y@~|iI zY$p%;z?17aJA~&aP>ssgRttRh0r%Uot&*_o;-Oc%(=k!T_i^)6BsY?D2 zOx}6Upyc~Z-g(ZjX#A zbI%^mJ?Lx9Vbwg(*(*FhC(nG4hdqgBA9>IRo~rOT&)F|LzaY<2kcT~q=Ky)o2cBHd zIY^%J{hVKtXEn&fp2TyAJm>>YuIC(<^}**}F1TRb1zoR?&CTpdJV(fbKJesv&QV#P zUy-Lb$itq*bBsLb1J8==ALlv8Wqp24o@$VXJ&ET8dC&)*T+cZvJij5&P>_c`iRTn~ z&{EPhvAiw5Y)kdm$&-3 z^7&idU*78D%GaIp{_<8I*ImtAzs%30{r~>CwR7BuquhtNo-@RKhdlNj`~+TdHT(9n z?}Fm!`JY?k{mFA`MGo)h;CRtfc~J2+-p|2t^xc>9NO5N$&&-)Z=r=PXP9GLv_nvnu(2GI{4Yoo{HJ(=Ru9=Q-Vyk9tn8 ze!_fiZBg=3&smmy)N?wHv_5}xJ?D(fJ-_Ak zp|jDK?>X#AbI(~`f6&*M!>W0nb53}EN1mP_4|@{N`o}ikKS3XO%6a2|``lWknM=Qa z(oxJ6nB)6{JnTt48_0t`@RV~>$#WI=pZ;CojpV_6gwKJmBhO%vhdqgB6M4`Fo^rk` zdED>kY?k%8fIPJz4|@{NR`Q?^JaySW?){u?vOd=$&v=lBJ&9*KdC&)*72$E7vqRQr zJ$a^rJnTt4JIRAS@Z@^VF5$V5JhMR__9UL&J1}@E<(=#8}_MB^ef2g}y@0{PK z`TyN>F5*6%=RVB!oF!gokjK7*pTJA5&AwCYQ_tc3$#WiDN$Oqk9tm3@=?zjl6u>!=A)*h&<>6Pp;=2 zCQs=(*Co$PkcT~q=LmVw2cBHdIV$T@Ax}NX!=A)*j6CQAPhGxW&U22-`dp7Zi$Nat zB%TxGK_7TlgvWW#Nm-vu$g>jUVNc>YMIQ8lC)aaM3(xh*)A?q+{^md5*^_wAkOzI> zX?xB!drA4agXi!6_&yi+*Z=ysV!hA*zLk$J>RaA7`~Tf@y0{N}xDRtZr^bDUJoX*@ z1YU9j_HAV!uJ`A^pTql;=j@^9;CRtfc?dlR$I zPEGQcHUC}No--!-sOL;be!}oO&zX|^Q%v4@&Wz-rX7bK+<|O}AlXspoFZrnFEK2@K z=KNL8`8sDWOa2KaKWy@=lHYIg<0juZ+d8K|(d4I@*Ezjg^7l3Sx6VACx9894y^?>t zIluFqe#t-9FnK#+$$iDx%?&zgo?>uKk^3x{oJg4KWt#kIPOx}4;m*ihz^3HR5 zBp>yhKFPn-oWFXn=DehyQ3>1z`U+sUGh=SS&)3xbCx6@^_&&SM?I(WZLQD$ zT+iA6xQ34;uh_`zLsz3O-*ecL=AHx1-Yw{B%wg3$&p9YOw^JG#B+u`=mSr#=bROu z+mUB3$itq*bB;Xd15ev?+MW~foK4(^3*3jfo-@XMhdlNj`~+Tdd-k1XpL!1OPo6VM z&%yDcr}9vG4vwSm!<PN)+Ak9y94X#AbI*om?-ukm=CEp>=WG<7JCbKS z$itq*vxz+D15d8!Y!;qg@=OJJ*pqm+k_Ua@$@QFVIv_NnLa{^U6iqvzmw(NlRiJqO3p_jS%A>N%e?bBgnv;Resz`#B#sdFMH! zlE18=i+IksQ0n=S|*u&VuBlp0gzR&zSQ& z&smZDr%m2@PRBc2=j_j#yz`tc$uF3^^PC>ZM?I%c@}D&4cb-#~{3lG_dCs8ZKV|aH zbA}~uqVwuhj{%# zUtWKLQ`wVvPLKzE;K}uzld?Wr$YMIQ8lC)aaM%lh1dJpDl)_9UJ&J zR%Aar&p9jW^GDMMeVFSx6MP;Zk9`L}ftUOV`wp^CJ%{%v&)G}Q!SSM}@(6kkj-&5aoJZ7i zerVDO7h<}dFMGZl3zA?=Q(qd|BlH!&zYBe)N>XkAN8DN$wxhBRq|2K>3VnT^FP;f zHjcN>J%7sULwBPu-*ecL=AKQ>-Yw{B%wg3$&)FalfClhdkx_+?za;K_2!bp1tHjA9z-T$9c{^@|5dSCC^NdhdqgBKY7px zp6*Lq*XO|<&G|?FUf%)Xxs*KhAP;*I&q4B_4?JzpX?sq@bN-zBaFP2k*K@kK?~up7 zgP*`l?!&$_?Bnw<{r_`#fAXA17CHRAH5@N`Dvv6@#@}1RarE8jM$P9_XYp0x6^)~~ zy*7`RuQzx!jyuokdQauK(^4BtX=Q*>IzsTgB z=hP*?-sGLOl{_B%`)N`tmk9y9aGM?m5!z-GaWx99C_e z!yejk&2!k%W-k4{&|i>eBFMv@#B+>1=mSqxct#D+@n$aZ{3Us&gFNg>JSUpHThIrd zT+ca4p7Of-SLB%s@~|iIoFWhUz?17ar^$oYb6npC$g>dSVNc>Y)9l@XKJe75t^3D$ z&e>)z{eM*dnmo%v9`+=jbL2rEcyc{w{S#WBUw=cMj`!mAGXMF-p2SmW_HIERcyc{w zgYf(`6Qu$%8)dv^}ToIT6puTRNy zc>m>iG+wvHiX1!#$BUlIe-~fFb8sAecjY{yo^u;Br#R24HF(zE&*?UK=Q(4Nk9y98 zyhDal7YXGZc-m3*K;;Mp>+=XdtM*r8-4k6 z7<pbtD%;Th$A(Vu_s5S~HutOj}5 zlX!NL2Yukl^_*SgDLv;O$Ok<>aXbdDxS9_LB#F;K}uz1F}9l$ukt>VNc>YNFMZoC)aZh3C}-~XEeyep2TyQ zJm>>Y+jH8U6Y-pX=02R@K0I`3^LlZZw{PZc=_|inhwIiQ7xz4&asAqYJoX*@1YYtl z>^sE1GIup|y#I1M8lLm$;y649$BUlIV~VfgIXI5Kzv4Wip7UpBPH~=7Z}6;n&L5b( z^PC0AM?Ggr@>qa~=d4KnUWVU!PDj0U&faG7&U3mXe{Yj_p3@`wdz!rSoIc4%J*O)9 zKQZTbo--);{&bF|ETA5OFq|gjy|E)bB1_*=xOxj zdk%Zj+;gniy9IrXIjoxJImd$8hI<3S$wB%X8RK_7VP^8Iq}=d6EX zb3d2sb6@gI1$o$$cq+}_E$9PJuIFqZ&jrobqjmQq&uox~J&9){dC&)*T+i7gJohKh ze2|AdiDxr;&$-b zc+S2e2hYLrqNnoM;%j&gjyL)^kErMTlbKVT=X8It^*X=9X!6c;<|Mz< zB-`KaeCOa33s`JLyiO8)Om-g!>vhgzS%gC_4hr(5!WZ}QG_dL=(% zp1;m>`XwLroB_#4J!eSrQO_Bbe6HtgleuSv*N3r2U%uzCC(S+EIrpHiF^5(2JZFdS zTtS}6AP;*I&rb574?I=jah|hFcy^O#Cdk8{#Iu_`=mSr#=j>YuIC&g z&jrobqjfd%bRNg+W&ZPvJ&ETqdC&)*T+cZoJP#sIPmqT_iRUPJ&uZw869Xe$IcGyz`uK$wxhBQu0`Ui04d8{$YmSdCsilM@`;&PF?a3H+knd z3zC1R$ve+kl6=&2RwVxrbAIPJ9UpF;v;WKFo#%8({z{W~p3@`wJtprwr%&=xlO zLFW9p91p*NOD|Cwq8(SZMU+&tdFIbI%E0f6&*M!>W0nb5eL7LZ0Oy4|@{NDe|BXJXPUw zo^x7wt|U*#M`Cj-dlJtX@}Lhqxt?>DJmnmJ6?wXYJnTt4=g5OT@Z@^V`X{x%A2>>$ zz90{K5>KVsy9IsVsmu4vdCms%lVXo)Q@pTJ%>^t}g zyyOw=JIp>l|B~nM{>$-bc+NOI2gi$^$`j~0IF7#Oa~@I8d77D1oaf9pc-A~;+~l3- zEJ{A=Im?pA0z^D#Rq_W6zw?~VkG9U~Pd9nzIo*6QF5Ox}4;zvQEyGa&h= zn)5r)8It^yP2PDuKr@=q~&=Q$ISk9y9OuKl@=q{%=Q(qd-*58H zbLJ)gM3Z-(vncry^Za$5vn=^5On!oY$Kcw<{pbH3jaA7W0nvrBj$MV@MqhdqgBH+j$po~rOT&)Fk9W8@hM z@~|iI>?IHSz?17a`^a;x=Kfsw-{ct$@~|iI>?aTUz?17a2gt)YV%?+3GZExrPvSX9 z9`u2yF5fTbIfuw|Ew0aF$TJ<}VNc>YOdj-sC)ab1kf&UqedL)7@~|iI93>C>z?17a z$Assx&U$i2$UDb90xKGu4jf3e9s&*_tV)N`tm z#{xt=XHfF5G5pSRh9!U4uK*@~<{|=Q)#-k9y9uUV?>uK#@-H`e z=Q(xBztZHL=PXEm+T@+*EJ;4FEjaGlkbuIA(J0qeo@ZfC;1Wc{H-yM*S+&Sr7HO=On!oS^_)S;M?Gg)@=?zj zlYFk{oRqm|oY#l(Mqj??uqVwur+EEAUtbsid1iw=>`6T5$b&xc^mJnTt4o5_Pd@Z@^VR#~5?kf-Ytc)iVkez7O< zY$Ff)z?17a+lA+;_oeJ9Z?&)7Pv!mPtv;@N9+dZ&xB9s9`CHy!-sU<$UCms- zVja)lN3O3w^Kq-i8x}cz<@KdJUwNzlj>lwv-zEw^{Qq;R+=scIGykN<>){sUvG3p~ z@RFyoZzucs`jk9}_g{`jH(s}j9DY9s$BUlIlZ&tM`#JmB_c6{R>N)Q}rXY#!!KPLHinEU|q>NyjVA2HA08uNJFJKuArB!7j;PcW~ZGb8!kCO^wO-v2)T z{Bx3zdd`C6%j?k3iq~z-6OSN|{yni>GWR^4*N4SMU;Z4%o;3ID=G=q6#vE2{UO!77 z_xINJ2+uRfvl8TCPvY529`u2yoHt4y=Q;a?=Ky&+KN*`-*^_wolLvj^Dd(h;=PL94 zIzS%G6}Ub>lRP~^9`+=jgXBRUc*^;%+@{#3JN?#~>9&El}CxquY zp6qmcgSPk!B5~h&tu;a_ThS8yj~{H z;r*B6(Rdww3OxtMi=N6;={Y!#zHf0J(a)`Y(ab5%a~2vrYo7BdlXsr8B>AZ4tVkXU z5b>OjPqoh3UpD;CbGjtIX!6c;dL;i9lXsreC;2a#yz`u@N(Sr|BN}m^PE}9f7;|bIj8IUId#c@*5rFlenIjJ zCO^Qudd`yMN6hoL#ynp4&i9NJ$wxh>(~`X_Je6ke7W9E9*K;#a zVNc@OMIQ8lr|mgy&xv@>A@0K!?!#QqS>)?F^4NFq6L`r>*|&b7`SU2gekaf2{g>m> z@SF*H4vrT+m8a2ja2$PCa~@I8`H7iRoagj5c-A~;+2oz)^h-YKIRlc%0z^D#Nb+Y5 zzw?}$Y>EAPX=Q-VyKV$OFb9yEJeUo>d(=YiE^Za$5 zGa&h>=L|`Hw>iJ_oSNhxX!1++wBqHs<9tsWmwc}0?2)C-Lkj5Bk7U6&~j~2ZU#uJaa)F_9UKzJay{n|c}mZD z1$h>NJnTt4hslFJ@Z@^V5m}#Cl4m){!=A)*lsxDIPp;=2ll6HOc{)DZoOiHp_#9Pn z)3{w<+!ojQi!jdM7RPbRp2TyUJm>>YuIHSP^*KzQ?jR3)63=hkq%=&3xT_!^&E!*TT8bVK8DSE}b+ zm&eQ3#lJuM{}VbZ`AZDH^PIZmD<AU zH+kndOOn5y$ve+kk$lv1IzHDrXJ5yh-+4}#=d6E9>-#6KBhPA( zhdqg>((K)WKJb+DM)^4(=Q$gM=k?_2IvJar*^_uSk_Ua@$@QE~+>e^j0SnwlX!NK2Yukl^_<0=6cRD zuQSMF-@#AdC2wKhQTD0l@c!gE2k1FCUi4I+NzcJ?^xd8FhuKp@=?#3k^HUA`JLy?N&c25-^n>&Kesk7 z`5u$+HTgx!-^%0%nAgv(ElWP?IjfS7dQRsTTA%+>&*_$Y)N}eIpX)jMWbSz@uMho= zzI@MNPnvu7bM8T3V-Bn4dCmdhc^i2KgFNg>JO{~xKJesv&LQDBN}gJfhdqhsFnQ1i zo?Op4LY~rd-cFwJAP;*I&r$NA4?MY^b4=Ff9psq`@~|iI948O@z?17aCuDuzNuJpt z4|@{NN%Ei%Jh`58O4etNJo7;w_9ULuJay{pa@VtvWOFwg}`Kad%N^PEY^ zUuyEsbEYL9^_*GB-_xAmc}`vOe`fN|a~33jFOzqkvn2U#Cht6FMe@o#*sO{!dNbc}}0?`%T_?PF3h^^=l$ea4Dzri@oXax`oNRxIooA@>f~7o@~|iI>>v;Nz?17a zJ7s-7K%UMoHRm0yTh8a!*pqm6kq3R?$@QGwvOXUqPfw7CJ&9)zdC&)*T+i7nJRc%Y ze~^bgiDw^q&rS~3%Uev-|Cc|vcAWcgkoz##b5`j&$YbBZPv9jV zX5Vi1T~Hi7|8r}+KY7k1JqO2&p2~CRIXI5K2XY=!&-qs~r#R1v zkMR1i+~~{q9QLHS=OE`E^fl(NYM$pD5}uEer{gQJIh8$$=P-HD2cB}?_}@Oac0_pQ z$YN*?rqC)ab1kq7e;K3D!R^7I9H*pqmUlLvj^$@QEQvOXUt&p?oeJ&ETe zdC&)*y6hkKxwTWWKA#}ZaFB;RiRUzV&`6T9CmKI5*@8asv^}ToIg$5sKE-`F!+n_RIpcgD zAdh_qKY^EgntjLEhwJ_Mp2Pc-=RCK_;rDZJyy&SsulO3jpM&G*dpze6^_+*BImLO- zQiEsBa~^E+&U02IAN8D$Q>}A$)N{Hd|475{Jf}zUdrjVXPM_o-W%AB*s*-<%$ve*( zlzh~4h9&<{bAIPJqmsYMuKx@}nm2JZD<+QO}u`{6oz7o#)gg|6eBG zX+C$hAo(jzzSrcJB)`Yx2bkB-t*uCYr1`p*?KvG^ZGHYnJ*P|ZQP1g-eAILLC7Jay@6Stj`z7vk>HAPvY4}9`u1H z*K_s@&lkzF9OPk7;yFMb^ns`CIc?90c+MjCVaL~+KhMhboKEgLAZ4)FeM?_?_pBN&ZuKh^3O4O=Q&f7f40dx&zX^Y)N|$}{|s|}=Q;C| zf11fV&smiG0h4#0vn=_io4oU!Rmn#^r}OKrbNW-w`JLxwhh@)IWSJf~ms zPceDtIRla(X}%6+d(M#Lqn=ZfeAIKsBp>yhNy+DW&LNq5zRc^xRHHB7bJ&ySp2NKU zpsz89Rr5UOi16U^9{B&q%?5eclX#Aj2Yukl^_*kEbBa9kK_2!bp5x>}A9!*-=LC65 z&-p5OmV!L&NjxXXgFf)&dd?|XpRbW;HORxB#B-WF=mSr#=bVxC`8s*JmYVYu)-C6A zYwSrpXUT&;@Z@^VIa!}2^7ICI*pqnHKdt%x3Hrd3>p7KXF8y5N8|0}5dDxS9HjoE> z;AwkK>pp9LZmqc<`ur=`<(u4xL)?dlE^S^f4)gZSye)m@mxXD-qbB*hL>~JNegZG~ z7W?+FugqP|9Pdw_^Mc|y-p|4DqNno0;%mI0gX8FX8|RVE;;ZvL=e1@|ah@~T;92vW zmzlitoN38NJ!e+(QO~JM{`H36dCr35XH4FC&XVNcVDip$RwVyAlXsre@r~9wJL)-I zl7F>1zw?|P$-m0vo#*sP{xv4=Jf|x8!zS-MXHfD{&l#5dE6n+w=Zs4JJiEw)KJesv&TjH>j##%$p1~jwdlJtc@}Lhqb@_g| z_jC4=r(B=!k*5~qVNc@OM;`QnC)acKlc!vt?~`Xd$itq*bAUYP15d8!92A}(kY_5$ z!=A)*h&<>6Pup|ao)dXL=M4AZEcaoq=X7)5A&-3rKY^G0kbTG5$LC*qKZo}x&zYj< z;CRtfc@aGa$IHX-Q=C;OiBKI zCht6FM)Fb5nUnl`%=w+?%uD`VCht6FQS!%3-g(ZlN&lVk9tm3^0}UKq>YuIHR0PdUf`gglc$9`+=j z)8s)Pcyc}G40*~q{-@-b3G%Qf@th?O`oL3{@0atObL1)42fu%X_XF!e9`+=j^-piU ze}X>nFv*cL}@~|iIY#vYGI{4Ylal|s$ve-P zmi$*u-g(ZfuKo@?SQ2=Q%5qUo?5=IUV0=owK8!(5=^BOx}4;pX9$_^3HRrl0Rwk&T|GOKT^CpMLcI%@=?zjm3-85#w8#1oGHoY zdd^mvdscaUm~Qmtdk%Zj+_Q~y5BeH&ST)acwhPa%$TJt@VNc@OK_2vhrz$+ob9M^P zugS9z)?jcyc{wFL^jetUE`Z zj_)?-6>#Q!PK-T?XCHac2cEjDkMo@UvOZUnr#r~Qp2Ty2Jm>>YuIC(-_4zG%`hq;{ zNj!(hgFf)&dd^|t`5k!%f;{X=JV(fbKJc_Xr*+?#pU)~^cgp8Qd0RY9{!jnCwT_FM zKaUvZKFsx;UhX^OvG3p~@RD`x+si(_J|)lL{mF9<(Q|OT=&8Jvo`d6!z8g2^3H6+x zn>odK&QybE&2!F}yz`tH$wxhBPV!ODnV0;k;dh?1DEVKQyz`u8$^Xjao#(7d{+A~2 zJg0NHbxx0ZPPgQLX3pz*Bcb+pO`KagAB>!V`e&;!3 zlK-K}JI|Sr{EEpt&zX|^k4)Zq&Wz+oidUzI=gdj|3X`8Oe{XGG@=?!Olzh~4RwSS6 zImepUiS+a43wV84ZS>`P4tvtvbG+HR1$~VLn4Y39=UT$?{3{eEucR;r+>TURLDr`#CsX^i*D6e2w4F!EyB6 z!g$X0dAxi*#-s7N>^x_s!L#N$7n!{CoR06e&e>7V>5_cZb9y9yL&NVpr%&=-Cht6_ zD)}3kyz`tv$=|@_o#zZoKI%E6lE0ogzw?}N$zRvxo##wS{t}aSo--}^ipe|AnU#Fh zbLx`6jyb>coCV2W+vJ_+EJ^-ilXsr8BKb~}cb?PngVyK&Nb~h3`*Ukul8<^$kL07C z(X#AbI%UWJ?Lx9Vbwg(*(p5NBTp^J!=A*mi#+H9 zPgQuF=j;}qOUN@G`6Qa$b&xc)Mb5~=Ny#vxdD0RgFNg>Jcr1GKJesv&S6=f8x5#|lXy)U}?@M{U@>b7V z?x*tp@>U;LJ`c+K%UgY1t(y1mEnj!ap7K`vx-Mque{ZN$73?TZyUG|yUsL!o|Wr4{oHrRW8c9~;3YR^-zN55P#i7%BY6(*Po6Vf zN(w#znwY1^PFDE-^S#f=k!Z{lgT^J8Ib&KP2PFVkmN_2uWQ+! zQ{zAN8DR$(Prm^8JcO@ZY1KgE}E|&rNuJm}&H_T|bL>V?J5m z?70Xp|F~}8IBwaK=AM(h{-CchhgF-`&yvUeUFlQ8b5ruvgFNg>Jg3QnKJb+DM#r=!=A)*mOSVKPfgaxdCocVV6MQ=4R1!Cl^_p$63_Yr&7X^+4?N|3SFX>M z&GY@<|Bt28%%%4OZ%&@hA2sI{oqyc^VNc@OKpymgr!MQ`JZB?$%JsPgd3u68>`6SE z$b&xcl=F1CK6}jiY$gxqtaTg7(;wtvPvY519`u1H*K@WB&n?L_802A3;@M6f^ns`C zIqmmzBJbziiuGogX2X{63QO~)LnNytSOgDJeJm*hM-g(Zft^|?KH#)3TTNjyi$gFf)&dd^W1 z=mSr#=NuQFJCbK6$itq*bAmkR15ev?+MW~foL=t3I`?6&=L~S)A&-3rKY^FriG3&8 zr=G+6ljj_!=iqqJQ+YK#2glL(AkHJ|IrlYliu0V+2G5%3>@<1jIh{XgoztV9(=GX^ z=k!W`*zh~g>6iTdOx}6UfaD)w^3HRHB!7RCcb-#|eAIKsB>(T`{LXVGB>%4_?>uKp z^1DpldCrXF|IOr`=gdhy>N)e0{}*$9=Q)d#|0k1op0h0ZA(MBWvnu(2HhJecoj+}T z{zpBhTk=uQ>6LucbNVG8^_)S;=X%a5nS1Wc>%&l^FaP}<_N2MzG_ODCYs_KQJkL2J zJa-|_Xpo0JiRUbN&;K}uzjpX4RvF>iA8;Rbb06k<&H`W8k;lG+pTJB0kbRrk$LC*qKZo}x&v^|!2gi$^%4_L4 zIF7z2aUN06d6bz`oaYQSc-B1UN|SeN(?*f3)Fuo---=F_U+mGcEbY zn7s3xS;_ym$ve-fOFrs33zC0?IluFqCCNYBwjDZ0nr8*W{h&bV)wy zIX#kps5!s$oIc54W%AB*s*-=0$ve*(l>Dg4JI@)G{7CWY6nQ^qRPs^J8JB$2b0#Gp z^_&^W=X%a=nR~YK`Y_w*%l91iq`7Ag=N|Mm=CEp>=j;`pdyr>7$itq*vyVLJ15Z_W z-1|BEh3AjRvlQfEPvSX19`u2yCOpn_4w9#wJ?F5jPd|CO zejb~f*^_vVkOzI>smuC2q~n@B=O}r~_4yO>^agp@lX#Ai2Yukl^_=76;heSZPsvja z@~|iIoFEVSz?17aCxz$F$TJk=VNc>YMIQ8lr|mgy&xv@>Htxew?!#Qq8REV}9{Ub{ z0x!8I`}VVs&%fk3ygzx)3_So#)I+ zKI%F1l8<`MqT~-6e&;#Ml7FtrJI`5_{PRuTc~0jqTIclVnY{CyZplYIr&sdNHs^Pq z(=YjFn!NLz0m)CAyz`tP$v?~Fo#)gfAN8Cu$v?xK-+9i2 zJR8V^KJe6p$9c|1@|1J@rQ{h5@~|iIY$6Z(z?17an`M3eoIJH44|@{NR`Q?^Jat*0 zhjd)C=WLVpxes~9gFNg>Jln~GKJesv&JJ0h?c|vX@~|iI>?9BRz?17ayM*U2$TJ({ zVNc@OO&;`tr|mgy&xv@>UveMLb06k<&JwRP$YbBZPv9kg#lBPQQ_tc3$#Y&$&%yDc zr}74R4vwSmot#J1bKYR)6z4e|tF71RSDL)@oG!^nJ*P+VQP1g<{F@BF^PH;WkC?pk zoI%OI+2oz)3`_ovCht6FRPs^J8JGO)%=w+?OiKQ>Cht6FTJoB(`B#~|^PCmQzsBU9=XCt4_4$9;P^4 z)N_U;pX)h$W$qc^^gFf)&dd_L# z`3LfJ{u-~p`OkOuB%U+mK_7V9p40Z6i02G)ANFt`=6X(z`wn^RJNOB_uKt@*g#M=Q;C|k9y9cuK&@*gmH=Q*pAKW_5Q zb2@+1I;VfowIluFqe#yVrP;2)N{rp zAN8CG$wxhBO7c<9nU#F5=bV$d=Q3U&<{EwZa}Rsc+_V1K&G%2x*O59$itq*vw=M515d8!Y!sfI*LJ!hA!&k%VAf;{X=JiEz*KJav3+WP!@u-QL*gy&z$GaTe$PvY529`u2y z?Ky4FiFnSxaUYIxALe?_3OxsT>^t}gyyV~6cYu9-eM+BO<1I{BC7GD*w%Z;PB{koY`oaf9oc-B1U3nuS8r!M)Z=PXD*>N!i2|EA%0 zp0gtPC6jla({Xj{oc%46cb?NF`EQuK^PC>ZM?I%c@?SOQcb-#~{8vogdCs8Zzh?5z zbA}~<%H*Brj7mQ0IpdQ5k~zQgoJq-l(d3=yOiTXDCht6FR`QD`?>wh2`H|-9QTE?k zTabLzbCx6@^_&&SM?I(Wx2@0rT+i7rbI&ebAG#WS`JTg`H1{0f+=ITx99C_e!`$DM zJ}5l*B~NdVhdqhs5P8rCo~rP;&#fI6p8Juf8suS5;yFSd^ns@)JXdl5>hIYfB~LlW z-=91~K_2!bo@3-eA9!*-=Qw#dN30tr&uEZ`J&ET8dC&)*x~z}$oRhLX4m)J&ETWdC&)*w&%1xC*nD~ zxeph(4-Z}1yj~pU?VEYa*O`lokE1pI|ITCFcgSPk!B5~N4`knI_LaHfGTX@U{^U8c z#c_BJju$n3n)5r)nUeg^P2PFVjO15M z-g(ZP`>=hu7cy=R126&wlct4?Jzp zX?sq@bFSn*?Bzbp^_&jwJLIwN;3x2stJt@Ved;;9KY7ku={Y!F^izlmuoK?x+(Bz%xbY9Rpr+1mW^PFzUM?I%k z^4Bxxcb?NP`Rkgz^PB<6Ut;pkbA}{eF?r`XHOY@OUvIKKXH4=@&zX>X)N`gJAN8DB z$>(~`L796-d3~5`^ySYz>`8OaAzpvb*O>YUDn5W&S_bnN06s4$itq*bA~+V15d8!oR#%?BzXpcJnTt4=g5OT z@Z@^V`scL%T;oyX84mKWC-GF8y<5-+p0?+-JtyKhW88;h+=scIGr{Kp^4NFq6L`sg zv+n@=E+~!`{*gYn#`}}!9Hr;rc+pdNJ3R--(f22uNAz=RcQtd0^PHIm&zk3KGI{4Y zbCQpG&b;KKp0g3#w347bAIPJ6OzBZ$ve-Pl62YJ|&c(#)Vec-9d`Z&+oL7vic z9!s9VAP;*I&rb574?MY^vrE?Japb85dDxS9c9REv;K}uzJ+eNJC(n40hdqgBFL}@h zo?Oq_C+jm#o~a-YdlJun@}Lhqxt?=Cc%DF>*&q*l63;>MpbtE4&uM#3#B-j=eK^m3 znCm%R+;_-h-@#AdB~N1CDfX%7@c!gE@1W=4c+pdNCp`zp(RVrL5%rwEGINUaoRtR8 zn&;fhxP2PFVtmLDfQ6u#dFMGRlCPS)^PG-rw?6-)p3^1ysOR)ZKI%Drl8<`MfaG&M=djE@`+0pBZ1m-O z4tvtvbA;C)^fl(NYM$pD6`m)PrxxU4PvSX79`u1H*K>{w&r`@V9^_$9;yFPc^ns@) z>*GAI1Po7Smr63P`5>KVsy9IsV$@QEK!t)IBtOj}5lXy0g2Yuja zdrsSPBA#=A`>?AM*YVDaay@5?&r9U7@8Bo!l4r7S6Z_P2cz^PoIeHF`7d@4C(Q|Md zeGlP0qMq{rGp9Ju>2L6?dCri@JI@)A{AC4Q@jlh>eQsswp3Unm{@oXLTyjzI&no`A z z$ve+kl>D&CJI`5`{QXScdCscjqn^`woz^-3-_7}*=X6W{UrpY5POs#5nY{Cye#!rv z$ve*(ko-vTtiGn_f9>Ap`7imX=hP%0^_(%uM?Gg!^0}U~S>~Q+@%k{;=*#yU_N2LI zE9V~cHRiBtp66^6o@bM1Hps)C#Iv0|=mSqxct*Kj^mA)FglCdG^Fbc=B%Yn*K_7T( z!s9$=7kNt0c@BA&f;{X=JiEz*KJesv&K_Bx=aOeN$itq*vzI*R15aJn$9-;XpRCXG z$kTOkbAH0QT~u7}i<`#n`r;O!XTdmwTO7wNdlJun@}LhqE7jKhyr-GFQuogR@?hR5 zde9r`(6- zZLvKX`>}jnceS~{%KOXP-+Nrqd;afLxySWqK5n)6!^t^)<@KdJUwNy1kGV9j?*-h4 zL)?eCp3}p9hdlNj`~+U|LiX)pAD@5eb8EamdCt3w9R3agju$&VuA0 zZ}QG_mL&gJlXsr8BKfH2bX>P}PXBLne&;z|l7E!RJJ0En{G(0Yc}}0?$4uUNPF3X#AbI&nef6&*M!>Y~e zUCHxM!*g7CUPPYNAP;*I&k6FN4?N|(QSvy?IVn6ZCQnx-HaD{;@th(L`oL3@^>Lna znmm{*FgL%1JiS34_9UJ&Jay{p)tj{6xRD(S1Nj&GsgFf)oWqq9Itbbnf{gZNi zUP_*!AP;*IPo>$r1%2RI5gz9`8_2^sYu(GpGaBS!PvY4~9`u1H*K;-r&&$a(5#(V{ z;@M0d^ns`CIc?90yq`18eK^g1nCm$+e4RiZ`wo5rFL?#~jzvMZ*|8hJUucOE4 zIXGVQRNhO^!SO~P=Mnw?=RDucDb8~i8$4^CbHL=C=PXP9vL=7F=d4N|3vf-IG-lop z>bzd-oIYjvo#%8*{skuQJf~OkFEV-OIsKA?9BRz*7|-=Q+EC=P-F{ zK_2!bp55d@A9!lQ<2+{%dCEEd)#Mou@~|iI>?IHSz?17a`(%AyL!PN14|@{Ne)6CX zJat(g=Q#&teO^nR*&q*l63;>MpbtE`o^wdnXNElUK_2!bp2OrpA9!*-=ZNsUjyy|2 z9`+=jqvSy!c-o%R_MC|4yq^1TmHRN)bNaaNkjK7*pTJArz`n}$n?H}j^}d+rljrdM z%kgM<&im*&I9~Kr-cQfLarC{1^N4!Ro6Vf!Jg2Y0v*tOkF?r`XRmn#^XHfE3fQaV| zOa85f-+9icqpNk!ew{hL^PDcpzt-fP=k!Sa^(OB;r%&=TCht6_D)|xf z{B@o)DEX-83`;)hIir$~dd`I8b3NyH^Ez=?UI*44;q_s%(U`8Oa30{BD*Oo_dgnJ&ETGdC&)*n(#Q!IZK|>bKXpz z#UKxR63;pEpbtE`p0oa7>+he;l4m8z!=A)bY4&bGA9(7rKF)JCkf&Uqw~(jv2F-Z~ z$8$cn#-7Bpkv!-FPp;=|lJ$8jd3u68>`6SE$%8)dwhl@*g&N=Q+KS zpEr5uIsKCVh{-$88IXL`bA}}UA#;A`IW@_Dz~r6hj7k2u$ve-Pko*Tt-g(ZH$W^PGL; z!F+`4^Sj8?7vy12;@M9g^noYWa}LP*yqi1&K_2!bo`d8;A9(7rKF)Iv$@;v9Ji|dA z_9ULeJay{pWtj{s>j0JhvlX#Aj2Yukl^_*kE^Iq~y26@<%c#e|?ec)+(PTO-L z@8`Ua`*4Q)FxPXc+;_-h-@#AdCGTh7G4`qF@c!gEAEf8tc+pe&5IqOS(f4D{BkDQd zGINUaoTUcOn&*7kNAgk6>6d)2 z=bV(er_Sp`wb7UFIqXSu&naGi(ASv5s(GGsT6jJ{o}nNQdlJtX@}Lhqxt?=Ycs@v; z(I5|d63;pEpbtDXSs&*)>!08H`zIeF&qR=iJ&C8%?A?Ms@Z@^V2J&!@Sa+N}(?K5g zB%Y1rK_7VPvOdmpHp%*Ym^^bq9`+=j&E!EJcyc{wtE|sQ$g>dSVNc@OMjrHmC)abf z3(rT%vmE4MPvY4@9`u2y?Ky4FiFnRD_hH9Pnm^CV^_+RWjv|kJ2S0(Ae2je?*vHqW z^nMQSPo8s}o`d5>Pvyh(92`gAb#B^x-$Om;YBQ%e&*^XQta;8^lXspoAo-~03`suf zIW@_5a9zruyJ5BP^Ec-?W0L=^`S&}|nUMTCbAIPJQP3Kd(kl!t37ozn?QC`KagABp>yhG08_gXHxRHp0i8lo{#hT zFxBYG_Z;@5xo0=$9`rTluxg&?>=B+%kY_f?!=A*mmpteLPgQu_=hpTK&k6F(2YJ|& zc=nSAec-7HkMo=Z+@;ybagl9C#+k}=hoPhc#e_>ec;LUoMW;+3*_ky@~|iI948O@z?17aCxquS zuKx@?9qHJZD<+ zBhA;fY|ojMeAIL5l8<`Mg5;x~vn=^s&p9n~&q-b%RvLZzp2MCr_nhJN2Yrn>teWRJ zXNBkUPI^b9jI9oOyZRwW6ZLf!|yz&SMq;g^3HSmC4Uc-cb+pK`9Cyy=Q%@? zk9tl`@>|ULo#%{6{;nqPJZD1keJ1ZbXG-#SGkNDZGm?*b&Ya}$V$Sb8XI}DmGI{4Y zi;~}L^3HRXC4Xm=cb>B<`Kae~Zft%2M?I%o@=?#}m3-85s*=z3oINu4e2v$Kfkt1x z=ddTuJ$pI#psz89Rr5S&pYVL0Ji|dA_9UMDJay{pO@GOyMEXc#2#B-25=mSqp z*2j6yA@Y=-^9}M$26@<%cn*^Xec;LUoFlS6-z3jWkcT~q=O}s52cBHdIVS7#E%MZZ zJnTt4$H{{}@Z@^V30a@hxs?~up7gP*`lzRSLi>{HL-{mFAaPS3&dqNnl+dJc}G?_W5N zsOS8xnNytSR2w{Np7ZA>?>uKv@=?zjmVDH6MkW9EhTnP4xa4=3yz`t%$^V1NJI|Sx z{NI_p^PE}9M?I%5`M);jcb>B#`M)xG=Q&G~{~MEcp0gtP0h4#0({ZcTIXmh(U6TI` zbAIPJJ(9nV$ve;Kll)(ryz`u@m)J&C8%?A?Ms@Z@^V2I2WW zc@}~^>`6Qu$%8)d)MR~}=WHTR={Y|j&vKB5J&9*CdC&)*T+i7m>vM)Y9k*`IE8xue zoEUo&&o=U)4?MY^vt8EbhvexF@~|iI>>v;Nz?17aJ7s--M4rAN4|@{NF7lucJh`5; zTX=p`l_vPoa%GaIpc~RaLPm}-Ce{XGt`*4{1FxPXId7VKX z`wo5rFZl`k_OkDS;^_IGTjTx7b5786aJ=ZLe3G7nkX|J*QjpSD5oV&*_!?15Dm|PQT=Lo4oU!0m+Y;yz`tP$wxh>Ci(lD^E=NOll*;6 z-g(Z1 zfBSoDhlJ-Wd3u99>`6R_$%8)d)MR~}=NutVd0qWEd8$Dk_9UL8Jay{pmtj{mV zGZf@uPvSXF9`u2yF6-ldKj(z3&o9X{8suS5;yFnk^noYWb56wjD zwykq^)N{HdAN8Cb$v@HXJJ0Ep{J6%{LXV0B>yOrcb>B(`A3_)^PCmQ zkD0vloQ~VIKL4Yh(N$Oqk9y94$r z1$~V`6Qu$%8)d5 zUHu(-rh+`|Nj%%ggFf)&dd_xPpN{Jm*ZB+9T`(KuVNc@OK_2vhC)aa!%KEG$&wP-F zJ&9)*dC&)*T+i7p>vI8lmV!L&Nj!VVgFf)&dd^Jp0IlKJc_Xr|mfr z&sooX*tMzo^Q>IYS$$#S`n3gl>^t}gyyQamZDOB#4)0H%vp~N#VQKVW(AVBekp_pat8|3Y(q=Q)d#f4<2(&smoIl*v2K zS(W??Ox}4;=j~hP^r+`_Oa6K0{LXWFCI1|gcb?NP`GY3!JZC`i&oz1HIYW{kG5fD( z{@z+m@=?zjlYG>3CL|yAoN3ADdd>lvdoJSjVW!cS?>X#AbI(D}J?Lx9Vbwg(IV3#S zCQm)c!=A)*m^|nMPgQu_-&;E(Je}lO4Dzri@f;-&`oNRxImgITdd_vovl8TCPvSXF z9`u1H*Kc6>#Q!ZjC*O=OlU12cEiozufydr(}JuOP-z}4|@{NY4V^C zJh`58M%Jf7p8g;YdlJuC@}Lhqxt?=Qc&`t^2LIJ!hQH1LU#q;3x2s>$7hk`!H{w|9%edPoDExdJc{kJ(bVVb8sAe z@8>+Cp7S;{r#R1xV>?>uKo@<&bHdCrRD-)ZvB zb2{$WI%mJ#HzChtkcT~qXCHac2cBHd z*)KddCC^Ndhdqhs0C~^{p0?+-Jty*hPB-^qo%=A?b2_>2kjK7*pTJ9Q#=ev6AX|xoF4U@ZplYI zr&sa|hTnNkzvMq<^3HPxB>x$ccb+pO`A?g?^PHOGqnwjT&aKb? zk>b_qn%+;WnfG(LB_H*iUdcy2r(g0>&l!|_uIC(*x##A*J`6Sb^54&4PnvrU^ZJ9n z#vE47^PD5Xa|`l}26@<%c#e_>ec;LUoMXbXkvtPY9`+=jJSWM6KJesv&M8@+Tajlj$itq*bDBKp15d8!oRRgpHF*|-JnTt4XUT&;@Z@^V zIa!|`@+=2=*pqnHzqtAS3Hrd3>p7KXF8y5NHstBJOYG+w>`6Qu$b&xcv^}ToIT6pf zE%#wJ_hGK*O!9e&JoX*@1YUAG_HAaLdJgYTp7RBI4vrT+l`qnBa2$O<<2<6C^IbEi zIL{eu@T_^x*G%4d&amX8o--=>sOOAJ{`-dCdCsKdmrdSz&a~uzVDip$W+neUlXsp| zmweQ779{^|bAIPJOOpSV$ve+kk^FZ|-g!>P=GHm;w8=Zq>5_cZb9yBI4Re0yIen7< zy2(4wsY?EvCht6FQ1VMA?>uK%@=?zjm3-85#w8#1oJq+?J!eMpxt_C0=AKQwKFl`y z@;!$=Y3|v~xd(lXIjoxJIa`J2_T-rl@~|iIY$Ff)z?17a+lA*2gu%*X8pR2zkk@1c=nJ7ec-7Jk9$97FL}!Kxf6MMgFNg>Jp0IlKJesv&VE^+JCmmxYL>}~kr|mgy&xv@>X70mL?!#Qq>E^ye9{Ub{0x!8M z`}VVsuTSay9NwQiXR*kw>sY@I$BUlIg{bLRe?J^Y-}Sm%e{PDSc>P<)wjDZmo0rwM^c5 zPM73|n)@LCb8C|So%{at_j_iFjf?B(#n@OKmt52*`KwLdc}`XG9p>NfJZDhyzcuG~ zo--`@sOOAI{x|0Q&U3~k|0|Pso---=b0+URXIk>VHhJecvyvY%`>$r+m3>NyLN zk9y9MJ+Md()oQUWA5%*!8`!LsY zrujO7Joa7jG;91+noBg+2Ukr=|*E)P%JpM8S;4Ap~%|Bjm{knc~bl$Uh zz2g1Jk9dFbqc0Z^fFI#_(O3C@w4HyP732T@D=W$B=OV0xm8E6FN>~(&u#sAXkXi|g zum~YqghdD;gb+dqA%qY@2w@RI2w@Sz_rA_t_r0fAbG<)jz7O;Mr}uf@uk)OlYp*kB z=Ijq2xyS9@{Si2}Tjl=6=R^K{1d8qLE4aFEQTHPU3(tXq4;1_$!PRviP}+KreP+&z|TV-!raPiSFOHugB4XC+*qE!gG?~rwBemaMiOKF@K!kCkTGL;HqcC#r!bAj}`nF z!PD*;`hi~T$etBe#P^Krp=Y1C=b>ld=-H?4ackEzaQMc!f8%;~vEUa9ev#l82;S9g z9oxlu-TfOrXWvZlV!<~TyiRPlo0u;V9C;z9otXRZz2bA{ddPjDdmeHJNA91w$H*NV zz8l@Yak<|j_(Z{P7W@Xm)6V@SvE8J(BQNAs&fF{Zj?bOzA@|SS^N>3@a{t0TM(*J7 zJ?#FC%l$FIrwjh5;13C&cJ7ae?Iz6~c_F8rnS1%ZQSQ9o3D-?r54kUL&qMCu$o)(A z7`cPPhyOlET<-WgQ*j)BXE%=H@8!nvR|QWycl>us;`S$L?#K%{?ZVtE`bN3)Iy2=^9Jzn(9wT>f_~4D(zi$M`^W)|h3y!aE+2SEFLK(IxtHx9 z<(}uL^M~BI9&$$>$Q>NHBTwWG4qu*o!Nl!fE5Ta|zM9~x2(EH(F6LVZuHIKy74u1R zM_$OO7js|KFUp=huptq?%>G%JLV1!pZl{mvAMfnFNo!v3GRL!DR$od*{)cw za(91@H+Fs#!By_=<pdlID)QkW+8wKHl9p;QKB%cdm!rmoRs5Zw(mHX~uzCv)7`(9!`Y3|4iIqk;WE2`pi=X%Kf2j&ir+<#>5;P4F-`*)n+ z!v#N9@S_D+xepcd)q<E*lM_$OOg1OH=ILaNL-(}~{^^p6|%pDxL|H9nC z;hQA(Z?fRG3x0>-w+jAW<*xdEo7isB+>sY@+Jm__9unowpIZ;_t6UGcH!^o{6`?fViHqxpO__ zzKpqpBlka;J2-qT+>KY<^?OUf^8{a2@aBT6-2V}I{VRAg;a^4Yq`4z6V0)rvE8J(BQNB% z4|A^_5}!NQL+<}DcW~tXFLMWnuS)FSK*0|Z{9wTc2%dKC2a4?`%^i6mr+u0GME4Ck zoZoHVxgK(NC!x&eGvLS_pMT)<8F2WH6Z?m6?8cq{d}?Aq`4z6y+fAA~@TksbJe@^hUbALf>H)-z33pw>;?uG6@loj{B%JqSvn&k495a&O1n!I67=<_-?u#$x}v3SK1m zrh;!Ic-pydBDR|}cjSefs+jxS)1%zibTm76u7}(^Fn4g|-jTV3!?&Z@zg+|`7kp>I zcMv@7+;mm2`m^(OfU!S>y!#7Us-*~~V7W^8)uM|A(+^-VbO`1FMLQaP;_sQqS z=g#$zdm(cNNA4RicX0Ub68m?r;8O&@NANoZPdoR!#deeCj=Yf5q0D{um?-zQj%J@{ zxE^xv%-q3|`-aRN9KM-i|7HpPjNs1-{*>Tp=l-%DtVV*|~E) zEy^UL1d(;d;n@W9ANy z+&5wF;P5RG`?pl^9|ZqV@b3gqJNNI!c9Z6gypYr3%zgYNQSSD7hU+2sBIXW`+&5+J z;PAC@KVa!zFDw1tAb#*Nj^_!!s^HB9PdoQj#CDVBj=Yf55zKw=Wl`?!9nC(^a6RPS zmAQi>_sy6)IDDPN{uK(op5W^XzK-B&=f1AkZqnS57jin1xz}DEpF7t>?#0X<9Jz1K z+`-}7R_x#Qf^R2y55cz)Jnh`e#CDVBj=Yf5VCG(UW&HV_>mm0om^(OfcYn?^^L=e_ z`1*?dJ3#RL1@9+#rQm7jzMt4`(%g|3ayp8+mtPg--oerA^9I9KmM`u5$mcem?RgvE8J(BQNAMjJc0@e?JJhcXTv6 zcdm!rdoXu!F!zR=FM2j&hA-|u4o{u2BT!T%KeH^Eizjbi>+!PR+YnV3(SJMuzK!yF;B5r&8tr##e~+V`*lyC?kr#40mbo|H z7TS_+Y^Y3EtIhJude{#r$D{tG*v0 z=9A`*ypU53b1#_^e}3nB$bC2F4vyS+XYSzeohJ70Ou^3(e5ByDf_HUWkIVhPx{f?u zY&U7{$O}20z}$=Ojqf|xL+%yK9UQsu!Q8>&8z=T}yx><0evRN)3f|RiJudgl#rze5 ztG-_)=9A`*ypYq0%)Mf2l)L-0&Dj6&d&680x$nu`!IAr3%pDxQDPsSo3VyHP_X&Qt z;9aBrUip1h@TBMWd&G8==8n9O(@D&|{DJtsb3NqVhq;3z_q~}rID9XN{d-yP7X^Pw z@aF~Z>b4%&_gP~8Il)!mXN&oyxg#&+G=jMgnil2m{!IJ|edl_}eIMoyj@X zxr4*^v)I4i1aB1lSHXW0ysO)KT;Knz_tjs-c9Z6gypYo=%)M@Ul>55T{)h8B*F*06 zF?Vp}zCUvZhi{G5qT_czrjHylzpaUX+X}v>;B5qNEx5|Pm6%^$aMkyGF`qPdDPqBaHg6}B!PJ(YQc-pz|Ahw${cjSef zPGjy3Pe!>r{R;1^To1WdF?Vp}ejsxPhp(^LzXJr{U+{i{R|=kX?)!=DCe0mrA*a)s zd;Zh$xpO__eh_m9NA3qRcX0TIiv2rA@S_DECioD+)6TtGY&U7{$O}20!Q9KAjdHi2 zi*Y^VK9ISCBlkm?J2-r2iv2rB@UsOUCHP3e)6V@YvE8J(BQNAMlDU^X7v;X5qwaku zT+eVl+IC4Lnxr4(uS?u2w!S53MZo%&mJnh`?6x&UjJMuzKXEFE5FGjhq?`U@4xgK&q zg1Lhu_am7*IDAiv{d-36nSwtp_!EMso%>T_yGe6LUdZWe=Dz6VDEC4~vvcQq$bB$# z2S@HlF?VqI-V*!wuHf$oK40*8f~TGP+hV&(b4OmtX%usx`bw0$`!Vkoa_4%;eF$?0 zNA5$JJ2-qliT(Rk@LvRP6nv@RD)%46{EvdG`_-SteA3*J7jim>xet0R%H4h2e1+V( z9&)c{?%>G%Xyy(MUx!wi=dbjA?X?9j5PU7c+Y7F8Z!6~839fSQDCU#qj=Yf5xy(KP z_4wSm9&#VX+`*CiG0YttzHP<+Z7=wCg7*-78^KlX-NpRYf~(xi#C+1+kr#40kGao$ zBR+SohunuVcW~r>EOQ5kZ-Cgpg9SfO@Ph>JFSyFRpO`;DaFu(Nm`|EJ@-5Ia|cK6$1`_u_)Zb~ce>!Uf}bY%$%3ogH;ayErO)My1s@^&rwX1l zcjSef&S&mrZ%4Viw~rNa=X%J!hPi_y_Y;^qIDD6j{kux=D+M1Xc)j5NRqm?qSBUK< z%^i6mrwf?-p!remPQOC#To1XQ$lSq^`$^0l9KL(R{@pM5eS%LFe2U;I_dCV>U4q{& z_`QNB%^i6mr!maE@!cr*)g8?~&u~5DK7zS}BlnY;J2-rE#s19`{0+h16#O;8Rqk`d z{40XLD){SyC(RvsA*VX#UjKf4?pzPKpTgY1k^8C49UQ(N#s2*w_)miWEcg$CtK7d6 z^GgK(Uht)YC(RvsA*TzOd(j6`?)L98b3No<%iO_{`)SM_9KLq$1>;^XD_y@A2;M>P zj)J!pT;-lG=GPRwjo|GCPntXOLQWSk_vs%-x!d8R9&$gIxq~D3^O!q0d}GA^T`c&8f?p)~1%juYd!5*B z(%g|3a=MJUSAH4gZhwx0>mm2i%pDxLpU>RE;k!ZX-_3&GB=|(ZCkUQ)?l+32Uig`6&D z?(@Hia^Jww?E6)&hurI!J2-N`khz1y_q^D@mjs_J_=|!+CwSVqzaX}oGmm1xm^(OfznHm$!}pQczfT4KSny8-|4{I>b8isaO`1FMLQYpQ_p0xs z-0gi6*F)}OnL9Xgzl6Di!}qJ$zdr>3UGQasHwvD1?!SrcCe0mrA*XT7z4pf_cl*A| z^^p6e%pDxLU&h?Q;akIf&=B`IL0iGs6ugb#tp!gz_k6M4q`4z600JK^N;wxb3Nofp1Fe~_iLFuIDF@d{kuT$(Sn~Z z_&I{7o%?xWyGe6LUdZV>=HBpEl)Jrt=X%KfI_3_J+^=Wu;F+(Z*uNVDzh3YOf{zzG z?cA>u+fAA~@@i+PQxs zwwp9}8*>MTZ#DR0ex6_r!B-c&wcsrUPdoQkV!PekQR8@5 z`aZbe$O}15gs(;O7KN>%-0k_D>mm2snL9Xgzk|7h!?%Ihzl{XnQ1C8-7Yd$s?w!SU zySbxIk~{K3PB+8na&KHc%H95Vv$!5|pUm9Bk^7y@9UQ*x#QyCd`1XSL6ueCEv~%wv zw%g4eb&}kX7jn7UGj=Yf5t?;?r^V&qYcZodV_b9m@a-YK7!IAqt%pDv){Jm!EhyA+{!vx3Q zyN#R2-(!vAM+u&G?)ZDiar@IP$}8vdJMuzKli+i?m$!>@xBp#4u7}+3W$xg}{XXUn z4&NDK|L}Wgar=Fy;AaVby5MQ&K2mJAn>%V8SFZ1?$O}2$2A|8lphJ|qye$bBkv z2S@JrGk0+KGT)zy>3imL%^05fd_RU~K7WqkneRKs@U(N!eE%nAyGe6LUdZWo=3ZV9 znQt-5MpDDK6%^h`;+>ux4r^~&t zQ+(eu{cX`a@KptGDR>LP)6P9lY&U7{$O}1T-oIS# z`JLl)=X%I}26G2T?oTjxaQN00`?rDM>kD2ecqhTr&V4mm0inL9Xge~P(-!`EHxUzy7_>qDS7JQK4Y3F`~*lyC?kr#5hkGWTNjX%$DJ>))%xq~D3 z=a@S2J45i(1V3HyQv^>t_gb;tq`4z60#pCGqDOu7})TV(#F`{blA34&P&9|DF_lhTu;K z{;1$-=l;0ZZqnS57jk-#xmRu#<-W0_+1E2%54q2A&uiW+uQ@n!f5knmm2K%pDxLzs}sj;rm_e-(Q0NA^4wy z|0a0axi1sjO`1FMLQW4e_oD5h-0gpllItP&H<&v(a(|P#gTvR}ePcZC^O>~-?E^e*dV0m`|EJ@sY@n$Fxyc8EXEa6RPyHgg9@?(Z;n zaQJo;`?sgy6@u>}cyGbe&V6^W-K4oAFXZ$nbFbYg{yf9=ko$b*4vyU4W$xhc4HEk| zSnwkRKT`0+1XsBqBIXYjT=o5MF`qPdsY@dYrkB+BLrKTo1V~VD8|^{R8F>4&Rkx|E>}I zD#5Q7{0hNU?)75+a=}&K$BFr*xg#&+G=sU1?;YiC|L#85L+&3kcW~tX5pxHJ?{2Yw z_X&QF;P(oCm*6V*|Elj(#CDVBj=Yf56U@DC_xQeZJ>=fN+`*Ci$IKlZzURgMy(IW- z!Cw^oIl)!#&x-k3f~&s2Am)?ij=Ydl=I0{Z_dO==5r2N?ddU3~<_?bBKV|OV@O>!u z?-Rir1pip@4+K}a|5ttgNNhK0?#K%{Wq#hp^95JA|5tr)Bet6~cjSefGC!~7av#5MeBZeqa$m&U!IAry z%pDxQVzGZEf^Q*sH^Da(T;;y0nipL4eRDCNGG%JLV1!Uyazm5rUs6_(_5vFL>IypCGoIGd=CJJ&<* zOPD)2a{r#WgTq%R_HV4<7YTl`;9~?=xt}lQFA!Xv-!ByNNpnYD$mx0JUUpFY`JL+_ z_aB%$ICB4yxr4)ZgV?{D1;0t~iGoiMT;={>o!@U1+fAA~@l(j@*A@?%?n}EcWj)!KVxUsNfF?u5y1+%uf?s_5BespEP&mg`8$H_wqxd+>0E| zKF@GHS?wwp9}mm1LZU8?& z1CHGPaF5%&pPvDTuf6-lWB2@(e(#`_;QgcRt@M3lY!Dv%{pAAT=^*%8f_D^rpxAC( zF~6qZ?F4Tl_~Bx^Yl!*P1XaBm#=ovVCM~dS)NbsaR8zMY|1s^K-QG%BYAdIpZ3HFuBEGjRCM6vs0{@T5H(B|K*d zevaU03$A*0hL}H1@R5R_F1YI1sbc!D{Y*fVhS zY!&tl9KLJB@mwl+(wJmUqwUhr!LS3SE*%wH+^)q;-`T=nd7F@KrhR|sA&c-lQf zKhTTU*|WwI;(NyR(6c=D3>-aMl|2K8?|yMSlLb%OvuVQffZz`a{-EHhXZMNudjy{< z_`QOwp4~0x?-YEB;CBh0cF)ic^x_Tntb9a#&$u3X){;E~N6%Jc&%oh(Ssc$Zf+y|S ztHLu!@Ye)?MR3)#7sdPwg1;pAY{6B}o)h!W3jVy{vjk7OXXpod@g{p#cS?NExE^}e ziai5I&sJy8z~TE$9M1y5llE+p@O&=#mx6yGxa!%bV*X>n7YhD~;HqaIiTMu%ZxH-L z!PD*;`hi}|W6$Q+#`lctp=YhxGjQ~54fYHizQ4rr{499Vp8X>{%LV^e@V^CDJ^Mq< z|1S8Sf-e(X_3T$M|BK+i3En7p+C4)*(2KX&vw}0?d&c$9vwZdp96ei;Jp+ett#;9U zG9J47<>sLHH(&6iJ?kVqYYV=v;Ohvkde%|Qw->xX@D75jp0yS8YYN^@@HT>{-81w9 zy?C2Ft2r~iXIu|GYvZ1W&o#i&v$pOrKGy(;uT&gQSHY9^Y#ZU}F8H>BZ!Ng$*_L9y zo8VgsULv^a+2&$?Gr_kIyjbwGdxn0X7n#4y=YCFZ_Sx}0<9g^>JN675J!{XNfy1}A zIG$dDC+%6K@a!XaU%~ekT=i@(F~5i4eFWcAaMiQj#e8qUD+J$7@U(k|exMhbzc1-} zR(NiF&$u3X)`2|(N6$L4XW;M+6~}X^;7NNnOn9mVKSuDQ1y?;gO3WWA_z=Md3$A*0 zxR^go@FN5tBzW3ALqE`q%-{QTJ*yoZ-!ra=`(G=ZNE}6+CIrMhnlm zf}bz=d4j8+oh|0i6nvE6X9=!)c7~WgP4JO|pDuXXJwrdxi_G7@bv>JVL441+9(uMm zdj^i4t;3#y!*{(no+|`T+Or#lXM*5234Vj%s%O`V`D+BfPVn)9tDapY=C2g|YQe_| zo_5dB5A-7Q_mo}FiY|=r8P`M4I-aMpFIPI z?=^8e&kLTkXKx74T*2QI{B^-q&t4JpFAM&v;By35J$q5izaaQag3lH_?Vh0@=*0)F zFZjKz`Ip4^jO(Fih3pwPdbR<31`gks;&>VaPujD^!t<5j-w6J-;Hqa|i22V1UnKbF zf~%f=D&{{He4*f<2%dJ&&=2(DL-wqsKE7vM4?XM5o`Iuh8?tBM@ck=}=QqKV_N;~b z56a@_rLei|&w<^Cc(HsH!J7-NdiJ;2|GxzPNATr>tDgNK=6@IbPr;W7o_5dB5A@6zGqwyJ=>T)14qv`Vb8$f+g2RU7J?`3 zSr6eU6MTEYw-a3TY-=%JD)=^ncNbjsY)diUP4KM*FA+TLo}nM;#mDSf*){P!<9g^> z5qk!Xo^8sWfy38V98ZPdNqg2$c=i+g0KxYcT=i^UF~7Iqm4fdhxa!$nVtx<7`v|_L z;A!^^{Xj21VbAKXjqe%PL(jUhXW;1BX6zX_e8-66IYRKHJv&Z#h6{eY;KvHCdUmv! zA1e4T!K(#VJv&OwA1U|{!3PVTcF)ic^x{+YY|-`cJ>z=lSuuMCj-GAKo`J)6zBrzd zf+y`+o$y>B_=SRx5nT1`JTZTc;G+dUS8&y{v&H?V9&tOvu^AeID9vWSucqR&dtKc^au6lNZn7>}|8wH;rxa!%pV*VPz zuM>Q{;A!^^{Xj21W6x?Q#`lctp=TxR88~{jC3^-A-=pGqrV5_4XETK7F~Oe@{Bglm z&mIx;4+%b9@P`FgJ$q2h-!J$y!5sOg37)iPZwt>n!QT=5Ex}dKUKjJP3I2xQa|KsDdqvE@EcmN}&k;QBo}nM;#TV>Z z(QWZP<9g^>clHb%J=>Z+1BdS$aXbqJPujC3!t<@*-wXbo;Hqa|i}^1FUo7}nf~%f= zA?805e39Uv3!Zk*&=2%t5qmc3j`*H&J@jlF_6!_7+m<~8hi{b*@t@28DULH~&sqw9 zp5UtqzN+A=XU)a@zv8%Bi1}uMtDgNW{C^4lkKoG%PrGO62YT@(dp7^h_?~e+^sJ0M z14qxcW6!|h+d$-4Ab5ZGEPNly{#_t!FphT-p3Z`AB>0ActDdbd=GPUxQ1JBxS3O%t z%&#SQC&AYiJnf#LALzwb>{-c__?~e+^sEPa29BO>&z^z9x4k%?tpxAyo)y<8)w3Oi zr>EdM3BH5is%P7Y`E3R7A$XbKs%Kk^`BK5R5xl$LY4;5MKrg;#&+6`t?-|!a&w8?F z;ON;7>=`(G2Z-b8BY1!JtRy|FQXat%6nuc-s%QI)`M!ep6MR3xRnPVn^Lq*`SmC)y@Jj^0Sa8*|F=GCF!RrLSKycNw^Thl)f{zybT*1@s8Tx@DleVGfD6}1iwvi)w7$${7r)2BKSnX zRnKk^^VbW0qu>(+PrGO62YT@xd$#D2_?~e+^sE*` zOyPM_@TUcTN^sS)$Hn}kg3l2AF~L>O9ue~o2|iu$hXqf&XXpodv4lMcTq**}`g`E%OV!rw~pH3VN>aMiO_#r!IQw-h{2aMiQsV*X!| zXA3dkOz^aOhJK(IKeA^PPsjI+>jN;2-t=+L!|w-xqi1`&$N2pKaQHS7$J0shq&+JV zo{a_HRPap%S3TQM%x@rg7r{FVu6nk{u-&OFP1y?=WLCkM2_>O}26kPReJ2Ahl;5`H{ z6Flvnp&#hQPwZLabMZan`T$I$XO-+3IC|EXJp+gDKyf^kf+y|SK;bz^@IwSYSa8*| z0b>3D!K(!CFSzR2{$jqb;Qa*OPw=#RhJK(IKeK0*v*UZl^#Pbh&-P=_z|ph)*)wqX zP7=p6Oz@;VJ4JX#2!5*ICkw86c7m8cUhoqIuMu4J>{u~>jNr!!K3wp$dxn0X7r(G) zlV6JO8P^A38a?aBo`Iuh2e4=0@LeK~XSCo+dsZ(zmkNHl;Fk%mdUmmxzfkb8f?p)K z>e(1Ef4<;#f?ptb+C4)*(2GX)Z26q{o^gEurqQ$h>=`(EHh?_?hwlz?JU0rSv}bn- z&t$>x7W_`ZRnKk{^S26qyWo=qS3SE~%-{-=o@jc`E08FE2 zRqPo!dUhat1`glT;&`SDp0sDPgy$K-pA-C9!Bx+m67x?8K2z`~1y?|HVcj^OVJK3{Ovv$w?jn}WYB_&mYW?iu=lUi{9U<;{!l8P^A38a*4xo`Iuhhp=bh z@ckr?XR+W(d)6pCKMVe=;J*m2diJB3|6cH=g8v}6>e+W<{u{xU2>z|$Y4;5MKrfcD zXM^63?-|zzU>ZF;lsyAS&kkeHz~Nh?ATxI&9%3F8|F#f3Y0ugSPrl%71z%He)w9*b z{Az-?7QB_fe(h@ej~w)1m9S2)w2!7{04$|5xleDY4;5MKrjAe z&+^}o?-|!a&yHZvz|pfK*)wqXb`{6dL-3?M+f8_S3BJ4Fy#-f2+gZ%-B=|0ZmkX|X zwu6}8Uho|S?JQ?3#`Vy%!R#40dUh0h1`gjL;&}QAp0sC!gy&Gf z4;TC}!Bx)=7V`%RK2Y$31Xn#9Am$Gcyh`x?f~Vax^aH(E&YsQuD86T04?P>go`Iuh zL)kNM_)ZnabDZEwdv?0;)Czuv;HL?$dUmpyKS}UY1Ro)|>e&fm{&>Mp6ud_8w0nkr zpcj9$X9b_c_l)bIXVvT(IC^$8dj<~Q<>Gki1W($tal&(j;8zKLrQoV(mx=jH1g{tT zQo&WvE*A3_3O-iwiv&-*XXpod@eg}evoOAATn{}P#-4$rXUDK-;PBloj^`G^llJUh z;h7@%eS+U3xa!%RV*U=n?-G2n;Hqc0iTPUvzg_T2f~Vax^aH*4mpz;Pd3?{f9(p#M zJp)J2j%Cll;d@RT&kVtn_H4HBJTLf*g1;cR>e;hm{%OHy3I2@Ws%KA$`6mRQDfp9u zr`^shA8!?Y8Q(Lmhn^kBo`Iuh$FpbP@O>nX=WW50_UsekX%PHV!9NyU z_3Q&N|GwZK3cf&a)w6fS{5yibC-{89)9xAifnGFc&uYJp?-|!a&uZ8+aP;g1_6!`p zU&Zk(5j<(nmI=>qg8w1-?}DqI{UYXn61-9Hp9NPv`%%n)FZfcye-J$Fo}nM;MGN+9 z?l-Z>jXeX0?{INERe~q&*ejv%|#v zA%YJQ{7}JF&kh#z2MRt=@PhCI>CyV)$1V2Ua5rU`PGxP(!SdBfa`!&92 zTn{}P$)16uXJ@i!;P71~j%TdkNqaV4c&--wTEVXoT=ncqF@L$>;{?A#aMiQR#QY_K z*9(5B;A!^^{Xj2Tv1bjx$M=lup=W2YXW;1B+3XoOeD{gtxn1z2J$pcSrV9R`;P(rz zdUlVPzgzHo1)n0g>e-!Q{tm(K5`41YY4;5MKrdEj&&vLc?-|!a&qlFl;ON;o>=`(G zFN))tDR|PJ%@LlL1b;>FmjzcndqK=UC-`i^pBG&9>{&7YwBWM@e@5`Mdxn0X7p>W| z`sMLG<9g`Xx$GG@dUhUr1`gk+;&|Q@JZaB97oLTJe=`(Ec0PLs4&NW*c$NyDv}enO=TE`^ z7W^;4RnLAG^S=tdOz__XS3Ubh%>N{Kqu@Uao_5dB5A-6RJu7eCqS@d7wrbhJQTOxw zE&p~u+5hjqZCZ0Z^y~um3>-Zh!=8b|*KzIm?+3INJZaC?7M=pZ*AaXz!Bx-Ni}|*K zcM!at;Hqb9iupAJZzFiV;A!^^{Xj3)WY6kXiSHTLL(l5iGjR0mLiP+CzAeS^6bYWR zXWfNoE5WxGyi{=2vu-c|6ldxn0X7j4+HhE?Nx#`Vy% zi`X-8^z35x3>?0_#PRGRc+#HjBRqWs-&gRx1y?=WL(K0k_@07S2(Eh8Tg>k&_-=yt z5pw0nkrpcn1fvzpfNJ>z=l*`@3mIC^#&dj<~Q+2VLk z5j<(n&J~_ff}bb&IfAR6ohjze5d18`M+&ZbcAA(!Rq)dVuN6G)o}nM;MSJ#adVYM* zxE^{|&z^y!XP2{Q;P725j;CJmq&=G;Jl6?+gW%T-u6lNjn7>N!@q%A1xa!%JV*YZ$ z#|eIg;A!^^{Xj1|uxI&g<9o*S(6cMpGjR0mO7;vKz6Zte+$DI@o;@r)(*%D+@P`Cf zJ-c7b-zWG3f=?A(_3R!of4AWG3O+^fw0nkrpcft4v+DNoJ>z=l**NwL96h^=Jp+gD z6>&VX1W($txx(|R;I9k*n&7HuFN^sX1)n4MOMC+*o+!m~*5uLb{7aMiQV#Qdj%e=hh!!Bx*b z7V{qo{)ylXf~Vax^aH(Ei#;n?JHBUJ4?P>to`Iuh*Rp5e@ck{0r%~{vJ!`g3{O7>` z2!C@i|F4)=J^M?{{~`Et!T%Io_3U>s|Eu831piI&w0nkrpciYiXEmMTd&c$9v+LM1 zaP;hY_6!`pb;Q@Tjo?Xpww~~G5`2BZ*A-m#Y%MY0QSh||FA!Yyti70TD|iRN+X&5qMooGGuYyx`*j-K7Xo`J)+wK$$)!ISo^On9~td^^Fn66;`zfN$~vunisRf3Nf{A$6|?iu=lUKFxtqq@fT zjO(Fix3g#9=-D0Y89028h~v3e@T5I^On9aX{!D|p*)wqT>`wL!9KP4Z@yr%HY0u^f&l`fjCHR|y ztDe0k=3fzfuHdf{&^-_?~e+^z1J73>-bXn>_=E z?`v^9p9r3`XWt6XV!^)?{2Rel&%PA%UkLt{;EM!TJ^M_|e=7Lrf-e+2?Vh0@=*5QY zS>2ZLJ>z=l*%bB+96ehV8u$0M!QpG(DgN{NW#TxK_AF2MTL`|Y;HwBe&>e;Qx%HL) zyR-j_{r_9=X2SoEm{&dfOU(Zv_;SJj6g=&op%wU_?@7zdqrAhrM7`T2uXX141DfNp z>r?+0ZXN#}fHN~s$ZNhy^!P;l2LizHbo{#!dz`nT zty@LwrI>gA<{L-P>m2blP}hvlVR%Zhj=7?Uue9AwqUX18e|~9&?RLpLZrU!q@L@jV zi=Njx;!WEv0 zX3jm1+wNx3^IMcf^DgITyS*}xo3`6CS}(;se8ti8I!C-|yXBn2I_5TWkK?wxIk#I8 z&4=yw%RFw{ZXfzE58oEtZp53mTgf@BXZFuMj@xdx==m+GqWQ4h!I{TR+Z`CKmtr2i zlIVGzBi^*#L7c-n<~Dbamcw;S~qeU5S-VYWM*KFq_n6}KDlrtQ{n4(piPLTtA* zdVY)AXg+Lrbmno>@s5nvOEC{$_vm?@Bi^*#QJlj%=DNAZamTwgw_6v@hwYBbJZ{?V zSo$yz-!|NC#GAHT&pE7PuEaf#+wQi}^IMFM=EHU;Wga(ecS5vYih20TqUUvvc+++# zat`a5+tNLb+wOMU?&N4bY@a;-p zt7ty>3XHEEeVB)@O!#`y*NHy=^(ZpFLi#WdU+?I7og?0qOBc>z9dp}>?e50y7Mtys zneCR)hk5vR=XN9BwB7EU!#d`Ai0xKzyFIyG-{%Um-Cp!z9=`2`Zx8zV7+;m~^`j5- z@bwhFJ?R@rpZ|JP8{Z)MFc05e(epY-yeXH#oWnZib`aa`!|e_?+pRU*t)UO|@a@g* zM!adeBRGe3%yX1^{?~!X1nv~!#sSwgzrH578u_m<6B4{=HcrtdS z;X8!Byv*~Pu6y0DkF4NxzaE!)pTj(SeT45&`q~+v`!Tc?d zS3w`<;p;1WN77emd{xHRk3P)9x1aD0rf;C}4K}_(^kE*p{e|x+`l^ku#`uQQhk5w= z3EvRqTeN&BZy75h;5A*OH zBz(i^n_+yjjBh4=n1}CR;X9VT*~T~5_~y`udH4nj-*NQKGrk4JH=jPt!*_`A9Zz3_ z@hvjGh4f(_zC(qthQ7td*Jyl8>BBsHhY8;a^erqH;s;X6X?-w67;7+;C;71M`#_>L65 zlj-Yjd_9e?j6Tf6H(2;ip|9NdDvYleeVB*uDB(MmzCOlRWqkeU!#sRLgs+yqfyP&D ze1qx3JbXii?=<>`8{Y`ytDz6`@Zod5xbx}h^wk>QDB~MRALijZTKLYOZ?y4^HNHCf zFc04_;TuUGem^(+`USral70O*jy}x8cZ~3zN#6wHn`C_Wc}9Gmj(PZo3*TAvO*X!% z#y5pN%)@uA@SRQHG~=6LeADT}JbcFq-zfTK8Q)yvn?oPw;X7XV&Y^Ff@iiFV0{Soy zUybmcOW#7{TWoxb=)*jGCkWqp^er*IM&nybALijZQTRsFx6JsO<*nGi<@8}5zLSLS zeERY-&(AvF8(*tvy%h8CjS#*I=xb+uos6%5KFq^+vha*PmGSkX z5A*PyE_`F@8)$rkjc*Wrn1}BS;k$&sYU8UhzTxy?9=?&ncPV`%jBljz)zXJ~_|6o* z%jg?re09b*nm)|Kcb4$g(>K=m#u;BdeVB*uY~j0{zVXI4(fB6Nhk5u$3Evg;O)|bI z#y6Qh%)@t%@LfsYRO6d&eADQ|JbdR0-#Gea7~d@8n@Jz$;X6FZ;B{fw`YKFq^+neg3AUzPCvl37+f_dHAjozB}ogZhSM1 zZw7sshi|;_-9_IlKilEi}Fc`Y;dQ z^}=@#eT$55iSaF_5A*O%5WaiqTWWmEjIWVC%)@ts@ZCq>a^uT$-?_-X-*1+A+^Q7w z@ZBhUQ|ZHWP_#U9Ii}4j3UlDznhwoLB>~Ye1qx3Jbbqc-*ozh8{Y`ytDz6`@ZBMNkJ49be4~tSBz>5N zZ?f<`M&D@T8*6-Z^kE*pJB9CY`s$5uyzz~r5A*QdC44jJn_zsCjBg@+n1}Cf;d_F< z$;LO;_@>Z@dHALX-;?xBGrk$dH=RDr!*`GHJw@M4+-#p`+ zOCRRpyHEI@rfMa<@8}5z6XWxIr{Q4&u`_P75&_8zVWq+)=M!D-!$QSp1yX**U9(_ z=)*jG4+-B3^c5Okk@0n*5A*OnEPS)+D>lCF##cfg=HYup_+F&1%=pTUuP1$&hi|&@ zy+mIxyPv2tWTWWku=)*jGF9_ee^femaa^qV@ALikkEqw3M*R0iw_eZTVkF!4i zi`Gjq58sQz_db33##dl`?dZcid@l*#0{S``Ul-#mq!07(y)1km&{t%9CB|1wALikk zBYYpy*WLJf8ebWGn1}Bb;rocba^tHozFzcU9==zFuYtZk#@EmID(S;Ke6I=L$MjVh z-yq`~NFV0mn=5>u&^Orlh8tfseVB*ub>aJzz8d4JHNFw_VIICWgl{2zBaLsg@r|Mn z^YFbXe4o)*XMFX>H+ zFb`jY@clqv7vn27z9RZC58uba_al8J##d&1-RZ+Te4hy4Qu=xtUoYb;rw{Y+eJXrE z(N|%7mB!bHKFq_nQ22hPub=S^G`=eOFc05n!uJb(gN(1*_y*I5dH6mTzDD|n8{Y`y ztDz6`@O>eCztUH0e4~tSBz>5NZ;|l*M&D@T8*6-Z^kE*pFNN=S`s$5uyzz~r5A*PS zC49^1n_zsCjBg@+n1}Cc;roNW$;LO;_@>Z@dH5C!-=FkNGrk$dH=RDr!}pEw{YBqQ zPU$fRLz8@{85A*Q-D16Q7i~bHp z*5`l5*DCY4RVn7-TPl1l=xZ0vhxfNm##cZe=HdHE_*S8>(D;gsuM2&chwo?M%cHN@ z__`Zk34NG{?-$`)mA*3LD>uHL^kE*pM&WBoUoYe9V|*3#VIIC;g>NTyqJX}|#<$e?me7ZJ z_*N0VwdiX!zU9WZj6Tf6mnVE{)7NYb^Z8%qan|R5(RwN7;agSs)}b%o_zH}#9etRG z&;5aj*!()t*U9+07+)cMn1^pQ;aiu!BI7GDzGC_?4__Uxo4Yq7U=%wHCfY`uZ4OKjW*U5A*P?A$%LqS7m&IjBg-)n1?T4_&U=!*!XIU zZ#aFJhi^^c+mOBy#y86NM$(6Q_}U0x7y3pUU%l~-r4RG)wH3aN=o@Ez6OC^IeVB)@ zo$zf;-z4LkYJ5}Z!#sTLg>Mu3rWxN%;A*|T1D%nn1`>E@NGd~JLBtQd&juN!@Z##dx~ zUFgF+eCr8c34O)J*WLI^=)*jGTk?6Wc5c?}Z})c-oF4B_E&q1^2Y;`E>jNAHZ+`D8 z_(_hu%=6sy^Y3$ytK8qI1jpa0#P_A}^@{Ez+qC{>*U0-z=Dx8_>(e67iS7n2j(OCm8>U!oQ81 zhacyqY(ILE?Z@j5e$2x^%lJYSI=m@6!Y*eHU1jmhZp{e z==s54nR(pg?-Q+;VjliZy;tndal*fyn}@$DdVcT^$~YM@xJiS~hDF&ZG3Sj6Nr9 z)7tlo9Jgl~KY9;8`xN}XpIFbue*}-q`~AN=(C;>e*K_cX=pFSR>$&*->(6`r{_jXX zUvI(hU%yz-#eYJS2ToI&T)clL`gy(xet-VKdM$&*-`=|BqNQV?7tYzdo}0?@m9jheH1T z`U>m0_y>#q$NMJwpP#p4|4;25?LXGD{q8XF`gHG8(ZBxucBJs{LH}Tr&)M{2J=@Rs zvz7dRxUUzkOL5(a>&-nQZ;L1BahuQVm%o1HdbobY->bm&E4bTU{{8MTu3y378{s&v zcb0KGKHvZA`gJev$EeKno9;L2=&N>i?Dyzsy%h6q`#E2~;=09seOlB<&kxrJ<1>$& zt`EjV>!p~7zgMs5>*x31pFa>Rt{0eB^S#|X{1c<+2mj>E<0k*4XuTBk@cZ+Z_xtmo z_3z{6;h!2kKlrC-9yj@?MeC)Qhu@!nz2Bd|t$$xP5C6>Q`N2Os^SH@BD_SqbJp8jv zJ|{%EtaN`;>E_{|8$Cbx=Vu-_`R7IJrI?4`zyJCD_wS!}|NFXm_#2|<2mhkX<0k*Y zXuTBk@cZin@Auai*1w;dhkr@*{NQiQJZ|zYjn+#s55K>@@&02)KKr|Q_?Jh|5B|J1 z(d)_gzggySt5VFvk3YyBcl~;_;3o@ylHjKZK0@#s!H*OC1i_CNe7NAl1V2{rV+8kK zANOTi;q~1w`ugSq1$NCSZf3orW_b;sH;#b$NxG#6- zryk>t-(SCCJs1CJqMwJ*udZLQo{Rr<;Xjmqb^VINJU|6%m2>sPGj;#b$NgXphw z|7Q2cUteK87ynse{|~3Xlem7xdbZ!4M)3N?+hpcnfBkx<@E<`x|9)wBefjHGtY`ai zIT`2o*RQzl#P#Npk+(%*MRc9!HlKN(zkcO~PQpKw{tDx-H2yyHV;=r4d#;%Oj>2C} zf0gkMGX8<|V;=rK#=nE`A5DL?@z)staQZP1{|MvnDg49euQmQr#y^sN%)>vy__r7S zW9Y9l{(9pdOF!n}pJn_#gdd-);`(*G@lQ1V3G`zg{>8>$Cj7_JKiT-F8vhjfF%SP3 zo{#YIcCRa(9y0%4Eck_jUnKYig4YRtoZGLCEt+?UzVEn(|L=-4KR(*uG1x!+uO>R) zp_pIa9mfgLTWi!hb)x74ttM@`ijyM*A@o^T_AS=y4BlxBc*SJS*bGSO;H^ z`w5=QeqJv2^KAG0xcwXzdBc95;~wMdho!~m`11hPbMapxj(0TuZeuH4Cw1liV?7tYKhN3yKc9Y{*TVk$^CZ@D@%!_% z^Soh()w|Iiu;6`=)4^K{(Tnfx%mD2 zy!Bs5{~+V{*9ln9#qY0Etp6hVD~;b@=U_b-zrW71{)_1^H-3MehV@+h{yNe6$I@S7 z{Qf!<>$&*-b*}YaLVuz0`|D(^=iMuFf4zHt z-1+wM$Q#bLS48_U6!SRWUKu?u26x+U-i_xg@a+9j_g((J2=_C%j~N&FS`4Sh?Jmy0 z;pbAg9`1|qccgJ&1n#z%|B!n;+}#&}!#6GZdWX;T{ny)nuIc;IGTKh~T>L8T$IQ(0 zn?Bc{O`pFnniZ{=Vjjou`|AC^@78}c{d0|fzVXkaAM^10*PHkI*Q@nkLw|$uFEajx z^kW`=f4=a3f4;GPe4c{q<|W48X#7j*$2|Q0eCPfCd};mH(!bpJ^V(+KAH#o-v{~kH zt5VFv@6XrX@6Y$ve;xh#(evGM^ml3sjK3ZIn1|oL-*~@&zq0=8=`S??BIEBuKjz{0 z@0Z^1-*2sd0{tb%UuOK>>Bl_${{7zj{q=(N-#~x4@mCmsFZwYLzrP;xet$h>{WsEI zY5Y~j-;aLG!+#^sUwC&avpu)F$8nxJqPgIi>>k5| zd3f%O9`^vx-cRss|82|%$C~|hxr@)Y-(U9kOIU{oU(f$_zjV9s-%Y=|U&4AW{yT(! zihF+C`{F&3H{^D2v>!t;kKFEy9`^vx&TVSMi?I&w@0Y-H+0V&hKks+XkK4}&B5&Bw z2i;?QeKC*yoEAMU2FL#R`z7#P_Q$`z{ny>?UgqonP~`2w*K@d@_U8wz=iM8Ki2;!{d~U( ze*gZ3^<4b^{nPp%qrV_JUkAT`|HXPPe*gY&{g2b1XZ-&90qeQ={q>9W&!C^zD`Efr z^$*r_@%!s9>wkiNUT+1zzkb7dE`ERgX#G#p&+EnD_t&3T&&BVrf35#1`gy$@{QmkG z>$&*v60hHx^sD-@cY-H_xsne^}j@ao$=Qj|5*Al55GT;c)vdnS^vxQk2n5_#y^36%){@` zgWm7Yqt-u%{>jEa)%d5-k9qk0dEEQ``+)VoLjQE*pK1Iv=*K+#{(Z>%{ri~pze@jX z9U^3J|qe@yV{f%5 zr=RDeu>b!2hV@+h{`_kFU(nC6x%mC{h4p_;|3u^W*C$xd#qY0=tbZ~6^~Ue7 zudtqr-(TNZ|2OoHGJb!3i1l3j>OSXN`fH5e-{)XG7ymQj_4^(D>OKeSx%i(I{w4IQ z`y8z2;-4k_-_u{@-dMALe$W4Y2-dUx>i*<8;s1gDk*lrf_xC?o&&BV*kA(Xc+^_r? zd0Uk48{Pl-{qjFw$Mtakga6(r?tj4D_VOQfj}LeEKj82+YnR!-#NS6+%KgaCJiqDt zNCouy`=55vdMW1J_H(}f`HB8Q<1aG)F7#s_e*gOP*O&hFZLcqXroY7a%Z$G}{g{W} zpI^M+pP#J%7y8SMzry%?(T{of{rS=R{rT1U8|kk!{wm||M?dD__vd%-_wNtZ|1152 zjKA9W2h)#v`2G8z_xtxx>;H}Z8so1u{t@(J9)AD+?fw4!-}-;2f0Xgp8UJYdF%Q4L zp7Z`~+^YoFYu3Mv{(9pdZ~Wuv$2|Q0=bgNNYvKQc{)xsv+4v{Xk9qiC$&*f75;ze zcVCPZ-e3LCePKNpzkhw({PBBN?mnmoU(aFx{rLgwx%mD0$@-hq&+}36`|}&tbMe0? z@@YYTzRAa5-(x)&zdwK5{a=Ot>gaqC_TQiXv7U?HzyDZ&9{p9u@87?$o{QhVe_H>l z^j8?afB(gLE`I<1Z~ZOlFEf6B{ebmc{ObCCHTsK;-(TNjJr}>azHdc;f${t6d#vZ; ze_!--b^6uyJ=SyaFA)CL^sDQ8tmoqYK={|7KhM2!$6eoJJ=^cEquG=2_bomY{(Sno zoBIcUeUJ5A{QmkL*X6h#Uo-NysOTGA-}8Mu{G1rq!}a}RZUEQ!;BI^QkGsc1-1R*; zd{d%+B)-0H!~K|^d4ALN{Y?7&_5F-!y%h6q`#E3Vx21o!@y|8>IrL*5e*gOP*H8ZS zZLiPT(Ldk#8;pMe{g{W}pI^M+pP#J1J^hP}e~IxgrXTb0`}3ps`}3>ycc8z~_?H|1 zGWszOzdyfwzkh$Q{*LtLwa4qD>Fb-HdEE5-)2*WQQq05e-(S7ozdu`l0sRHWUugWD z=*K+#{`$lF{pXX`zZU&P#$RIm#q?tyet-Sv{ad?NN!<1Q+Vqzhf4TAZq#yI}f64O& zU$^d2bUpfw;9m>ASnx%Hegmarpkj5^mQmXV(3{>+|{Q=cBL=4|4in-#74IAMbUW%WMZf?~Lm| zem%nVjsN;$Js1D?V*fYresg~J*Y{Y@#s7owcc#CcD|Yt#lfS;ldM zAs_$x!g?F4`p@cZ{)tmoqQ@Bh}n8U1{}4}O3Bfc0Gb>iWKzeqOHxzrVi6dMf55fHd?i;p^ye-P;ae5p_xDVlaxDT1( zo`?GoaJRkuC*0$q?mh$@zFxd;O8RqFW!#U-=sL^2TSh-;RYhO5v*Y({`$g-em`A?; zb?C42{Oj0WM{Y;|Amgt#{=xKP9)5ow^nQOHwf-LT*BF1T@sFS%^YHugxcB?_0qftM z{!zwXXZ)k-$2|Q0eboE?`>^%*q`%(y#~c4R`Y{i`zs~S}f1P6eJJ3JT_$M3xB>FKA zzrW7&{;i{auJk$mj`UA8{^`a)jeg9-pXW{(xGz9`@%nZDWd3a>cuT=o6MPlHn+x7T z@Kpuh$sI@Bb$@wud}DAt_}|V^K0`5&^VcrX;~wD1p^KZr@q@d^;ktiU_c+eqE1C=b z-tIAeUIp{;?-o7o0SFU=kwKl1=iug*X{r3zM_JD_9MLS`o3X3 z7e7ANMStx6??Hc+IbZwx3asbiUsL$^q+i`vU_BSVf4%zs#|r>G*o%I?K0`kK^^Wyi z{B6Yk_o3fyEcU(v>$&*-`ONPB-t_bQ753ks53!z$zpdE+ef<8L>wbSCH;K=2>JN;Bdq7*_wR?+-Y}{{0l|x%k_QeD-tCkGpQ$ zKk|n2Qom?FhGHJ)r30eJJ;2@en|E^<4Y~!hf*ef483f z`AbjtcT(~9ZLyw6tA@9$5rp6z!U^bMCsnSU3!{lInY+QNT`dwyKc4~@K` z=Z8i6F%G?(a{)bJ@>z#C{$Td3y{tIr#e%tmoqQ_b0fo z!2QILk+;R7e$m%!Rp#b@4vOpH{^UvbJlvmvyY1ya(F1n`q#0&K0b>6`NrR1{0r#EJpBHA>izzFZ2d#%Uu67C zjDIoxn1|oLA9%liKe7Iy^fwy+a^qh{Kjz{0@5kQn-_NbTn*O{Fc)c{`pPzZ0^?i(J zy%h8C`|B0&_t!hte>D9C#$Raso#@9r{Qi2*`?rbuxzhc~F#3y(zr^^9>Bl_$oAULB z`r>^GEyll_3%;4)#ex?JzOmq&2;NojW887X-9HSEj&BT(2md=Z%4aC%asE0kdfWpX zIr#eraQ8UepB(QV$N6ibx!^y+J;wbB=HWjvdfWpX{!VTmkSln$zl6tyeaz1PBtBo= zpI{vxeBJ)n{mGWXKZ5>3SJdqHEq{N4^<4bEkADCC_5I28vwz|G%=a1Vx%jsd`+o}k z>iz`lx%mC-)$aeP^z-!@_TRtWv7U>+RP28({qBptLjL~y9$3%C@6Ts;|4*Zz=dZB; z{(Ok_T>Ra|{-5smU)-NyJs1Dh!heR}f8+Px_rQ8Ce*b=A^BGA$-#I|^W(1D&W^m{yfiA>kD-{ydFh?4DdMXvBPi*% z?5-xG$QZ)P!iu6K7>Xhoiqfzl=qxCLq9}r)D1xCVilHcqq9}@?D2m^C-Sc{#-oAR@ z%jvKG2HMl}m-YAMxxE*0v}=EY_L^}n4#xS4>^4>g`xC@#eCd~o~y3FBpdGLs&M{R!IB^L2eD9bz6-2 z8KkHE$&wuJLw{Uf%|q3%n#W!rzl#2l`NQTfrH}sbl~3g>AG`l*`peB9HGc(t^oOtY zK)%)!_g_PQrTJs#ucD9s@U$I{K^4A2)vuee{Q~dPTnK9rv%GKVkl)`RnMT zKYZ15^3P0nS(@KR>`z`xf6Dxg=5L^n{_yY3`G(uKwLZRI(2hKc=lA~i4cgBS+V2&# z4+ib`3EHnr=h1HeFqzM9EoLSDy)Iv$5%fp?dVM}_pdHsi`vw72>X;CW$;t=Ip}e0;P&K^zWVhwIv(JTUO5=wE92 zRQnUeYkb|08o%}@Z=uioubjW`XT)p#3xn~$m4388LA=J-eD(3ajXvjR8NcQ`;x+!# zVEh~Crx(5L{si$FU-`_(|91M!UuFEthltns4+_Tr4vpXT5BmHB@f!cZf&WhW(dQ?K z*Z5jb{Q7L9&-J5RAFW4-*Z5iw-G3MT(VTyauk{r18vml;`n)?mzFpn+p6r&qv?-5c z1pSeh-kXmbXiv{y^4|M$doSW>*Zu_UHRDA6i*-TQK|kN0-6QHY?N1P|@m1HzRi2rq zKWx`obrIq~?KZr|4W_(?(gB|-ZWgZ9S-?IS_^Xwd$Ip#5{{JlgFauFmJT7PAunKA*48 z2>K&`eIXw=(2nb%{R7(5aoL}IF&($_x8{Du|57@}{sjHue>opF&<;O+eYtsk(cbDm zh3ADawqF0Q@bS_91aUZc-L7kY^3=fJMt_~ukm$1YW%7jzDl3>U%9@zpAoO| zpB9Y&YxJZ23F0-r=BtnY>-4+w{3+wtd`G;-UlojhJN@*cx80v0UgImD`S`yVDMwzsO$4K{~9<%I}9T zei6_2*sIgyuzx^%dcLmDr{l+^{R7(JEnvNNw4ZPMlH*vI>$^0J{P{*dJ?$SB<#-?Z z31m+b8VH=dl*E8~^^0ufquXBTxM?A2-mB^VL29?diDeL;jSG+xge# ze#QTDI>tT({o(&5A2-krKb33E>xlMNe}dGM7)>#Oc7#B2Ol1>^r4{VCh$Xdi-jjsNPv|2zF?AA)#|uX*X$=O6Sr56kt@yhgmn ze@!s{1N762-gX~?c#W^T<>UV+edeh$e&s#HYy5S=`2VHx2m27jYy2w$|KIeZeF)+; zzSa%DJ_qS@oha8w>ki^I{%eEr|0g}ZUH$am?3TRozdVi+^he&n|GSm)NCWNZ`Ac3p zHn;a8j&|*5&|Wi6tHNNT#_m87LY`*Ff#A|%jNA7phA2eU} z72-91v=2F+e!uzJhag_#Um4sdC(w`fA&A%blYxId`q4fF@f!bifj@`7=Xvcz5O4Jp zgLMvNQ1hquA+Hbo>!-)Jn@2auZkb0X=5dUmKju+aK5n2rJ%3q$ZY;Hcj<#Q5x+UIP^@jmp&_0>F7ovL~4 zb?}XJp5|{ie;a-Dhp#*$UwO#=8`Ixm{x0)((no*z%7gNiN8LY#{vPx9nZK7l`omWq zm#_D=+@D8(zxfBvKR_S-;cGpUul3OVo6zq}Z^$3^do0c4md{CYybt~1>wDGm_4nl6 zKb8JG^SjNTPapl^>w6dS_4hK}zbXA5^B0=mOCSB=s~(cCddmIz^!v>pFn=+9^oOtS z<;y=i&(kA5N4gpPLGy>qzkoja!`J`!Cx21k-<NK z#@`h9x1{giht_@x@f!cVf&YK>{re2%?|oJJ?+g4}(f7ZHqx}-%HRIR(&~?}Ai~r9R zbus5dd3`m%5U=qyzumvJ#-Hay@s%GCukn?i+`kQd=A+^(zad`ZE5Evb8hz%|;wwKR zUgK+haKDFsmt7yNFNoLpTHoA1oj%vIGJdU(h}Za9pWVMLeXhsF*ZPiljj#H`{oB!J zJyCqsCy3Yhs*l|7rO$e(_^PiEukoXO&h6>X;LOOywy(()+dw^&7a!msJ=&Cj(Yq~+3nc>uw38IVSm;4 zj9-M_Sbw*s$DzJQdwRaEFQsGre}ZU-w}R{W(SD9`XO3fKUjNg$^XC|2^iY)9zLHp-|_D=`xp99Fw@`d3>XSDlS`jsK;$Z~DxeW&Fy+h}ZaE3C4dPjX(HY0`VGuTi{%D5oJ+G?#`&OhjQocF80UlYaRcobkMie5xxE*0w5#q%d(Ak% z9*lE1yGOk5tGXZY8b7N0A3}dTuhZpzP~DGsjUUzh52Zh5zUqF&Yy9oO^|_dSRQDrZ z<9{RYm(h>ve#C41ZwCIu==*x1&o>Zn^%H~j66II(r|SN11^&a+$=GtO&*aXvb`jqSE>o=P9_8ejV#>|2`q zmF#v*JR*-X{n@eRb<_T5MUI#K&zIBVu>V1OdcLl&q+{%V&<<}~zK@Uk^Nq)F96Oqi zKlJ&=E_&Mk?9A~#^vCtpJXHOydF=J~W9jcPf1mk#>7ze<<$d{DH{2hgzu)|W<{zMs z{_wT#%GbK>{wV#8(R-y`-vkE1`&{BHB-(?@^!s@vr2 zd+|R0$J6gIf1&xk^wA&w&p01&d$rcVzYN-c9<=`=X#Z)@{*$2n$3gq9pnZ4H{)BXX z?e-6sA51=nwxH`M800_$i$=UstrZ`oHFN!!>Aq-Ji+F zNBbYd;oyF`uKmw%0)LGD!t@6P+x>kl#A|%b1C3w%hiA#p_kF1+G>;Ik@%IMfe>VMS z|ATmquRP)7e-3@-g))BS8N_S+-v;Bqlzw{A54-;QoB{C~UwPEW|6KZAcKwxy5wG!o z7mWXT8h^0=LA=KQec(S|<2PTQGaz2$YaR3Jvzk8Ft@8S69Ynmw-xrMk1?lna>a7=M zx8#i%<#CLlKk~+!eB3~Ldj694UYy%|5l6fBKWMKR=O2P`z9c=q-8k1~w~TW<9V5S? zKgRjeeB3}g#-sfCvfSQ_ING)UL3_9bBM_k-## z#B2O$|MPPCtn-Sm{SV?b{-1*D^9uUW{s-|I|JuNRCH-jsgLsYq=fIz!@9UBFKZv*b ziNSh_@~in%`=7rA{;SgC+ui4{&ThHSUz5i%g8sPA*X82|+SBuwb^MCl-itWewf{kT z%{c!WjPteGZR`y8KZw`(+W%nRg8j;s+3o0gWc&RO<7NM|Ej-uUs#_zG9 z9o{0=vq$^+&m_k&kn7*{`tk2{(bN8CNsjlSKd!Ilq3UnVW3RtoM}NrtVe^;LM}PRr z`|`DJxc_?k%grA(e+7N?hp%;4zSeE`-#~w*`D5mfp+-XpP;?fe@8lQ=f5-eEB?lG3?KdBi;Wtyg}1K1iSIQ@K7`?+~x?PY%Zaq4fB6b=6hb zU5oMH--q)!M$jMm;UoFDf%f$LCBJ<%xA!8BcI|V}UNg?Q!8kXk$G02j$Ff_-`SEm& zJcj-l=O^-U1ML`(^5mA>-itWewa-C&%{XrqjPsM(J)-{8J_qp{U-c2LJ@T#c)u-qW z=k>VU52~*aukoXO&Zp@Qny-Bh;x+z_gX=RxKicOYUgMt<_@AL4?Q;;X@#h8pXX*QT zqPdjHGgWKbCbaTTzY)F`~2$cu7!htpU>kML4VxmU&zP3Xiv{y_A_X& z8PBP~c)pn3#k0Q{K-^(;H1X{(qZIIo^l5N{o$+rlOKJb?Q8V+n}5*!1N6}!{%PsHO84Ut`~@LTj{u+e@*UJ{BNgYtkdWZAIGiE9XNiB1?!)FekVUJ+;1QbCq4W+_ZzxjX`whfv{Jy~d5&dYtfq0Ft z^~A5wkLh#$DAz~p5#lxeqG0^H(&O9JH$TblT8sz(ewxQIg8s-4Kg-7rw5R7U`Db@- z??oK#+Hat}W}IgP#O!)j5dQ_|d-NH}t2?*S-Sr8vm@|`s}42?JE$k z@%sb+xAdcZ1>!aS*@6E%`kq&{uRy%jkMay zosIVNd|ls2$N0T)w8J~*)Mj2j>hDeM<2bsSk3aOisd@BNXV1;?KJ-t|UtMSafqu97 zJ?1Z^wA&w-BR9Yw~xAK(7q&Szemu1UeJE`pk3#e zPV=z!?;rX68a$t}{^|N4p3Z01eZ~2FUitcYfWCh|u6+gKHU7N=|DW`I{m{Mw@f!a= zf&VZ1{=S!fFC6h2|AN5(H+}#9wDuK<*Z7(ry6&oP4$|j*D6g;P7veR3Bi}bi{Jzb9 z=yN_7U-<#?8ejRz$NyjY%tysnenY&*SAKQ>fAq)ld@jE7GvYPA)(7{GNpBdWI=L}w zzSbASYkaM5?qfp$f7pDjkBHa!TA$tTpg(B7)_25feAO53A4k96eAOq2*Z5I=-$}p6 zeAV}e*Z5I=e?0wp=BvI(yvDz8kRMKU+d%{QC#~9Qt$Y`?~tQ zaKu~v+3I`L<*3K6pWTkJ$F{HU887wyH`C)#-=jS}U)Q(NG3tA?!`qTyzoV}2Z@_VE zZ9e``eZQTa>icau-iQ9_`K#;u6Y1|Tf0y|?>7ze<%^%e_ntxuObyP_$>Gznw(EMKd=nr4(pZs&uUEHqzz7hR?^9RgdOdtK> zYyFnr2>ctRe1^*M$9u=&f)Uq&DO;j4a?zbF|0Jo=;NuQdM> z`sfdTIOUCY_5HG-{h>ko#X{-Xl_X7qjiQ+#q9#=JYuq%ImB7g?Npx`R)EK=yN_7U-<#?8vmRGW4^fGO`rLw_{wjH*Z9h> z?%$IB!aSdgul$U7jj#2={r{uiZNAnQ#A|%5Z|>iUewX=L9}%zdwLZJQfIipba(%SE zBVOaHzHtB6^jS|7U-b#%HGWjz--bTxq2jB)N4&<5>ig5^vz{xy>U+d%{6`1*p@)7{ z-y>e*uL%6p=|}ZF;x+zb0{^!3=LPjW;;sH{^*!ox)Z@3yZpTzAp#PKVI)DG3@lxMk zlOBiq9_{J*y1t!`QQxB--l{x*j=H|@iYin^e4=p zG=Cj^^oOtcqxwek&+Df<(4R7Yqxl=?qd$DjfBDKE?%$FAwE3IOzluKk!&m;2ul(o! zLi#i2Z#DmF`sfc|`B%R3xBGXZzuo*D=3he}{o!l3n9b@1M@+m9L-u^cMy7J>oU~GXno? z`o4aszDK;qe`eq}=pV54N%cMAHU3!OpF_XLu8-<_#A|%b4_$ZF_lxOsK9tv2^9%7B zU-R4jyU^!+F23>u;x+y`3C6r||6KaaN5xluL%hac9Qb#o&wN^Zzn)M(dT+re65d&*Z5kW-M<_C&3Qd2zSeidYkbug?%$pMM)OslAYS7~ z_5Bk1ljf_wN4&<5>ic`pA2(n1J>oU~vx59^Px?`Pk9dv$?7+Vl{iwc2yvBb{;1AMY zVEI$;-y`1Y&sN`~E=N6nes(*i9^bycXS~$+-${={eUJ9^d|ls7$Efeo4zHK%`O$t4 z{@xr%e_sDf{V_mK_08fO??eCe{MGgSedrIGKV<#|^wA%_=8x(d%|EZ7E}%bb{&Mq| z(MNyyn*Z{ZKit1B{ZaE*ntus>^oOtfC13f^{rk}$Gk>-Dm(oXn_{zWXmA~D;KmBp@ zC(OT`KKjGg`XPUDy34S>xj#gI()=m&*V9LT_*(zupA+~Gpuf@lY4bPHM}PQQzvXNF z_whfF{$}%M%-=#E{o$+rkgxj1{R`=DHGjML+vuY|eATb=7X{a6Dg7Ph?=pWUee{RF zCgqKGb^LhH{*s`5ZP5Orp#8-`yUs72=3({ygYx+`cs^zQ*7ZR=ozJZG{bhV!`TF@_ z`qBIMh}Za+2mVF$qwnV+UgN(!@Q3L~-_Jq3#(zcNKZL%2{-F9E@fu(AL)TsP{X^+< zK9tv2^9%7BU-R4ji|KPd7hm}S@fu(G$^B*YnU9LE{Dydqzc|6|_74xEKb7Zm@s*zu zukp1$`1l`Af5LpNFNoLpTHoA%1pU?KYkfq##@G7n{&M;&&DZ*lc#W_6!u?0mUv9qY z6U1x$sJ?#`{UP&J-y>e*NA>-q=?|E%`X2Eb|CK?0SV2Fk?-8%@Cj$R5^rQM7@f!bC zf&WhY26cFbJTzP@L?)b~5m<51tDJw0F7_tG)yd$hybonODB ze*b=yn_tR5-e{GKUp?`Y*>iYh1^beZfc{6)|w0S(I5B=e5{;0mu{PX(h z@$|d$<5QULkD5Q1KKjGg{Fkr%;rR0)Tg6scO z`V;0)n!k=d`on*H${X$K`!@yc>x1?;2JNp4+TReg>-^Gb9#-E!EuUY5=Tqt%T_42L z`OI41zlF~$Uq4sTkG_A8c#Z$oz<)Y@|Ngq(zel{re_P-`gMRe=9K>t<4T1km`o8|D zzDK;q*Zk0RSA9Q5pYx%-zM5Z%*Z7*>?mvq@=X3Fu9}utcm7m;yHht!!;w!%)UgImj zy8j&d!+AazU-=pF8viZ{ZnuB9l>VUkT3-;a@wLAB_@7I^-+Zl)h}Za9pWS~R{T}nR zz9U}atG;mm`Sj8b7MhTw6w`13n+Sm7tm-_zu>2av< z(Vm{K>j&u=^*!3*tiT{y{mtgjn7@TS z`oq`!QGKKN=k?P#{jKJ2H-8&_^oOtcFJJk?{g=|;Vg4@jchX0H_{v}MmH*s-8T~!x z?=ycdee{Q~{44)1=`Ltj-(N<5zxfBvKR_S-;cNYnul2{re>wfmo7?XXG>=<;e;~*E z&>z0mKlxfe-G4d#dFFSUKc7DO!`J#PU+cg7ub|&!{zCJ6>7ze<)gSUzzqtQO`u*k) zn7^1l`omZKDt}S%`cBXvG=Iqa3+SUi{OOc8+ST`01??XU+CLPuzdvaIK+vx9LpDp} zD&Nm}RX)E4&!?J!9k{HVTv6aAUI9v5HrJ>oTf zRNudu{ieDPaj5Uno}RDkhv^vgJ=)|i~h9vo6Wz9KKjGg z`XOKIkNfYYKV$w@^RK3l{_wT_$=CYn{(ILGwFrVV{pRkLUEEKm0GGywR?{ z|8mfd|KGh`fBZj+?b<&dw0|*Z*ZCovC9l-?@6YGg;Q5sGPuBq!}K|yi?95Ec#W_8a`TtbM}PRrzw(v8ef(dfKWhF;^Dm)~{_wSa$k+Pg{#N>9 z=C3yYQu^o*U+bTIt)K3HiT=3x6Xst|AN}EL{g$uw-~BJspEQ5U{PpzFAHM1j`Kn*s z{|fz$=1-fyi9Y(nSN$q~QE>lkqrchw8S}T$M}PQuUjX+z(ntD<<+%BCSI~|;)2=`A zP`h@#kI}9j`LA8O&QIe|eel(Mehr>asXugm5KrebYkmJSKCgWJ{2KiMtB>{fa}clb zcL)C0=?|N)_wNy}@qZrp+v)rJPpa<`ukn8o_}`%K>!0d-#A|%b4_$Y?zTc$J`A}Y8 z%`e1je9dq7zeS((x%kQth}ZbaPwrnspZTcx%5R9*_{y*Df1Cd5JfDlN{ET>wul2$G z@6caqzSbASYkaM5?thp5a`Ux5B3|R)HNnUm?(d*KWWLsS#A|%j7w&(L{($+aPY|#1 zqx$~)^cR}1`X2EbKdSG4K)>63)%S?k_`eMD!%q59eUEsJzbEj2NI$CY5wG!o75G1* ze@Rf^Bi`!IR^MYiL_PlF>~;)1J=gaqrq*=-mj7pp@lxMo-9>$m_Vj#RKS{?AO7%V3 z;a#0yzoY(s&MuB)d-L&!zMr#$p6dH+a=Z`y)ALu?_dlV(%ltj&@1~Fb@HKx_-)R1M z{q$4%`^?{O{2z5U=t76O8}2@~ysC{fBss|KGs>9sMr5KC1r^ukrsE_`j#W!|s38e~8!k%71?S z_tBr1^HI6}%AbhW_{!hz|A9X9b@7$|5wGzFa^5+jzWgJ7t~bTk`h|FnAJu<c8Uxe?R@*=Ij4gLcGS;|F49)5cS~SvfHua8SURcX1vswKTVHA zeTnw;d|h}y1n(cC9bPZ%g`<7{`0pG?f37b|eKSCBH0k(%Oc&>PANr@~udXluL4VNv zA@eVwkN)sAKUF^sq;cT>^7`oj{bBQ$o4<@c`omX#k+1yZ{y*uDn!nQgOX#CNeC0>^ z%CGMKi~gATtIfZZKKjE~ewVNJ2i*TR{c-ar%)gvI`oq`yBwz1uxPOrTr1?|kucwdx z@U_0m*ZVW>|A+oY^QX<HGJ~wSPdo#y>UikEie7KhgdH@fu(AL)Tre?+NrdAIj^i`Gt6muleo% z_2_dx7hm}S@fu(G$^AL>nU9LE{Dydqul(x%_32OL`CNSEXT)oKtq<M1RP9 z?H>@Y@uU62$@B-z*Zu+V8vmw2ewa%?+CLy(2c01QnC9`EKJibjacjd>Y@`~@jnm?C5`oq`!m#_Tc_1R77cbng1{sQ{w4`2C9zVe^@ z^XV@%zu){t^wA%_^1FPk5ANTL{($*|<}aa-{_wT_$=CYn{>|wRnLlj)Qu^o*U-g0f z{^0e!1^wmbkD9-NKKjE~eJ1~m!0)EN()=;=SJ6j*__txc!1Qaak8c~aJMFi#B2OJ1mnLI zegFI7s*e$`@$VS;3+OLO@pkX8BVOa{{`Ko~Yx=xj%k|Oyk9dv0Fc|-B=%*L`u<@%t zM!d$?{PyvmMxXP!j9>Wy@f!b5!T5XV``>q0eT;aGul(oZKb=1FT^YafC*n2!orCe; zmVWg9I^s3H)(0Q|?dbRCd{oA-^#$=7U+bIuz4Uv`*ZPQfjj#3D{oB)@XTH{V#B2QX z65Ou-z61SE^Hm=sUgJmg@g3>29xB&g^)cc#eqV6^ETkXR$B5VX$X~73N3X-3=tuQ2 z;x+ymfq!TE6ILJV{cpru{n_ec)U~K*`?A}y{n@!bzF~g-OMT3EsgLpfHq^&xPtVtd z@3X8(^)cGvU7GKYqppt^aUA2##~-SXC+LkP9rf|$Io^l<>G`Yc<1^?_nm=X!div-O zU-L)xjpm=%XJ^viX#TYMo9LrIe9eFP${+5ZMSrvTGv;rhkN)tLzvL_bx!+HJtNGi_ z-$oz(;p_bg`FelD{j=%sFn^c%JL#i8e61hywf?x@puflbedh0_kN)tr{>j(-OYWaT zf4}($%|AdN{o!l1q5Hq9dED~%TXMV){o$+rkgxam+`kL`dFFSU zKc7DO!`J(l^3Tfo;fVd=x%7L?Uub?Wee{Q~_mAc4{bwKlUFr9mKVbf1`sfe;T;@}x zr`G+$-GX*}f4SZM;k=;zE!AYS9^{?fR0eeO=5_fxq(x<3)G@$VIke+hm6 z`z_i(AYS8Z{`vUtL7(%jj9>E?@fu(G!~J{GXTB)D@(M{sHkCe=x`o z_opB29}utc&ky_|`qBOY@f!c$f&T#d>uvv__tz0`^=I2ZpzcS#|G?~abUnBI_h%R{ z`v-hK9s38gr|0X!_sQ}78MMP&!u9-Uzdv&!$1#-G|5QHY_os*HjV2xYhow2*hyLmL ztM?B}=`S~b)ch6n(I39%kLnxEKd)~dM1Q6EW9F}-kN)sA|K%%xxc^}KtIZ!be+_-~ zhp+r4U-{4di|9|7KWY9t`sfc|`B%R3xBJ8Nr_A4I{s#K!4`1tte62t3KZO3Y`J2tZ ziaz?o*ZL=4>!kN)tre#_VT@BYQ~x0}Di{A=i=KYZ06@>RdMzl{Da z^Y@s)n?Cx(SN$qq^{@L6qrcDm{pMdwAN}E9$b5(N(OTbM6tq7mXn%0f{=lGpY0$3o zLpH;BwEjIjpI?LLQ`T=?AH>u7%v#?+l+P<)KOaHAH(l7)`djrq;x+!ofxn!-e?F`F z9`PD~S>QjCzQ4bv`X2Eb|6zguDEhwsslG?N#@GDNb=T|rX!@KF<@MG4LcGS;{C0l@ zea`3ND?cD!<10V8{}}qrN5xluL%haUes%w`^f%}ETzutc#A|%55AKi9-)O$p7sP9P zt#9s+(w{V6>m%YdzSd{=A4h-Oe68<@*Z8V0+oU~!-M?rMEX&Ek9dv$h`@i6#&4e=F1~)Qn-Q<^mk0in>2D0` zd&FD)+3I`L<*3J3X18PE^V`?=jFT>CZF2+x+?T(I39%kLnxEKd*0|M!(1Wh35CtM}PR5 z|MHbT++Rh%-~0jd7t=?7_{v}MmH*s-I{iWOhs?i#KKjE~{*|x%?fx_951YT-{AKjf zAHLQP`C5P6er{hhp+lWzUmkEpG|+#{3-L-(?@^!s$b=+{&oL3^f#J6ZT=?u=nwyK%y&p1t@ZsA zgZ3u`?Uw}Yqe1)QgLa)CvRP|=e`!9y2G6I|H@ZHEr}LS$zF*1bm9L-Ar5}Ai9`PFg zDS`hy`u_cO)%S?k_)iV|=hOG^udBXCyvBc8;IF2S&u?1yKdSE$ukkfMblp|ozkojH zLwS8QzYwqSHNV||A$`v0;wwKOUgIl2x&I>i%tysnenY&*SAKPW4gHmQJ{Mp48Sxrl z>x26*roY^LtuKhz_*&oGe+m5|^R+%AUgK+hc7HAX0rR!KBVOaHzHon>{zCIrpCDf2 zNA>+n>35s2`X2EbKdSFvM!(B^)%S?k_^X2aa2frmzDK;qe|q3wPCt789`PFg8G-+D z`d0<@J>sqYZ1p|ra@6Cm$Zp5b3vzvbQff`>TKWDD`FZu;mCU-MtS@`wAcroYep{pMdwAN}Dgf5}(=bN@B;51QY(pt+tN z`u&0C@ti*Nhp+rAU-{epb@aRP<5QTwKVbe``sfc|>xX=;Kki>azuWvC^B2%ZfB0Je z*pKkN55Z-c#Xd{@ZUt=|9>d$9}utc#{>V(^rOGOj(Cl)`KRl0Y<@kC zoubeAR<6J1FXA=6@`w9xq0f9#eB~d+YkcKD_uoptf5GAVE9FnbYkcKz_uodp$9&~~ z#A|%5Kkjd!KhJ!vUx?TET0h-?JN-`cwf-Vr<7@qQ{~h$X-j~-`^#kHHzUmkE-$|eK zO7T_yAYS92m*A9VkH|k8>9gJ{zV;7@*Z9%?;a&7uFBV_>2gGaqmj?Oa-SngV1L8IQ z%L4yB^rQU);x+zdfxn6V%<+fMUw!`v@m7Df{R8TL)cfzvZpZkG+J8R4c-cSvE>-v2<#^(cQhj;0MTyGxj_kZ4}aWo%)X#X%lZ#3!6-yg{FKJ-t|U%h{LKmAGb zr_5hZAN}EL{;0mu{PX%|n*K)fr_J9)AN}EL{>xYXaQ_4JH=93W{ucV^4`2C9zVe^@ zAEdw4{O#s%qmTaZm4D?cf4l!7`a8_uW&Te3=nr4(hkUI+?q5ZJkNNw|-%B6;;cNYq zul3XY57Xao{z3B(&_{pxTEFFM{dfN(^gC~j`~1-T-_<;B`Ft$L`_Lc0>JRy6AJe|R z|0w-==69PvpFaA-SN$qq^{e zeXa+^*ZP8Zjj#31{m;?odRBa`kBHa!TA$s&n*Pj!!{@Kocf@Oa)feu6p8mA?s!tHF z@y|U+d%{5J>rVJrQpzDK;q$L~M2UY~Tin(M%q z=tuQE;x+zT0{_eOw*~b*;;sH{^*!ox)Z<^tZpX$Kx3BLRFZKPt^f=V_Xiv}A^@ntf z`X24@7I8g4+WLMQ$1#xC|Fp{G_m2nZjV2xS{gNE-L;v*r)%E>X=?|GdZ2nUE=nr4> zNA->7pVv2EqrcqzQS(>OM}PR5|MHbT-2XcLmFAC`zluKk!&m;2ul(o!cKWN$A2)vu zee{Q~{3~Di+x>6QpD=&Y{B`uvAHLQP`C5P6|0ew@^EaBmfj;`f*ZL=4>!(7b1Z*;H=kdF=Tqtf zT_42L`OI41e}K;`Uq5%y?@94?^*!P>{s#m9d-VP9H>oU~ zRe}El`o8|DzDK;q*Zk0R*Xz5JKIcPueKo%jukkg%-Txtd&gbGQKOkP?D?hpaBl^ro z#aDhqyvA34b^pioH|F_VeC21vYkaK_?(d>MX};DM#A|%5Z|?tu{l<@fts>?|0K5HedBU;x&F$-~XKcp!urr5wGz-9OQ>z z(2weS#B2PI1pY7SNA*48HU38fe-C~C`&ZArN3P2eZ}n%Z?@^bd9{*K#JGQRP&dI4Y zt!w4`Ka7|9{*UQ#sPEC9p05l4KMnpqCfecc&9C24fB)y#9LN6V;}5-md63>{(ox?Z z$nie(PtRXn-~Wbw=WXB|^1GVHE$@%!cpv)1*Zfg^qxt9c&0hNR%C*Sw-&&v1z5r5z25A;XPUupg&^wA%_-hY*UM&SRE z{+Riz&A*gB`oo`LzQFWrt&j0NjC6d&`{JJs+CLYxe>!OYOwj&Cwx{77Hl9D_^9%nz zCgSOQX04CU;PsP#ET89E`n+DH{?PSDyvE-ejQ`K{{r?|PeT;aGulvi#{}=kapUU`E zA0uAle<>LMU+G8x{}kdi{+9!PKmGKgAGSYHeT;aGuleoQ=Wp~mpUd@8en7m&|4K0a zzti{qmFi=}YkcKDAOAn-GvAf*D}N$h<8KSbe}KN%2da+|ukp1$`1t=xe<0_hGJdTu zh}Za9-`xKf{e|XheMG#**ZS=Kzv*|Iuk{`A8b7L!57O^4U-dEKHGWhd|A#*7p>q9I zA0uAle>J#&{!2fqj}fo&zZUraqaW4Bh}ZaE5By_poYtF;V;lbe59;q@BHrqEq#Fcv zF7J~QcBbFMMSZEiACI~g_3W|P?U;ILt`p|+asNpR5Bf7+>SO$Wkf@K*o}RA@|4$?8 zW3CelrM_Hfr`XXNA|0EdyN%Z~ye^q^qc#W_7SJz$phm+~^el6qI{f~H!|I=XnbLmI* zG2%78=C_akM)WzK%lMTa5U=rn7L5PK^rQM1@fu(G&&PiXedfC|e&tWZYy928_~+4& z>SM%fe60^Y{+r0p`Lv8*>kHyFzScMQPo>ZGtoT|V5wG#JKD&QY`dp8Tuk{`A8b7L! z=hNS4*GKg+;x&F$AK#4rr1`3k5wG!o9^5}SryteFh}ZbP2>e^nkLqK@Yy4jZemDIC z_Wcmm$B4K39q9(SuKE~tE$Z1@X18PPvRofukB?J*%y_Af@&BKrK1O?bzApT~=Z{bI zG1}qHKP}e_NBjMd|Km7%nvXwJA1|agnsn61y*b{8{^|Lv>*HI|?>B$I{KfRqAHL>~ z>Kn~Jub&psA2ff+{0r!#KYY!9`N|*e-&7Uy;a{A~GU+)je*XOV9pH6?$ z{3-L-(?@^!TEFFM{dfPi^f#J6ZT=?u=nr4-&&k*4-|pXz{$}%M%-=#E{o(8T5AyZ> z3HN*HZ#93r`P=BDKm2{ncgN+tQ|kL`gZ4iL?SBf|e;>5}A!xsS8rLZu$1d1;Vl$5& z%kw?<4tcz5k>&93j`@5?(Ek?cJQn8T2HJ66iEr*x(4LM@IPV5If88k^xAX6u`xU=0 z9jEt0M$jKVj$0kQ4j2nQH&7kCC_k>j>t5;ry^e^(!Sz1jn3GQ6gCT zwwKrC4Epm^yxsR$5U=s~2mYDq@#*?>?8?{wggLx!XJxlspZ+|K5%kCPIXfRW(4L;Z zT>nOH??oK#+Rvc9W}JTu#(7S58=dLOwO$A9XArOP{~q{@)8pG+hr49AT!(Y>I7ZMP z*Ws@DxEJj>U+sU;UNau$554ZXuLiQ)V7@5#mGTebHNNtn`{&VTzAL`+C*n1}^0)hU zqtAR@eC2<{YkaLg?%$pM#A%1$4_dzvukp2hy1#_}YV)=JB3|Qb{dfN!^jDg%`T_A8 zU-gUo_oTnveAPdQ*Z8Wx+`kw7A@fzgAztH0`=3Gj1LkZ0gLsV}?SIavztDW`e-N+n z{}JSqd()5hKZw`(2Lk^-^rQU`;x+z11OEd0b1mQM`!k5Q`m^nSuy4VB<-XbNn0$HO z|M=g}VZ7{r_NT{T|AY4Qd|iJ_$1BqQ2kr2#IjuSWkNWe0`*9q*nvXxU|Jg%tH0jv? z?9TB%^iR)Uz5lsC{e9-|H~(7t=nr4>NA;iPpVxmw^beZf*^{q_#(zxncupVs!`J+m zul(Wu1L$|<$EPsgKQ@0Zee{Q~{3T!c&;19|?>4{3`~~#UAHMRheC2QVFQmWF{C@Kn z(MNyyT0i7#{c(RO{Q>g_&0j(v{o!lGJn|orS#DszSeK~TL0aDF#YA` zkD9-NKKjE~{UKlVi~ASRUupiB`K#!oKYYEvF8_=)5AnSm_lN1PHhl83C(U0+AN}EXr1y(3-sAGPOC5hg(B2ueA0MAk9dK6!;FXA=+^?6?M^>Z10|NArAZy;Xd-yrZGMnC%d zIf&QzCkFn*=?`=sUcYMpfOw6s`KRlm{lg>ZbH0`9ulb93jj#OS{&M=v7sXfpLA=IS z{&WA4^oR3&E57n4;x)eVxBHKxKWM)4KjJmM)*tsDO~2oKtzU@O_*y^RUqQdee67ET z*Z5lh-G2=IdFHErK)l9R{o?*(>35p1`UmkEKiWTx&}Y3>?jP+R5U=s0{lh4I){Dj0 z{sHkCzbnWOkE0*$9}utcZy5NGryuPf5U=r13j8O~?@bG9>-#m@KOo-f&$fR+-H&?z zlI(VDe`Vf3q_06W-$&EuCybZ3J zKK{`DVVd4((y@Qol;eHqpPs*Z|L`RGo6Vmwe+zx|hp+jg`bP85>zgOj-)jDL^S9AQ zfB2gJ@|8c_UrB$5`Mb>DNgw^;D}TvX{&W8+^!J#*&-}gg(I39@yL_z=?mw0Oe)A8S ze}F#v!`J#JU+bs)Pov*?I_{H0_fJ>zxaIdNa=Z`y;m_xM!|jXrp>Y@EXLrzk^Pv3} zLHkXE_L~Lmw_>}-p`WYr`Gw!lK|Gz$to7xBz<)Y@&o8Pk5wG!Y9r(|nAN~JLh}ZbH z3H)c$pGfi6{HXV{5wG!23;Z$q{`Y58Um{-P_XPg4=%<_Su<@(DM7+k={Lp=)`t{lL zIUmaUs`-U@jemMD{^!t-zMq45jj#OS{adSOU6rmc_2Lw^(ETV^L70*9izTPJG{B4H}m6B*OzNKj_&5; z57h@f^hT48`f@>z_o07!{_6U2oc==d`^{fOAN}EL{;0mu{PX(erSu2PA2fdnee{Q~ z`7dAj!~K`hA2NT~{H65KAHMRJeC0p)FQdQQ{895)&_{px%J1^EKDd85{gvjAnZJrY z`oq`yCtvHQ`!A=z+Wc|z*U(3Q_-7s8tS66n|MHxm{p_H<5wxEfwD$+?=dvBMskPpH zMLxf^gX|*zC^sn9|-&j`d**u{Y%7a{PP0;RrI634~Te;f49JY zHT~%C10r7I-#zeOLqDo75wGzzKXm`7zFbG2^P#NYnqP?5_)CKEUqL^rFA=Ztl|Ov^ zucgm?QO2+QgLsX9k6`>)(vRv(#A|%zS0Dc*edg0Le&uJxYkaK_?!S&c*Ms6~eL=j& z*ZSuE>*)`ke)#@J>m%YdepFw+fquXFsxJ|*@uT{3J^dc@pL2e$dl0Yj?|FP)FLZtM z^NsYQ`V#RP|6YOrCi+o*iFl2V-;crPbsD#RzM1}#w6M1B&sAR{-s;a*U!pEVJvf!! zj_%jw>+IkEX1vsw|6+ZK_Vj#R|4zsF{x{m;U4HuiUw!!&j$^X<_(S#O6g}0K>vOyh z{nPVT*OzalztQ|@^Ec5)fB2d|s&6#^yuNuG{mtgjn7@TS`oq`!m#_Tc{s#J6&EIbR zHu~rfU-?VE@}K)}r@zDeUFPqkkN)uW`H_5m{^b5U=v4_}|($=Bz9?r)^ud0X7yhwjg==5fpWqdDG({_wSa%h&qv{=4YUGr!yX z`Sj5rzTV%Gug_oIe>eRe^B0=mOCSB=>+^T{`uyJg_t5V*f5803^wA&w1CDQB-#;j5 zzc6TD8nh1u?GFsvH>Gj4`+oL&^LW=H%i-Vq^7)RSKfZVS{(Rg(JI<>!^}+rC?diCD z|9d(exAQ-c`xXC#=@{StMt}G?ZguoJU@UkZ)sY{{k8AL{mpVhQBjRw7uCMF+-^08< z^7Zp7`qB5l5wGzd68IlZk8k(A=Z|E!T%V8Tag3lpuFvLt+(3JJ{&M|4mfL#~N4xej zXs;RPLxXXCJUzbMI6slyGR`gO7_TGxW1OGN$GvFBc=Y}{+H1yhaWI}wWw+t=f!<$7 zyvA4l(Ce-H>eKX@FUozT{DXLnul(o!41MOi;wyh5UgIl&yZ;&b%-6+N{ztsV*ZSlB zXX$gjDZbV(#A|%5pYDH-KG)0QYyCyM#@G7q{?+uk-WOl>1L8Hl>KFGvPoMQl@m2pI zUgN9&a{mkTXHGx7K34sPc#R+Jf4)e6+I;PQ5U=s0{m)kVQ|3SC{9KnKUgIx2zPTP8 zv48jy{b>J#c#Z$C!2dG+X#azFjsNh#{|fz~RCu)RfAswg#9RH@_CMISV8600yBz~p z?rv=8v1dhCcekSN@W({OA5R=uenGY5qF;=nr4{SHAMM``@HLW&TF^wA%_)>rvjpWVNP{*3us&A*yH`omZKAzy#L!~Jj5-){a6 z^RJUG3x z{FQTkqre9dnk z{}1VNK9}(;KOkP?KQ$QtkLd5^?^VxB1He2|{f&5yul(oZ|1o{$yE1;|PsD3{ zj>l5{jdpl@^Xqri-*5Rf$FaZp_(SjS9;B!G`#_HOp?`Y*>iYXP^gC~d{C&vpY96<| zf1Kle=nr4>NA->7-wF9TmHK-x{dwkhn?IjE`oq`!m#_Tc{%`5`n7`2cUi#<{U-?VE z@}K*^qu+1-fccB*qd$D*U-`=4?*E?tp!q}QUqB!I;cNYnul2|Mee{RTUvB;~`sfc| z>z{nRf93uk=#QGe()>&4qd$DD-}1HoyZ=Y}W9F|m|5Ezs4`20%e7%3@{-5ZNn?GUx z<@C`XzUn9Ws=wU7mj0yqQ|7OykN)sg|H(fq&#NPTzw^)ZH<~|f{wDh94`1)Q%h&t% zKK{SZ-)#Pj`CI6tKm66q*GPY@`xAUmJRKkLy{Q)l?Jo-2pC7cpAZY(<8W%p_*kpe{ zXMY~=+BD4OzrW@49YKG*FaGy@+(3KtbxFN&K4?$J<@1w&q+|G7%s-I(75|^<81K8I zKm32?;|AK{&!>;}R$s3V28rj_{^#F(JYSDe|LOHb91dQG>-t<}Ew7t={X9tD*H`VY z5U=sa1OGqtN7Iehy8qGs3h^3W^F!m-_4zM-&WCb+G`|q9@io8Q{~vwM=i)0rAYS7u zKe>NQDm>Et(qKL+zVaL5HNNtz`^VB>o#%7$m7fu>@wGm<-$8$+`C4BPukp3Mxqlq} z<>qUBM7+k=`s{uu{UP(Uz9U}atG;mmc=`k8t3E-z##eph{t5IKny>l_@fu(Co%`3L z-)+9?L&R(RXn!?_ewX>$Um;%ONBgVm(`P+h?tksC5U=rH8sxVd(2w?4h}ZZp3;Yx5 zN1tyXUgKXD_+9Do_&j+!uWRM+p4~9JWnDWdk7ES=v96t*j~i%D&wuQKbmL&%nw#5u z5l6c|CqaAbIHP^q<-s^_lpc?9&e%9_oZT|cQ_?ZkU-ZW~=jG!D+A$ujzcvK%= zcupVs!`FHsU+anc-SoTi<5QS_kI4MF^wA%_)-(B958b~d{ciJn%wIqs{o!jpmap~P z{r{uC(ENV$7tu$5_^MartKM<{R`dtVA2fdnee{Q~dQQITLH8HXA2NT~{H65KAHM2o z`TD%W{ae#tZvLqGE9j#?{MRxcAzd`*KYzYHXrBz)Ul+7r5wu?!wBII;tKEL=v^?ID zuY2La8`>`+4hPriy7o(Y zedKGuaXb2aJxV>H*B9{`|4qU8d(-3Ft;e^|ZnMf90>%J`MX5U=sy5{&;0`qAfFh}Zba(?0$)=`$~v@hi_GUgK+>asMp(Jvpxx zU+Wa&HNMtK_xtJ3Ghgd0;x)e3dH2tz-)X+;1jK86)hX^b=(8><*GF{@;x)eNEcef$ z&$_Dks?!j!@uPj$V*0ELi?4kb;x+zTgZuw3^rL+j;x+!;0{>k4(Y_1u8h=CJ-<7_< zFLuZKG-|vOLM#r{nPVT?-TAuf86{D^Dn25 z{_r*bRfj2mcpY|k`jh5QnZKSs`omZLlCS*d{u25d&7U@Z6MgiDuly@t`P=<_(BEwS zjQLyWqd$DTZzo^x`?-Hl`diK4ZvHm<=nr4(pM0&K?%#|44)b@Jzmq=t!`J#PU+=rR zKS+O%`TNY@OCSB=tNxI$`o;b8>F+oHp!o;rqd$DTZ!Q0qkrd(-c{J?7D& z`PJ1tZuwj{$NSJ9zUpB4`rN_Ce;@ku%0p+Ec!^Kk?1tv=dY{iW%+-S{7r`xXDe=@>rx!@npWH_#4$ZocoD z?XAAA5CuO(XhfmJq z7(svBhb!}O1MTVg%YFEi+}?{g+Vy!C+FQpN?cY8fjPt4K@$Kfz)3RH}xhfrF9Y=qR z^Xd7xfp&~X>-aNrdoSY6c77g(_L_0x@7tgrQ{K?eXJ)st#nw%I-i3ILuYD}`pV)Vf zWw&GMjr?3LwWjAU`&h;=(l|QPu2?*!-pR(I39@seHW; z=KiJhmzzIo{tEi&4`1twe636FKbQVW^T*6zMIZg)Yh9GDb=Cdn(O+%;xcO`7qd$DD z>+)3>xc_|m6Xs8vzm7io!&luSUv-oFtLaafztQ{+^wA%_>RS0{=J|ERKJx|ir_JAN z{#EqRAHM2x`T9J?$Nxh5Gv;qK|7!Z^5C2QdN7zqbAA(tqpI;5yzY?@>3);5^?OzVs zUzEm$eV2d#a7`X>$=5H==R1P_$k#8)#|^aOytHpbdpa)r#_fDwou_`T<>RBzyAX$i z>vUcFF1WRy6oA2nZf0^&8k>J;~{q(5xF>Kw#t zeAQX*PtqSWUv(PdHGZ`3dL8|K^R@3nyvF}_aR0xaezfmGyvF}d;J<->wC_T^#{X{M zuczU-4nZ_IATlpMduCd|lT|$4^cB z1hm83mS4Z4{=Dl=9LJ94;}5+bzl+{z((!rM&K&PU|MdLT`-C^s-(&th^Y_w6fB2d| zs>3w@ybhb9zu)|W<{zMs{_r*bgu>o6mOy{ZUW9 zFCRD1j`QlyuS2sv9hZHSu3ri__lw%+yq}Nf^(yt5u0P^%X4^;Y;d#l|&uRM6?^z*U zxjwr85wGzz|8!mCe~3QkTk$o25wG!; zKit2HKJ!KKm46Vg@sPP#Z-v<6?(&O8GUi8`QmUZ)Uc^o6?k9G6veB3~L zdj7I*em=MNB93V4o=j$^v{_(S`^&Gbf-j(y-&Io^l< zxW1Z)s`E6Dz0Ug*{TcJOntwHY^oOrJC|`Nh{V&tsZvGDQuc43r@Ri5qYaMX^EA)4n zzsLOD^wA%_)*<;?$K2mWf1mmL&A*mD`oq^cDqric`(LGh(EQFjHt**{zu(n7p3{f^ z@Kp!MR~_O0*XVcU$EPrVzsvl&^wA%_>KOT|gWUf*{ciJn%wIqs{o$()ldn3?{q6J@ zn%{5!BKqhLUv;GXv(h|iw~zV;{Q>g_&0j(v{o$*Qm#=+*kN=zWhs+-~e<^+RhyM@e zJM0fyKacu%(EiV${a-=*--Gu3LHpl=_5(rtx6*mE+jm`)&#$Z>-_F-(1pTpod?z0_ z(2nb%eHYr(aoKl$Hyy+G&&PJ;e#QS@I>z@I&>#Ny^Kk?1tv=dY{U4;`cH`ff`xXC( z=@>rx!~an}ZlE3hJidNtZ}oM*r0`+;#vk+Xyg$n0b-y7F2lvHweg5`ezAp0ha~J*S zdyk0M`2P$1pV0UBWwmcayv9E(Uza2HjX$N&`B|=y<~!mwzVeN(i}sB_qtE| zYkcKP_jl80ek{K7E#fu4^1b^%r#~;}m*Q)^K)lA+dgcBv=y#g0^$zhGU+b;=zogIg zwTxfuHR3hC>IL`r&}V&6eAOF>*Z8V;-2WAQ);Gmhy@Ys;pC)&6y^^n=zfO;DSC{`L zyCpB}&EptBf8?d#=Hmw1)AN_S`n%lTi#Xb~|3rJuIFAj+`TOi1QI~7~iFl2#x>e_| zdAg52>(p|cRrexZ<460?KhWQt>%8J?|A}~w-w|A&Khlr(pNQA^#|8eM=tuic#B2P{ zz`r&<9`~Vt@A1#sE%)JH@;FA&ANS#3^Kk?1>G{ijxIee|B939}DnN_wM7$3FIw9PdMaTwl#+)!E7i2{^27`Vajv^H-aHDSh;Z zuY4q5@29!{U;5+bPndr>ee{Q~d@5h>2fF`1`jh5QnZKSs`oq_{B46v0`^TgkINjeJ z8_l0Ke-nN5hp%-}zSdRuF+t#OHh;$aE%ebJzSed5sterjpug4p?dETzkN)sg_sCb> zC_ z;|AJsUfMUJJsp>Q$LW7h}ZbaL;gC>qtCok z#;-hvc#VJaVEi|sAARo;@fu%w+Q)w?edgsde&u<@YkaLU?%$ODOwMb?*E)rGjjwgm z{rU8#&DT1Mc#W@h-u;`=pE6%{0^&8k>J;~HPJhCD)j5dQ_^PwqzXko(=BrLayvC3A zUETCony-Bq;x+y)g8ToL^rL+j;x&GE;Qt@}Xy1i+jepC)zZHFd|4!d~M7-6H_Dk9) zpuR_4zaYCE``_OF^Df3O(*1yS68i+Sr|0XsVLHa=U1*1Q%)jH=>7ze+=i0K6j))ZT@ESucD9s z@b8eIcKeV!2ki@k_B#dbw-4HH7qs^V?RN~?7pC*TzQw<1c&B`Rr9QrMzCI)9kNUVT zA2-mB>yUml^9kD1aoM*lO2_T?MQ7xG#XmD0<9#gjhksT+ZlJx@M|-Q^pN`v&|Loka z_>FW7AN}EH??SxB*L>BuwVvFSKIdn-KAP`{*ZBPj#{6=BfIjm} z@s+O-ukn>H-9L{$^JDRqZxOHYmG9lZ8~w4IUy85w0`VGO>y`U=r$1`G);q*&e66?c zFQGqdzSe8RYkbuU?%#v{p!upd5U=r7@3?xF2rm6Xy0`|`t!`!z6_3~IPi41b-p0I-y>UK|@_E;?954IWlhWg`k41ZWzOIwgF+T4?JG?D!`Oo!D?52wGw{9Wenq>ujam5=1> zeI)lEL4S|=`^?`H_y4O~1$dh35CtM}PRLd*rKb za(@N=e)9*+UrZnU;j1o`-=FWxBlfY6p+9K;kogzTM}PRL%jN6uNci|4OMlq><>oJ= zkN)uQnV@$2%zFpzgF*ZGLHj*|_Im~GBWYaNclqaCqj|g~Uq3FN?+E%MUq3z{H_+a^ zZp;s8Pse57cwatm3ODnIem;SZk3R209L{m+0oS?j%I*>Sic9G8^(gC}USGs({QCvt zeuL%haU9@71%{q57~Gw+n~D~};w z<3Au6|1;=EpLZc%<10`5_@7CidAW>Vc^>f^U+awfWAwXoUMs%VDa31ht&{FQi+-2+ zT4xcj@wLvo|7`kP*UR`-Cm>$qt4?wMIrLeV6kl}?;x)eNEcY*^&$_Dks?!j!@uPj$ zbLq1#EWY+#h}ZZJ4DSEu(U10Bh}ZZR2LAKuNBb_sYy72wznZ?kzoyT-5O4LP{gUu6Q_@w) z--{cgH=1-}5zUrK+M`FqUYO&|T?tNxJRpYNL^ z_6aYezt8;r=3h%6{o$*AlCSsAef*cvKWKjEotyQ{q2I4-9?$7RfB5?RLB2k}aQ|}p zUHS1T%-^pwe=dFWhyTdbv)w-AF+uyIgZ33c`|_au;X(T&g7!xR?JrN~fqjdA-t~%n zex*KsWxhTm=#Tn%A|E%<-n`DtCumQ{W#96ublh%V^y=KN_^(OFcpnS>;jhcb4YarV zXm9neNXPBQ|JvNI_*bT5_~;LRG9Ne44u1~EkM>qy_e%;NmM>q&$Ir|6M_KQ6zab9i zxb(Q|+IRgw&h9v{&TD=H_?Few7E@DOO;HmB;TG9lJ4H7!MNpI#K}kjtGZaNp77W2q z6v0pw!4MS1P!vT`6h%?YPf-*_$L~D%JkQC^)B9ad`KR9VJ@4n9_r32q=li`k$?$hM z+P}}y>%4(}^nDlNHU5Qx|3>=0AFJ*{yvEmj)wop;Y^2ZmS?-VKJK{Bdf4=V*x&J2m zTwjW>^$PJCU+bm&Z>G=nvG`hV5wG#J-n;)6`dr_Oul)k?8ejXB`xErJKNVm59pW{< z_FMPgN`ES^@5R@Cjd+c(e8K&<(cfgg@(sjmeC0dtzn%UD^OY|lUgJM5m|vHt^YOWZ zf8X_v?3Q)uop~I?=#O>jUHQ0)_VoJY?{sgOg?Np>I=DZZ=|^=J;x+yg0{?yVqq+<68vlub z|NeA7o>jT*>&*5Yq$1wWiIs9NgZlXQCet8Z*l-qj|N4vi7LVNo-qx$Vh!8kvh z&hIo|uE=f~=SR{p_Hp#bI6s5QQFnekyIp;oJO6$c-daQNqCpeCt zoad&i%z1Dhy^*A&j_u9y2KwXvYCbE^)_RbD8F|wu>Gzwz#Qa6{(I39nBl-Fq$^9+# z2h1Nde;IxBhp+WizCH(Y|5Nl=m_KCxO8V#zU;B!D?Mv=|n*NCSqvo%skN)trFUr@x z>i%cwuQ7j}`D^K;KYZ=$@|733zm@)&`QzqaN+12Z&|Oun6Gyj{jpwuDIYh{j_aDk^#SeaxYUjKyAz%2 zqHR1s`o0TsI430cn(D6S1^$=Q`JML5uVlAe*H`m6hS49_wLKp<(cXStU(4;ih@)NK zo1wjCoO+JZ<;++|znp4Wc#(#crAHG4~zn4?}hIozNpT~WXkADY!&Z{zh z%~Ql{{1*h{|0ex((`M|`s^1W=@wE>5_`gM;>rNTJ)-l9u{Pn^3zfC{-z6W!9s29?x>kJcQ;662+9%!rF8xvSwa+46<7=OH|9kX@%vYX( zc#W?-#r<9M2hCTWgLsXvJj?y>(_dn~@-)P2{HX5w0sTJnRd*p? zfcEry-8W3fPfv9M+TmT9-@jx2xwxNj96MU)PybxjE_x$LN1d=U#~bLMUcb6d*h7Dh z`TNY@OCSB=YyK+_)B2I#rWyO^PwDSB|DgE?=%YV;tzYuB{<;4%`iIS*)oATU)8ALL zj%PQ}AHLRK`C7l-|2h5ce0~b^`wH{t&_{px`utD6K0kDSFa7!E_n5zcKKjGg{wH7i zr~AL4-)DZm`3vczKYZ=q^7Z+x`@f{W#QXvCm(oXn_{u-z_vh#4h&tg{^assfVg7RZ z=nr4{lYD)C?&IG_f5`k1^HAjsAO2+t>Qsl|_gFi% zzcy%pUC{pOp#7CW`>TTX*97gqN!Nk8#lP?RZN9#e-(QvQ&oKHUzyDo6ZlWFcL7y|B zJsp?2<^R%gr@H9(xnJ@3r(=AMh5qpWkdK>aZ}-vO?*B0zcN+hna=+pqNXPKeA3l!T z9X%K6@t(0R{yCr5)*f7-;?6)pP#8NLcGS`82Eps zzwFvGebq&X*Z7*38n?dJI!K@Mu-qTbYs72({sgzzxAOl+pX*5RwJsrE<7-{?@&BDZ z*TLdzT}8ac*ShZhKj`=8b)@*(7Z9)UwJ*7Uh<=ax+Sd@T@wKnI|4;gJ&DXw+c#W^T z!2N&GpJl%C3dC!C!xGu z*XWONo|2Dy(T?%x_qEVoGoH5xi4w}uklrvqMk$@IVZba1CzNfJw3Ii z{jL1I7UQKZJuRJwx)kl{^}0__$N2sV?eNy-_3@bN((7{^W3BV2>(X(0BS}YHdTEX~ z&>#0#^HzDY=6$-2GxDQT>2EN9!u*Z&(I39n9r^lP$o(78-(>!z`J3sZKYXp5^7Xlv z`*Z0}nZMQiE%ebJzV;9K+JD@?A^mOU?=XKmee{Q~{ZGF3PxnuwzsvkR=I^GD{_wSb z%h&$z{^|7hnZMustLURYeB~eVmA|+@kN!dP51W68KKjE~{v==dm-{!OKPx5pGk#yL zb=>y*ayi~WfA}RozD}MW`rg6)Gw9DXf4=$i=%YXU%?avMAL8fFI<>z)X#YUa{@$Sd zeL?$;)3{Ja`S(}z^LWd;e3N{=!|0E7`KI}}iFRC<>Oi!o<5CBHn6Hb~EL|Vc`_{iV zGjL|e(T)ci#XaWUN1yvj@wHDOUgK+@bpQ7Bxi1!9`z+!$zV>PjE6+i^##f%@{zCc_<||J_yvC2}t2@#kH(&J?;x+zMFn{kvKdP?~ukk+~_;;os z)mMnu_@4;;e)|47oxZ<9yxouLBGn1V?~&J^mEEo-AL{)572~B&n3v8&oq+cAdfhil z$M}6Mw8LA#yyIAZ&aKID^yNGy-G%)9Nk6@jq@zw)nBxufPp@BHC!DS8G=ISSrS#Ds zzUII3JgpyTfUW#N`|u+AgXXU=e>r{hhp+WZzSckY7tNH<*7Jee{Q~&spW4 zmEYGRzF)d4{R#6onSVKb^oOtfLwvL}T`kdVF&)w;7HGiA= zSJFp+`1+nfehRnh1NWEG-(mhP^LNrmfB0WYP^Wt1t3mshgZ8fk?OzPquMFD15VYgx z20D%N+;knNTm1X0^YZnT{PiCB{tTl(^4EcU+(bL>LpRqGw5Q`zx7;%wcdCod&;5#j zuXK#htI!|*vV7b`d%KVJcK_b#xYPLWllv9_zUde~`oka0$4#`u$MTQ53hnK_o|hDE z#kF4EkLUCGDEW|{H^kwbkj}fNy6fwFU*zlG`_uRRQr~MKUgLiw@E<@w`d$n18ej8O zKG&DxYrR6e#y=~;m>2Fph(6cH;%mJ{yvEmh@BV}7 zZ^-LQ@wHzdUgK-Oa(@N=G4r+GAztHazjgm1^w*fL{TlHaU-^Rj52Zh1zVZ#kYkcK9 z?q5KEh55>t5U=rf1oLZUIv<}4`1e{5%Wheh9-hZBjQ&`c9+8imXiu+S*43fh-itWe zRd=DiW}M#)#`(zX9`X0qRCgg><41MZqv#LVeOBFtc#R*`U5}>UZ@%g-#B2O-1@~ta z{iyCjyvF}_;6H|bRCgg>-+Sf5X?~hIA<2m&2wT81>p2Lwmj$!o2b9iAsZlXQC zet8Zbm)m<0N4vh)LVNo-qx$VT!8jkE&hIo|R%f@2^9kt~`#AbzoKMWhO|)Y?+Q*-i z+j|j5yT0#2d(Ak%8;o-_yUl|s-u`*0zVAZ3##bGS`V)2Mle613bVaUX=cU&4ewOdM z7%z3~8R5U{Eb?j9+-avoc zU(ILb*;)_MZJLocJ%#>3^ADSUh(7wm*SaiU>$>|F)1Q_8K-`S)D_X~G-&f>#1O4G^ zUy-kU$^EC&pKJbn^XJh=fB4!L67v_) zM}PRrOXMrBaeoc{0rLmVUq&DO;VZ9_e^!2Oj;LdwL4Sq$L*}ofkN)tLcgk1Z>f?VV z{Sos=&0kF){o(8T3ij~mD{ysie^7Zda=pSc4KchMp@f!cE z1mgLZtM~V%^oR2NEaTUDi+GK%_1^tU=?|K({Q~hCU;CB&FQdQ2eC>CL*ZA6R-G4d# zKJ&F-BVOYxUvU2w^yized;{?sU-^#vD750 z!|0E7>9Ty>M0RL3G-Nm8f*XzDXI>yf{q8;Alc|IR={q|OlW3qMrbp19(ZzSoc->%5<2KwXr z^&Bhz(>zFTM=M{^e)2Z@Tg~5Q{+0C6AHL?HeEl4N`){Ye!~9+5@1&3Z@U@P}*UvGy ze>wd<=I=9qFMaffuk}>E)?@eIL4Uvb2hBe~AN}ELKaj8e#Qk^DKWzT2lpv+gBc^{3 zuys7Uf&TEdpUKyL=>EItcjxm{n7;>T{v7(~4`2JSeC_A%Z=yfn{2ucc&_{px$|vM2 zA94TP^!v>3H-900^oOr}QGN=y@+tSm5datf%kK$4#{3y0BcKoRFuUctK9t8XjQ+T;59i}1+S{+|irn6dINDXWpuJ|C zdX9AcT1P*U-6o%#vft}DM7+lTcW@s*N7(?s`$#&5U=s0dgHV7r*d9WeAOF>*ZBVl=I`g|NA(8cHU57C z|MT>tdIRwqAD_Fle{Q1p;Y#}cxr}~Z5%G5aXmtYed*tm4m+yHP zFLlCA(|M>9(4Jne`)29*aj8x~JG^Ciejan3@I{VeMeF?OI$?<3NYYU!tjzHS`lr{g zt`ojQf5iMz^H&#zEAN}EL{gSWs&;2jcA2Wa4 z{7dPhKYXpf^0j`u{}uWh%%3oSBYpITul+;5_8<4ZN`I62ljd)xkN)tr|H;?>>Hc>5 zQ|50qe+zx|hp+ux{#p5bJ>q+wuhHLT{tolE(?@^!`dn7NKG*f}f1Um=^Y@s)n?Cx( zSNzi!ZeO3;2%&^|k8zfRDO-zUYo?Bo1qx(?JW{_~38%GX!&`)}v_GmQSo?{S>2 zztkr?({ZQz=R3JyalV_5@qG*W!@+U8qxVPmQR~z9@_9|ZA7y{l`-?anT;Koly~-~7 ze4dK0=M(W7|5V;*jbHzMpT6&Zs!tHF@iqVC>i+zIKIdB*zveIEHU15P@&AziycBP* zA5@&V1!3h}ZaYgXi;S>3n=n z;Xfbv^X!)OXKxXsGdQ*#>aZszFz6M{%tzH z)8}GWWw$(UzsutoMt?kS|Cf(@(Vkwvd{2V*_VFC8{z2V>dgb@o>zepPu7A=Y##`zi z#!LOPAf1Q$2kq(gx^JG2AC>AKw8J|tZ7}%U`&fTpYd^=)-8z4|{+UZ}B3H-900^oOs{KjiE46Zii_ ze~I}6<}am>{_wRv%Gdhp{sH=f=C3e+Ieqkpuk~HN_6PU>On=Dy5%X8kM}PR*pX6(Q zbN?^&N6lYj{>AjsAHMc)`P%>8|114<=8u`bo<91+SAHfxEr+f3!2N^t$Iahh{$=#h zAHMQS`BDAzH~JIiZ!-UK`sfe;=3Kv!7pHXr=~nCCTL$g72-3T}N(Mw;~qksRI&ud2Chae6I_v3%56aGb?_r2tidLI$5 z@%0>O+{%OhO`p$A@%0=cUgO^;xIc&KN8e*0UgO_3@UKqiciI>Klil+E{x^?f82$17 z9(P)LF1wEJMSFVv@_UkKuNlwng7F-m-SF=;AYS7)(;L;E2k8CkqR(}tykAH!@s)SDKbwBP`N~@mukn>Pxqlt{J?1O#LcGS0>V%W%&oy6l z0^&9P?Stq4WcpE^fOw66hrsWqAJqwn*Z7UVzb<|M+(h4dAl~jDE$>IZjy(O8>~?L~ zlJovkQfqtO&-jD&Je--%L*9?}^m^U5NXM&E-j8;8oAdj3%z6LyIF6~-`O|s-R(c~z zN8Z0B#~bLMUcWl;pF@9}`8&+tP9Oc@YyK#&(){zh?fUe0nZL*U-Sp8PzUIGttsm~6 zN`IgE`^~?KKKjGg`XyiMpZhnUf6)BH<{zSu{_wT_%Gdhs{#^RA(k~9r`2C;O@$}C} z&Cc-#`oq`$Az%BC`!}RN*ZleB&!dn2@b$Txe0?tG{%Q1k%^H` zUA{iI_xp1O{Sos=&0kF){oya-dWt-~y>3C?iub|W&k5S^611Nkv@Z_Yb$w|#t??-T zyK%n0Cay7#J`F<2%?=Rvt{@r<98o&O%3H_Dnjcfm0U-bs!HU84T zzbX9@^Yy(1;x+!cfqygl`1|(l2tp<_ec9L;x)eZfA?=ipZk6Bl|LX}<12r0|JL-GuM}VT58^d`R6q36 zXTDW@)enf*_)-0E8~R&wzEXVE4~W}%zF1I8b$=dCB5hx!5S>GisAnT{Ws z>IbyLyExC!W3C_Wz;Uc=oj+YajL{oOI_ih@Io?43^!nBHLxcXf`5Vl?j6V9q*Zfg_ zqxqM%nHl^4Li!WtZ!-UK`sfc|^IyK!5BKj#f71LZ^RJ+f{_wSa$=CYl{+;M=HGiA= zSJFp+_*#GEYyEcr&h&Sfzsvlc^wA%__7C~mf86hhV&HUUPQ9m^4A2xqhN=WcMoSy&VTF0{+=nr4{hkWHPKK`@mcjxm{ zn7@Bw{v7(~4`2DKeC5CHFQPx+{2ucc&_{px_v3o!dHe%|_6G#*%Y*j8p#A_h^UL%l-UV ze=cZ{KlQ^7}B0mkDGrfee{Q~{X@R?ANLhV z&GZ~2zi@vA{VDUen!klU`omZLAwPvX`VXPM&HNqaZ>Nv`@Rh&HSN`kce<=N3=I=3o zH+}SnKg{*c^ZUmK?H2~^j|O z%GbXu>HE+9E5Ap)#(z@aKTP9KZ(RHSq5K~48hinsg9?-8%@pB(s)pzr&i z@_WQ<{Pg&B%kDKg#b%=ntB&{2uWdKg#bfq`$;`<@bo!_!kB1!{g{j`90z_ z{!;?~@${qo9`PFg;=o@`-+$gx`90$8{?YP#Q6k$Nc&I6FH9kt@EcpzduNCB43#IXT`yfB2gJ^0j`r z|K!v^{akC;{Cs}#ds@fS{(>BDpg(-AU-Gs7xqngW55F&;U;O^o@wC4%#~bJmU+b@Y zt>5lHCH05DB%fdWf!6V~zcj}i=nr4}hkWfn?q8hx!ynA&7k@?Tc-mi{;|=tOul-ei z3U|zppPKr^AIj$!f24Ih?XSx52KvKS{vkhoe9_T=TIvsfG@oDmHLc@m|Kc2Ppg(-& zfAXWB&v|<44}V=gzxZRV<7t0=jyKRB{8FQgMxCQN0r48YnV-8OzGrw5eXcuY{94Blukpu%@n1qe`aJ=}YkaNKe*eelb6qau z*E)}Qjjw&i{TI_8XwJO<+NTh&@wHF7{}THB=4+otyvEl)@BT~a_n5Cd0r47Nd5Zg& z(w}R-@*Ko#{HRWN8U0!2t4=_?#*gZRm(yonSe_r%35eJDFAkpnSJ02@1jK9nmjwPe z{iseryvBcN;J;GiPx1E8S@e4Xh`0Mks}qplBd>o|cDwq&kn4mqQfqsiz<8+>Zkx_S zoq+cAdfm56$HS>kKs&rkn>p`3*6#sc&2emKoj+YCOwb!iI_iXtIo?43^!n9x!eyyH z@}y1q{E{b4wvMOsq|G_rK!5m}Kgz>2{}Ry3AGH6xCiRCumCrB!*4FW~za_^T=nr4> zU%u84_g|a(!{3(AFaD0!@wC4^#~bJmU+b5At$*&nF7=1ME1zHdJ+0$ue|L^I&>z0m zU-??U-QSS9a@Rdi(*YBnJ{dp7pe)E@@zlc8i!&e?GKdN)yOn<=qLGzc< zM}PSGoL;^@=lAiyh5icjhs<9|AN}E9#`W6ks0~5;YlHUJ1?{g6+Fuj2>-y4TFk^k1 z$k*58^_2Zp_XqKGJ^yo^^H%zNKZ>vS7x5Zj&zFz?ZS?s(6<^OM;x+yodEeyg-?!8E z|30JY6U1x$je&nTef)Pp+xKtPCy3Yhn%^3?_Mdmq=X@^rN9zOPHGVU}SfAW~C;j<( zJ``W;8{##7I=!R+F8bZ(Ykfw%#@GJfKv~N2^azSD>EwPJzl5*XzE0I>yf_p&j0;yuKXs_ZT1M zI7VCNPuC}F=#3;D^~uFK-a!BK`qlNx74+AcKW6@V`sfc|^GErO=AY*`AE7^P{s!|e zqmTaZHUH&n{c!)I^e4>UWd7y!(I39nFZo*k-2WK;N%N=7zk)vc!`J#NU+cH~Q}nl* zzs>wB>7zeluFf8GBS{qB5z z3iEqB^XJe*Vmkzucz$ax<81g z>p5zE{{g6iQpYyr+S|1Rv@zeC}nBRYaKG&n- zYkfn!#!ruTNB@iTxtoejtzV-*d|6iid{h;{TUl6bHwZFN)js8?#Pm8bp5%C&d z`?LFBroYL2?eB=!_{uNb{|fyL<|{uzyvC36`>)a;Ghg{V;x&Gh-*2bC#(d@Xh}ZZZ z4%UaS(U0H68vi4K{|)-9QoK`sk9fO(wEP}7zeFJ^oOtfQocTa_VMqgzsvkR=I^GD z{_vH5%UAyH{*UPIGk?GNSJ6j*_@Cx_?e)p$g7&RJ`)7mpPX+Ct3EFji>21Puoc?fU z{`bfE`kK6+lHch5AfB%0sP)Mg_`34-?@#D^{;m21@fu(6zg&%f4}HGhW&C=65U=s| z{JQ^B`g~rCuje1}8vl#I{rMSv|2^`n&d>WU;x)eJw~znl^f{l)__aPDUgI|t-0Ay= zz4RyZd?>!wH^ggvt*<`*U(la0U+XjCHGVq1~j z*ZA6>-QP!l)O_vlh}ZbaFWmn%{UP&}pCDf2D?f7oH}nV1SAKJ!9k{4WRo@9E?B)H>BCh`0Mk zt4~l@pq|*D-L8FK&Fkd+)Y@L3Fkb4Dh3P!hCumQv*L}xyjNhX`JG=w={X6FGAO65` z9B!RI{r$tNv>?p*e6)2uyMg}c^{eZXKhp2c=cn|9pO2b9hd%nl*Zfg_qxt9g&7bJc zH^0aH1@zG$zUIGttsm|mpx{I!q&ApKGE*O-4Xee{R_ z9nNRZU%wx;e=lg?6}0aR+P@pL|1FIRzlX5> z81WkaXMuk_{T}m`A0uAlr{$%!zWV#yMW5?wxj$N;5wG#JKe&GaeeMUv*ZzWdjj#R9 z{aN(;^Lko*?T?7p_}ZV{zZU%-^R>StUgJmk@rm^3ny>sA@fttMkFQOCmifw$5wG!o z9z373=|}l7;x+!>z`qXtC_hHL#{Wg&pG4o+XZ`*F;_ZHvAOAA&Pfq9K_r!MOee=ZG zCt;m9<)rTHmVNWOc^t#&kA3r$eB4BPdi~=Uqz4oG=Jj%WFXCvQ^MIUxqrGOFzY4}V zC%aAj+;00ib{c)eYy5w<_MuZwLVl0D{`%SNn*3TGCz{*q1jb99aHrG(bpqPc>vi8b z9pifpw8LAQpW|b$6Hetg##-l3*9qhFMv{*A<ujawGPVHI_myh`kTz3G=DRF^oOr?Tz(3-_5t^ANPo)wt>$l`kN)trkIL6R?EY!= zx0%1g{O$D7AHMPo`N~t=Kb`(A^Y@s)n?Cx(SDq(7`Z@b~^!J&+-~6lSqd)vVbG~^V z|F@w1uR;64p#4D5{>PyGPeJ=%g7zDw>*$pCpOLSxtY0_I_h%UWv3||Z$4#{3J}k)3 zU8_AEm%RTbDc;GyX+E#`H_P5I`omw4kDF+R&(9xP?d|>{URQeg*7~V`Z_e|hx&m=H zc;Eh)-)}yXKA(@0m*{yzyvF}$F#cQ6pGfic^;&fW;x+!i0>6iTR97Hg<7>X^z8}x` z;g<9{Kg<2md`G;-|93F{ThUK%`i%Rdx&rYUU+bBV|JL-mewFcSJw&|5KOBs|mwr@N zAYS8N9r(AQAJr9z*Z66?h4eO6wCc#R*`CwHblZocXh#B2QHX3u;MbshcmqxuB#8vppf zKZ|};pCDf2cLjcvzOVDDPY`eSqx$58z&|^k-|0DDl-=^2FV5o_Mt?l#=j7ui+SBWo z{r4`py%%w`t3E+{%{XTT<6M&6CVsxSeZNzEf_ROu`UG_a>WRB%w`=Gdxjwm8YHhDi z7%%lne>xBK3EI=^b)S`vQJ;MyWaUWDapgkRz`sCi}xRZaM+^_ieO~>hT;9>NK zKbVi3Xoo+K_Z98!{*8EDy6^h;emp;_PY{QL_wAbMlQRPU{`9A8Jym^zc#W^;QR7yA z{{Z@Y{z`tP=Na)D|Hi@im(!2x6U1wL%~v1)1L<>qmho%8BVOar561r>`f0Mw*w0j- zAYS8ZJ@fHDm_FC9GJdUxh}ZZx3C6#IepH_zUgO_1@E<}ys!tHF@wK1${dp*T?jPm; zXg@-{#!t&>Yrk^;0*ybfzs1*nig=BGv*7-$Oy_sX+a8wPvMxP5k7F49u`WF#A2-pS zUcan+L%F>dakQ&GL3_OgA45N?PY|#1ZxQ&9rSJQQ>J!A<{ir_a3H;%7ey8Vr zB)jD~zc7zu82#~_KQ13P(Vkwv?7xrC?Y)SjUG)jtYsPuYV4SP7+q}~DQ`IMk*Z8VW zP*M*C){*Gk@ItOX;IOe66SQQ@FJryFW^QgZUHYZ={d@@U{*?J!&EG;F{oyNLk*|Em{io31X8sQIx6?;| z_{!(xD<5?KV*0zx-(&u6`sfe;&YW+^bKC2avxD}tg7#+6ey5;)VbFfZpuIn6e`>mp zPW8jn^7WPV>*@La45L5RuQmC&iFVuv)emS-$E7}bMmp}~KQs3${J#*bzcwE? z(GGtu?=RZh{d0I-DLmu;Kbz-A^$Fr|@V;GBeR7w;e-8Z}ww|g!LA=J-^QiHwK6x&E zK7Zx<^*ke9<1Y!uzm9%XpCDf2YrgvUpGTkbvy5N!9q}6fuEF@9Pd~ls9qSXsYkaL| zKK>Wb=lWH~uk{e|8vky=_}9~q>J!9k{JRJK3+YGo3F0-r_7lH9FQU)=qud|uM~K(> zX*q4}hwfiOei?dtSrI+M!45L5RrI+U8Cfd{Mmv!&b z+}?{g+Et&Ry=I)}2IG8Lc8|#0RG%PT<14T6`}}hH<945w7a?BbNA<}o=&v(h^$FrN z{&~Uu8K)oBCy3Yh_Xzw~(vRvB#B2P4z<(8e-$zuRAl~jr^~pU0|JCXIPS5#e*)7lc zYw|dT(I3zGYx8jv?dkQ){Ciz)??oK#s!z~fGtTpaac;!g_}UNTYd>-SP4wrR-(&s)`sfc|`>}lO=kC9mexLdM<}aj={_vHr$XCAO z{#)oTF@M1PrS#DszVbQw$_L$_pg(B-3iFrKM}PPa=6pk*+g_hs5VSueXn$zX{-B_J zdC>mApnXNq{?>FIo$80TXSzV{(I<;*zaAa zK0&<3*Yl|Ht3G)zeLjEX{_1%~yv832#=n_Y9_}@pL^RtX!^BwUT|B=D? z-%mfi=^g76#A|%5XFmQ9(C7M9#;^4d@f!b8!T2ZXNA(HfHU6Um|AX|S`ULSBU;ByQ zpAX5;>tne;+K&*g@wFei|6%%b&DVa4c#Xd*xIb5<^E>5jAIWZ6mp+=uF^v9Lmp+z{ zn`lq3U#@#9xA!8BcGV|nuNmiKf^mL4yGP`0s!tHF@s-#3ef|V}<}u}Zlough<45($ zC+RZ}E57Oz#B2P=2KQ$R{ir@cyv836{7=!3>J!9k{E@)_G=1MkRG%Q;?nm{>g@ON> zbbhDjd~0^gbN<;pj$!o2bN;z}+(dhN{j&dlKDYNGj`qC|$$3B8YsRVi0eL_2{VTKA zHM%o9%*#%^I&Fl=*BLMM!#U|Z)DLJ+uh)H-bbMi|AJ7hOCG)jI{5=#sXUhNdoO=HE z1&(7R=XWWb^Y2l5BT2`2R_AyF{c-)8C-ODV-2Wo|HRi7~e=U9Vhp%}qU%#j3{+H;F znLlp+rS#DszSb%EDcrF>*+zeZ`4i@Eq>ujawI9gWe&XZ*GW|{FPny4(KKjGgek@=6 zx%*$CKV|+_^S97PfB4E*%xc>|K8~?i`4!?dzVbWwe?@=PeC3CT*ZAv$=VTxKs18BA#(!bp|C)YOhag_# zzbNp3L*LhV)gg$t`%xWoN#OrBo!@C5U6tK3kA9cOF^vA0NB@_Pn`lq3U-sYM=k{L2 z(XQ`F&|Wjnv0$A0v)jb)<#qZ!6U1wL)j6n7P?!86yIuX?&2>)VF^@}~!+5E4mZbAg z=b$~kUiV$oG5&iUXm5Q_lAq&a{+{HI9LN6F`EB2m&>Km5>wA(MZ=gT!ujZlhRLx`0 zga1VTu=%sn!ZPD~lGgF;2KvL-IwD`|koyPdcjxm{nBS9_KZid0!`C_}KMk+7j=KM6 z`t!~2F@FJl^oOr?T)sZna{n*%`^@h*e<6MJhp+uizV<`+|4M&}`2*%JrH}sb_4j1t zr$49G@%|j7KWP36^Ow^{fB5=)IP%X9{J+s3GJnMURrJvxzVadY%BTGP{GI-&`D@I- zm_GW$*U#n4*Wdee{~z?%nLlR!div-OUw`jaKL5Vf5&u2JL-fbZ-(db_^wA&wE4kjG z&S#L6+Kkx*9MV`;a*ZPcjjj#Q|{Vw_g=4*dJyvEo5=Kcxv`_0$>hKw#t{5J>wN%Zk|bK3KJ{rC6~Z}+1*NBKSSa^&MD zXSZu;SI+O#%j5Yf`90$$zrR~L5BWXX)9ZELJsm$T<@acZw=q8-$DH4Ha~zvm=TGPN zlk`TCj{JUejyKRhy?%9me_i@h=5IBB3w`v5uk}Uwjn*g6pH88_&HNqaZ>Nv`@U_0n z*Z$!C_2}<1e~7ze#Md@^KUG@b$d`+S~mz(s3vM z#<^ed=ci-%=nwxU`M8O8`04hv@@TZT`&aP3VO43*`)|tgqwggUhlA(kfB9bGX7u@7 zmVHRiIpQ_`M}qM$pg%js+t+dB*@)Np9}WDQ(~rKFK)lA+I-&coJorrdTo=my(K>^8 zjsLM={I{T=-t-ywM|n2lHNMtSAAb*huA622T89y@@u!0E-;#dxy#(Sl{>KCVR`jFq zB@nOiwU7Dzxix+6Tjl;}A4I&y|3onU-gJJa&r@%c-Lh`nHjiT%{jqM`E+041o?gGK zdwsdR7jd*JUq^e*I6oPT^Y-cdPUE~ocFQ;$=@{!9`eU36^KldH7?0M^JLdLY#L=$2 zAMG{c+!BoQPT4);b6@5Ch}Za0-hXHMTk}3$o(JXqh}Za0-rrAu(tPFph}Za^3hvKY z^rO5V@f!crf#0Mb<^71)_@4>z93eNp9~&9PRpk1MM~A{A@7JyJojJH^tlcP5mAS;x)eNAJi?VSMHYG zu7lst^-ucos~PnV5?H_nW_v zKKjGgzAIn*w)^MNUt<1%`Ag}eKYZmS@|D-Pe-HYD=C3e+Ieqkpue?pZelFhq0s2Gc zkC?xTKKjGo!TErEwmlEt8MJ>ZX#aN5{*9ph>p}bWp#5t>`!|F3d#3B_R6m@bue+=} z_saKe82z#CEX&7DwB!D${y}>>F7?m7({U&NKDl4<@0*UXf1^MA!F=3AJNz{K)_q5N zyMMoQ+{wRx?pOQ=q+|H#4}W<+ZlWDNmJPhGXm9tw$NQGTGv4L0{we615c{)f=# zx=_Zibq4Vo{|CYNA4)&H=`-%X>L0{we66EC{tM`H-7MqRI*fRY|HEMXE9pn|58^fc z?!bQ-{iyyyyvEl)=J)5}^to@9`=fmj@f!a}!T2AM&hM0O4Q02i8;{K67)F1r8;{Dz zO|+-iFYDf;b9*o1XjlD%_L_12I2h-ubbhCCJ|??moR3Y%Sl`ee;~dV%O|)Y?iEpi6 zBe}g7akQ)cL3_YvAJ z{N}6vLA=J_6WpKG^rQL*@f!c9f&T>hQT>B>jsLU2e+4iMJUd@^ zS$Ce3@7pl?W8HafK5n8N_eb>)+S75Vf7YerPX6<9zv4eX9b^ASfA}xR$4#`u$F#`h31i-k|w{c#VH382?M?M|BS3HNMsZAOB0~bN-j{Ydt}{ z#{Xw9{!8hnH+{zaSDk}+jj#36$Nw_=Tp!E$wca9LzDQI zHMzYPakQ(>L3_ zmfiB4zdes*82#~_U!IS9(Vkwv)H7(W8P5s9c;1oS=A!g|w(q~HXArOPRnMRfLH+U0 z>~{71DAzOTKU<0Sv-~_8pqsr*r(e@A~(Od|p^@APxub+cni2dS2wJ9{31-J|AU&)$@jUjj!k3{g2Y; z^Id$+7sPA)dBOep82zZ;K)lAkQQ%L}$KS(j-w#x8AYS925%?dcpC;Q3U-bs!HNMs} zzyF`0KR3_+a{sj+B3|R)I2ivY=|}Yj;x+#Kz~4eYsy7g?@wK1${rMDq?jPm;Xg@-{ z#=l80{!gd#JLNZ@$!=L6w&rmRqd(S%&*tMM+SBWodgF7sy%%w`tKL9+%{XrwjPvv9 z{7&P%GP`A*Ur5JT$Iu_+{9-=tMLWi$x&rMr!Y+N)uXniofsM z=rb=V@4NCG#B2OCtvdQ&rq8^p_^K-qukjZI_vb70qq+j|8vo{j|5f@?U4eLwe`ers zr|;{k>I%f${iv=`o{jt%dGXh>+cov$oM-#*g)?6A?DNxk$g|O&Ua$LJ=@`Ekj&^v9 zm^U3t*MYoP@1OE8z5kx)eVyYNXq`WuXAjaFNjkh`Io?43^!n9#_BZISFn`GWmGsdc zzUGg{pMJct_5AwychDa(f7JZd^wA%_=D&RX-kJN~q`$`eb>^?7kN)tr-pSYR&AIN^oOr?U%vJY_rFblgZUHYZ={d@@b!C#@)rg7e<%G-=1-cxnLhf%*Y8cr z*Y92W_`gGc%KWY7Z=sL=@Rc{nSKi_Ncj<34e~07ze<)=c?@hbEi~c_I_nUteee{QaJFa)g$J^_uM$mryp#2U(`)z~vzMx&#mxj|C zkMjQS=j&_oddmK!`-6D8o}<D-f^p^ZR{c-cPKO$b^YkzisFa34qYkx<)##esf{x9f{ny>r>@fttM?|(^u$b9AZh}Zb( z^p5%cujmh&ulx}48owE=5Bumx`90z_{@H>5Yx+@sk9dv0DDZznf4O~bqTdTgyxl)q zeviBy`S@?M+qGv;=lq`WlHV^&=OMpGdwRX@d#7XM_h^TAAisad{5kqn9LM3-`P2FR zth={9$C>^dx^+Cef&S_BtMmKc(eKXZr}TuMLz_Q`KKjGg{84_R`RDn~|IwdsevkPJ z=%YV;&42k?KivO4{XX;i&0k0#{o!l5ndf&QTR zE6iU`AN}EL|B$~ZJ!Sab&iy~qA2NT${8jYPAHMcK`Px6-{}cUD^VgVvF@5xhul-xT z_J8*e&|hc%nEC7Jqd$D*AM&I8{?GKs&EH`DW%SV>zVcW3%71e*-#768LEra3<@bo! z_?jQO?|Od^(dT?9@2}<;;x)eJxBLI3&-q+@tq+LT_*$Rb{}+9(N5$9rhIoy?D8X1? z-TycJkvyM^uk{)68ejW^`-kbTFkkx%;x)eZH}|imKVZK0N5pGi+Zoj;x5Z>2YqbbNllCC3}+pI*N@zrQy9ZRYPVe>;8j zhp+jg{6_Q7^PAc9cbUJ({N41?AHL?le61huUx)ra^Y@#76@B!Fuk}m5)<5@8qJPl* z!{#5NkN)tr{>opR-ltCa_sR5UEyepd{r+~hj;DW)Xm*Y_&>z0`5BZA%znlJC^XHpC zk3Rat*ZwD8`={TZ>(cKrzt8+$`sfc|`?q}U|L&hczu){N<}ad;{_vH5$XEX2{`Ke& zm_KO#GWzHbzvQoJUBdiR{_Fl6`YX&IGJhp~^oM@|*E`Se9}%=aENFjt(EiY%9Y4Q< z=hRT_! z8_-{C^@H+z#B2Ojfj^f%>Z$heE5Ap)#(zxU-;loVf6DI>ukkfMbl;WVpGKeap}fDE zUx?TEn&0l9PM`C+_*x$jukp1$xj&CS*Q4TVeM7v)*ZS)Ijp)x`I`jS2`iyvuzc|62 z>W4Gvcbl*M1@RhR`2p6WzV>&-YkcJw?%#wy^NHds zKS8|4kMjGQ(q}$YeC79u*Z6l!1Ml?y-i-d%oKF;A`90z_{$qpnVFCRpzel{r9}fJR z(~t6d#A|$f{?UGa^gf?Se|3=GBi`;GEx$)zj(q$U+3o87Md$pU@si&Urt|RmJ=)Xj zb>A-?zgm)%pD`>8~??%>4EA z(I39%kMbwYKhJM&MStA<4d!1)AN}EL{>#_;;r^}ZPnf^S{LAU1KYXoU^0ofC-%Eee z{3-LVppX9Wwf@Rqd|ZBh-M$kt|4RDk4`2I-eCi*gEcP;Il-y>e*FHUfKexv)pi2gS7 zwZ9-<<7GiAg`+LyuH-Cxwi|C_2 ze9a%_H=2LAvF-c+0Q~{;2hCqbAN}EL{>#_;;r>18uP}ee{FU_4AHLQv`C9+nKcD`H z`J?8qrjP#cwf@RqobSsKKR1e^Jmr7PRa7(%Up+e%~)&Uz68U z@+aLN#MAX0HNSs3Ust~Vy+8di%U_k>BVOabBJdxe@td#w9`PD~Jn)y(kAD6h@f!b? zf&W1I{@;C5evf#Kulb?-emvjj2hrzzDDSW47veR(=C}I~rqB6Ye60_N*Z5kW++RVT z>rwHwz9C-YNBR9j=#S_5Tzsw1h}Zaw^ZmZa$Ny0J>&(~wf_ROu{muOg=#QGO{SomR zU;DHBE9noJul*hI8ejQ^`wyc(Xuk3j#B2O0zkfLWCFU!?N4&<5^7}{7?=xTdJ>oU~ ztAh1mh<=pcBVOabI`AJ!Kg#bBukkMn{72Cr5Au7&+x?^E_sGkUk3TxQU4y^Q`TZ%W z74^W?$LD;S@si&!Pv;@OM|*m`?gyshRVlwmJG`Cw{X6FTeig^Dr*-~xe!q|2NYatt z@6GWB`lr{g&hH;Xf4}($%|AdN{o!l=D8JGC^Ze$q^beaq>pYBm`sYuMYaP#Spg(-g zfB9NJ+#jajozG8Uem-jc9Qx=FU+b5At$*&1(4TL9kNFGeqd$DDzw#I7=jVw0{zCeF z=J%VwkUsju*Zv`2`;U+RarBp%KVbe+`sfc|`=5O6pYA`N{-F6Q%wJ9){o!l>maqNa z{nhk`%pWm-6@B!Fulz&4@)!4?K!4QyHRfMTAN}Dgzm%`PJLCQn>8~??%>4EA(I39@ zWBJOj-G37Oaq~Bre;IxBhyNz7r(Qq2HE4fJ&^{5gZw%Vs9JK5Duv)f%J}{cEugU8v z`IGJs;^}&hT0iLhasSEm`F<2%?=Rvt{^h(b`TF-F`u_9xsvi)q@!t{nPoeMs-DQ10 zfOw7n&cMHzzVEN99}utcHUD&9j!*vw@AFgXbH0`Pulb93jj#2?{io6AdQp6>KZw`( zTL0XCI{g)Sz7=2VC*n1})^GRM&>t{g>p$W({^ESUkEkD>LBHR8?O%x3_}V{x{LiG{ zW4`uZ#A|%*|L#AF{#^5wKOkP?D}Ql+E&W;MEB`^f#*gZUXVYiCRh}Q!4~W2;jlU`I*U^va2gGaqcL)CS=x+?_2gKX`t~`&h4Y%q6 z|9${@Kl1(OXSZwYx4C{egZ))MFkb412c`2+KcGFmUiX93@o=gi&<<~7e*TWRes}@L zv8i?bbp0?%ZzSocA2#QB1O3zMSJw~g=}(!z)%-2=(I39%kMbMMKhJMoNPnC8JIvos zAN}EL{>#_;;r@&0?=pXn`Mc?(KYXoU^0ofCe+m74=I=NED*EUTU+b^@#rgR;qJ9{o zf6)BH<{zSu{_wSb$k+bk<9{*zS@*zmGX4B?w~nXlx7j(~K!5n!|Kw}`bpIvv=bAs? z{CV`zAHMc)`P%>8e<}SQ^ZU&2rH}sbm4C=r{^I_n^!v?UV*Vof=nr4{pZq%o@9)d# z512n_{xbUL4`2DKeC5AB{+H8VVg8W$E9s*@eC6NrmA||H3i>1FkD9-lKKjG|5ZCJy z@_j+N*vc0_8nj;#w0|UM|6tJm;h_ZRURU(c71|5fz)JQZKhC*n2!$9do6>)%(?_wV0TpCDf2ec#W_1$^F;T@5}R{_*&l(ukp3My8k-*^Uc@#jChT& z{lWbW^t;X1{(^Xozc|6E9z3Exc|CpZXXXBAe?+{-*Z%C|e*=B)$HmwFj(Cl){KEY= z(q}$VeB~#I*Z9hh+}}u_`B3qdUm;%ONA<~@=x@#WMDbOhAYS7~^~sy*Pnxg#1o0aG zlfnA%7Wz?rf_RO;CGaQcNA(HfHU6gp|E=^l1@#Hy?S5CDN7#lt)+eYdP*1!qyIs3} zm+O<8u)pdP#!G#&BAti&1nuedx*w8`FG%$X+TmTC=l?O+CvWFC*0s)`&M(L4jU*lQ z$@&~`pnrP(>iXnz`s3zrF#j_8=nr4>NBNEBpXWF4pg&>$Ci5?+kN)sA|K)4_aQ~h3 zC(WNS{|fr(4`1t-e64@(zl;7>^S7CQC4KaVuk~HN_6PSj(cfYIF7tQNM}PR*|Kw}` zbpPG-_n5!W{Jr$iAHMP%`O1&ne-Hir<{vcw0Dbg_ul!7Ylz+dM{$cZH4Ycx^>A$bl zI-cD?fB0YIdT~OYwzSb}KTL0YtJpC2s51GG`KKjGg`YvDlgZo#~A2EN_{MGc) zAHMcK`Px6-{{sCr=C3n3UAYbzD<^`K!SH68$mDpOjxBUgPfz{B898=f9O-B3|SFI`F?t-#

>_%vo^9A_MHb)N zGS-t7ark-8v-GHF0WkjiLRVvnx1!VW;a6@q_7%HZ6V`<%s9FZH-m2JSi2l&0jH$7A z=B(=Y)QO4f@fRc?U=8IFPch;mo^@4b;_bgpTM-BRXh9qOmF|IO35}Zsp^Vf)zda>L zXrfp*j3+OE{w{H7op#8@uWYNxEBYP<^Y~c|mjoDdu052fVVrKZ(by~$+&(@q;d_!1 zt3p%{!dypmruI(Ks49rc_(*ULpThrdzKKE@Hwnov{QnxqKQ4U*VX_`r-SpFLD2Ea$ zhh+UuLO&9??Q1LaD~w71*8-TQn;Orya$WA4{*mXLyM(+I*>w)1F0odfw9$s9j!xHb z8}~o2&w z{(qHxSo^E7_PWG1bmXPnmRS^wa~FcY%g0-gwA<#%oiYaN%l=cm2~WS`S?+;h*?ZCh z;>34)V7|%wTN|a{5gPBS5*1g$vS=X~Ul82e_M0H8JE8P>hq#sE^%{K7@;f)s399`)G@LohbK<3}VAm36wvs)2S^+Q2AI@jhd09#C&= z((;S2>$@?gc+C!dTvX*gS1GGZGu22cOw8Y+`9EQvV6e`;E&qBQ&f~98WNX&PU!Hi0 zG6Ppl6Mi+2N$r1U3+^v-+JHf98zO<X1z9VaHw-lW%VXguu&XhS`t9)_J+7;5 zSSQ$qo`U}nV`l&Fl7ji;t(qe8ca(UXa7ex$9Z$N8TvDa4Zx96AN|N$7TZL~h6W zj$C`Dl&Zrg3Y`BLMQ*`g-y+xNHt)2P8zbP8{-5J?!L*$%TOb$Ib)z*l1KDaqwElPO zU3=JrUB?vW8D0q)!6Md^t@rr=)Y=!=!hk&&^GMG0U++sLB4?}`#;5+DU1ti9;(DRdUPH8tGjmgw0Nc-KPPy1({`-+Q zCL7|X+>z*!Q?`H6syQHy)PJO2tiqf`CqqdMwim|>(ViB^XJS6Jl)$A4L%=i1@yq=w zD(UR(ev>if6#?9*C-em7LBJ7i5j^8d3yUBx+&%RTUzF4)lx78^^;U&T?3R1k*{$kNu)j*|ML;Xco> zkw09nf%wT5L?M6Q=#CvdWHPG=eyUKxIcU8X7LIsGc|KolkbO67Ahm z%NU4ubPM{UBP=!+qkuqVM==^&op9k&*^wPHpY7;Nu%k;8?C31WzG#ufC?MdfFD2`b z)YeX`^JSiA;JT96kzZFDj>fDr5SImYT&pie{jX#yAW^u3n8i&D^V~)8_0`K##=c*T zlVMYK#9Ao{+pqXMzC#1{rfvb}i5S5=@Kd+=y@VqzGPNw`d8%x6T+70&1FqF%hN9T??HyiM7!qC9!M*MUDs zHRTq_0QbN}g+`NQ8CR_Zo_zLy9}5q-K*0A2*ldj7bYUB5ld(U#Ba&4d#jNG#=-0sV zDg12x5jk@8RX5{2mO!m6I1JlO_FlIQluTPI|va)b(pH$aDO0cKl2shV zNCj~Sf&UKx0RR7Z0TNvG*NaY*#78a07 zh8{4iy;tbxdK!nC(r^h zsEOf`!Jgrf?(x1ryW>551AKlKn&$E_yaGy#K$U|iZXkAccZ~OU@^W=m$#Y05K>~5$1UM-|F6bhm~O+P&5FDQEdUmiN7B(g{11d hdd&$=6CkIc#sW~0(+rnIEF28(Ksg^E1^@s6|Nj^8=VJf> literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb b/bsp3/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..5d44c0fc42d4fd2ee37fe3e170bfba1283a96f71 GIT binary patch literal 1233 zcmV;?1TOmz000233jqLN0AK(y00011WpZV@%9smFU0000A1ONa40000$0RR910002d1ONa40001Z z0qj=GZWA#SO?iu#cohjD)(Ej_DTK!YMg0*gO-F6iVS^Pe}7qxCotiE%13Sy+bfyyjTqLAoFe}>#9WguTAz+z=MQz`$9q=! znt#y#g^Km*`qlZ>zK-AFsDtxPRC&G@|K&_uXdth)omA^78_x};>r3nD=68Hd0*-6P zP(R?HhE9nA0|(6?Py0F^oTGljc&J0)9!ekT?te6B zb5pDO?ZT~D{&*V8n~X76Ae4ch!T5SOz}lVshsG(m>( z0UB@=$fm)ZwrkdV4!!reLB`jhC^r!$Dl7+Zj0T7C#q!b6w>3Smlh2veRzn35dAl;IGj z^oDS-^{29wY<(Xj#jp*1ko3|Zsii^EN`s`721zH}#@EDbLk$J@2UpjNLJ3Qn|CmZR zR)UIgtP&L*D@X;$>Qce6@>FoFN);SiQjP;9>CZOyN9>b_Q_-hex8fuq1uM9u(jKRV zTTATJa2S>-x99ow8Sm2Uh0-nmIqt;K)`|88oefP%(g@NBq7+bWQz4BYN&)3I719Wz z6i{wcA^(aX{%uUHS-HQM(`VilPG9f#F~eT7mtqycm3}AdYA_KY(mIho*G1S*00030 z|9AlsVq*XT5Fro5&Mr>z!S0SBuJJ*x&i;PEAwi+eA@KqJK_T(JjsX^C1*aK7${A$9 zBm)Bzkmd(sgudW#$AFcJuWCUu3=9Hbl7WF0NJ{}RLY=d}Zvez#SHF;;Nav{Z1z?xJ zwL}4xqZ;k!@8UXP_2LEWU_(8?B*bWtH3*~qLtKM=H|g(YVP$XxN|-Nr00960N2xh# literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.sgdiff.cdb b/bsp3/Designflow/ppr/sim/db/vga.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..50a0662c2fae1c6e8674c06c7ca385859b9aae59 GIT binary patch literal 12025 zcmd^lRajJC^eCY)lrRDV$j}H#hm@q$V31PM0}deFozgXkA}xqRcXzim(hS{FgASc{ z^!IxUf}#UU-14ndt&b! z5hT+o*0j>dDeHAI^8z1ptEop2kZFKe9y3=qN%?X_lQLwkgb^_^eu{if!br#g$S0+^ z_x$KdbR{5)Y);`G4uCMZTw&!^=R?ME?z5W!5AEd%;VG%bvyla)RC+2()lK_EbNg;o zyvEhdqiW6;ZvFHTmt8ZClR1S;qInT%1<~L>y~yzQ0G8;sxG1}%Jg1mp^|d48BWI1O zxw!rvhjW2*D%Z5OoXfpOcn@4+%@^N*@%oso%anv?t$TtDUYSyqL)J|= z`w?p&ip>oy9lo}Ya(Q!~*_`Pa8^w{J_tph}Ry^aKwu?%+$AFtFU%P_pBR}`@w%r%? zHKZug(^ZNxrh6sVvf?5QuH}DqpzHVG*gktU-a+W;6B!4w{re@IzAga_{6`oX^-oHTPKy1fUSj^J!Bv0^FP`d-*=>h-z5FUayq@R{B| zYJD%SB6<#d_pXHW*+*emN!q@DQGBuJ=;QaKs`scx?%53h(@Vqot-+P=Hr`>y|uLeFOjt{3!m$r1hPpNibGwOIo#gxO9ei{ea6#k5a7VNuTp=6iB# zLPX80k=9=;AMm<%kX+i|Y8un!H>tjQICE`W;w^dN8OdvddM=g08~s6iXhkha@iClf zN&W2GA+)=N0oWVhzaQ^S715D!&@S|D#zunWIsHk@+NBKKq}Deb1i-d?lHj z`lsz=VxTNXzRzDO*ogwcGIrKd3>ECxT|2!|8*Z9iH@l^go<_Qwp;K!-&9208ghC3x znsq%Qli;zcs^kvD9>be^O^^1PQiXW6(C2|WZ2=75i-ZH7;WQ4<8!6GRuZCli{n9fMSzj zkH(5pZ)w=e_sh)3zQEQ^_D`{^wPWPnJ*9WqUFp-kafFp)-$+`VU?;{4Pg>RnYq8@_ zBn!M4tXr88TXKi?by@xn!P?`gNj`52+a7(E;=FK;MJl-;f6(voU145YJ>`jNFk8|W zHR_csd*yBD&SD=)c!{<@qujKz|C4&Wb-W#l9Q&)2B)L!+QVvFY*+^xyH_By0yIaSv zH~~9OR~E9`D=P_*tSG!moMqk!ILMS(e$!hwSw|vuzLI=%T&#E~rj;MPyti*bd)YTv zNwVp#RiPnNDdWdveQ42dIPEyIfU-Mkd_P2c-)6~<=!@{OQy+Di>u|L7D?Xb;&*@ZB zhdsU;MS(crPK!f9aH#Pe@}mr&KH3;Aua-*rCra_gi_gY?ahho;db&BuZigqJz-BmY zVy}<~pN^u?OM~bDW+G5px4h1&?{ZK_K}Y^$`tAdI&pHdAel{|rsJ0rxW1S^{v$)SC zeW~0px3@x>r>af#7-w-Zabku5YFu5WCsn2wo*HmFr^;QO#1eh-fhGFcd17WJ_$T_i zFzWFZLql8XeC5uODnBa6;VtSIGoL0apN9D4k->d z9T>1~;Wz8)4rQj#5^OEjsz+W>ksjVd8(Y7!bZL<4xz13n&Phr}9gR0mUnjz^z4!z& zo$c9^J(`x8{i1Dj=4~Rq-=ZDt)OE{dX|`G>(Jd&{zq|be7(@}Zt((=2)6Ey7s>jVq zH;ENx2bjI->sh{OO7w(k3D=g1XX)qEv&zTwsF6nN!4Oe5O|-F@|L!^FVwQX_>f$G2 zFUl*XzAZQZfs5g;f!SfBc#=d#ZEMfcsRR0bsPQE_&unIG(GKH4kq;gQyXxr2keQmt zm->+PG2>mYfB++bG6&juT?4xcK8K<#854a2DTb4p##86KncesI#nCcVwIF?yGO-pN z5T~xZ-|&Z}CBN^+wYMiHJVaF1ZJ_{H7qInIGh0bp_1I_C;y1LMHvX<9y$Y3E6LF+w zOQN`ZJcUb5x9^6jc#3U?MGg3B$Bzw49#Qs4)(kktcQ3R|6@rA-_}Ncu3LDJmQwAOD zvRLFt`;2#dU%ecK409Zhq}!i4=g2sKA06{hmNZNzvKLd^JT)WO_le@%9euntAJ#kl zy|t(1$!JeIY$eUVh9q_}r)d6rvIiZy;)j8y*RSj{z2L-rC)In2bXQ3w;&CvdUQg*q zV)iC&m2-E;YiyP`#OQz|W1PKb#1X@f^`DBmiIT==-QN%!a!=l$M(>%l48WJ_jt!sz962Y%QA!oM2G?Dr>EwJaR zZgo#{6nXYoPRp|@ih=E&p}XLpsZVjl==6b0CPVKQWn4 zHMWtdxx?#@>F2pMKa$i3waiZXUmw)MtjmTLo1-lvj~p+*ClLT2_=&#>@iTB!o+`0n z?r-#B26Vh}BfltaQO_*b8 zil*8^h9QqmzSDbP_YY*lPJgdT<(Tk-aa*IC#pI-V-DY!8a4Cva>Rx!6h>D+74UHXBjLe44 z-;W>V?it2aV2$mHspz-VbV6eRU&sd=S!>9AiPX_fU9 zAEEpxoaX-n^vm@4|Ff>{yBIU|LVNW#gbFVIH`D#aB&!vv0=RCA)X{FzEiN@!qc;&JRnOp+X&g9bTmCdK)7_ zzFz8AsAfEQrL{b`w(gBAwK^@Ud8r;Ete?kUGHe}jR7|#7usMAD7z&!UnQ9Vc-Y1`6 zFj_Ew&hfg?o;kCI>c^zL(VOh;9?M0o5aX1p>j+Jix8DGJde_#Ro%8v(8{X0Uq}-G0 z_x#^}!af{RpgqmZQ;t?_DwlG<;YbxdeA3MEd6}x|M%w-vF49ztz$hZK+uO6&v2@lBUC&NEHBP>o zCi(P1uN}YrD2R~rXYhA>iq$eG(4X7g$D3ZdsC%&ZqKKX%(JTmlx6@y^_3PS zT|lbee4>sem^$OIQLRuQFR*DbeYlfUkkxLKh0^wG8OV)=NFq$JUTgnUxfZZZQUJLB zb(az&6m-2D(Dtzj7VYTIr=UDV!dwgjttQEZxIskocdjoMn~74W@YhAC>++QEzpdAs zjOFGw1lfM&qiV8C;pyxt@lKoG;*WL=!pN6Q4qAO#f%K_TtgqHa9Y!)r$WW}#W5|P; zeI*S-?)E|f+YuPsqC1%ldE6yL3_=Edm^x|xT80)1ETwGn<1+{;@@DER_zMz(0$UR_ z>Nu-M*a?8s`X$iQ2NF}o&wrOkt>jMN(|C?hFdGJm*zA=9>;WP?}*||GK^-oIE0VWvcKCiocf17mV9vD!9R*B?7CKx-nyO`6Bp+IRKg>%;CO<`!9 zt4^q*m_o#nbcCakUdUZZIRKvkXpFDeC|#^frKJ~g69Pbm0t~oGQqhkwROIDCemNE{ zV?;91(FFQp%}|0m06_mr>#hYl&?+e<2#U|t8C@RQb}eB=RCg*DqBh@H z5$DSPbVEl1cCg5N5lsd)nC)bPf(~~>0r&ex96-zqu^GE1P$2zZfKMSU5wJFxd6*!Y zzmAHT;vE#1sgpJ0D6SlU=Hl)w3I(8&dUL$wYx^*KoLr2 zTX@E9WjT+eJ`}jbp-@l3ybnAqkk)_#Gk{yyx*X%l{6uATb4r^Ozn(C4>WNK-LV;`; zyL!om7%+8ilmlqB^+MVRfcBl9P-{;-b2MS}5h+t=D;ajtlzg<8NCAcwA#gezfbQgO z&go>n){Z!$neF@m1=V6y4*)USl7dP}tBz_3qaE|eplo`c&lalf44}YU4h1pF60{2x zv@~Y}+(OtNJ<({|9cHO11W`4?LokV1S;^9=iDd6UPCU3n80j-l>nBVJl{35nw53Mz z<761g4&A3iaV~jMB+Hii|ig~#mP6Rqeo6%PN-G`FS&kticQ_@N?Fs!R-mIi-J4WD zqt8mMS{|OtmLEA?T^ESvHEJ^$YJ+2trre3Go-ewpiHr;g&_0qB7IZdW^&EF_R9!S~ zq<*3?{5(~3=q%Bt54VQi9%Rn;$xFH{^*u3sogg&vG8w%o7lJJJMxU2ME=@xf>op_z zsmMl2qmOPe0h)wyp^mbg;yL>3t^+2P?d3w!M^4=^&r^~a^L1%5K=0KAYdB!b#fpPw zeY=f|d(T!ckuBKjQbkF+$^*n~NQQh~-LxHV&&}4^6bi^31~Ki~9z>dk0Jbq{_Kg7W zs1nJ{{qAdt6mUuVb;w+gLbA^5km9Nu_D+fDNXJ(KKzD97x#_uia%i|2^8#k?AUg7+ zTkWZWqqe!)m#u>jmLvDS;_3)Jt~GV&q|F$oCwc6HbqA=vPO+`3JG{}zdM-D0DKMwh?k)KFd9aiF-z*b z4ygkuddnWyOM)s#rs;_=dBHZbL|O0d*9Rxsn9!H}4PF93%uWU%jgowI)r@&X>M#;A z0R5-t5krrHXLT|l4MhI$y8MLza0^5ZT{mO?r?LnqTcJ&h&nBHE%s;Qk&8)n@Lb-OcUP8b zJocw$^PFosKRwp{&wrREBG=_$gFj*Rwgc<2l0QCead^#Z%{hA|cr4(>hH_mYC^)g=V(ZhoYeFbhc>Xnqf`9Se7xo*WGb|q72Fjp<3+o82dZ-`59%buCRE5 zwzB6#{`r2fnzb*6*a~F0Vrfk9AVyjNiF(;DYRiF@DhD**{W z7RZ9y7p&h+ZNZAI83*^I45;~5XKvpTWW*8LlMDnJA(ad65rzrVL z`#%4PWzG!^Y6fU#CrYj;^aCU!SNq;1tR%T6@DYX3!9*fzVt2Mc(xT9ElmAL!ehAf? zZ6})|%`+3$Sa$TjDdj0ngB{it4SPwc3ler5b2jdlQJXHqVnO~Jp;Ku0FqDIu781Xl z_t;|IzA%G;?!~V`_2K&k89jg`JKV|4FLf#>mYV`^q`1Q8JjU}jqi+t!+>qaYDKB#Y zPK4b%(5g)w7CHP^O=ddQ_Gx~x%Q#hcT2fp&mH{{x4sV8Dn!AUX=@3>8ezP>u8hQym zr8BK9`U?mTq#+$^_;#-r6Gen4qptf}_TX~9%SUCMO;u;@5Mr&-bc@Y6-1=S^UGty~ z%~DV<#--eIM6^+FKZIf2zj{@bmStHMmO=N;n+-b9LoA_$`aES>^!VMy?Q4PP3QnQA zlH?~Ao=S#zEadWT%LLmo*K;EgHH)vwRG|lTX+Ml-)rTHFr4STYd@1>j&GHPvKIuzny=# z2&E6!qXqnP{JM@1E0)-fb7W#6V%`gh7kVZnOQr2sDsd*$`)7lPcqrYs8P|em^u%Td zF0Mkm?UvbZsWu}3J*WjY@bJLO4p+Ok;DB#&gM~Za?CckD5vAsv_1pIi>nDD2`>xxw zxj(vMkPvpl_R-m44xGb>KLKTL+Pmnm>32qJ73Oe*1h?@c>m-?Y&3eta|43c=Lmek6 zW<<@J;_q6fC*Qv5>o^jq9j% z{4Nf0PEZG~u4=->^~pW$(>ulukGj#9k{>5nput&{ERI92=a9rF5CtZ}-d+u@FvPF+ z%Q<3tE657lv$|2>c1J5AoSvXsdw*seQYko~p+In#@{WiWCgx3}r`lhMpI#?}i1Cy5 zV8FhvNiWi+a%2sTCpZx5wi1(YoISs3%nU?y3BX|u4qO}1I1uFFfmHx~*9rsGcJJL6 z#66PFwoqL6gmxu3yo>sj|2%u_+=_B=^mK>Id|8tIlgrw}Ega<10+4!npppB%cIcc^ z;wCbFp$h5`^V_ycNAPJ+@~1JQ-uM50)}OL`mdKpF=d3L6THWlO3;#2a>*W^zL*xB{ zaOKdp706LxF&Is3&I2(>+}JU6bfp`i-6b7pBz_D*mk=|-4ex|9S>9HKT_m0WhkDLA z)MPe;l-R)GovWt{H}`kIj>n7{hyo!r~k0{ zvnn}o%g3&98y*O4!p4r4V|Q)-i2HFcp;I+~I6_p+ME4Wo^RGSYk#R4|wVeVmT%;Dv zBWIXvaz^4G44b$Yz^_V;)T$RKfBj@3DvJ9&@&7M)gh z7KQ5&cSU3n(oM(eFPl5ppTK@J;1+zaQ}M@b76Iu`^6ite-}k8#*L98go=SHrey-2zaiHkmJH2^GNHtLzC6+PbfDzPPQ4tX7WXRL;|3)o~p@dw9c$Z+?XD{8YleQ~a1vDp@_ z#9Qsz2ghSEf(NbasI0V(fTh%#;J3+LK)-u8*fVzt6^NVm z@yS$|<1<1hSMvvuCIdKi(&|pv$__;M%*_QOwS$Y;e4lf*`3v|dHbkaO9HOQZfmq&f zJcbUAr(g&luI%7qP)D^Q5Q7-hQRk;ctdOQaL@>tERTxWGg5eLU!F1Z65MSHJsW8B3 z4A4>>?APh+3gqXTu$;xdLcN0xN!aIa(TGV8q*|_=1Kc7m^I%&vx7tkb5q!v7G%-Wp zjqYg+4PzehmNZwe(ZpBLRuwvSa`_%$o1z50%%5>~zQF3&4>~n+iR*QFF^>TQ)msss zSpC)Y>l5OuPWHw3GdSli&%-ftOG_-{zRu4ROeWVjrS>OZuY5fxvBH5)4|ZfNO6|0L zJ2HBffTYke@q|WKe+LDT1B+7HPjA5)KDK;!?QL2N7T=9&0Pr zlOr(v7GQG53a5<;Z*Fuog=n(Mn|Mg<{!#4?p4HeDOUbXK8=sN-+%XOm2QLEPRuFep z-ib5UXcp<2?#AYyV$ayZ5zCyAc$%$WE9$2-mHRvAV7Na5to;FzA`Uhx{kwRJS>*m* z2<=OD+5a|F*J8gKO%Ff4fb2N%lVmQSrExRYO^uYSGojoYReq@2@(~+R4YugvQEpWG z!_lsR@6_gNL;U@zpNG63bjCM-i{yQGr`>=Ww!EwAg>36fGT>)uibcSBSL#lYIpkUDQlW_L{B2Z>vy`fvz%>m&Bs1sNB+Pza>v%< z$~)OPj%wHpCnTi*KITZ|3Oo+K6$(b=^ve(~f1Z7`4El2b6(2b46-||c`ReE-z%Fwh zY|#jgia+#QY#iHO6cS4fYsK**nHz8sgTsh9M!Sc$MWmT;m$4y#o^G{i+(qV--s~`f zbKsvNIqM*hjiLMTEOjEoeU~|yXI-Y=YhX9UIl(I9!Xs4dGGcS5B!5DAa|fk^f8#^$ z<$jDkI8G-vT~}cO+9<;{N&01gzpAV=#rh~bmh-A{&Xdhq$n;U8Xnfze6|xMGPKNn( zM?mN-To!0 zDq~FO<_58xHbHi!TAN$X`kc2~82SvcuQqMTycg*!?gV>l-GYy4^o*!5Eu!J3G_LqV zm%_?<3l)hlDK1lpZym^E%cr_=G=Bg4Q{v_Kp0uu8){_Nsk0uCnYv!!ZZR)byN(CW4 zTQSh2`HMzB9I%nKT?D$KWh-Kjflpp)O_;q${FBRL?X8_RD;zd{blZDNq07VAa50l4 z?cR&V;z}7kWVSy7#WS_gAF`AdF^(lSjwX4#x7QCurhnOC$R6u<+OVQLPg185AK+|~ z6_08^`<-z4Yt)C~fy5)yVtMn~=#sKD>Xir^28O;Sjb$>KDsEZ;OIea`(rI7$A50NY zY$6+0*$UtLqq8Ok?rWQZ?*yh zo+DY+2$g5$EV~L*2NCOS>OQV;yEtRnhSBlY8ydB2<J_Bk?P#J5gP_SXUV^N2U< z;W>7*7IXx==LJB5ciSzv&8f$iICbxFC1>A5#3+xMNxp%t_ zqmdi=4-PcwA!XPQe4Gh$&Lw)M4Csw62PBh`j4+ZTu?7(DhN|F0eiY52xUaIFcGh9D!H8Ei&=G>~7w3{BcEj$9m@ zO+?74zP3v3gJL?c5h97TRC{++JDgAY+Wg{6;l5ypiGQm6E1 zzELp}a5K!5jP#HSSTZ<_^8ZS##O8hUAa>)=B$_pdq;0hQ3ZFaRhHQRZYAw|oLU748 z*QrD!(fG(+PJ&YcHGBn0M;cR<3oOaZKZ5;k_Na|5pzA3nv4Ajqpc5XKX%cmeiyMtl zc|I2!1(^ss`LV*GB{Jje%9b&4ES?&(e(ooEN|%5b5x;a;@gx>YB|owh&9W67@TAPd zAMvRwNu%m}p;!(TLk;@`3~9k1TD`6ejTJlqBGa0F(=zN(9ZB!6>9oljGdH3htbO0R zy#6h1o9X;iw(M~rOzat%yR(qzxqSN!lpA&$RJK0Pl!@=ZH*7S)=Yl5Z)|GJPs5vrI zrGeL%e(d8WoQ?1Drnl|f?(k!9cWI_=#~>BZ2AOY0>?r*`Kp1eWekgMM!nA=a!|8WL zF5M#BAL$-cSu_P_E|%~NFp{Hj6n%k1duuqBRgTbwE{+-1GtjO@EE85OyT<1UwDqs< z(4D4AblgtDAI|<$!@U|L)<`NTnbt9`#9=_q(Zn3iQu<>_?}}J{+Ooc<79!*_&ockr z!Q9tA#@or|Tglqh+}qx7?iA~RcmKJ2t?AH1F74g&XFfG^wv}e8tZNI?Lziw8chgsm zX;);#XWy+L7MhsXJL%Rjcy_XDYju#TpkSLZzGT`XOPh5)e|h2Kg?nvJP$NbEg=pW* z-a9^9OkL$RzGN6H@X@bVIfKnxY5&EnUVhBYpW@0Q{tSKE1^OsGKO>w1OjD^5hKTFX zd4NS)_8`UuG%KN|dEgIxUE;;x1hM9=IC2q^@s_RE_iperA8B)4 zw|Sy@#_Cx6^}VZ2%dCB|O%5gi=Co?yn1=q|bl-}H3f?pdYg!j?0P|KQgq^Lb@IhrhP1~9t9#P*JwIZZz82MPOFIF?)Z??IYByx9@lK!o*APbdYD`~J--r)@s>dk zdQBhK7Ut)Z4u`&ZO-uywEj_W^X(|C!i|^0G($DaZ;tyB_jIQ4v=md#7(br*#h&(vW z^9$?t*1Y~w!D1$bCmAxGPPT{a=*q?-l{^F;l?Sww{;BuTs4Z|#APb4D6`!Z-la6v4 z>I%BB8QJ{JynmI${iyFFexiy(jG$c{AgqUV3G9iQ-xT6Chxl%N*^7)DDi8lv9*)3; zRU?En++Ti+xu%P%MCH@H(2seI@s!7xtR|&+Z55VB#k{ZTOEBlF=gh+3L#I=C+)y1;v&R8Cni4U`xdLgX+lI}2%&pgYBIn%VH#q^!?VauIj->lGqv$iqtt(#3L?C8A6c zdU}##mkqCBVThlQ z+<}4F@d|3%k}$8ZaZG8IL5w-&fFi*v_>fTqs>LXbt^`LypC)PZS4#F1Z7RnEFGJGH zr2_BiAMlJ|H3Rx&(VQ{^N|Dorm32hIe&m)ZVgUTrm>lz#o+rB58x#7xVP$k}`!-i9 z@SDD~mZ*fcKo@-a1D#ZkJFAiWK#~VyR92#q=wLiaXddB^B~=My8G-Mv?ZNLsY~w)h zX2*-55En>3-Bi!aBCda~neS63OpWX?k9%%MQ&vWc?HkfluP2DV@fZAx#ihMv z_IIMr{R+L@SxHr!e~j0soPd-JRoQjL&#Hya;BccXv6k<%nNPJ(F@5o7dz;`F#b1|jaQ zB=d;H8mX77%;bwJ{d40rH15^3`#V(DkWU~M3@F0=n0Sk3WT+f5nw5@HrK35}km@Tp z)a^Xo`9mymiM7Ae2{eaHty-zPk6Aip&AyP3v0?w%OI1U43!PD7xMS+4_e>W$3LuA? z+JEXYD{is1Z5gh0ShnAtMmn^Ss9oM+{-D0Q^Td8=ZjOb+%Lu|Zv!u%Nv?Lu3^kh8P zrFuv~gZf3g;*z!A_LvlxMcp4eA`r))kwlYJd-vC)bn+5IERAni+FkdupAsK1bPM-;e7>~@@rDJ+ z3aNY}EC~tCxvIz|N<;{n713rb>_n7ItOZbK4h;N@5fip4mR?&3Q6+p`&eL z)Zc?RWuIdS(q%oQCsErzTpYrIYtwzhnfw;=s7|-qmO(;ZM*SIn#Pgs~{=SJjowFqg QTT=s^K*7I1rr+KDFIFmiO#lD@ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.sgdiff.hdb b/bsp3/Designflow/ppr/sim/db/vga.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e24bcc91bc698a917918da4780e72d509a129296 GIT binary patch literal 28303 zcmce-bCf2{)+bu%s_JqVx@>gWwr$(CZQHhO+qSJPtIPI%`aS2HIWzOkT6f()?vrcp zjM(|x$cV_y9T`u;0|5b5K?4Kn0ya88S=q?m!OX@QkByoZkKf76(h!f1fgX>Ro`#-@ zhMty|4NpNFPuR)Q67SzY<{U7977!4E#XmcsPw;>3W32zRcLn~_1?h_|;zaF9+*xNZ z*&Wzt$sEDOuyPR`P!i&TCo0tgS zW=z6WEE9|$vA+9j2E30*4>q>eAo9ZP`26flz5Q(Q^pa!Vv{=1;VM}R;P06WSVM%Fe zX)6Nods?^x?$W-RyeSKrzvO1OdL^ve-amz4y1Va4ah$Erd0K;8gP-=|Qk5X`OgJO* zc2r$ls2|@E;Day5EB^=}bZCISb0GO~pG$vo@;;e%>J|v3GcFrp*Fn+{Y~Dc;5F6o< za!-xN*5#FT|2q>=;DUiyK05X>A9>1YLi@xMtiI#FRK4}`wytBSv!2V#bpuJ zwQ`vka>oJdl_)JqA9$&5L;I_VUw$64S9A9*j6*6C6S85+6L}J#_D;+K?mBtj^jO}x z;TPr}jiBBTKWb-sECc?4R?I+ji#qLm_Cfq{z#8eFF1e1i@$nmPj`z-^uU@9z2(iL^ z-aWqMRBV|p={$QUoN2~(a)pa%$y#Hc*fG)f&Ki*7Av+jZ<6h%lr}+)NhC7`F%Lwm| zyU#yz?xm4Q!}7=BfToBc5HhIf9)?5v7}!1IQ+b}1IE9$|(((+|>iSyX4FdbI?V`lH zm3!s%%)tkD-ps(>bL)s=iW@Ong?#vEo+mkREv0Uz;eEV@gL&uE?uh5^>MhXAoBKJ0 zC4=BYH#BBGFH$_!t8)w_6G43dLtQX!J@83gf5cTl3+`JAz&nlCkwyjt!o;=}E zV)DCb5xWj?R_Rvh{|Pkww`Q;XJ@95b?&IUy&buTnnG)}ks(PCUiv5a(8l`^Df z^kpvoP(z&v#!HITfB2g2hCkT`v)nmkb#QrXn_!+{p82m(^j>(c{AIX+D!v65(VUNU zr~Ts?_z=`RGU;gz{AU}aypC?V$}Q`+ z-?Mc-JGjSq(`ZT+@SNIwpYpq!IV1J#Tl&~6!#405P=;t(R_a7gqQ6H^ulLo?G{7+6 z<+0o;abD8V^}dIWXaN-B<7~Kou$@z5yqV;|g%jG$}0VlvG z_Nbs9Iz4W)LNM|wu>-V*S$LAsEDDU`4zs68{94g|C=vjC&2r_@DJ$IL4Ji=ah@ds@ z$;G-dRt66Op%~Y^r*H%`0AADKc=3JFCq5cj1$^?&654Ds(~kMBZB7%Qdh2U?2=bb1;tnV~bt>^eZSc+eU4}y4mQ$)=H#H z=k#&$@Zib9$H&gj0@BU9?#cpqLcoe+_)mxDfRa~PxKnq^Ihuj;Ft|H(Seqt;>l%$^CGwvx)tcf_chOKZp6^Z2x9q8|> z=``!?1|Qet1=jq*Ga;J6TUp#!Y2FE>Q_RdRU2VNZWs18uPfZ zZ=K1JWACH72OF9lBPp+YDp1GVeDC zhOnfgKZ{o2nuzBIp%U zfQ`hpDc8b?73ak_OPLjJx{jg#ChUh#%e93~8rgHzd#yTE?u_8`+mkLbmF$pvF7V@F z>7!A&l{|NwKW@#-_*7LnXg-z>JNi;KQ(fcb&BOwa=gHAkTeNWtbImpeIo9W(u+Z&b z6R$9sCOPmNLq(OS14kd0hi{fe43=+(UKAZ#VlPEUK4womw>>DRSJardCi7KiOkEvU zD^+RIYFQZ!4u&l(b+?t<*EX#)Me1DBNoFif^L?%%Z0tP~=Ug@!Zi=w|;dKKq{a5zY zIvF%!+#xvk3EVHS*0|UC{0_#rR5iAphcnYz(>`$@<&4aGn+G~t(^8j{b8TZY@*BsV zyGE+cs%7uha5zp@oIOwX+Ws8gVr-6A*hAVmuoWK*k8B!uA-}k~^j*x3rZUz=jwP<9 zIPZyrww$ap&ahFo4=uM7FC0gh5x$xcuU1m{`;30te!d2ntvJTK`{g>ETx~Q_Ee`28dOvI* zFdlbAXc@elasc|ZrB1N9x`}4CxrSAG}shfP~EX%AmV*MnE_5ab4AzMw=VyK{;!<;TNvruNk?P*$szt>NYUpZ@!IUCZD3O5OS=aws3N@=QEl z=I(m76O(^*jguGNb;CLB_l$vW5dt~cS(|8^Xt{g`H&4N&ZwjEF(-c~!aL9s0b$d-X zDF-rsQ8G+pkWw~O2Ti`B&||8PS|X2bF7_{XM-Kj<1Y={tnQiB2%X;_;<*W&wA=4MW ztZ!>f%f;dJJlF{1mGI+(RjH<|Nj6ETMKtWyYB2F5P(P+A9ZbToHpuBZb@519K0{sX z#u{M)|H_w+Y}HAO*ANRb9a;B_iae7G;)}4B_#UPcS(wdY5mC2XO8oPG zFF0AqOie3-6J4_sXOxerS#KtEAd$|=Od97Zj=fXBxTgMzu*XGCFvy`O82VHa`r}F( z0e?G#fWMPLD9}|W{QFIHVYQ}Hh-f2&9NjiWzJ8~U;E?M~X!uPx!q5FKLh|7^5yi-- z*iy7BLI6MlN8d>iu`1HP9EA!vXdpYCn}LgimC36U&5>$y24>jRV=0I9GDF;>xYhiSv&gg4dbVB;+J;9(RuA4|G2Rit*z?+Y_@F@p4043-B zkKcL+5a!?g%t8c(fK->zfYB0=Lq@{S;$=1PM2bfD_w@@|ANKbakB7=;A{25=6i65z zX_tb^G8slnz(tNtre`-7PS?_cWD*&)eNP~%Cb_pHdL+mOFKU*sfmOLQlt%8Rl}GO2 zv_|fdildJyjIn1_KH0NvbkAJ75i0gyg6pG?F%5oi)%j%UxCTwtM9AEo?=8HyKQs1F zbN`>!2#t~w(6F$5)*d`7j=oA1KQa6lr8P+B5qyQIk z6@dxAwnjtVRf7rtz9}dp7ch(&s$o&F161*=F~PJm{Gyo8jw5ghY7-rJ+C@HF6?e+v ztiX-ki*bf%)|pA>ctg14&Z=PHrFm}Zc8ViMM|}G#8)mwS!>D1QMIXrNY>7l>hnm@wDo4s=uA919t}FpKT^KxrxY~K?P-I zjh9>j77Cf0`n`20$~ahU_s)d-_CQAM4wUk)WuWxZ{wS{Z6awq9)N=K|x=))0H{g+c%n`xWf7|ysqg2MWITf z0+djS#kyn}QGu@)|EtL$KnaE2G2+e6W~BEbK{`qh*qKMyi1Bor7jd&)@-Pohx*zwc4`u zHD*xlj_u(SBbjpgtih8dd9eE>aM~(TWpr1D0`^n!D8+E8Zl&&Lo_YU+mo8MV_~FFhJgQ?;9Ch-WcyA)72;n! zk!Lq%Z;Gb@r-}FujiEwX3FB~$g~lZcA*m93wZ>D&u$vVF88)X$bv}=_xH#|s!=j`V z*`iSd*^EuaX&S~<{6ZQiLMOY@OQ@JUadIq0KA z0b;S3g7&uPBZx}>DKNK!K?aUpik!U`XD3PMA5a`K?vR4iFkW6}_z z`>GI)dghQWawLuOm{b;dMWR*IzE=c%(zek9ak8qeKNr@j-b_P%>k=AY$8^5$3Pnid z;?B(ZfI>4DCm6OYEKxX#DlxMUGNbwAK0*-0zq>4mG&O`2))**+6_`q3uCIz`##!PtBitZ2QvgjTu`<*kwlcT8D~z(ND3pR; z035*-$2hO_e~@r$wPu9XCq0ZyZLH1`=1gSO;c-=KDrH&bB4c+|-pH-~V-1^N`a1(6 z3|wJiA3QRNU`!y`drWwETWIaR^ET3p4OV(KjMiTM|r0M9I1~)?f>|HVWXxs~(zskdG)v zvi@CbXoM}qL^}0`NVQpD7T{@~QsJNkTjC13+@S146zJ;{<05}PHV;^Ne>JSWNj_w3{ zoSwvwIK3=Rf&mn6f`NFfIK9(|(Z)Ka4Su0{y^Ye)Nt9|W55c9^leD^ETV@fb3(LH7 z+vRE}RtYWaX?GFqX?9)g=~q|mY2^a;%&Icqielc$a%h?Qv;Kt2_G_XFYR<9$qiUiy z1Y6y^Zd2`^GO%-vlpD4r52?0n-3pqAbG&B{k?8&zDnPX)UccvU_&{Lf?Dms#$M!TC ze?l*nqSUo%rKncpCb6Mi~UJ3#9`7N~>g%;sAY>g=(=A z)r8_G6SV@_Qmy1UX0n;r1pQC@s0l@JCd!52V59ZfddVQoeflJM*onqEy)@?L|EX?e zu39YhAL0{!~zjFRAN1yY91KC1p_ zUL9va3zOxi+sZGzl~w3MK)K3sWq71zUu?YzvT!-I1W=O_+HQgzWjX?g>=wjbtUNtA zvJ15`c+I2S0JTlU1FZ~SO#^`F|CMrQAc>ZkAxp{%aRkg1rT{2ID{BZg z{Zje*s3_tkI(_`^i%3;bX68uMQcEGKyV<-^{`f~0{Z0AAhyKu@XA0v%{`v_3J5;I- z3KAb<<|b;p7p5G1N+3Xqp8^%4RD4WTR~4OK34fL)TOSU2-nIiMP}>jk8^yHzTjA7L z#4{8ZNr;jt<1R|I6brklHr7z{=S`k`V-)-i;cjS<;Q)Y*&sjl3C&gQNiqaQGKmXwQ zLa2-V^C=B*Eh!2OEp{YJz^xeGe1q>TO{JeFHwR-d$RA`|!EHjeHXI@@x6JPvs3c{5 z=5pweU}7w!wuZ~ZUK-rBkUJ7!P?YGxqYIb8G-$|283LIy6 z146Tt8i<%#YYu}VLvMWWEn_1Hmj?WT0Fw&9&?vwo zNYKgb{iiPaT^{_M;~t*;oe>;g{f83n-&y;PoOeZl_&P>~gZVnX4+jB45Do%Fh8hWZ zu_AX{b4t-ZG+k0n)YX@-@kinYyDvXN16A+M7a`5?=7PngIN~b5XsAZ4C<6C~7zz*G z017U&&HeFEYn!hGRc7I+D~$9xF{jR|zIy(ebZJjSGI{Q>7_{l`0+?g( z7zn#*v#-!c6F11P3-O0uRVAw0q7F$EQOV~IrFoagQATp^9fSJ_nsdt6A=G=Mk9^Vg zP=qw$p<|97R%iLkkWkGPZsi1;WSlm zeufx7d9{xrtrv5dLc6T>ck4YGP7I}LD{Ng=3k+6c=(|RHo~&}afx_-7zlhIT$Fq& zaRZFc$B;QOSPIzvjd3V-oEo#v5$1efMbW|_rFXKT^qSY;~UCk zg7PVKw8wQL>)`QabC?>KSyV?G&3JNgEbjJ(oUu+6L^XQNU0BxAO!XbFpv$QxK|O9% zRM6SyWKMetv*U_M2ePyO;Jfm=;QjViPni9kh_aNP6Co&Gk!v?fwM>^HL{MB;-#cuULhtzQaGHTBD*R9bzyp#$T6G4{*uDkjXPYBiXbp?Ew1*qBCmq7 zdo8S?)h^x|qK@o|p~blnRDX{nZxJgs=_P1VyCFr{Bz<;vK&_vpn%!<#i~{imHsKT- zXgN9|d5tk7F&PBE2C-~kd-C4^h`4-R$>Om~d=0e!nKOcl zTi@os22yVq6}O8Wa_pT%5oWp=j5n1{-W5VZV4& zcV5RzIR^VBS+Y(iYd#NSqENbxnX>BD(Ro>!6~!4LB|NU6C|QsAakckHMWrB>8b$4w zV{Y?GBQwYL)^em3=H_!r6p|>7(JEw2OVz(5RG9INv=2Kn#(rPeB{P)@sVTw6#;3CM zKrJRL3@!piB|5}Pw0ZL{tWKVy7L_ub9SuiB`4IpeFR2oar73ifuIis&rY~kr|1sR{ zmzLpe02aq}`fUXq*g&dyhna{*;5T1(hoQuZCiVo*k(6T2OgB)KLx(5mqta^m2+OkQ z@csO%A(&y_2Qo6A7}BO{c}SJI6mnCpu>iC=##mrkS(&Mnl$JvI7PJOqWeFIQo)9I| zNi!P@WVqY$Twj?=4yV^Sau*vNlljmAXpQgrec!R0+1lz)t=7hDWUkiLiN@N4(WTnO zTqg1q*O;UTk?4|DS3}WY!ra!2+~g&WuGTZX7J2?fAykz_7Ly3#3vQQr zqYkx<-S>wAxTFBfV19wgrg|9hnMK1KY^Qyb7g#zIRvF*`?YrkQEr;`+7Ua(LH{vf1AST$fP`}bjU-K9? zsws<;e4f`-z&8!*?<#IDM#kTja8QMx5C=RPnT*wWj*K!33Zhv-g;wU+=JJC%a2KvA zN_K%Pm6Ydd4}dBvH=FQS&= zB;x}(LGrIgfy4d4r6##~o$@hTLF6U!#Z$m6E@9nb+`p-f#)eY)Ay`nMSh%JnSxn1M zb)!DMl1_wF>Nsp?ym0Rmkz_gz?Soia`RI6PNN#o|Z?JO8sPcsJyJIbuXi}|XE~Gq? z@grpbiwbr6rYTW?u}Ey?Z+l;3k$f^G)LC`WhuTI)ImU11tB2%9@Z%-HNpW%w)~1V< z63%8uC`#_ZyixLt{uo`QIxgQ;8B!J*;~^Ck6z0;?+l!r>e*J3%2;>H9^&sW_RV7rr zM6#aslqfagUNlzA@Q8w3Nw3Yumo1f3khn^~!oZ#BqUe=^+j ze&`qOH4;1aHkH6=TSo1Gm)+kfOq5*^{Us?SC1hcKmcA~Bw7lS-UYk$E^q_ZN z+QBKwm@_N-YtyK-?1wxdDenZI1((QJg>37@4`o1W6EzLXWCGf2!J9iTISpTK!JQjF z6F(VaRkRhzoNH%ic+m0ycq)(x1$E*MuT;#`7K2o>$%$51z{xUUg&%i9ueFEva_idg zZOO+n{%4 za{qMepnO1_Sb_-?_@8}oOiT+Tw4T!dtbjTqc+B);hBX<}vIHX$X5c|(|N6aW1*}TR z)(KgF6KQ5(q6*drZ9Og#vE=@)w%UA@#b+=0=H|rz7#qLF4vVFwRAuU=63F$-$vC#w*kX_~n&WL~s8a~z4{l+86q-R5+qR+2PLaHYiC_hCu zTD7aw<01>wXLfCIY1e*;+;OGwNz?Z+-=h9a-g;D#X-I;xBOL8i$Iy%dZOnyt0Y;FW@Br zh`GW60CqqA7x4FApx!?~lfOXY2n}vJ?Vjy@1WD`ffyXQXDxTlxl{{vc!jw*BjD8kc zG53!b_zY>kF6>oq<$n-uo6E7_XB0(X8L8jmyd~0VXgY0}dj4?N_P;_W=Lr*of~pD) zCl_@pOvqCG`(nV3t`&+|P0 z?nAQrB)|F>z=Vy$KPGY#046s6nz;LyiI_cr32{4siQ;d6P54lWdg&8w=EZrjg)-on zi9QLm^-FY<5yi$}z-OgPoVqNYN30tpF~Jk`rf*vf>0sIM40>DJ-8?dN*{Br`n0tJ~ z#&%jE2A_B3%5(h)r9tots)|41niMZ+)jBM+waa^}8rqR1r>laeXU*&lOgrTO;z6-I;ct=C+RBN0ayOzwiG{ z`X4`mrf0g;=S_a;$uaAX=~ucAODwmg{1Ckf$dVtt=(Y{7bHB-$yVoyrH^+nRNM4jI zGaug(M4JCIj0lrPr)1;Jl7=B4RfI-Qs1xDMm99-16+=Z$o&Vru-WOF+lbfp_AXyUv zK5FUoo*JSeubv-`YZI!o@}s94-&;W7eK`183ic)gbFZb=wR3#p&}EWjm6#H*mjUa? zZ!);=*H{L1kvjsloA(n3!{xW;CFc+R1fFaF67wgZ8t?D0r2V;V0a&5f2P{kMz=mI6 zVj_!qT+5k=Z|r@Z17GgyWCP83^71<$>w_R7*GGOtPA`1O?4H4=EU`IhN0_z4SnaS-_T7s@J=@BPc5vLk>WoF`^1lpH;5kVu3=nOorBrT z+WIn>GaC)5d~`@)EEpH#(bY^|j5U}oz8`VctR`S~L$Wg1yEfwKGiKuJs$7cGiH*Ch zQH?jH%=5!O8=@J@BIH&rTESNyrS`A;;jv4Wr$>=%^Hb;zMHvjn5-cW@$=1`UR8tI( zisfXx?8?#~Tc*`A@TBA^dtI!BJZ5eVLNHAufE}zgiOu+q^tNhGR_AVaR_9Hp^X>4} z##n~>iuCrPTUqDrcKerJ{G1H~|L6WV%M*`EciUIn?bOFilFg-0wWq_E!(ql-4#m#q zm*&gS=kfU6XUH-A$Ky=SY4=>~EHN-Da@p@L(L#S0qByAS6hU%V7sRsPT;c!$IY3YY z5Uh}Ilb>&4+$w|3W0Re~Vwmp)ibsY7W2ogJZi@toyVCq5-~CW54SZ4r2vh(9o4*1j zfIzj;T10x&a;FBi4e2stT4o1WsCuZWB8J1GXUj*?G9P<)6vOX(6Ut#P zLo}$)YHs-gEE?W&L>7Gn^p-cc;$$jP54~E!`^S*dMztcWCH!Hqj>gKhh;T7}tOOe^ zSL+$tyeg<0bp~(C0UAg#letTF3V_cy%Nzf;#TMXAd63wlr#T zR2dXJ# zo76$r?~r4dkYW?)ltg43PbNul)TPd~S)slA-y91J_7OM#}y1{8ERJrg9Lit*$!LAU+ zNvu9XFbrD1C_Ctb(}Mp(XFLMasBsoe#~M$_;%FC$)`QGKU3AsMTJ+i_TcHcF@A!z)4cvFiWODy69n$x6@DYw4oZeyB<^%FXSJ8^h+j~ zq88(C`2*}q>~~Z}R70H^b1P4|pRQat&N?kV9z~eX^okWmH86Fu9bfn4X5#&U)ULf$k;WJE%qMoHd8lfD;^w zf6GrJw?mo~34%_OLw0kMO_SRaPK%dCXVy(rA)DOMPeY|qSbl%P2`3C7!oBWu1og^DIT_!4?}rRuyBloYMCLiD$UC)8XD3oAMJ z>(wh&UBEDFFQIRp)ax!M81=V)UJkn#QS`aDO_o0jVV7%QeGmr4tnds5kdvJ}B~PUC zmqE36yP~60`9q0Ma0V>l*&_(K5W$zB?5Sx24@wRF%tUE>(!~$oG5%IL;TB*=zyw2% z8d_3~MW_U9u0NGoN}X-36bZdpl))~?DA8yzBls!j$e5MEbWJL6bvQ>!aCPN>1KMAd4@f2gx{AZBeX|x4YC6}=-|P!QdOYV>2!W=N4b%nPIL0C zU>IZ{eSYhjR?Q+gb@ag><}Ah@v)w{=)W=~n-B_nkL*}KOL#?=zozHUn^cIhFOW(Qb zFZ4IolLBHcM=u`5bVsK++7L%#?Ob=QUaMhlq$h)&^0U$Z6XJvb zfN*>L3!+Le``QMADj16c`Pyy3-J@CYyPRz)3f| z@E?ryqyS$Ddku1JP8vS9oB{*C1 zQ1U-5aaE~_3k!u3Q=xDRU_Wr;>1X8$!_><(MgUWJ`@T=KnQkYk6JGs*FpR$wWJf&H z@`NxvQD`*f6=-*IGRey0_7@Ak!64mVg+9_~g!(VoPWTP|sdS`(h{^Da*v9vLMvK*K zJ4EX#)?$hdTDTYLNQxKb$P_UbeJpl%hH05)VYHI9{>R7!TZ>^jskI=xQ5QYUu@?PK z$#$gu*|{`3?|9~Z)+xkhlgvO3hUn8V>PUfZ=za0-pZiAhS!f~A(~)-x4G6<#&b3_s z3R%Kg59?M7P35)j{ zuYh6y-Ow`7E*;i#h842K7;7O`3oXPGbvVhB0&vP4ba2@A!%Kt@4`aE5`?KccRHVQ3 zw50Tdh88+2M9v20>5tT42`-ZsL~di#qvYUQg@WY3`wT17Cn8MI{UNR!Y~|o%tI8tX zfx=v_fo{sjOCb^|Fc)x1BHVrFdsn^y)iIZQpnqZQ<=}H)@cAZiAAk_AegmgwA~t=> z!TSbVTlvn<&V!C0aJU0C!t{YYtF@Ox%zDb9!sFe*;rNb~TjK!1ZgKLOnVte0-C}bA zsR#cCey`M81U3CEjev-Cg@ErpP-6LO2`87a@!psIB^n2aO5hsE8-?Z!m@#K@2voE) z0793(A_Ia~fCXL_L*1P>%~J&4HyPg*h^GSe0VrMPY!8@dyAPDMZD|TLH!lN%6qbrB zSF*bRf_LHvr*F<-ggej_i_^`&LjQAi1Ux%C1)3O~j3fJNXAU&i=sQmD%&QQW?@>;> z>p!g_>Fsm}WO{N0EG{4cPnvLb0z6Cq4gR+YZ~+eQotze@?;wXO(651ZHy}pGJD{Sx zqJOtloCgp$evQsriYpHVH@*!Yv1EnIM1{-CE>pFc^F>PwAOEnal=vV)cb7G4XzOuW zLr0kx%TGUELe5bzDR@o`TLPLPU!U#mm{f^n)Ai@YDQxWD5TU+Dg9_ z+Dg3@dd-0bz4h2#6>pZEL?hFF5**w9=;%L5H13}qR$4n-S`M#s5U+8={of~H8|5o# zF>uz07!RQ<*IaL*E3!HAvlSIBNJ4XBtxYSr3>0T`OB;|`&6PYVHYAiU%Gv>y5grf^ z&iUfnmrMx5FWH`fc4q?rzNn@x{$9I9S+f6JGRY4RgpmRvfJwgSU{`0aRWnShGrp#T zkqtBa3Szv}0vF;~dF(}NYpCV@hCr}?&9&w}es*f%b^MR+%Gf1#hN+!Kcm^q#2rwp& zNyf2eBMg=@p$GQK|Cy>%RxIiPvObHfBb(`vwBnBbs~i1O5#oQ#c~Oh$;`eR( zSJIT}FH)(E0C{eBvypm4i{W}Gi_yAhvvpU~-xQo>V?c62Yg^d~!|fkKNA?r!TE&~q z(wKk@n{ekq-|D^!z8Q9*$W)`a$yK5_%2lB_%J6(yqXLkI^BAERt1}y*;24Gd zn(QASy75%g>;zpfAFqI25U)o9%nE|cG|ykk>e&~>i?y-!;0-ospp>_<0D5Axzmq@L{S)9Azm=R^9h>nN6^lclL? zP-Mo#qTkc#Kwwkr0uLrx`8}t|Qths#QtQ5D&^dT&jz{u|r_~V|PO5^~8(ZSjF;vT@ zqoeNlqHEORGwdz@aScLdFdzz?MDqimROPof#=-|?fa`{2NY)iFE?*yZIt8;aWx0 z=0E-rS^?%}Q1H2-Co_e`Q&($O8*R|g@K_i7_=bv#iko{YK2F4!yh+A?Uv!DvUyZV}1H>=@ugc%WW zQebdiBllLs-qtax$CV|L2#HFU)2JuCorxBSQ1_Ewr@^RHG>G_hrs#xRPV(1j)m0`d zxEnmAfnj8oPv+-)$MU0tqb%|37V;e~`;o^*YEHd@w5(*&#a46k`JdFC27_Ou#q^^( za+9c}zRq2rt=JA9Dk!MC@T;$=^EnVGA&ww2vx+FoZ88+RlR_BaBxU;DVsuFeJrUC7 zXjPEI!om~(eiKk+%J^ax*$**dAZ?ka6z&U%9FeL1udVpGS@(f+pp7O zU5fN1HahcbZXoEp6R(D?Yj%xnYkidY+pq8?ox4*!fKcb0o^c(WGmSqsb6DG)>+i_U zsC1&MIB$G;V#)N3ZFPyweTo*3mV85)F9@ zPQ0gMJ4{BqVGl(jBNE*ShrgsIyEReWIcpp#AuAkS_{d5owP0FWT{Sda)ERJ_jzq4} z;kFo1=b~+*_Ad7%t{0a(yox0a?>;e;lpzQ^)hK z@&HW3GA(A6o~G8|b1u3qQ9rxb;RC3h@hf3^10#ISsmTX@NHN8KM*iuhO#NsL*&!wQ zMIju0j*jN9u8$yH(w4S3fO+>Nw=E^V0G2z0mmfcn6`CM+MCg>Zw|N1~n5=kwgX1p) z8B%0(#r(^PuwPO%OeI;NQL2ZUg7JEa9qQZ0%|c?-%sUqv)jtPS z&SIsFl&biA_>!StTu0WRyRHllPbDqYWi6ZULyJ&<)ITRI#&Be-WMR(Ho9eMxG%u*0KH%n05Yl_C!l`kmpL!-AeDSA*5DmR^6 zrS73+t{S6@!#g+Rp!Z=%#=PR@ks7LOc;hQUzn8W7bK*oMiAAfH;OL$6(!ssDCfzXRl1Ff)F-(~1wGjH*Eg~wYN=(9KdCBfbT)aZ6p5lWJQ8$1HAdTj z!L%IB#$%P`uysz82WxD`sPrJP&2PoKRN|V1eTM^hgE;-IuX-{2na}?t>roIQS;qat zWWERnmZF(kt;{seqxDnUX=&*`XFJ>e;r%|XZ*_@r#%C!_CC3vq%>nuW_A8s(`o!)F zL5sdr_{sKg*5jm)jXHq_Z}SZ?^%&`BEs$i15Br4htMMnQ__4s^ux=Xdo_x;=$!&mL zvb4YzStRz#+SZ-j7n_Rrwhm(e}87-juOap?|{w%?o`Njg6mO`Wk7rKt%Rbr z6-!sk#S=k!2nS?9?d)R)q?==ElXh1K&K3&glk0tAwsJD?OU%de;bVXmt_>kaH^naW z+bE5;2>7yh{!??0C(CIcHUoCa6Z4Uq<82ml-v0ge@H^1y3orjngeXuJ997Lzb9Tqc z0SjU(c3@h;PB0<_*X9)ya@oSX2V%{cWVv+B<1;qon-^x284W(1?!v6Ih5yXqwsFzz zlPLN9W&Jw@Xm=W-*xGtyD^wv(*8-M!uTtngtCP*RE}mp$LYzhg>j~_3USAsh&T%?tk035It?#gv z_7<61-jq;tyY4n4G)|v%UWE%w9vmM##by;>!U>_NqWxDar;k%Hu?#?W>KHED(t51Y zRyqV&XE@#@qpDx_%FLwsg(xMSJ5nI1wN__g|} zlq8pR@9vWS*|D*{z0PEAya=m;(TIbTGLS@7k6n)~StU!A@R>2-&;j@uYm@uHSyybs zZ{*=}@paBe#S0VarSbI37dSmQ&s)1Xrj7gPwe&oqPOuuaO&iT-^rEm?=x@ zRTbc4u8Q)n*b!8r4wsRAcbC;ppZrqriW;s?2fLdmOOBJr+M1)6C9?+?sTwkzeEg5d zY&;zIdw*N33aKE${;SZSkXwOQdTq7UGSu;D!@4F5thdhQR3BNC=rxD1fdFs&W3Q*3 z=m&z!Vt#@b$TPop`~D}w2fE}L)sOI9ht|4Y`@O9LC=quBw#k=CXIY%NO*k(^tl%$M z4u#5_+3YpIVk-1u39il4CCsjYJ836wpUA`S7iJd)d<3q(cLDC=V^7GK`-1$#@kcq7 zh&3wX95n8byT`~il8v^kE&WH%nSrdE2=kQ)#4UuIgvjIJ0Jly_>ckI`rGk9$!`Ju^ zzP5lfNE5)9bFm+JjlS!3E6|5jz@CLWPTlt!`iF=iHK$sxG--#?q1c1&_t=4l%$Lzg z6v;Da+$cB;4VnEuCz$EjO2FI6-910DY{Kr3F?U&X_O@{q+te4{ey^a~z@PRo`G;tJ z?^)d4d0Kk2`JzrTJFkI)JcQ`}0i|>1Y5Xw^GBNgfFeB#^+Qbld%|US34m#u2u7NO* ztkbnTej-oPF7G2%>J6+6XJeGZLEs#5n{*QdrvEtRt)Y&$NAmZZbEEw#&I8Vy1P+3i z$R<#!n>u$oN-nw&w$4W0z@57xZkNGZ+_MsVn3-IztnDT{wh!+PP7zk``}Tmni{#!_ zy&1|CFw@B|z+(>X2ez{i*`2m~>O~Q<>BNFYe1sF&7rz~$HrBSCbEJ27H;ac^H;eC! zEgr7u#LB}LSU-R3SBPy5R3TD-cS5Z*I^9u!2l0EO4%YXKdqW>?-!#~RmlaUG+8s=g z+dR&mVF}bL%iFsM`)9o`G|{IAzuF1V`ZrKL{57@PsHZqSF{`Ma7I~~?((VvPvy5}D z?UaXU-e)J|&t~4|7KP^w(A^NScN36Z7P)7cZ~c8U@DTl|r0-6C*H?wMo>C&m8dq1j z*9?Bw04$M`U=MY71o>$;@AFf^?R;~zV^>7t{^~Kp)whMyvkwN+KQV;QB4F1tg|wbA zA`=ENERg#FVa{mVNr5Xy>w3?^NZS%tAzMOv*O(kIpO2I@Ff`f2A<>qbI&!P2sE;Pd z&2!LiKtWx-&;i-!WLO1?8YU;t_Vp}$7LT6vFNb$xy(uq*fQ8`iK0HA&5dB~A{Ws=& zB=Xw)r@y&soH2Zao=w2+e{*>e`dxRBzW0EQzxWWG?!qpvdVcxA3hcrc_ma$A#q+M~ z6g8fHP%&Y8CG^uFNXlKt+;EDtgIV?D(2@xvh|AaX{kOk1)w^A2(#ZVG zb~oanE-wGCWuQ-d}%)X}#Kzs5d4kft^0Mw;JT&r-co@tK3?fr~Ykm zJq7L63^JJJW+R{E{%dPGjz3Yz&Jn1=&kxAaG1IH7vo`{SpI?&o4_9CZI->47xZg)a zuCw_dpUvJuPhU`=HpS?_mEAmQARAA3MqbCjp780s&-q=?$UcXFytr8qaZMtH+#*1K zA^Do!ZA{t_a*djhQWrz-97FAXWr0{>p6uJ0rK;Ssb@THyhMDHXny^={t1k*Y4-%e~ zEX;Z|#`V4)zJo#uxLrqcMlHRW2pWF+8(tRS-uiX|#YjzXWk$zjw?=gnySqE&ynr|{__%47IX@%e=JRN zQYwNfXL1sBA|ehX1SiSA`&>s(xcht~_}(t~{wnzX|J3vqP*FDD!-_~sgCMo6luCy* zth9hM2+~N2bm#6$OC#MS-3Zblxir$TgiFH$OE1m0@9%%UbDlXf_s*Or=iYhdW~kh) z0?&Ps+|{jjr$404R0_1hlLJ7djK`vfw_zD4=2(cGe>JM51Y#y6>5V}ItF)OfK8&j| zNbwoRHoqnfB71yA=9A@0b5NTMdhvb8?NK1ghrZH|#=i7@Bv6Q*EF&JzqDB8r|0Q2= z9#1GQF{vyKbp$YqqMx)V{f*q{#@mcpvJO=w-fEFYu+x-SccU!>0$v|;LbPGm<3&b`=Wlf)&Qh3Ot*e%^l(LKCR~>@UKAUojwU2+c4CY`}orI1DWl zza{jamK&Ho4XV(dvMI7Dtp%C5v;9xZ#J1}3NQtEXLlyI*gl)V(8#I!H!X)pzjaa&m z`zbJmXz%#d&*K2+HkWVCZRQ|)rw{tEidRujdyf0RoqKPr&ZEpNK^|!xR@So}9n3O( zhw{0n-rg0t@RN|2?t+xQ{t`5_)6Nnl=2HJVI*J|xs@>?0Cd!aTv2=7h#9oqP`t6K1 zH07`t4M>-M?LC+NVN8+5v|EubMb=DX2f5M2U;H(mk?y%v85@btaXV((Q45d#j-=aO z?2C3gSnF^0bXhlQZF1@7{^Ht(94<{;pDy^aF84^m58Le5^T(;K@{jsok$tQEf^D|* z{n4`&vTwEqFCR@cm>uPQ&8F2!KLIK%nD#aCdqydZrSL5>$}eV)QD5@AWB(+2(X|uo zDl4b4_?I+Fhy{aq+V#cahgrRivZPdyk|UG2t^m%=z-V|^RG(_lXL_SNGS7a((?MRG zV~Z%RTN(=-P`~~oz6QeP-FZGoG0&)s7zPS;7|p_XKrPRq>q3>7IGCeqq}T*}a#pDw z#GG{ZtxnrmF~#(j?(dA_bhl)*bFmE!N2uYiXpu+g-{17u6HP&F4yZ#}KQ>(W1!KB| z$SX?iuLY9~iE-kY`l)c4%WmDc*W%$GL1_XX4~sQuw5M$-e9={d;RY`u=LIZ6NS5q1 zRz$MTh}JkWtZ%^MIr*u(IIabqha+!!gBx#^`VY%YQqZ8tBsWeGQMI`9-G z7z#ov{Bh<0oPAxZ=FE*MF7p3;N#(D+cg;67l6ap^nIEF71^rGI{#9Sw0`z>A@}2Z@O|!eTYrrV2im zOmvNyIkp!LdDmuhI!msw&~iWcR=-n|*J6C7xj%EGgBUKC(dFzsnxO|)u~U_O^rn2h z8_!*6D>5rfDMde9m7(L16na#~)s#Qv*S(7yPT8hxWk6TJ^z{XfE<;MBV8utZ7kj_| zR(~XJQ(Gv3^B2%uAd)V&<3fV>oG;h#<>it`8a9`oXL4$#Ua8ZQ@R&koble$9M zB1NF}Bhrvm>35W$aj-PM?vwAj#Lne4jx3_FI;Ik<|6t1V^1EzKkZ zt2eiVKHbsw(pP_W2heRAi1-XgH&q`vcmgOkA^PXVwqHMEVD$cICE2V{}VOiB0Vm;BuXUU1;KkSZ>aODy|BxERQe z*S&p_Tpu4&5D!)3xRTKtq@Gf)+51((80Lv$b9eUhUi@84^U55qR|IUjV5@J7U~0R9 za#n6I*bcNN?B4PN|9zWaTi3&Le({(MeYjfZfgZp(o?aX*wx9p2@;-k^aPO#DBQB3g znq)Gjyv);@nAiQuH;_{dgi4bp@|HcxiBUf~ueKe4;dg6a}I)I^#ytJI=(w|BOu6-z0qm8GmAI~ zUL4XiPD(si1vVt1pZ8eq9S8`&Yi&@lTj(UCMfW#2il^d08-DDKztz0EQYd?9C%Xov zTW%0W>p*MCu2rJ{nmPvG6Xa3+UMsg9n464qDgdGjfU7KcALBLp7X5bqb;#l>HlS8L z7|Mpm?gW3*RX#-*>8_@PE~&>U=+ZTk(c$Of+p*MyVmspoKl^1zej(BXojWa26%ir( z-M3g|E0OC%OD(9n-o0gOG%Xk%sg&y@dqWzpJRPSD~N%_4nSK4umC-m_n2q@nVLJu_3EJ(g|6T5Pq}>p`dm zaO6NC{)Cwa?s2sEgTc^iqGC#oKufPUzXBH>W7KN5{hJy0LbVrs$XD=O!LsT& zmKD#mA4Zn{39yMD&6=%mo%rH~@E;TbQ*kJ!#V9_`*SO1PVRWTBDf(u z8TXJKx}-!ZQC|c7j65{PE%DU<=t2wyRU%0y<3Jx|?_Ux5Kxt0`1UF)*;z){s(D=|> zTiO#F+kr4lzq;&wAd~;Wt-ZnE_GDZuexPAzfK*D0T8I5-q>94D1WVs3@qrutsF)AS zX5#q1MZ$L&E15crT)x=aIi7xFlf^@?3NBI+ZDh!~P~&RAFjknf96vHqKBc^cA2{nY zx6u`TmvAh-^SV3FijI!K+_nAPtCyS*R&muw*W({{v+{O=sZ0}eTseRdgXIjzN^@|$ zpm>Uc`5oRSa4{*`SZ2u%U-7{&BY&!Em23J;GFT;b??P}RMsNc}7JQGZKXtcX2pGfaO|KPj- z_OUP+R4)R8l0t9YX-@>OrBx`i@^3$3{e3XGEjwn5=r;(vzJ<*W{*X-&#DO>1!K%U! zm~lN1EDgqT*x%w$bu^Et_+wo<*s_|wNwWX`{ECD1voYoI49jOCDpt*O58HuIK}!qT zU$2Q4GDGcwcvKn3$nD~!HYOq;bJ~+i+kwdc7)+lnt08{inD1MtJgn;=9pmn6J0KNk zBy+8vB_h_edxkJmro7Y&L|h@rg;LWbL*V9J2l`?&C{CZ+or^*UTz ze_XWLtVvQQGLO|)zn6`BT>pBiafM&FlUIbca_jqh7O#qFk0~+XX&UHe{|{1d?(h%kxq{ z_hhsJvy6t-J1VV$rKS>RX$(yKNHfBZP{(0txsCNtd=yM_bLo=hYwH z_;?x&x=qGy7XimrWUG{AtJvNTaVX;V7&>xhk(w+g@g81ViqXY?k5=j}a#s*aiCYfK zZ~WLmihb~e3$_6HLbt4!GvBGGrwNS}X3pP*CMIZ zYZ(vtVrLT+Nl`wX6X%96WSkn<(T`74sUxRJXAl9opH3>GAd%CH#R8^$Y} zWPRk%3B7&Cp9T29aWx^hac(<6HyJ04q2095TOIrtkzN}sGNdautJ!MXodrPD2iX-2 zQO^l(NMcLJ`lEY2C|ZAV_50z6d^YuX`v&^uCTF(*lE73dc4Ama8ydL!-yF$G6UXnS zxVo`rg}#f^373ldcnZUM3t)McvkGFxPF)hH1<&PuAweQ{_m{Fl_luD#`FUb-p>0u2 z{%I!JA6>z;uClZz2iQt{R|Jo@lCVaYvar$3N|Hm)F8*4~r5B$DD66;H)s`2Mlr zMv>r#I9@m1=2m??g%WFLlH9E)`Edu!8lw!0J=BTzgyxr`458Fvm*GJ~ef%~#_Q5zI z`?htlDP@ZS)4tEkY2BC8GcTu0@ZPe?L`P~MI9}#W0<0V7f)xl+)|bt6ScRQ z)i}4W5e~^4q6@Wt>Q1S+zXRI0kZ~*4mr_FBI^-@^;orw^q*~>AQC_iRfn|#R^k+U; zX2Bp)=~yb&-W;E%xTFK>wqvq2p`mX@f7AiwIgc@TfcW;3&UW4<>J`Qxv2 zhY?|khJRXjX%aGU9W&ZDdq-;F3Kyf}pC-l-?X1ZrVV=X`%!G}`9&0RzAvldr8n5D4 zc7H2>86P@eTzulX+~6QKu)3-zlL|MWE)>6+!UnQM&>pDz=0Qd&Q$z-OC9h@L=seK zdx91&^ccnbdi@Ap`asIrvDyf5BFtQ>wJXk{0z+O_?pxBHsA}oeckp-=8&nXEyS*pO zj$ab+a=-2kNJ|)p`v!K@^SyO_dI&Ksty@QV%sd&0o!b5aq~L;w;YCKupGkG+XtP+= zG>W!3+J(kH>OO#>U&}ca;|F+pqgNbw!u6J+FDd(}Pu<+kHseaKLefIgnm_I2ex7cK zO%@~Bmz2Xy4_Y3k6LSDFWqNdNbcRqBV7X>6c5eGYrpfmO!Ej<6lodOf6tlE6`XPlj zC0e~ErY;jSNv^%!ey9hZ=G7_PsI!sfu*ucBmilXUnXS&=;d;3^C5y=V=E!w&S!BS3 zDhw4v7rkfZFGHg<=As=I_=Q@`M;Ii)iBgn7^a$yJ1B$tWmv;N}EjDw9NWcfVzULKW z&k2>|YTIv)B#=Zbzr7xEo1*cgz0F5qOedrfx$Ixirl5JxgIVK*Kiz2;_E{1-rg325uIrNz#?asj;>n9C_Sb_v&)wn(|=YJU|F;3i=rHLbcwB4^_a zgcsNdM1h~P>)!Ne%OmZK;z0B(bJgG3Rg=$-eSDMdm-fTqJ2ef!8@EP{gy3s^rGTJ? z=Bos4_ODo!Oq~2l<%=!UKK^gKZPqxSH#@S`7->`u+fs|#FFb$A)MLz=rp7j063PPS zx>2hlZ07zqly=7iXSxa4bKYu+L(2Sz+j;!Ok;K(64j#0`xN-3(3)_(K6gTin-cPwi zY9Vyj>FsirP1%{0V0)8!&var;BU(1YP8O$l%jUVGm}on%w(5^XrI2D8K2=}Kq9%t& zM;gNtZ>ic;U7rs>dsE^bG*w&_o6ps+^LE*ga7AzUV(>zms-|%tT$_}mkurv#FUfj%_t3&>%cYvrt z7dXxW){SB{?2n|nhWHJsd7o!bZ$hZZXJIt*=M1YqT0(zmJ^ z$5?LluB}WQdA&qD1F(&Dq!oPJC`8`T6KsGFS+3|kTkANzkNHqXu0CPC_ysf85II^N zvp%C2s|SFU*DyMntg+S)JGwfZ`@Gd`s~;{H$AS^Hrs@0$qWBv?$ZwJyezkXcZ)^`! zh<)05*c3l3A?6_`_Q6C{0zjAIDWvxy4K~oM+PFwxX}RiHQ{&Kgv}C4W*_U{=v%|OR z?9-Q+W}_cqzbNa}Gz;3DsF!D__oQ@)mW87nEG27`AsGx}RN z2`n7_jk`TXldO>c?3Z0&vL`mj z+Qvkcb>_;qTWhSPPln-Qbg|z}*Wr_5!-suy(Rb{In2-#4UcOcU{)^pnndghVN#KF` zSC)DEvi(vV3t`;(pBb|ZQp?0R?J8W?wOsZZ7MO*J&QiSS8fM%%+{00XMb*~ZYgDB~ z9Rt5D^^TpJrOgh}WwAxmAfvX#*}*%LMMVE|z>UH@NM>+Gq**IFOy1mZiq}tPmZCrJ`?j6U74e zP4A8WxbSgOwJw^DM^Sw#c}PI({3J?8T{R~yn;|nFRj|x2Kqv5A3@~3oZ>m--ck%Ck zfwQl=FwqE~4h4)}{hJ;+PVM%aSZgB4q1Tw7CCsLo>7zw=_*N-krQ4Gr2=ML9>nfhV zu{6xVr_%Z-?FrP}B?^x%5P+>Ymxj=UcecTtuf(OH5;UQ@Obtgx!4QmQ) z@s<7)b7Ae4$FJaDCZ`^-SzUk(ZPt$W){D9sq4}Qzofy(Gj8k*OrEQeRgc~!l*C0Rm zk093*F4Ye{FBPYjAO5Dirr|S%uG(}EO{8l2aNbq5?0vmfcQ~6lox<38>S^CsiJk5x zsP9(hsXVTdzxI_2!w7#I7M;EQm(P;9rlv45TWWU;V=Sli~&bpLr+ti$8O z065?j6i2(SYa~NV?Hfzqab9Mqp3OA(u9lq65)?|0&Yt({r+)4<=S&gv(*!CSysEo# z3iy%t4wCHw6*0b1;BCpM)MxuZvY|AF#5>iUiSo z)+{K~BH}+1quP^E1W%mPhkU-L?BQuVN?n#BFJ%WxY;zbHRhT>zkVsrL-!pae=eC0U zVLc<^AnGHkzqq~(ie6{yFDx6@lWvOz&F8XzgjpaChC*tKGPtX8B~@QY%{KIQTkQ7l zKko_ap3^EKTM;Cd5L5;At8+>uvd26WU4^tvd;AT3a$-oi>&$WOQR+QL z)m=5Dt1gUDcr)X7LThO#&Q#kU;BNtJAPxteU_Z}8X5vdIr?Z`}R@*ixi7`q23#WJK ze^=|a@coR)&Su0(zS-V-u5h6a$VtnPhwxhZ3LQw}KuiU;_NHD#`p(w6nhl-b8$O7B zHI2F~`2Y^~SYA~h>`-7e{xV0PH-tYl!9x%fG-+_%<`VVuk7&S9$82jbU(6mN`&lDi zcUvC?)f@f7nx^G7zH*q(0*;Brwg#Y4O`>1Z`J>uj*e^KJ%I}1}C7$PJl-9=x$kb2U z>ew7JhIQh6Vi?0aaMVPMRDW=jRR3*_RR8reaFk!s^(8-&M&N=5KMz#|P2HN+KMQfb zeY)q7`y|-nnyQ^{FFF^hZ?Dc=m;Y?uM5*)S-VlV+1&G1Tt>9f%gd6wZX>)tK-EB9N zg(j>PArupP;ComcB7~j6V%ir)VD7?GXD5{$+2%w77C83%sPQ5tveHfJqi2R!$0@S$ z>5jO$>_f5Lg8%YPq`5eqgT%G(e9dgGooIcVDdQQi7O_~!H`!iI2-dLQ0V#WA+R+ak zy&6KDX&U4SsS-|n35Pq>5eiQrUMGe$beM3vvSwu>Z>ti|%)O?y=kKOR2B+A+sFtPY z|K^I(jNq>2a=GlCE)D6bHH=Xwdt})6gC{Sa3de%U-of8ksmvuI9ST9*0nQH!AKKq9-3w%oJAv~pA|7*U$Zv9Y59J|?R+rlTw@m9hbpu4iB5(eI6^=$7Um;59d zvFk+3SodK3!_>>(*#4G*s(!2AP zr=aE3Y#Nh=;%sPPv3}z+55E%h!^6Qc-rhQi(0kd~Ne{_cUo=?^k;`AIA-$ML_|y9! z(`qz;*8%{o>9S@UtF-yvb4~eMVN(kRTM|*LVr(S86u7@)ORdGwlo>UJm-eWaY9<^v zXlbD#645Y5Mm;B#Q?!46wzy}6@5-^vZFJ>IzqsKG7BStQY`Awh7*>w8v#UFmk2zgF zEqwONf357mv7j%2NK9xN(tGYpI7UN^ct4D)b3rJN z|JHzG7>okcMYzorI~k!2Vnll#(-enI>Rwx1(#3rQ4AlUQ3voGUWD!oqf-;CWqZPyu zv7OzfF5>(a*6lK?$?VhE#^=vzv|CyX7c|;MnN;aZ!9%qNubm0(Us)MSvMd&x)>=sp z&k>g`HsLDjt7Jdmi3-(16Pf6@gxVp?!QBG3t*yu&S^@Y`wEE`cTzG$Fd=^|;9RBxb!d6V30?Tm`0 zWVcoc_1~1`1)UDF-AA$~h!~3z&k2I}QNyUU8lMv@mTf(p$qz}!`CjSu@h;Wk2qzmN+#pZ01e4X?!X$_t_KqfO?Zb0?dpHt5G>hxQ| z{4V;l3Jtc>N)2$)Uxq@rqWo>zD*S}o-$TYi#|hd9JJ;vB6YP8K}F^YkPp7?7_EheGm1F?`bHIHfx+OGMh4y#!e<>cyB470;*WDDsjf?R1^1-M$cQ94|6C^KCI`I+q7( z1l=ALR(6US&zPM1E{v6BZ(Y1;)N5`pYwX!spfm(PU5aj58tI0Jo0l~_2auMD7*8O@ zg@F6A%2}gi&Gmd#xRCZ2v;9ubV z>+k9R`xxhE{>M2po|2>I!gHpr+1aRb;hWQ|&2gLJ_R7wbfBOY0Deq8XsZ+Z5&C_=m z_S^P0H!aUwxdq!-vKTtAwZDom`mL@VSlt8&6{uI&yNy9-#G%1o?FcxJ^!&CvZkweZ zYEqz|ONPWx9%xXvM%#1h(B9!y-1d`_Ynvg#{Zkv?-J2_M_;$|G&;vFP^kJ)S%4?3H zVolF;96z!r-Uon{ND$jQ2TpZEWw?pO) z2xN`!x!Q?{r;xVcc*X5USN6X5&(~shsvxSH;M>h)?w#}XjBL=*DZ1!H3Nn9niY(4C znL4#0T7b-vd|K^|I|sWC+zS2nB6t`dmVkB&ZQL@@^LM_!5sH3T2Bx3~;)ZVmfykR~ zOnr^1dw@v4!?*+VA`oe}SN;0727Y618XLMS)oC$s&%cknY9v}P4K-ddzZu>>^xBTp zx=&xPYY!DQ(LcOD3joG89=>qBK`+bBqT?}Vg996jGeR>vRl+K&k^UdbqzblDv`$Jd zD{`Dx>%e1`;11}(!EvQ*)kEp^@5!|siH!^J{Cc!G{AN`4B83F=5Ix+ee&Dv#{9UAZ ziZF5=jjcLf7HZ}>nP7tA;4 zlMB?y_*LKdJ+Kj=_w3Uu>REGpoB6;MT+|Zmb01p`^10`P7Z2Yt8+_5P)TQgc{zVvO z^biJqxJ#0C1ciaYfD7Lm^J>%!PoJ=?PV?$c`i2K0!_`%y<_=A|zkaAi6O_{zh9^zQ z6?$ym&J3ql^o)iXV5t;*ACgUw&Mf_NlboD`_ycl3Pv#qii|Bp2W1EaI*fm+Jbv6$| zDdq=dN|v&I8FTjSE0O0!Yr>%Kw|- zGdi(8+P~MG^nZf{>Z1E(}4Cq$8xyO!YT_xX9d8x5|y$mWztd66JO)sCJ% zeZ!L`ak9tTDOD1b)G#1C!6BtPev|)*deg(Xq5Zc}k@V1(RJ2ZcK^WtYfy$U^PB0Rp_&Cz^lR zDsxHIkK3&y?ow^1jy`4qPytbEzy8yIIR(3Jd^H(WUom(Dyb8&{d_tniPzxTYuyyX|+>D~xWKRu3_8sM-B{^#Z*JonSHoG|J}W#zct khc2lp$xIf6B~}#Q*>R literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.sld_design_entry.sci b/bsp3/Designflow/ppr/sim/db/vga.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1ea7ec9957c34f1ae2f2a6fc1b54abbde376f660 GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=HzP)afblbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilN9HF1 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci b/bsp3/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..711751054aa1f2ac2260bd6665e0034601d5eeba GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=IeP;xQ@lbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilJ$@#@ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.syn_hier_info b/bsp3/Designflow/ppr/sim/db/vga.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/bsp3/Designflow/ppr/sim/db/vga.tan.qmsg b/bsp3/Designflow/ppr/sim/db/vga.tan.qmsg new file mode 100644 index 0000000..17cabd8 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.tan.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 29 17:00:51 2009 " "Info: Processing started: Thu Oct 29 17:00:51 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Warning" "WTAN_NO_CLOCKS" "" "Warning: Found pins functioning as undefined clocks and/or memory enables" { { "Info" "ITAN_NODE_MAP_TO_CLK" "clk_pin " "Info: Assuming node \"clk_pin\" is an undefined clock" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3458 16 0 } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "clk_pin" } } } } } 0 0 "Assuming node \"%1!s!\" is an undefined clock" 0 0 "" 0 -1} } { } 0 0 "Found pins functioning as undefined clocks and/or memory enables" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_CLOCK_REG_RESULT" "clk_pin register vga_driver:vga_driver_unit\|vsync_counter_4 register vga_driver:vga_driver_unit\|vsync_state_3 191.53 MHz 5.221 ns Internal " "Info: Clock \"clk_pin\" has Internal fmax of 191.53 MHz between source register \"vga_driver:vga_driver_unit\|vsync_counter_4\" and destination register \"vga_driver:vga_driver_unit\|vsync_state_3\" (period= 5.221 ns)" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "5.035 ns + Longest register register " "Info: + Longest register to register delay is 5.035 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|vsync_counter_4 1 REG LC_X37_Y35_N4 6 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X37_Y35_N4; Fanout = 6; REG Node = 'vga_driver:vga_driver_unit\|vsync_counter_4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|vsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 134 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.033 ns) + CELL(0.459 ns) 1.492 ns vga_driver:vga_driver_unit\|un12_vsync_counter_7 2 COMB LC_X38_Y35_N7 3 " "Info: 2: + IC(1.033 ns) + CELL(0.459 ns) = 1.492 ns; Loc. = LC_X38_Y35_N7; Fanout = 3; COMB Node = 'vga_driver:vga_driver_unit\|un12_vsync_counter_7'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.492 ns" { vga_driver:vga_driver_unit|vsync_counter_4 vga_driver:vga_driver_unit|un12_vsync_counter_7 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 243 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.854 ns) + CELL(0.087 ns) 2.433 ns vga_driver:vga_driver_unit\|un14_vsync_counter_8 3 COMB LC_X36_Y35_N1 4 " "Info: 3: + IC(0.854 ns) + CELL(0.087 ns) = 2.433 ns; Loc. = LC_X36_Y35_N1; Fanout = 4; COMB Node = 'vga_driver:vga_driver_unit\|un14_vsync_counter_8'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.941 ns" { vga_driver:vga_driver_unit|un12_vsync_counter_7 vga_driver:vga_driver_unit|un14_vsync_counter_8 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 251 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.565 ns) + CELL(0.087 ns) 3.085 ns vga_driver:vga_driver_unit\|vsync_state_next_1_sqmuxa_3 4 COMB LC_X35_Y35_N6 1 " "Info: 4: + IC(0.565 ns) + CELL(0.087 ns) = 3.085 ns; Loc. = LC_X35_Y35_N6; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit\|vsync_state_next_1_sqmuxa_3'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.652 ns" { vga_driver:vga_driver_unit|un14_vsync_counter_8 vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 261 35 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.564 ns) + CELL(0.087 ns) 3.736 ns vga_driver:vga_driver_unit\|vsync_state_next_2_sqmuxa 5 COMB LC_X36_Y35_N7 5 " "Info: 5: + IC(0.564 ns) + CELL(0.087 ns) = 3.736 ns; Loc. = LC_X36_Y35_N7; Fanout = 5; COMB Node = 'vga_driver:vga_driver_unit\|vsync_state_next_2_sqmuxa'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.651 ns" { vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 242 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.573 ns) + CELL(0.726 ns) 5.035 ns vga_driver:vga_driver_unit\|vsync_state_3 6 REG LC_X35_Y35_N6 5 " "Info: 6: + IC(0.573 ns) + CELL(0.726 ns) = 5.035 ns; Loc. = LC_X35_Y35_N6; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_3'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.299 ns" { vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 107 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.446 ns ( 28.72 % ) " "Info: Total cell delay = 1.446 ns ( 28.72 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "3.589 ns ( 71.28 % ) " "Info: Total interconnect delay = 3.589 ns ( 71.28 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.035 ns" { vga_driver:vga_driver_unit|vsync_counter_4 vga_driver:vga_driver_unit|un12_vsync_counter_7 vga_driver:vga_driver_unit|un14_vsync_counter_8 vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.035 ns" { vga_driver:vga_driver_unit|vsync_counter_4 {} vga_driver:vga_driver_unit|un12_vsync_counter_7 {} vga_driver:vga_driver_unit|un14_vsync_counter_8 {} vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 {} vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa {} vga_driver:vga_driver_unit|vsync_state_3 {} } { 0.000ns 1.033ns 0.854ns 0.565ns 0.564ns 0.573ns } { 0.000ns 0.459ns 0.087ns 0.087ns 0.087ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "0.000 ns - Smallest " "Info: - Smallest clock skew is 0.000 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.191 ns + Shortest register " "Info: + Shortest clock path from clock \"clk_pin\" to destination register is 3.191 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 63 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3458 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.763 ns) + CELL(0.560 ns) 3.191 ns vga_driver:vga_driver_unit\|vsync_state_3 2 REG LC_X35_Y35_N6 5 " "Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X35_Y35_N6; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_3'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.323 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 107 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 44.75 % ) " "Info: Total cell delay = 1.428 ns ( 44.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.763 ns ( 55.25 % ) " "Info: Total interconnect delay = 1.763 ns ( 55.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_3 {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.191 ns - Longest register " "Info: - Longest clock path from clock \"clk_pin\" to source register is 3.191 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 63 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3458 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.763 ns) + CELL(0.560 ns) 3.191 ns vga_driver:vga_driver_unit\|vsync_counter_4 2 REG LC_X37_Y35_N4 6 " "Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X37_Y35_N4; Fanout = 6; REG Node = 'vga_driver:vga_driver_unit\|vsync_counter_4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.323 ns" { clk_pin vga_driver:vga_driver_unit|vsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 134 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 44.75 % ) " "Info: Total cell delay = 1.428 ns ( 44.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.763 ns ( 55.25 % ) " "Info: Total interconnect delay = 1.763 ns ( 55.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|vsync_counter_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_counter_4 {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_3 {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|vsync_counter_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_counter_4 {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 134 25 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 107 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.035 ns" { vga_driver:vga_driver_unit|vsync_counter_4 vga_driver:vga_driver_unit|un12_vsync_counter_7 vga_driver:vga_driver_unit|un14_vsync_counter_8 vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.035 ns" { vga_driver:vga_driver_unit|vsync_counter_4 {} vga_driver:vga_driver_unit|un12_vsync_counter_7 {} vga_driver:vga_driver_unit|un14_vsync_counter_8 {} vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 {} vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa {} vga_driver:vga_driver_unit|vsync_state_3 {} } { 0.000ns 1.033ns 0.854ns 0.565ns 0.564ns 0.573ns } { 0.000ns 0.459ns 0.087ns 0.087ns 0.087ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_3 {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|vsync_counter_4 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_counter_4 {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "Clock \"%1!s!\" has %8!s! fmax of %6!s! between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\" (period= %7!s!)" 0 0 "" 0 -1} +{ "Info" "ITDB_TSU_RESULT" "vga_driver:vga_driver_unit\|hsync_state_3 reset_pin clk_pin 6.710 ns register " "Info: tsu for register \"vga_driver:vga_driver_unit\|hsync_state_3\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is 6.710 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "9.916 ns + Longest pin register " "Info: + Longest pin to register delay is 9.916 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.295 ns) 1.295 ns reset_pin 1 PIN PIN_K2 10 " "Info: 1: + IC(0.000 ns) + CELL(1.295 ns) = 1.295 ns; Loc. = PIN_K2; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3459 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.777 ns) + CELL(0.459 ns) 6.531 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X35_Y35_N2 32 " "Info: 2: + IC(4.777 ns) + CELL(0.459 ns) = 6.531 ns; Loc. = LC_X35_Y35_N2; Fanout = 32; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.236 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 157 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.669 ns) + CELL(0.459 ns) 8.659 ns vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 3 COMB LC_X29_Y33_N4 6 " "Info: 3: + IC(1.669 ns) + CELL(0.459 ns) = 8.659 ns; Loc. = LC_X29_Y33_N4; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.128 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 252 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.531 ns) + CELL(0.726 ns) 9.916 ns vga_driver:vga_driver_unit\|hsync_state_3 4 REG LC_X28_Y33_N3 5 " "Info: 4: + IC(0.531 ns) + CELL(0.726 ns) = 9.916 ns; Loc. = LC_X28_Y33_N3; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_3'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.257 ns" { vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 117 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.939 ns ( 29.64 % ) " "Info: Total cell delay = 2.939 ns ( 29.64 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "6.977 ns ( 70.36 % ) " "Info: Total interconnect delay = 6.977 ns ( 70.36 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.916 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "9.916 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_3 {} } { 0.000ns 0.000ns 4.777ns 1.669ns 0.531ns } { 0.000ns 1.295ns 0.459ns 0.459ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 117 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.216 ns - Shortest register " "Info: - Shortest clock path from clock \"clk_pin\" to destination register is 3.216 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 63 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3458 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.788 ns) + CELL(0.560 ns) 3.216 ns vga_driver:vga_driver_unit\|hsync_state_3 2 REG LC_X28_Y33_N3 5 " "Info: 2: + IC(1.788 ns) + CELL(0.560 ns) = 3.216 ns; Loc. = LC_X28_Y33_N3; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_3'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.348 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 117 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 44.40 % ) " "Info: Total cell delay = 1.428 ns ( 44.40 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.788 ns ( 55.60 % ) " "Info: Total interconnect delay = 1.788 ns ( 55.60 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.216 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.216 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_3 {} } { 0.000ns 0.000ns 1.788ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.916 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "9.916 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_3 {} } { 0.000ns 0.000ns 4.777ns 1.669ns 0.531ns } { 0.000ns 1.295ns 0.459ns 0.459ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.216 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_3 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.216 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_3 {} } { 0.000ns 0.000ns 1.788ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TCO_RESULT" "clk_pin seven_seg_pin\[1\] dly_counter\[0\] 10.979 ns register " "Info: tco from clock \"clk_pin\" to destination pin \"seven_seg_pin\[1\]\" through register \"dly_counter\[0\]\" is 10.979 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.191 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to source register is 3.191 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 63 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3458 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.763 ns) + CELL(0.560 ns) 3.191 ns dly_counter\[0\] 2 REG LC_X35_Y35_N5 10 " "Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X35_Y35_N5; Fanout = 10; REG Node = 'dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.323 ns" { clk_pin dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3513 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 44.75 % ) " "Info: Total cell delay = 1.428 ns ( 44.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.763 ns ( 55.25 % ) " "Info: Total interconnect delay = 1.763 ns ( 55.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} dly_counter[0] {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3513 24 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "7.612 ns + Longest register pin " "Info: + Longest register to pin delay is 7.612 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns dly_counter\[0\] 1 REG LC_X35_Y35_N5 10 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X35_Y35_N5; Fanout = 10; REG Node = 'dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3513 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.476 ns) + CELL(0.332 ns) 0.808 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X35_Y35_N2 32 " "Info: 2: + IC(0.476 ns) + CELL(0.332 ns) = 0.808 ns; Loc. = LC_X35_Y35_N2; Fanout = 32; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.808 ns" { dly_counter[0] vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 157 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.309 ns) + CELL(2.495 ns) 7.612 ns seven_seg_pin\[1\] 3 PIN PIN_M9 0 " "Info: 3: + IC(4.309 ns) + CELL(2.495 ns) = 7.612 ns; Loc. = PIN_M9; Fanout = 0; PIN Node = 'seven_seg_pin\[1\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.804 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[1] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3470 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.827 ns ( 37.14 % ) " "Info: Total cell delay = 2.827 ns ( 37.14 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.785 ns ( 62.86 % ) " "Info: Total interconnect delay = 4.785 ns ( 62.86 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.612 ns" { dly_counter[0] vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.612 ns" { dly_counter[0] {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[1] {} } { 0.000ns 0.476ns 4.309ns } { 0.000ns 0.332ns 2.495ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} dly_counter[0] {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.612 ns" { dly_counter[0] vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.612 ns" { dly_counter[0] {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[1] {} } { 0.000ns 0.476ns 4.309ns } { 0.000ns 0.332ns 2.495ns } "" } } } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "reset_pin seven_seg_pin\[1\] 13.335 ns Longest " "Info: Longest tpd from source pin \"reset_pin\" to destination pin \"seven_seg_pin\[1\]\" is 13.335 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.295 ns) 1.295 ns reset_pin 1 PIN PIN_K2 10 " "Info: 1: + IC(0.000 ns) + CELL(1.295 ns) = 1.295 ns; Loc. = PIN_K2; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3459 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.777 ns) + CELL(0.459 ns) 6.531 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X35_Y35_N2 32 " "Info: 2: + IC(4.777 ns) + CELL(0.459 ns) = 6.531 ns; Loc. = LC_X35_Y35_N2; Fanout = 32; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.236 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 157 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.309 ns) + CELL(2.495 ns) 13.335 ns seven_seg_pin\[1\] 3 PIN PIN_M9 0 " "Info: 3: + IC(4.309 ns) + CELL(2.495 ns) = 13.335 ns; Loc. = PIN_M9; Fanout = 0; PIN Node = 'seven_seg_pin\[1\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.804 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[1] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3470 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.249 ns ( 31.86 % ) " "Info: Total cell delay = 4.249 ns ( 31.86 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.086 ns ( 68.14 % ) " "Info: Total interconnect delay = 9.086 ns ( 68.14 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "13.335 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[1] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "13.335 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[1] {} } { 0.000ns 0.000ns 4.777ns 4.309ns } { 0.000ns 1.295ns 0.459ns 2.495ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_TH_RESULT" "vga_driver:vga_driver_unit\|h_sync reset_pin clk_pin -3.134 ns register " "Info: th for register \"vga_driver:vga_driver_unit\|h_sync\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is -3.134 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.191 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to destination register is 3.191 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 63 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3458 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.763 ns) + CELL(0.560 ns) 3.191 ns vga_driver:vga_driver_unit\|h_sync 2 REG LC_X34_Y35_N2 3 " "Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X34_Y35_N2; Fanout = 3; REG Node = 'vga_driver:vga_driver_unit\|h_sync'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.323 ns" { clk_pin vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 153 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 44.75 % ) " "Info: Total cell delay = 1.428 ns ( 44.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.763 ns ( 55.25 % ) " "Info: Total interconnect delay = 1.763 ns ( 55.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 153 16 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.425 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.425 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.295 ns) 1.295 ns reset_pin 1 PIN PIN_K2 10 " "Info: 1: + IC(0.000 ns) + CELL(1.295 ns) = 1.295 ns; Loc. = PIN_K2; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 3459 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.641 ns) + CELL(0.489 ns) 6.425 ns vga_driver:vga_driver_unit\|h_sync 2 REG LC_X34_Y35_N2 3 " "Info: 2: + IC(4.641 ns) + CELL(0.489 ns) = 6.425 ns; Loc. = LC_X34_Y35_N2; Fanout = 3; REG Node = 'vga_driver:vga_driver_unit\|h_sync'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.130 ns" { reset_pin vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm" 153 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.784 ns ( 27.77 % ) " "Info: Total cell delay = 1.784 ns ( 27.77 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.641 ns ( 72.23 % ) " "Info: Total interconnect delay = 4.641 ns ( 72.23 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.425 ns" { reset_pin vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.425 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 4.641ns } { 0.000ns 1.295ns 0.489ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.191 ns" { clk_pin vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.191 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 1.763ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.425 ns" { reset_pin vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.425 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 4.641ns } { 0.000ns 1.295ns 0.489ns } "" } } } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1 Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "140 " "Info: Peak virtual memory: 140 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 29 17:00:52 2009 " "Info: Processing ended: Thu Oct 29 17:00:52 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp3/Designflow/ppr/sim/db/vga.tis_db_list.ddb b/bsp3/Designflow/ppr/sim/db/vga.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7a45114581bb8ff3e53543d803e264030a96c865 GIT binary patch literal 178 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#utZ?_Pd=!4HP(3lh#h5D4Etp~BzZyuNmu?tKR>Nr{RBD$LBnQ`o@T0n5=c AP5=M^ literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/db/vga.tmw_info b/bsp3/Designflow/ppr/sim/db/vga.tmw_info new file mode 100644 index 0000000..b4d9e79 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:01:14 +start_analysis_synthesis:s:00:00:10-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:34-start_full_compilation +start_assembler:s:00:00:22-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation +start_eda_netlist_writer:s:00:00:05-start_full_compilation diff --git a/bsp3/Designflow/ppr/sim/db/vga_global_asgn_op.abo b/bsp3/Designflow/ppr/sim/db/vga_global_asgn_op.abo new file mode 100644 index 0000000..d15cf42 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/db/vga_global_asgn_op.abo @@ -0,0 +1,11949 @@ +Version: + 9.0 Build 132 02/25/2009 SJ Full Version + +Chip Device Options: + Device Name: EP1S25F672C6 + Device JTAG code: ffffffff + Programming_mode: Passive Serial + NWS_NRS_NCS: UNRESERVED + RDYNBUSY: UNRESERVED + DATA 7 to 1: UNRESERVED + nCEO: UNRESERVED + UNUSED PINS: RESERVED_GND + Default IO Standard:: 3.3-V LVTTL + User Start-up Clock: 0 + Auto Restart on Error: 1 + Release Clears Before Tristates: 0 + Device Clear: 0 + Test And Scan: 0 + Device OE: 0 + Enable Lock Output: 0 + Enable Init Done: 0 + Enable JTAG BST: 0 + Enable Vref A: 0 + Enable Vref B: 0 + + + +**************************** +******Individual Atoms****** +**************************** + +- ATOM ------------------------ + ATOM_NAME: r0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 0 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: r1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 1 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: r2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 2 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 3 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 4 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 5 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: b0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 6 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: b1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 7 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: hsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 8 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] hsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 9 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] vsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 10 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 11 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 12 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 13 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 14 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 15 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 16 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 17 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 18 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 19 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 20 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 21 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 22 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 23 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 24 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 25 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 26 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 27 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 28 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 29 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 30 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 31 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 32 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 33 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 34 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 35 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 36 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 37 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 38 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 39 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 40 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 41 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 42 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 43 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 44 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_column_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 45 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_column_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_line_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 46 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_line_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 47 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 48 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 49 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 50 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 51 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 52 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 53 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 54 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 55 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 56 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 57 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 58 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 59 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 60 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 61 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 62 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 63 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 64 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 65 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 66 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_hsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 67 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_hsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_vsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 68 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_vsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_h_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 69 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_h_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_v_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 70 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_v_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_r_out -- UNIQUE + Atom Hier Name: + Atom Id: 71 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|r LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_r LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_g_out -- UNIQUE + Atom Hier Name: + Atom Id: 72 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|g LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_g LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_b_out -- UNIQUE + Atom Hier Name: + Atom Id: 73 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_b LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 74 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 75 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 76 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 77 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 78 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 79 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 80 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 81 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 82 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 83 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 84 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 85 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 86 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 87 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_state_clk_out -- UNIQUE + Atom Hier Name: + Atom Id: 88 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_state_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|r_Z -- UNIQUE + Atom Hier Name: + Atom Id: 89 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|b_next_i_o3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|N_4_i_0_g0_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|r LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1b00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|g_Z -- UNIQUE + Atom Hier Name: + Atom Id: 90 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|N_23_i_0_g0_a LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|g LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0400 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_Z -- UNIQUE + Atom Hier Name: + Atom Id: 91 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|b_next_i_o3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|b_next_i_a7_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|N_6_i_0_g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0700 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 92 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 93 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 94 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 32 + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = reg_and_comb + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 95 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 96 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 97 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 98 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 99 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 100 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 101 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 102 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 103 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 10 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 104 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 105 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 106 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 107 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 108 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 109 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 110 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 111 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 112 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 113 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 114 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 115 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 116 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 55aa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 117 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 118 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 119 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 120 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 121 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 122 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 123 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 124 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 125 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 126 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 FANOUTS 9 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 127 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 128 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 129 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 130 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 131 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 132 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 133 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 134 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 135 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 136 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 137 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 138 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 139 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 140 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 141 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 142 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 143 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 144 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 145 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 146 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 147 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 148 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 149 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 150 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 30ba + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: clk_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 151 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] clk_pin LIT INDEX 0 FANOUTS 63 + 1: NONE + 2: NONE + 3: [PADIO] clk_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 -- UNIQUE + Atom Hier Name: + Atom Id: 152 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_i_o3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 153 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_i_o3_0 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff80 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|N_4_i_0_g0_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 154 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|N_4_i_0_g0_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 00ec + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|r_next_i_o7_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 155 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bfbf + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|N_23_i_0_g0_a_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 156 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|N_23_i_0_g0_a LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6c6e + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_i_a7_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 157 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_i_a7_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|N_6_i_0_g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 158 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|r_next_i_o7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|N_6_i_0_g0_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 00ef + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: reset_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 159 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] reset_pin LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: [PADIO] reset_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: dly_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 160 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a2a2 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: dly_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 161 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a8a8 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 162 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 163 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 164 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1f0f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 165 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 166 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 167 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 168 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 169 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 170 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 171 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 172 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 173 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 174 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 175 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE + Atom Hier Name: + Atom Id: 176 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 177 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 178 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 179 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 180 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 181 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 182 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 183 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 184 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 185 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 186 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0808 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE + Atom Hier Name: + Atom Id: 187 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 188 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 189 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f0f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 190 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 191 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 192 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|G_2 -- UNIQUE + Atom Hier Name: + Atom Id: 193 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|G_2_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 194 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 195 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|G_16 -- UNIQUE + Atom Hier Name: + Atom Id: 196 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|G_16_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 197 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 198 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 199 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 200 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 201 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 202 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 203 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 204 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaab + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE + Atom Hier Name: + Atom Id: 205 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 206 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|g_next_i_o3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 207 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|g_next_i_o3 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 -- UNIQUE + Atom Hier Name: + Atom Id: 208 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 209 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 210 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 211 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_column_counter_siglt6_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 212 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE + Atom Hier Name: + Atom Id: 213 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f07 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 214 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 215 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 216 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 217 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0ace + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 218 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE + Atom Hier Name: + Atom Id: 219 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 220 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE + Atom Hier Name: + Atom Id: 221 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE + Atom Hier Name: + Atom Id: 222 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 223 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 224 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 225 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 226 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = d0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 227 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 70f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 228 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE + Atom Hier Name: + Atom Id: 229 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 230 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE + Atom Hier Name: + Atom Id: 231 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 232 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2a2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 233 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE + Atom Hier Name: + Atom Id: 234 + Atom Type: stratix_lcell + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~DATA0~ -- NON-UNIQUE + Atom Hier Name: + Atom Id: 235 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] ~DATA0~ LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + diff --git a/bsp3/Designflow/ppr/sim/incremental_db/README b/bsp3/Designflow/ppr/sim/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/bsp3/Designflow/ppr/sim/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..f6de86d672d59bbcafa8d67d3464bcf4ce884bf6 GIT binary patch literal 37849 zcmZ^~1yEc~)FvF^a@1`*d9@&>-IZ`SHj&{%-3`AdztPwET*#+1dILqn_vH*wU+mpN_Ig{2z}xHZtCgp8h356whspYEexC>4 zCntBlr)$uD_}jkS?bXfNc-YF)OR)mU+3TmL``>-zPfN@OcIz6<>*Hn39$%iWj(@&X zFJzm$XZyCkb=eCT^r?U_<^=3d&&900~YHgsZt`=3t3)UuwSbh7JvC%`YVTP=|)4_56QiL{nszwhuxE>C+6(6+0Li^ODHw0 zonP=-fw77LdgDz`5gjjERr^=tZ#q5%9PW47_P=~u->x4{*Ujn|NUGP{e)@L44)_cX zB=y}jzqXXeB4|91rA&Sx5inTq`u^Z?XTPp4;rG4sW$F8Eml@oRCt4YepWFKD^UJ>V zJ;rnO(y@Pnz{e-^7SobflHg~wB2;{mCj8e0=2Q3QT{|}?r}L9$gKB|wHIAQecPAVH zBApMrV?(i51CDbb0mfI$x9A^PKCO;dLR{$>x8~GGSO#Ekc1Z*#fN*Wino(Knz7HpB zY~HXOi+pcY_`f{dZrsWj%Fr~w*ZDpTuB@%TdcUCE(W@<^TT(gW9GxZ(4qV#59j(~= zc|NT~KW(1ytuwHpWI8akBNz4W;W>Hvh6tE+M$2$1tr!e zj-AD1fBCik-O1I-!|U>Hd;iK@V4Q&g`g82$uZ}bUo(^7p-KW8@aswY{Pj3w0o14u6 zwVUe;Yp;T zzMn&QbLigpe(meYHsg64dTj1!De|Kc{i`L%zROX3KYan&7q}A^bciNK;HUZX zK8SVgS*C2ypy&ZVf80@abkE=`+v`>o$6G?)LyBb#R>MOY`nY57CxHNy@mSKiLF=Z6 zG~;n=r5X0Oy79?kg6x;BW#^;QguT0Cvo6*}2^@*5{GV48cRZXAn>&}!c}7+}q`e>% z5uKOOJ)Gp<5MNq&Z@2ERTk5JLP6Z|eJ2+cgI;^6~d`%>ZaiYzuR&_W(-h2Ccx_C6X z?mRedM=TTKFq~0`Cr%gMo(-=j^PN4oBN_(^rp8-fm0R5DksSmC{ZnkCa1KkIFj2H@Dxq zMtm)gZIct36>F41y?c3OUqLD_q=*T?6}9V;nw zJ8e6G7jM2VdxP8x7e5J4Gj#^Xk2gN1zWHuj%*svB+{MPC&RAPNM@-P){eC`q%(34{ zwlCYXdt99?d$ep*VMMLacg{N5HLmM?7<_@o7w|_kEHkezGhZvN%&z1g=2*|roiNDa zHgIhtJj{B>(C~0P@DRH)l-a6P;ftA!R%JJ|i!}GLKiDVtPO!;iv#Z}MQJNfy`qNX-?%O6JOE(XfyiqGZPbj@G5Hj1ye6;fyfUa#wnOg0LNC5DQNeKgPTZ>LO%u=f7361)$;QxZm$xm))L*72ChVpUkj!f$QK8`)z}3)WTomGbvw1 znp4937Hh;~xW*(e;^xnG{Elb@^+5LI9fMoWVnFS&Kanw<3#^+Ux;v;oj%Wjgr(3)Z zidu!I8$?9wW=P3HI*iU^=u`vc;&x7kixb{RbpJtJ*YIN_RNi8MG2CDsluI~K4c8*X zp529^E-8VVVL^*vyzGfTBTq~FtCtT?SNp5t+Tol0Yo9d` zKP2>I8> zB3M=OUZwy$BFd%CmDF|a^Eg+-&zfPnSSSs%G{B<|UtQx&!d-{SevJl;N6JIe}|Pjkgp9D{F$?sVN)ac{rY zfdkZ`Iw2p$9l=U!(-VSRamTrlOB{lAAlJFWPule!DuYn9;MhW4hh23jnOtN4voOo| z*TT;2TGh*ZPjE#LaUb_in^wYCt6^gz@p@FKGP2FD-OZ?0Pj(!>M}{KvJyC{4&?9r) zNr(RnK=Bvg^<>wqf7C5G%)Q7`AyP%apjDduk0y1@KQv91B^SIJO92_b7JOEfiPSBp z(klJRcx&|O=|h3G@{S@C-O60TtCJM)eF|Z|zVePX^N93!lUlU=r7*Ia1oAL1skyS0 zg4aN>hHqdEvXQzB)LNzAz#15z6eCz~n$fsaWy{nDC~s0^>kLOIiSo_BNv&7*QN%$OQ zGk|^1tWhcTnLcBbzG&m?A-UHLC5*K1|z>hWv6W@Fok>MuXxZQrSszF z+^GG~yD=VzWh06~MUOa24FV-ADo`};xHxiYas`-o0wX?-4uhS5dQ<|I(21ZQu3a$9 zHwgpcQDzjOvofQEw>lPEt(z~(Xc_K{;ViSnCRJbFdz7X!vKuoJd#P?+($^B7r z9sv5IVh^lh9fsL8@t+DgunIkkJ+O*8G+l5MEJ-9_70e!`#b6bm2v|fNmG^4ygq>;(t^kWt{*s=k1t=?F&|qYhNUiAWXO*N$0D z;M0dRA6(_nbj~HUvvscRPYjH$2+LtChYY4wH_08d8vg(WU_kY385mH`(24>ESb+hJ zV1W8RfDRZ?IotL}0|U%o4fLi}uE}|`YX1NlU_kk7(H{-;Fn=}B14icflhQ_wNyO{X zM6Bq`!HbE1asK4Ax&)iif@H9yShaOtB~n>I>$uP2)<}}Ca5Oh-ChiZQ2%Gm0pb!jD zj#QQb12k`Y;>d2v!nCDDbeh|{5|qPpd~ow)ly|iy=%k5E|C{NqN%Udd(tX-sK&0~U zA3!J=AVMdN@(;iU2H;CWu_d`L-06tL>tod_iT)-?tWZxqqA#XZOB#@lGNXbmRokl> zwd}u)u@4Mb5I?XbsO2JG5;kd{&^n|Ah2V}0BbVg=sSLuy$^I`;A@j!hadmv;Tro0}#y`fC~xQ+8mw?pWKU+Xl(ry2X>v_}~qKjW@} z#|P#Ug2Cf+^Gj~yafNCB#;ryf+str(g2#8~6aL8G%rBYIn}VkX(D)M)OGy?hNVd>+ z{p>Z+dZZU`i112JgphHFo`V+M=7~hIEr)!uJo7WvvXYD^|xk1ldZ?~3}w%4iSvq1U<;>_gP3b>`6ovesWCV49SQX1R`k z)a3beJq=hFqUwaRG+4V3z0kgdM3|L=6Fl#2eLQ^nG$vQ)LO=wCk5q!WeY zsAwv{WLVQDBZJAXqL-^;OD>!JmojA)OV*Ooo#19L;c|t_Mo28;a)l#}Mas$GHT0Ko zumCJuaz;2ftJS5d+<&rK`l)qZwMi?QDVXe-c;R`jGm&W6&?!Bti=LVYc-W8B>#5i2Nmgh~#+2Fuv6c zVbdMBYLrFVkmnD9D8sHPP463H2VkR2p=j}pp;#9S$LVJIJFk}gqm75$DHi>8?~IO= z*EDUqE!YhOT`9(lNZ5-}28P?8n(r0@4$V)dLAoT_y2)`&WJ#lgfA`@adV+dV=uV&J zCwB$QSoNL9dy}I$CZ43Sf5TS?>HfvXyUul3tz9fd`#%jL*yM7s%S;(%C+%z1uSI-(%?m>`I$^r5IxeNg|83-= zL^C@%E5bLoYo{8qY+1f5N82iuK~$;Qa4Pip|D89KLjUYJM}|#jZe5QZ7uU=BB-u7Z zPHPZG(ypItQS-IJ$jai_!-&uuauJsr^DKGO;j2m%4 zQ-IGjequj8u1SYs$_qoxXadur@hMsY&Zz?+mu_8I@IQcA>19GID!lc78X*2^XjGp`(jNKC zwulv#Eox(etj+pK06;GGbvv9Pt#w{HO_@RKuaA?Eu2_q-%d^LH$e;4y@MVeJL zCKSy||937^X0UWFNj7Ob{p^}Ox$gI@P;In0`$d-|t;3#2$Cwt7FhCt;h6VeZ*Gvf6 z%WcE%ytSRq`?i}MkwPPk$u7wNd>{7c8Z4S zyXZ#m8`AoxIrYK2$S2CqVn1H~XW!Sy==Qwm;_UCO8Gi1*%N+AL7DQVmIBtJg7U3jwVBXFlCUKOTuab@wf)*gR}KM$x1s_h}J( z!g9qRx^E_5vL8B2WW$)z%P3)&= zgaM*8)_Z$?qiJXqM=z9pX7(*v6+4mriU;BGaxgv+@)WsB(DK&N@o1;dr$f}=U*0$8 zN~~82o89Gs0ULNV8uG)BlVAIFX9J--NWjY(#V?KPqsCgh#?|2*JHKKWOam6lJtBz( zJjwHgsDk$}8;&JLc@YvnwztRSz2M5dLyN+_Ao)cAwm0p490LD`FqjG~l72)IO?VOy zME)J^UxpaXYJUdLcP;`NFE+WTp8C*&2QK=&bT`9#(T1P9&EZJeG?;f1Ncf>Om_-o< zcIbiT7##X643>WY3TY%QG%qy*&w{p%Pi~w7pN0Uww9o!O(0Uz(?k+>LFGL(3gsIPi z$XhR=w&s8IqJ@xT@e05z-1PBZh|E<|hLX&0BMM;q3hu%EZpr!bB3yk>*5bRlZa1As z;snTO3{P)H0uOs!K9lM^pa10F42mhsvWa21S3HNnBbhzk&;wi1+UjfHxD1i+3DX6X zGUc^Fef;q^q=E~f#`De8B?>Rn_-jE#K5VbyVB&`Sz|#Sw_Pnr-tq`ePu*4Bl9;$3^ zm@t|`7O~5h3*j>~zLJuek-ZjSv=HLB-{8e{c) z8QeNW(cEwvIgBDXjLDKkl9;%7s-(IkMPSTu2*vU7#!(-kPzvEiBZ#78z3~P*Lf3V- zOd%EyGqMB{6NWPDljI^$@;M~_WfLAlOPWra&U7`$WKU!upw$rO$_NI@Fy#C*%++VHz&xtmhj|rJX>BL9X0G>|N;O-*jm30+ zUOw^B7aPW#rdA}G0xO1L8CvY|g5hd}A8P}jYRQET5-z>V87TKy;eVRq6*vrF?MF7v z?|y8PctFJ0DwdMTG=l)ybPL~i3qyAcKlBLS_mB%gBwp%1`Kc!=cIWwvK_KzgSbz+P zPp~xOhI{3d5jl`FO`w1?a6r~~z-2Vx3>pw&=3CPKGG0SkuyTtQSO$hzvtf=U`$TE8 zsMawgJ=zh55mD1HDC9CA1kpd_0{lmiSfB;_C3P;?1PBu7VK_ZM?p-UPBNt5- zZzzPQiCr@c!`M*mq5{|5``9D=1ole->{oh(dZNEZ+eiVeu2RdErL&lh(iO9nUrld+ zvK{*%pn_I2AQ~_j1{jP5B;D8c-h(MHN<>UxP*)TU84m=&Fd(WoP_e)D-oi1s=x&;Q z*8M~EqNb6LrV*5;(2J(gcg>#Xm?|3eonEt>CavVq=hDL{;bcRaZTO)yDfEpX5&nK~gA@T91 z>2*oAz?xxL#%Hf|un<1x>NSI19rl1+eB~CknCj|IXd)yKhB1p>(*v91uK06lJS8Th zl~*u^yyx-zhfb8?YoZCXufz&ejhlWFxe-enpTP?>--vNl+% zfz~1*8VJ4xx_Z{I@FKQ~k=HOz2T^>-jnAnaQEkFcKds+x6mM1rM$%$mG|=TS)q37X zohsE*gI1w>M~UMCF_sL2D>gQ)Im8dx#Jy3BMFaDwRhHaN*u@)Q z*xu?Sq}Pftk)w0NZ(4*gLda|Agw<@$|Bs#?nGHKvj>Jq3J3arEujYh8OkHIsz2HN* zi;!zBNEk;U6C~ZIzyqA6V9x`137ok_o@JS+}+pGyi2sf{r zdb@(w2=G@3xX8Ok(t~Kb-Q@Ra@Sh>}cQ^qkq*1V&yk-n32F!+$Ft&;FBph9l6 zyJFk$y?E2ijwDxL%P{PF!P2Yk@e@~)O!@h#-!?G_B`%lP$?~a{(ljD!zfB7Ml#^l< zpl$L*%2NYvFF6CZR_OtwNj!>{1+x~kD0eDKT!=wlVzH#ls0|f2UXf_=bm5#O8Hh$6 zXd5wcb_dUBVZuVgG>`$qSOTIJ^-m??)NFv^%08Pe zQZd~s;~>~Z)WWXorbgPM0{P?9;6T)!TRTQ#Gs!1c0%;^>w_Hqw{s26dO{V9!yjvyc zkcc$CFX3wZsp{;S4$PX+!JMtg{vigTDh0z1Wj3aqTzSYeSbtfkK?U04P2fC110Co7 z|Dt~$C?*(~SpH7)9)?pa@?G($x_=@Cq62G{+MlYXK)|>RnGqKDh0-)vRrdv4T7^J? zz(orP#0>D&3Nb)lK<^VJ=Sp9Pe|%2Qh-&APJO&$QYaErc(!1>ls8ETZD=yO~C|uUM z7?rQw6Ogz|??SZ`dfi@oV{y!|nA3tf9O85Oz;a&{SX?=&7*0eGb$K0IERG!bSMp6U zFtmp0q{+;MKsHm5CY*kM@2&X}*E@3iT3KjGFPM~rIn|oJi*V+l)8rS~VA5G!^iSt8 z^?Nobq>Fl(FwrnKW`r=+86j<(gZu}ZNy(bdLEfN0WZFKV2b2y-HPG28EpA!Xi|K%_ zTw>M1GHm-^{~|PcvA|G#0DcMv`lj0dc6Z~OCSQ3agH(%7OnD`PVanpvZkXfjS|?$X zA0RL1(kk6!w1`L2mfVs6`A;+(k~N$o`HWHWP1rDS!Q>Isw5G@j8ghIa;Awr3h=q~5W}9V|cYZX*s2I{aIce7c|FZ<(LW{k%#G#L< z*r6;;sP7hIcj$R`z)*Ywl0)P8w%VtXkCsX`IRwy;O3D1n>mI-g12xg^)2$OU#IaY! z)w5LPhzTLtG;DzF4ErGAf$jWimW|(?U2?<3_*R#Zlq@_E2vi_sTCU4jKbfY+u-vBS z0#8L9?51urq)jk;Tg{4K_GEm=uubJNZJ99wN`JJLI57R8?{{5@M5=T4B6x>)0`Krm zv^D|bz?n%P#I${`h|Q+P%U6WF3{~?Lc~R0xr#dnI;ue)&t1c6` zfEs~%fr~b|&o)L-Hb%lWMlUw;IU`c8O+;eQMbtDn1%HLcfy8nXKBGD%Ez02f*$WI| zs#$^*|&!RO;q!Va+yZb7Eh=rsTf8&A@=?Wz+>5F8o0~*D_@^nS$y7URC!Em zk({9EgKrajxRW%dF;W+8u`C9dibyAEStWV0QTF&=ph5=Ia$bgni{CXhS6{S&TV#<{0}ef@h!8%s(nNz*HPKN#lX3cwa0%z58kD z5KM)hy!aUZKPuWXm~TtJCW2+EhW;hE7o1={`BGt<&4pc6y0#2z9XiCF z{a_--9s*C51TZkQ#-yZ~%#A=ci^7jfuHj72Z5i}pDL+lh$LLsGxnSs?M8V!U zHd!1w5uah`z!PYUfos}gUJVSp4((qa3K9Mn4{3jRD0^QF_#2V!e=&0Ojea|NPaz-qWm1eAYq^)0dk20?!61&Y~%Vk=9k9U>AR9?xp zJ2n>tKH-4(w3^?&j9j_N-_K`4$kSaeZiLz-r}j)9ZnZSNEoXfF>Kgyw{<@s8UjI_M zA6&V|;*vY64}Q8d1!Lj-%KhIpnkz0nep<#@kPl<-%dbir855nZ(hrTCt&C6dNHV;R zUoEU1JC{kMka8s{rQ-rIVW?Pz5cFgm`NJ{g5GLLyNyhy$d1zA7_%@TCmiT8l>yxx9 z*{ItXdbm1- z21$^roT2f4z<@(La)cp9#9f&b~a9+w8NXpt}x@<>$ThB*EFi zoj{!Eh(Le>A;a?Wx3Hn|yA`OKlCfEsuJAb2oSyH0_Km#_#KepM6o8wCNZlIYrxn%;k{7;++hB9ppdq>*wtqB>Y) zS#uiL2QD1TvUb~UZr~$j6|HW-IACxH@M98?cHbKK&`K@@n~0yzpkX14_XEcM58%S3 zHIFIi!6~~1Tn}x*_3%HT`60lkZoup$V0H{Jc=r3zF@$Q#2_}z{8b>TL&tX6X-1SlJ zZG!j?fAzTj?O^_JFl=ZPj>ahYj`14_V>k&TCNyj5c$0nDAolQ1FwURzO+il{qGr<( z!)>uGoQ9+6pG_UyKN)_8(Q~Kvb4V}UTN(e+-jtdK{aejOrfm*ln~}xi z0OH2+J|AtkO>k4z@vkW>Kw}hsl5GUfh$mUO$mODObz7DEGTQ|5ZN2WTh#)Z?Tj%Pg zpqk+}IrYQ;tc(r;a(#*hCjkLIMRZQ->^iM-(R9spTAPu*0EG;OWvBEZx7eCMQDYc7 zaK}!LQ!xb&=7hD;_fJT2@hc5WqqbI}t#a~GT5xol7yY$)OwFDik`J%`Fwu;w1%(dv z{$lKg5MJqQ${la_**#eYMP!Yw+{+*=1p0JY^Yp?G-{{lpN^F1`9*3OM>Px&IpP;ZP z>$DS-ti~a+xVrm)#|I(s@Z9shwUdw@RPaah^!K6owd6*=S-O1|yLtC!?05ax^r%NH zh(KMxrf^8zo4OjtvSsQsOA9S@GlfEOGxAp6xusomgbv{o6>Smb*3x;YjkSZ3Cgp?}8BFWlmU_cQR+!e{qpuxKB*3y4>%^Z4*MI(KY<8Y|CCeD@2x7xkF3qh)YF z>}cr%Z?IA-RL{jH9xGY`RbkDX$2ntXadCWeKw+GtlyuZ{66;&te)J@igx&YscjjRu zT5j?6tK{ZF-x5~w;^l&WVJGqNrM*S}eo)95pZscnzJN2?8K%(CK|39)R@588eBMr` zZK^zsddREii24kxPN=De`t93?(Toa3vkTh}?hf!B=;67m9I$8$VSjK#sXPDqv-9j> z`ZBJ>YJBgYPimLG@ zE)iHW03n-*eK*D}qhDch<&lj!*LoZp5;w6C`?MfGn3hW*d6@o=!F}&gW*7PyA~ZiZ zLc*Mro5uskn6uNk#T_Cv5zwlZ{VR>qd(4d?FM~FQv z3k(i~5Q=xb#eIZ;(O?B;lP~^VoWV?7{@pxl_3)>D3SH1$bihlyl37em{bWeT+I|++dW6n<;7k0EX zKrR@cb_iZVgsY0&t(~ZRd2Z zJQxOL>SwoN{o6xzef8P;{-k_*w>jcDVB-kRy8^~rmvbYr&tJi{L^R0#^c{Z?g!hCN z=dlAUA~6;3<=Q)g1J$)|8e!`yW%QSW{vmTX1D*(ls_-*woC}C+OvHZk#Bk_(SHN#tBoA|3D2%&HG@TB*aD?>#QB`lRH@W-UIIk)@`Uhj`yd*sNM?;&OBBQe4#@yv9%oO{sv+UY;aYaL3kBbW&l*AfVj}JsN~){c%#25%_`p!U3KQ^!yat~9Jg%U);Zu0` z#_RP*vv2;&n9M?q-RR%kx7QtB%T`5ShvlDCFFEtaoU(` zf2aLK%g&T`8#0s-oNUtP$st|+jAn1H(PI2}DIoaN{?DB(u{ z87<%NIUOUK{n*VKt-s3;0l?pq8@K`#TKlsJL>-i&##lLeMt~0V8?$H`E?+pxN_$3- zA&VWV5IQvBI9aeAwrVu|$B2Rw_djKBm`JH_m~BY;AfC7^yl)+ z790#BB%J($5h{X}hg9hMF;h_ZKA_kLNX1)Mm4xHnyFm#}&N-bFl}O9>3X|a#m}qI9 zao~W5M%RZ&b8bwu(XZ5vfY_I*vdF^umq{`hJwk^%eOOEg2V=brO2`dWxwtYCAv4WX z{Op`4eaZ-*IGX`}Xz3ddO#aQ%?9^}CyElQE-6{efSuH^YHCTf*Qbmypb+chO-iHwi zC*sgD-uMlMH0_u2nR&R%8yi@vHhtpNnOiDPpVscNF{{OTXr#k&LQMR#J#Yj@-U;;3 z>**2cBp7`OgU7VA>Hr_rH!FB9#t zS?v2PS}xWoIDkb0shG#`HoG(;mFMDQOe(%%a})zH>YQfhk2o?!4uO4BrzrTjH}btd zq9jld;(MS^xRuf?^a$<2dTGKA5;VpV| z0_Y@qz&1?IBc!TMm|tpua?ran1}G|S2tq*pfIx224Q~W~ATm z1V`Tc&p`Mm_-V7JD!byTMyu8Y77LaOmf{zY(j?DJP#{RBuXCM2>=Tw<^+I3X3b~t7Qf} zbIUtj=DsEyoX!!DGcbE<43^b97xY_FLT^PQH_d%iq*?~(c`D4xY0xvbVd^W^LA1^2 zxtKz^s4?HqEzu$DBz;X8RWH?5sG8Tc7F8Q9fca>Pp2&l-rHJzU&8f1rr-DtPESa@% zzQbR|z~M4Ho_R*u1fG*+2|}L8cn3AZ;qk&BHvS5cNB{6Dqlh3)Sb9fN#>dI+{9^C6 zEf&314=KJm4BEdmjR-~0BdWrG#OZ5ZQE5HXdyd4Te%o{CVKOx$0|Ha%ye1*#&OzDM zmembFicUhmOkq>Uxw3)&C4Ah^Hct>?w@hT%6HXuw(v@=K1#CcyAqig)TfW|9!v3eV z4s1+Pi7l$#fNcNQmPR9e#FE&c`I68RPy7Tqaab?N+nqui>k$-bCQnS}O*Va%Pr^Rl znTB=H%srHe4LQe2)+0>%OszzZK}#D{I?^LZ%s0D*O^=-QY|JPl%ybjn9;Nk3x|=1j zA}6f%?dl|V8Aa(S!iU?+mQM#hS#;CH_ZOhpkHWnj*m1;gOOPnSiug`);zheDbxhdJ zv>{t`9VS%d%qZ8CIvoY)monseNu@n9DnO!UkG$=O4%N~AKL)8{5AFj~Lc;~<=93K$ z8L>1t^R|J9O_j?m%-}jOAcM+%xka@dK+?6xN%85248(w=KETrw^?_>9#N1Qcmu_Lk zUS3hU3(F${E3%o`oI<)QyRw?F`Z~QFyOu_tx`J&2A#2_Pli5)jvFpD8=kF6zLT$=b zDj2$EweBkw8wN9Z98Q-~=hrS1&i;@(gAXS42yAkelY$X!GS^Yjbp|AX(vdWaqPeeq zK8_&W;|^vN;LU)q1T&k1+7W1vr&9Ln?X!#Z{(cX;dV?oA#iI1?eaCD{%JSBhjDDVo zM}*sM3ir`>Kx9jcGu%5?vxSaXemM^2kp2NjkCCNr_UHS;0lZCc4&gxysZ<9B`C{LR zhY~$Tc1C4ftZsf~kVi(0!RvGD8gS)(dDKC-F^3V2&!VEvY6U6MBwo<`fBItuzpbQJa^|8rc1?8Tvg66>lv)_^Yq18=X; z-;J|G(@Hzp!xEvCx>VU?Bu>{eLay>4{o{|kGi(6$#Cc?AtL%0m0*+6+zg-^4sRG*v zNB?k4#@6Ds6|y4o_^S?`3SnwOZoCe{->NYS+qT+GG={{!P%?lldrG) z+wCiNPDlT+_Ue~y3jvaVORkVhJG$JrjHSe2E!!?@|~K29}v(`%dND5%H^Za7(|LBNNZ?))`C`J=+zcsZG;>qG-<>|{B( z95upKS0&GN-EOEsby)dp2)#p6k3^Y`(MnTwu&=8m!csX(`T=8HY(gs$4X2(v<)2JX z;P~6x7~ruYTpFHw16#Lsf>f9wCd!FomU%g-C?y?O=Wn2JZhh1Tb&qmV{J9M?DYMW% zEyth4X5X0~h1i-^g$J?=d=%|rF2GX2gS;&@-EwT@J+d$D3ty8~t%|wJBMEcjKt#!K z%`6DEg(`v-q`;a|sNrp(Tdh!Jb#~tcEM;Netv~tEyltkYrHfr{vB#>NU7=ss@qTSA zSCHR~wA@I1FE~?bT0te!XVF96 zv|2@{?Zg{7Z(>1Q-8OqusL(>go`Pu`Z%`LN?oaSrMT;$rn{R08khfu^|56wyMc)lc z0pX2~{`Jc;(E;}v5A+*Mv(Ph6g^IpdegjX*h_hsUP!)#JwLQvV=&NEq)Ltj&?;+m< zqJiW!z~hmv8`6$99a2u0z|oj#$US!5rnZnZ?V^mWVRak}oh=&ln8;vr8sJyJ>ul^R zH_VF`d$z7ij(}cnS2f1qxUj|tyqBwQ$Rv;XHiQboexR_|Pvpt%;(lTuru{5(f5SeO z(`m@lc4Tf_9#r3(OdCW*1Z~);}C>Q89wlqX|d5Uep=Bbu?UnfC1t#J?{*Gg3=WxE5Oah_!h;Sz zw@1%Ev)WzdgS_T9Yb?tuY8)Uvs3nXn zFX9@Xhs{cp^F!VmGSW>_pD^ECjiLev<17~VYeBCt-$;#ON=;30$V;EF!Vx{6cP#ud zA983&<`+>Ho1?O%8pTRuwlyBcV?r!cB)-13zTUV~kYS)p1&+E{3Qq*$3Hv;pwvRGa zIO2;Be`{5~F#1rC6f1Tc3vLOpfzHV@a2EqrwceN~Pxv$z(N6bEda!lyaN$TCi%twR zJ`>L{DJG;@Djk$^YjaGRyRKu4?6~Kn{+lcQdOk@ z#Q}{`wMtD3cr{WyOxwz%=X{6N;E27m4n?X9y%21kE}OQIjGHL!~kQ z5KmJGoc4QVsIT}g(=_=+HSnyB;_RnIV#u1x{f#gz-yp`itLSwg#)1K5FjQD5nzWhV zmtK~xA-_uVTa!b`xHcLGj%miAkFlyFpnic1%bH&YZ78B3qP8~(aT91CF3ztb^cWGP z#Hq2VWpC7ck^R7VjdFyFD#FB6`?dC-ef~($1{V{w@VXc`UrXllrcR0oiDi;gH!g@n z_F1J(CsH;Ll7pi|hE@ORvq%;u!CtMeiqGZy5s&YYDBs)S17oqkkBWXrp#0u37KvQk zNkXH1iN=(;JL+b1l*NF@a2c&7OSiHaE+QbPLl_LTQ3iiCu^E=O(KPORttCSn4E0j9 zS)@W2=Kd@agibJ{);;Jo6bhaVwYlb+?l!nKwEm3_v`wwQ?t?^#t!OsNjh#RC9d0O! zIKazf~@IcffB7t25eU~3GG9q@O%c_Z! zd(UVRZe%nw!O^4G*BhYHi3hBs3k&fsGa}2j(;TM31zt*pgm@; z>VsgJa}bo}AVkMPhU+irggXj9DH5JX-K6#Z>V zj#n;xiP1N0xO{zzvY1-zrf(ONVxDQ^;tZY3#EpWCyj@N&Y4%!4k`;V+=WA*lo_%-P-xVtX8kC50Do3mc)k520jSj;}fPZi(#% zBOjkO;U&wkZZ4`TcPxHI%e;+7GWV#hG?A*U+`$6bX1hTLwddq&V<1(N- zJBb{34XbMRvYPYl9IpmC%UhW^Y(uk?JRsbN|| zKHhhf>`&<9i-Mm-UZ$fe)R{{v_QaT*_|$5%Or_GZSuOo{_C(@hN2-PF3m_HuQDZ^2 zxS^tO5j&+3n|VyTaJ150u_Q={j0hV$Ehb0N6*dIfNDIjrL(?9zwNszWX#bmo^4vvWE?}8imMB zvl1*RB0xVxfDQIihRDq_5iF4r)P(GPg~$azvXK?ka>Jq*GxTS9!T9*Pu$LEalp&Ep=5`GY~=sd=5WEyOqH z0p4@aB8Y-}$nj8g?GMWPNQ@1Ck)sb|S>(>U$VE+v z<`wEX6om0*-K|Y zoLd~04{d0cVAT2sU1Vn8-WdQ~IR%UIyXn6Dwdc#`q z5O^{!4udBnJF919^2K~Y*manA0<xsnxmu>5%vtfn?UEOM^jfP8T_1tFl9|!UKC|+&pWI ztUS9OQ}S%Y4T;fkL;-T|iIYsYFAO7;&W*A0qm*`XaK&8uX)8X8E5Wlw>cOJA_I7AQ z&49_v_Xu?zq)pgq^6hKVQh^D?CWcq`4$+y@h6y8uQMWt1A;>3_jF%FUW^cn$c7diF zB`K&$BBdgz#G>N~#BMya-kqaMvdJK&iXE|lqf4lXfT24T7eiJipWOg=z`C+*lJTPQ z9N-VRkKhjl4oMa)WaVR%z$E)JsgcwJBqNkR?&2Brrhm z|D)+GfZ}+*u3_BWoy9#!aF@m1C3qmXyE`oI?(XgqBuLQU?hsspyL|imf6rUBwR7ff z&rDZ!-Jafi?zzoHZqRkVMvi`y;>kul?7v$2tzP@OKpRr+#%XUr9~VHAt1l?Sw+B~` zv+6CYx<8OYDJ5G@f<9L{65`r*4ahYXl%c~#Z;~AesTm5PAv*`($yl%=Vko3V{wl%VU}Z~E?;J|FwZgD{1gez4gnA8$F4Fz8Ml{xfECly1N)_Bp+OQuRqiGB_6onn2 zu(n9M>IyB+eGr(S$OSN)M@3kL0iRX|CMa_N{?Y)LAx%C5RlugN^ni1fw+f7(RDc!) z@KhjaRpTN0pJQNv9RvQq4(T9=IuoDOijZj(#z8ERqdP-h7X*bbV-Xp;fKVyKIcH9@ zL_=lHlptkm_hratljuZul94fZr3YmX7rat#5K;On51D0F5q5PG1ZEKBRsS7E>e z_YAZt^t2WKcW7L-h<`fFl$QsaFmTrHS4@E)o9|#^U`Dv9O48)L^$(hV-nPN^bby@{ zy#Fw=WtE~i7Xy*jLQ4>qIH5|9PR?#20FY0_Pop(3H$viF9#X}Kl2nxk!kI<4zs-PD zCIFMdaELu@ASXT?G@d;`5I(%>IQ*9sTR3sk@t_11u2SX0Q(|_>LN-Apbvq;~bQOYI zl?*fstAVef^e7}2IH5s7F~e*CDvBNzE~3y@<+)g6%0Oc|OPX*1f$w)Vrpu;11_jne z>*|NSfBrzohwVnoGNS%h};E79iJfw)9=5-qKmy^cRA^awb4>?MIG{@2vUc|-G1 z`Y76ymB*_cJ-)@)Ji|3TbhJ9-g7Z{sn63+Y!m4+q3od zf5L{-|Llb)p+CO=L~ihM?RU_?;$u|o7fJ5@A>3}l4z*NxW;7M3i3L1BPu@GG9*)*F zk7?TDH%zttbzSxMYl)jc)%gs{?~yR%l10`!xId59@6{@pWVo%bI~->fAk=RMjugh8 zsUaTLKH5$YQ24TzY*g<#U&-*n0Weat2<~A(qv|3|J3R4;8|1>E?NDGWRIIOelWN`E zR8vw+wibsGBPTal&%nt$=h;n09a~||uV>Vi$w8va%-a;A9gwwL+i(}(NtE%G(KZE= z*vnfyYWG`s>hTK4q=1jOmfbA-3PKVez_>-78%!-^$GU3SQXl_-l_)rf7tL$%8Z@v}Go) zKs_r1s#E_+or2$~N{~W|Gos3))PLqZ&vG_^GW9j-eD^8od|Z1R7FdO+O_RC^ts;eO zi#zF|{Pd|7zb10}(MUsy)_?KFs!$|TLnh}$h6SvXs#@=8Y?cru`R*t%-9D8ta<3*o z%W7h?R=$|^eL6~e@h5wZtlTal+lQRY~c-Dwt@*wm(=Y5}jCa8YXcB*Ae${ z9;7PAw%ufo%ELLFG+)i#c~-K-wf?0M4o$bkXLWO*Zlr&$qaMAL!!TVlmzs9TQc{{L z{86Q^Ge(x5{FnAQ0fJ7ImF{`X{KF)1yXLW2b;@|qBkB^jeRXL%dyK`8h+ES{KcxMR z;h?{&XDTKm^Jcs}^K`tNfhj*M`Nc);8@1(_tV9=z_1v)R=D{uRIub?hZp>L6-x!#P zP%83rO44Htc25JH*uBsE!D6>$IDYCFr%u#k;xy0SHNB^jR}$B~Rj4fGfm0^e0RBxT zYB8C;k+nYl^JmI8i3a7ucVy;FKz@r0M z4mGDLTf-|*1GNx>DxxY*D61&Ft3XkUl@)+f9L&XH z>7sCt8jUVw)$X=ceg{xc#UF|{t5vGmOdU_NZn1@#$}UVU>X^9ZNU6nN%!JwF3#JI&RriH9<&zEULp^Da~<=$8{;u z2O>=dX`C75u`)*f-7Xo)gCTEqye)}+A=$Ma3+t_7XisILHC25Xey{lAE3*D@C?RxM zO3Ocb7obO|^3y{h!X2UUP_hLCy41&wi(Sw7KWJM4Z_}Mr8P8L-`S%N5k5hXp0gQ7R z>Y@}9@~C0Iksf$Q30#hK{+L9tE??>NSyxL#R-Xm`q6(2li-#gJhg}jMpweJNI<}!d z&0&M=si8<7psFV$JWn=h2uT~zfm`mU?m_xD3DbjwN<$c;y-P~+OLH0SRhB>>_h>OO zM{~NP8BE2t^pbx>{MxKq~5VlR%%2%B@v0cc4xp%)8I!T}S>&mY3@M zHYigADlYUf5$dID87e^T1#@lQ0E9KwS`Z$|t)NbOJNl~C$0Ow9_q6fO|E;fWsXTO_ z420eEY?&t-RJABQx>3r?>QbciemeS9_1=f<7c;*+DQ`cj5L(aOqlI>m_Ri}+-}UA4 zMb&z+?9qVC-v8#`7gpts-+deYr{_=R`<1Y`Pi2*5h}%q;$xnG7*H2fk&u2WBQQUd{ z4~Nblo6lCaYjL0MZoUsa-uXv#>1W$QJr8g7m)RdD&X;@R5xeEywM17hPkVoRAmy)e zUf;X6$2{m*>&SpKYhiLfkW=G5yrTnmKx&tI**gwmzs zKCz$+h7eo1EnG`T*YvjkY1hrG;dZ>QzT74*N9<`66tlE^UrHCr<$E~gAApu#DEB%B zzz1qaSWKg{=0WRxI=Z>7dDikj>_v=gF2oJRihOH)oXw6#enjo$as2f1y5H>aZsR|G zzK$N`DL<1J>e7o93Am=5JlwSF=Dxxe){p+Sjws75z{@YL{MnHo&{=Bs zf0Y>O^mw*5eV;t*4>!;of1oyQhWs=`RzRq+1e&s5*fyu()RPAv$TF4V)aMt=B?M$s z%3R31Qv*(h!|i^SgoZuhxO(a~KoN9e(&H5gY^m%BOkMfM<;V<@2fRm5qirn;AO84= zoR+PiYG|{GXkzdGCstrO^^T(M3*XHDZQEpaDV{!Z7G%TgsfI)W$ca`J&1myDSk`8de3G zlMRZPD9 zSjl*9=1b_&i|Q@16r)ZI-4kTJxkSOQGPSht)Z>RZPJ2;s;Q5NxA#?Ir#k{c`9^y6oN);8iKC* zrp~5cwZPo?Ml*>%>Q%Q*>Rw&*#cm029&I+r$s5%pwtS4%bMA|HT=`g97t-W4a5W~% zK|=G5E3eNU*^Wg!2>$u({!gn(bwAE;BMCk1ge)ETxwZVgd!FyD43VnD)*aS8aO=U+%!c&_t^GC)Eap-DeOR8T zZRQHq6ML1jLam72rxc3Gt9t5|3FX}Mq{+Fuu<2IX>yoF#qq&~epOvO*ffxG@0`1Kq z)5~Bff&uY#tSvJHEBeEiPmT&+i;}H617*1W=+J<{GHcZPW1_YjOM$hv6G1^=u5Z?z zc3nc+jl|`*PmW~1m^HRJUx>>mMo#Xp<2#+!+PIYhrXMW!TOt|xZQ_{z`^D-mc0Otn z&Hv+RdJ*j?FsXQ!iRSmn?0;o%SP$r_0eJKqfj6kt2l1l&(m`GEKX62&p)E^Y@k?S7 zY!S_@QC&zd<8yBc(G5C$dY|vc6YXd6MBNP}5G0wLc?uvj;5 z*3#3`m9t2*ew%`Br8ZQp2Ay~bi2nc z+1Ek0I;NqXnOE^gK-mXqR~!hb@>pkLSUVk2k(0OnFTbpXq|gQG^A+RExSb_uE8O$A zpU%(6uT2}jGi>iOONN*pF*#+g#Ta{HIjs(av6NL3qcVu9;aqnZ82P(7-p1vBqIW&o zGch`=`uw9vLLUL1d<{AocHAg%MX-a#k$D$zX=Pfz5LvwPCLaq0#Q*DfKHsr1`fW!{ zsaPv!_**fVqA1fjP}Hv zcJ1c4>0*xwZ3R+E^zAH8+zS=_DB)|mn&tBznq|bii)I^b1k`<>V=wP~7lpk>vGjPCQlt zP=XgDLrVxq%H4_4O->e3K#V1OlBDouLB<65!DulUm5>6_LUA$IT6f%0cqOhJgqGC! zzKNyGUm-02P-R39JwA`xSwB6`pza-Ywr5(rkAQ91DdQH743HTKOoWkrS+Q7e6!v@(4J$dA5)2AB zepT-K=_0zrn(f-VMcd(BCyQ6tn-wKj`gaQVBnz~|OpiSW?e_0!hvaXNz#@rEhv~}> z$r}#|cex=dzPXMghouWgm%H~@79G6#y+TpNYYuVR$~=v)JzL+Hd-LwBsNf|f1nvb`TE5GY!Ts6LZd;Cb{i`~w38%xCYV{2QM3KRD90D{HD&@uXrvE#%%Y@K zB5rIVZdW*B%7*v{#ocMvjIOMwSJS~9g}TRLq>S+wbc-Yzh6@8}3j%d_GUVrj2?C&XUF&&T3g5oLZ}1k^z&qSJAX*|Bo7c zM3y;$3F54gk&l3^jDebqA}tEML-_lh(kX@Yc!scKix&ymP!C4R4WiP)IA)UcAn1iq zRISXKvgPd4mp#&;C`R%FhTizFaJAfpz;97JMVGUb%J z$Uwq7)1Q|hals-Afq}Cv?uM1 zs$$sbaPf!k3^k2Jmh}3SHJU3cS!y*(<(2XXFX5bE(vdLP@I(Sqo(8?;6-NoTl&n>3 zvAY_fn!p%JB@5wPaPd#47RFr^Ga2|31uWvUyUQWf@StM1CM>Mt564chnW!xZSBqo}F25KBO zRYs~WA^$fXRtOV55S5eW>dCL04^V19#}gPo%|hpgB{)jF-Z*_lqcSOCR|pq&RC`b= zqcFX{U02Ymk0)4j45L)i(n#oewur=gx4hvK&QTQg$4T!$aLUFADdMT6;qenBZxPPH zOSxfGI_$Vw%W$D5hazHB9xIoOD3|bulD~sE<4J|=&SyfY+P+0D+tt_UD~x+h$nraA z<~)|*I3rZu+B3bdCd*0ypL1?$o&E@D_45pP2|#zr(DH?}bU%3Y&d2DPA8GFs4;n-_ zOt(UM2o((df!lDKOGD4JAx)(vQf*@{ZH=V``EBa-jM%sxxXTrYjp2xe%s~;sa(ahI zb*uJs$Q#24g~v@|0nRzy%E)^HNZZy8io*W^i?viP(735xpECn`I)B=?Jt00EDw8_ZrnaWCg{<6f8aBSnGOjp8kF1eo z#!f?aSAt>}B=?*7wg#_)^T5+D$A+t<=w6`F96{L!Qmq{yFbF}VG%h}JNvtsU;>II) zaC?GLyM4)SGJ1uQowQW1b?L*)Ct%-7yWvhs{!fHZ#M_p>7FOC@57Wu;gxZC6w=qiy zt$7S`gEuHVw9Q@v<^l;-*-E@blB|?kIGy@R4~MRma884=s{{dY-Hj}Qv4vYgu8fm< zL1Vv_UJH{zr3+=M;T8pQ>@$cZs_>M#h{D9&lbv2P&_?SDp%a49BgGma$C?+Bo&n^4 zCoG{ijmEvDbJ8DSvA4g@nMd&QM8-U~)ni*P7qyy#{G zL~**}Tttlbws0uJubiwsTun6-sxN+u2r)Zclr7Nn&)K2<^pq4peJ=_aS8+?52G&;$ zv{jMsp&$yFh6#dD2pi~_UsO0WD&0)tSNYaNeh96If0N%Y0(pyt(y5?+2HMi&Z#(c& zW(!Tm2=$Qc=0im8pE8zijQRi0{u zB>5V&Dast!cKWQPs7(C7Xel=Hfv~2fZbT~{(VCq?jVAVe zLM#~r$2p1QCb`Fh^1t~NJZOV!r6Op=Z)MP4 z32?q*5;YcW8msgGD>yM3HsC^(7%>^?G7(Z~F!cypL_*pGAepfX2iN4HIt*l?zH281 zPL_Z_$GHf8#v1TpFK|i~lo~K>lrw`7>v=e*4m*RoYrWJ-OpY>>b~CcFtYdTsZNt=? zZOr!F6{~md>vjh3=BJh!b;8R|_St|AyG}V$*dDxu#;f1Ht7(S{MYM}Z1u#A1R8eqvJ9)QHqb1L7x8 z9AkbKVZqqbgr3fwV{!P>Wl5cp75{+WHu!LL=M31r{99TeocrnhIKBSJl`T3!dayQJ&7M2SQEU42acU?7suVKpqBTXe?-lbO?-($-BoZ^o! z8*A&)2(5%`Ftb~^M}(FhkOxKN9Ca|JDh=9FAM@yciH!et=rvWy4@`XBr22q+_C4XCCapwi>nd4$g)=I)PJjtSw_3=y{Ms~9TTKkcnS_dCZ=mHZAefhdr z9pp7xB{s!+bCNn#c-m;0r&4WnZZ#8;Lf^+d!s+>!qbhS>*ChBmnQVlcD`C^}I zkV!cmQ*FYAyq+&B{)pYcnpoJd;MtR`xv=fY)0KQX4a#ujOd`#LEA}iy&WXRwdXyuC zXEs5?ZOK|&cq^a^6j!N1_;T#O1+JWfUR#hm)n`Anz4q_&Kx*ca@UOu^Ee8o4@Qhjc z+ZmVFsL9h?*U;@*s5J8f_Zz>duD$}y#?={7J+}9xsl#ABaZj)?(X|bOKk0hCb}mi( zcaN|7`iof=RV)oX1pcJrR;YZ=sOz;YYpF($`HV%=C;P9ir?)4*6GF&+%G(QgS130x zuBco3Wu7jBxA%vhKl@nZk=#%X&m0t!7~4_6$8jnws$rUK+E1snRA2G`N#Qwy1j1OqztPNVTUAt->XQU-}CQvWASeebS*yz-<2@i zQl046_ZqPJ&xYD+$zUtVnVK+W)D1*gDF)npyW{YDGAkFu5PFJDk? zSV>MxhbG_}y<8_#d3Hp1YTbU<2yyO+77YY3xY;C!hO{B%Lqi8bbHJdvYOrFlO%OOj zxGlk{Ex?KEQn`o0f2d0iO`KnAceCV^_MlvtbJ)N+{+@Jz+A<7ox7fMZ#rzTa4bGp8 zjN!=_E}n!8R#tCiY4wXOaacM8zSUZvR59OOSg%`)1dk`8BOdz^YJ~lDkzXm*8aaM^ z+~w?g(vQgnrge#|4QtZ&rdlVhHq?@$LdJ1IM$eY+n`7|9L*JyRs0W6dh)DglCpbGc zYnVSh9p04!BsL~_Mh7A8nVnW{r%Z~8BTgaEG=^Bz&#f?#$M2a5}2okS+uqBgO5 z7~NA_uHu$eZPx5DknniqQ$H`G>g#SMB!>d>EL~J|qZ8$kYq*L8^Xm-r<>2 z!BXyjvF<#L1AC3Ovm-nQ8;_JZJ!nX&?KPpfqOd(#;=bBn(4hqMHV{xn4+adW+0+0} zoIk+a7i|d$vEfBn`kXEZWIj)1r|z&**Y0Yy&i+c;q!(>Xy?jOyn3*4!`BkDfMluMy zVmpfTo}o!%kPJ8`s82puFF0g`g3hUFZ_~@$#6zbZsfSnBa_{ts47r~u1J(D~?9PzV zXH37V@AuiiAx0}pKc0}Kwn;v}Vx)2(=Mp8qNnf9YFR=4g4 zfK7PQLRU03q{P)JxJ8w<^Yv#1+A|(^^S-p@WqJV;qi&y_y>I7pL&Pw-d9i5O{HV;m_z8y?MabHlldQy4syN&%I_Wi7SLsR(k-470MYrS2d2 z)Y8-ZQFlGCJ83Q*e@u{6VJdzQADku~OtrbHO^7aS;E?suM8oCPjCsq{iZ}c_iEzv3 z+IEuteyQ(ZgFUvKxnJt5srK#5AVN``l^pm;zvo|?f;tt>KD2hY zH(7o>xvpkDfjSkUwn#mm8L~Uc!%FSYVBYqunZOX;PR^8*p9qbtKGHn}M{96kpKCUawiGjc z*Hf{@Tp_QJ*V7e#JB|FCJ1OBDNPfN}cNC|*0i~_B>$S)GuN&ZJ^@7ii1}0h_)9dlK zM8d@0ANr=%^=a4q-jdvVZqopxA+#G)ByL;Xis5F?%BeEd)v`T4L%o#@>M`WFbUbd! z8`Gq?5vuR4j+_$XtuayZ$V@pcY#^%T&ER#V?}Bvue2PGR9WW`pJy0=4pD^vv`^!DY zS_Cb#@8FUGLx%Abom$9YcYUGRa+#QzGs-qZDvsejL8EW-x`f=@U#2Z1!GpEJV@#^TGXT&iSP zllb8gp_|W8OIc4}G_iZ=q7WN#+T;JxuynLaqMmR#5&YqbLUrg6n#?w;@ely=i^ze- z7he&3{y1wiru<=-+G$NIP8z}Yz+nwOlKizMAYl#IL}mHDA!CPt@dgU~2^)OLJ9m@w zgE0}0ruD1k7vV-U@+OA1W}(S52^_^6iq_$=xriOvMP7-Vxxonp!CE5K7VENO@v78A zJABFre36dV%#qH7aWvx&j0$^v-{mhQepzE382rq-M;^@UMO;CJ!kgM(Ni=_ApOADN$goOZlgNGKjGs2I&vRc9FeG~;X z;tQD~t$O>bk=l>>)|9yd1m>ww`dEQP~w`f2C) ztgU?>Mum#BzwUo*j|F&ANJRife(pmj_q&l{I&;C})ny3t!hfPhOjSBShh?LX=Af+Q zp!}z@$nqxsJgi}3Q3MA)sRV#y-q|qjSgL*WWj4>vzX)38hrY)Irp0|n;h=U9tp-t3 zIzW)^T{BQAAp3VYazox}!(&VN=-?PQo|H$&8sG{5P%e8zlAHIn4tKysx9)#jeadPg^4mh08asvS8*w&MUyyP9g};jkQN3hrKW zek>KRR6tkVJNuV~o1yCHTYL?lUsVw2qUTI^_Ye?_A~i>aDvvsOW5Gy*D>5Y7dKkQI zcR8IVwCw7vI49-P8xO1y6!f?sSmih0d0)X`QFj;-ZN})m8zmSO#1pDw*g1<4TgG9= zg6oYHvuFG@mJIm}m1@_RHKdP7DeDtVy(O0rlW6?~F@(_lR{_frbTpRpN*=)CsQ9Vq z0UcY0Kt@M7!I@saB|?$W1YiN*XU}vwTdGfvx>$Q6b>Z^cvEHLH-TXTj-s#A^t~air zi7;XFcHgcBhTF3#2T80TF~wnD80uHq5FE>#x*4#HmZ) za1F=v&!|!T!qRWzqil)mNLUY8zh6~$IJ;_Z;d$$I-B6%9wM5R%fCKzHskF(!yn=q`*4?mR!ZDb)AI-j8?@m@&4zq8(%s$xdt@fH}etF%<;_Ay{gCGn0 z=uumiESUt4UEc}xZNFWc*QK?`?#Uy1cWL^)>OM^R8j7pz{TO~7j&hBBa*0x?oU*2g zI$=-SFWa3|BQ5Q&UgzkQ9(w=f(r#zP9}(dFX8xZ{pGseZ(EWaKxQ;dN&zG}4a-&l* z^bG=+PN!YlLz&yFXR|WWI(NTkUw7Z|B*R1Kfb)CvGy-U@5lb7$FR!lGDlr3V?BPwj zQ!;_V1N0#jl7xY-)(rF79(G%@F@;*?I_(jcAT~xNR3PC-JZ+rn{qTvFC83Jezt}9y2C-2h^LI2tuua__iRfhCH&(!$RV{>*H_= zZ`aJdSyaRMHlVC|$a0I~@Np^^3BTbyH%-*>G7PEr;sE8&Ohn5B97QHVguUut-W)rq zPbAFdpySmB13uT!$~}@UFW(5Iblrzqi1#!1k_-I5Y_4BLA5m@KkHbqUoOBW|z7U6K zQ<&PRg@FdDF&g=c^a^+XTr&bw6xPhYUoirU{8@%`i@R^z!jGEZ&l2YJnPl|qNG;N1 zgG$;fLw@K?aSKFGzn5nz`2Kn6a_M7hH$m@ksi%Jw*AiIDy%m&m6S~~M>Nysqz3+BE z!mHm;#F7=TV>TS5VvD}M+;A53sEZcJFA{K=F#odojN!yGQS83<-&h^twe{$XhJG6j zS~s|8LCmq{xXgK-yRc<)xisSE$-ZzD3K5LUDLwCOq&AX{(}Bh>URiPc3*vI5h7u!+ zBWZ^FGL=ZR)Ia>D7eyuQ@z*YO(mcyAfr`#4E(gHpJnHdn)bATYTJ2gY75IUp!R zF^5AaC(nN0MB*B^@)7TG0^D7Xe%DL&g=F0&dl z)M#z;zl(#xDH2gmTy(0s5^+tkOS+5W100UcC>vka7jKMqG}v>^`NThoe)x^g*~(L| z^@%Md)p~C%0hIY`^B4!k7(?f&8JKdVrDpe+2!Au3nJ{FVvw$mIPbjJxM#=U}HCY=M z^d2nX8fv05X$q;kp4r)rANb`q` ze4Vfg$b8I_~`XC|6nR$%_0hgXVNNmK!LzO2ocf8ZKzc%Ibg5_{UF8E^ycS zUG2soV&RnL_8DcugE&d}NdKdpebOEUS`qHR^LxeL+qpuHWcHfi%o?O09Eo!T;r_E< zP0PYKd|yWrP$RR$iBX8Qhl78jB%DV9+ zXgo^Gx&@?Y@W>%zvW`X14UcNUNNlTm4(B5|$RkZV4AWjzhD|sj)#H_36V;Cv{@e4m z;SgGWPrH7pT`BW#{?>oWW5Sj4DmxtCKx^arLszJMMt6Ei)UCVroM`l?P^(K*w}Y&$ zzssUa{Xy+dsRQpee8--%{LXJ)2k!WiWDVQ=(LHx(-OZy+xb0iyJ~v}RZk`+h{F`=t zxAEoW@DCUH?tQMDHcf_>M;K*#)e(k`|ETW{K65WVY6bb)nXLVu!O42h*H7v$cFleH zQ*jTQ)2kx91{3o4YagF0$}i;Q2V3QRcHH8ZT#u-9&Cdf)gO-{`%|{eWbmZt;`F5PT zCDUdnabu@CRqpNVbl3mJZi)sB@2?m8n4c)P^Yq@9V&kX0$#g-x-hGS8IN9VBiXkhS z_|qH(_wunGxZZAueOO#Q@W15;eH?q5x{w)!c=dYQKEmM3|G==43s$wwo>s1w(P@$E z{fpQAXwrjRu3zhG_gDPedcX?uvw1HzaJ~Hgk9Fk=_-?~3WTtqxdO7=X>D%Y*3y4(G3$HLu@U}!ysg3;{%-D752xNn9p<&Ywtb~F z{;O&dEHMr18;cey4x4Mi|NL@DFVmti=8Z1sEHqD62Uwd=B|LB4kERSuX=@=Xd(V4s z+E>qqo}E+S=gPioG?u8Z&F_ecD~Y&1^$6sXcchmcYS3KVx)depD#0j65kQfuU$8?l zOA>U)BK6)LPF(a!gJ#8Xm1ra#Jd{LqTl;*R_cmG@6c&g5O|-0iYR>r_$ErwTY@#_N z&oaAL&E<5J`cm@?7m|WS2RAG5qT$r(oYtDB+u$QHE&zVd?yv7>n;e_3SSBxQBEll8 zfz|w5-3M_JKbEr)bX$)pAU>M}^4Gtn`OFpZx=w2x4fSQU3rI#^?Vew4+Fl~KoM3-g zT(g#t+AMdxI8PNx`cf9!08}BVVNfF1z9jVvztO=;6tzbW!6dM;QU9ox0b+{&YD@r` zcFV{>L_$c2w?&7-CCIQ*yLQXyKtw`G`~n-`6D--NeY<6V5Rs4)lx=}#Zo)H?{hBUx zRZaBQXqxV=2oa3tPH>D4B@v}zt%m57_6#p2 zCk>!rEQ10AG(#Oufx#{Tz$`>Wk-*@e)tteh=0uU`{~j(%CB9D(9yp8=Bo;aXK!L2S zJ;I$U1evbL{Hap(gw1j?#mJ7UV&r!-Fx)cm?fp4~MFrxA{1Qz|p<R1Mn@?QhE@i+6Ru$BM`^4Jwu0d=uvHdp zbpb=Ll@DxnQI<7xqfeM@=W1Zsl>C;t0naezyNR4Vn~Fk7%Tie%ZH(RJ-iR>?3djqc zI+BCJbWaTn=nYmpl8eE@HKF8&V9#b^W7G(ZT!9!etLvv%!Q?lE#&GF`Di)Rm>qSHr z4v=CNvJr+>jK6|y{F3t{V+b5$C1PL3W~Jz>PI2P0Bnm5&oLQ#}aU49%{)TR~RO1@9 zphQIiTP+i*O-;J?!qY_96FV#@$<|!G0?n0OsX6kZA}((rCUTEuE~#m9rNT2Rrrip zt8_bcQq(Y;q(O0$E-mlcv!^lo#i+$G64d!f9qGfG0uRG%NNIZ12NZ1qLt{!)$Y7vo z3>cbHnqro4f>T~-Spdcq*o4UJv`~A5EG-$4F~bGekcDIz4F!=gI9g>;zXSossbw5$ z+L=fQkAZ=>S>rOg2PL9Q?U9v75&a{J=X#ibkfJ=p3Ar1}k~WozBPW|R8WOoA0oDl` zyOs7UGg$^qP#ji33_?@esXAP!Otk8Np`EM+j8mhkh8O9Wy46-w05aI-ELRCw=(`j0 zSh1FiWSB#Nng9m2C-JTlz#_O_KX-7arF5$wVr^jO};brtn!UMx)mlQ4h zv@)=0VME&`&(?S2*3709%a(NrWQ|HgD%cJt>hK_mYG2uTE!E9A%9o7@$gG4Wi{+8j zyQm|0pJvvgJtp%UTk%65|11+ZiH9;MyQd;F zSZ-?mMQpO58j8$ZR*cSX@ZmPSV+8N#GDX*u7mJYSH&9_{~psMM8T>stm0;W0As-gcpJ z?-%F0U8sYNaWyjR2!MhD>_6WO>Dm4q2ETS8wDqbO$6#VjiYWiWBS4B_5D$JiVp>6x z2vTKXkr{#mq=AjjR$^dJY8+89UXYKb1p7}k3ar!sCl4lZppN+{J7ZaA9N4|`Vo8e4 z^peAK#Aq`)rPM9OiRDnbExt3trnOlVU+zdw6lCZyXV66I5d7y7BJ7Q}VwC@L2_I9_ z=Mv!mT;g(Qh$Al2^XdzF!(?RS@FUjsaTZfcPh_NIAog{E0hzHbHueOj!q|6%I;k!} z0&!6vy@LLvcca4PAtJ~$p3cQc85Z|ibqZM9c zUddVsYkXdUB`jkt|8kuddVKEFC>_QWWR4XkQ}&+KM!-BACvQd)G6s92TsH$`t<7G8TvKnn1_A zw4jL^prX3$^GP-%AU?C)0`QiFSBu(!g5+I|m)BMD+)5O!aBL!1Yb*(2l*BNkc2g(2 zyzZe2YWQubIzt1#BO}1{V8JcFyU+E)EgX4nV7A1WllpCC*-gnVJ}tYat4I?Ku5B@c z_}2&31NOStt>d$+m$tCJ;ZF@&8kRl<%{qQDsGwmV9k#XIXsv)T(|dMPaW9)IWAJ$0 zr!#Abq=Ml8-9S|LnKiz20R_8W5Nbb?hEG|suM_^SMSeCY;`IMoTmS`K!IR@VP4wa; z-JVI8V86FkgU$yZD!L(ff_1CeX$%en3d(?+^dXU*-6Vk`j`FeC;8Puz#SVHykPZuP zF1#x?ZdDL7qQ~3Mikzn7(8R^EgAWWcS8R5uJDhBs0K@%-9iMwMCeQpS`C96&@ zsDh$7P05dyZJ~7*|EB~zSY56(+{mSGMow>R0$EcY)lJo~alIT|h^pr@<|Zr~@$+B1 zuR{8?9mac;e?CwCjK3M2^;fYcV)ouPO`NA@8NOOx^}iQZ{P+6i)Nqm;_rAb`zuhVM z9H@70IQi=%!26@_&C?j4Z@W1_;*T@1{AV z5Dm%tsFh6t0bXH7<+#o6TypaK`%2`0e&m;zQ}NsRCVvLc-h`s#$=XNp|r}y*IMH0SHrwM?;`q zOPm}ivXwo9G8i90FI9kvbMF_6$|TBNk&~F%ynKz>wD?P?{f^bBmZphFudwgXSPE$d zf_C+Iel6bg#YftH=P3S)64{SS>X^W9&wiQ*xN)ma@5|qk<<&t zM=j@}Nv39Gl7pO?9pTou=81%cLo5Q#gh{^!6;@g3jJOy;EE^*Q__PqI=!0CsP$+i6 zfo{gS?AXP7TYsOLKX~V$Q~k7YkrNkyTow3m_4m~HT5o>vO4_*f*uMVH=%1DlKe>IK zJvmLz)A8({dcFtkmemMX5dk!Z%M(TDT+F3LA`A_~u}mTJt#{1;N0{lITNE{0YPXhf z@Bt5Wgjy_FN0d@ z1dMfiOoo`Z@1K+I4*c0x#dF~1Qgn7fl^3^M4F9F{dN1dQ9Rw(tVE=%!APTov15RDN zpO_48T2cUA15iT^*y@CCe)tF8@O1e&6Zv0O?fvj4iQhiSKZt>2pnJetn6QNHt)dcJ*Q!vAV_>l;1|#3|)0Q_> zinH$ZnJwJ&8Do$wN1}CY?4no}nSxRztTEoeVqoeGB6o1s4-Wfepx~_$O{9P3*Kr)C zRgXAcO5Ydo8B|Du2#Xtt{NL5!EzHF)%qK$36p^650NC)i#)3DuXNpTBgy;FJ^PZE1 z98HYqn_Us3zE6KutS5oY2O;AEX6|Aj^Ftf5^v-Ynlx@}k5?}4F^RT$#nEUwS+oQNb zJ%3!lFUz5f>PSQk;;PH0F=C)(jN?U@#2v(eoon|c^^oW8I=r;4<&bc4&#F1q3^qs2 zHpIHhI(XjNm&{n1X$Eq8g%r>Mb^0LPopFo1H>s0&h^V>m&Qle)0BB9(p&m@e5)SNL zVL(c+?_#DBw?eCbQH!cXuNwTJZ6m(0i4SjRUc-V=r!LHrc|gF-9g}ys6$QhXJjx`Q zEnvdRjJ^)H7xB6PXKYU+_>vr5TDHr%8%hOR%S+nq90PPu^_hSBqs(xjnX&*>($l9WaD-&NuIjpZ$c z7?8~$-I_WPM%j-Map!7`NU-Ex5yV4f*NA|MyZXcH(wPKw?FJDh*IF%ktv4ioff9tQ zX)OcnAoZBW&|_k?IT1A&Mg=CPZb!C!TJVZ3yJ84%%y{7N4)1oA5U||s&F3{M$|hwH zI*fDSfdiB>ju9fF9xU|gERfh5_jDOxVU}Gb1T4zCu+VF=C`1mKyLkm2aDY-adSXE$ zDf3{VS7K2NaKdwF0gJFWMwpDd=A3O<==E0^9XYwHXYPOllroS~X6nI0uezcb;H2l! z0v24^RYJgWt(u?Llq#E)LEO#Y()0cS%dG4wAz%>?7J7vh!2qW`hZeB7iet2$=R|j5 zq1ROrh)NBvJntW{q{^-m0Tv@(B*Xss_-V^U`P6&#lPTWlQmr5nn)A; zL=w1M%CEEioolLy=eaHD{IDPG87WCPCG~C~gcZ>bv!mI3x6)?|FnyC5Rj7G)lhH&l z)m>8Ly~Wv!11%mXmTO(&Y2Yki6|s$>q6p(Vi$B4LPGO6^r3ib zlhNct65cBcQAqUmg(U3ItCf)Cap*${#wMf5g~SUeR~R&+keKZYN!XLsDqWpOO-F|TmWE3MqQhhx z6?kDd!Ug$*_YefeOhnZ^X0~ID=-o~f#;H@8qL(71n`R*Hb0{tP(B&O5K0p99Mqo|zUW$+c zs3F`D?V47!28zGBnRFNpEi~twKq88vT{Z$e=58Ey=i0+3t}rejN!M-HXNTwXNsRuw zOm%naYnVlckz1CoiK5RAQPwbyu&QfbU%?zYhEW0{X-KajX0$N6+%b3V_jsYFwJ-t! zl7{peVr~ngrwUV{y@{Sf?%kX}PfIfU+J=8!cL z;Dw%dP!PF#S%mZ&VDdqNR8i_8zze(&l3g?cJ6VME8el6V2~tm~Nq`r4Hzd1g1a`uN z&Q*X7kpxDGASv8=bT+ClcJcLHLOvKg9fjTPy-JMFY&*X+U)OQy2}#NufxM z@-@VH-D)wYNgAOB7_oG##h@m1gc@M%&8-%M8jnx|j3T+!f>0|W)BxilZnYTHxQtK( zJlVU|f>4WD1Hdz?TP+4PSIH2h26)3qyR(K%Mkd&}y={6z`mM=o@_ib^@#Wjs&p;b>K%6|(jdB{( z@bYbI;@dWMh+TXDx%ce=@FLO>HAx#o5ev&Ld1dY^JcL)IUwINdgjb|jZyH`PH*Lu)b3@i4ydqv(8eW_9 zedgYzLwIdf-^aqcOJ14VbPnNFY>^k+WFHMYFSZ5yxIQq%?IX@x;BM4Md8M7zM(s3vzbdp8i` zOcoxQ7?4n8RPVmxE0M`#m{im-@g#!-qD2(2<7#+hTun+X6AuWtrq<-iPlT$Nny4lh znO+)*!)tgX8lF{T02ccs0?6gfEyT*D}#8 zD4){(U6;X-h0Hv)x`w0I%DZ*PV;b8mBO)Zo5A&`DfvA;NEWqrQ-PK?qB#VtC5hc;C z8v!37q{>NAtNU-if4%FrZiD0I7Mv4Yy9$sH-bW9q43I>p`Yw@9E?R|&!$B-CwF}1B zllAS#FgV1!R0QJ&q+JJzgG0PdWfu$qt}rSN4)R77Mx$}Njvn&~d8rCx)Ep^vk8yy! zSEVtGL!o<&1LV~zj1Jj$9Yq`*CL;;oG|1#;WcaoAGLrC2gRCcV@_NecWhCL31{vLq z48P)DMiO3WkPT4(_(k_}o9bT-Q2+RK_hOssU-U#zUQg-0h+G{?gKU7s#IL>=TdBt_M#CldjCc|%1mt0fd1zG;K4?r)HAMMP@B2{ndl(wf|3-Js=jAHBm4YUCDL zLc!_x?3>p(Xdc0l(E@8ZC6=||^g5yW0}x@siTIo;HBN8fp>>%fJf$kR#)+0$%PGUu zYr*LW@5A2bkdb?aDK*X}Q? zRC0|IExDFcR)(zwXOnY`c(+4t-#PA&k+-gQ)| zC6DlAr{o$Ze#d!AEX&v#XO#+;Y>R`vX{E2tVzR`7-|b`g z;wlb4O(Ci)4P{|`gC~>b0%%u`08rJ{sb+D< zZ0I1wY+IH=)V}GWZgp35U66;HtJ_C(h<5b|5IHq{5XTtEk4}BLK31OIxFhP7n2iIrACm?Kz zSxg2jyyh9VkGu?r{j>Vh7_$L2RZ(o;@}5G5gb^SthvFvAaOfQ4Y}S`!Y~Mu(Thh^f zc{lC=?bp}8cANM}5Ffnl#pwE?>xKw~w()_((->V5%wi-oeQmOb(HlXVpzOi>eRkal z_>58ue65HeemGEJ7!J*X_`(gAf$(XFK%ibs)MKy9aP-a6+h+RBgy7-(d>GMFV|WlB z{MI!PGq=rRrWEUFzPxTrIQ0f$Dl}t3VDOF#!!Q-~{Q<%|_|O9cT4HFs#UxdMzg-1} zye(!Zb%Eh;R|t9I%v#r{^78KD^X;XJ%)Y5d{dX zB0vjLbA@KXWzbx%Tgd_#9waFsPNCc-3fms-(1!fElov*dkZbCJ!)p;~R|x@&$S$m* zdOn+!LEK2;T6^FSr7T&?%yCjCy0C`EzOzXgMCO1SPnQ99-{%+yBJM_EJTGXLP0ApG z8QgeA0B}@+T_pr8H?HP+k;ZIN1`+EKuI#)64o}JiMvXZqp5h-`q?%32AdG-0JR<-& z1jDWp0Ty@Fl+PU=}fa6sJhT`Y0 zwE1}z2f3sSqpVr@At1n6Gy){-<17B5*G{uZ8H5oK)H4ErgL~{M5nwSN7JPf{K62UH zYwKuxZ5AXA*;Ko63BTFJs|KH@ix>4wL#LH?p2oH`+AWYx31clJ-Z2Tbfi?^G2uvy@ zo=NPq&Cb({ltvr8u_T=Vndhv-Hp^z=_WUV@B%)_&=Dwq$#wJZhlM9I#JcezI&BASJ zlL|?A3$e_tB3ZPnF-y{%mU$se*e2L4Jbi3ZAz5?rvdFMKo>{m#c+$-imKu?H>`ACz zyUA#BAql&zi2a;dcy?1#A&Jq+JEtK5~H=2fRO-RBHy~;dXfW;m9 zBvUCO7&4F13kykj)?i8@;bDhfXYL2j;|_g_k$75q4t>?)oRkm$`TG6$3-oJ15~4Ij zOV9CdQG~3e56wEs5GppSefaQr@py?|ByQJ>fK6!9!3LO&&=9o_Oq(_;@B(qWUIc7H z%MLa~34=(gGR@nlz>CA}dJ(Wmr8?N~Burv-e`4Lu2woV@FgB)Cw1W*%!XW%G#aS2C z%~N$1)LmsS$_qBwGt1W@72+^Z4dmTG67fI~oYR{_)#)}q@Y@LtjM(|q+cD)GLgy{q|5bq=yh@gfN9Uaz=H=>V1 zvCGGx$a^V5x@iL9@UA53Lx(rVLrIK{D~n!kkRm4`V&1Cj;&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..afd5233976d2bb4091bdaf9113c34ad840d65330 GIT binary patch literal 8519 zcmXY0WmFtX+XS*`u;3EhElBWSi@Sut#T|kZ2ri4e21|nLE)K!n-JReT2+raQEb#5U z?>B#HrmD_!=A7=Qr@E&C4;ks-%RB2d+wkkEeC<$fp+@lRm{OU$#W*UN>c>agvABQy zD#n!Ej;xv*HHk2_#8kc+nA9(VlczvDNJ?+SaY71ME{9J>otMF^SXXm_V82#B-;H+6 z1o^wfUC_BFg}>jmad*AV%S4|hdSs}bpz~i->dnN#Q@cR^HNPunm^D8odYuwUoO6;F ze2MYECI#d|rm9fb^M{r!&i6_E%X>=C@$W3b_ffYslyTsuW#J!j&fpj4#JZj0d#@i| zw~&-A=pVRPvr8hR4<5fa^uxsj^yz-`7RpOD0lurV`!Yvkm9k|c*2-bpfq9wxW-V?| z-({ZWHs<`fQSt=a#`tQ=`F8r;s^`gjp0eruY+R)f9{u1|2joq?yz2CO;2kK`U@lbe z5}#-Vdd>e_x9+pM--jDM_Q5}|r@io7j!LX2){R&}$y9BYUcP&P2Ut`U1}yis&X@-j zyyW|wyTaZF{t;Qcx*Q3?ySK#sy0zcN{dp;6vN_Ncp47J?JT}homa}t@@wnk?Q=SCQ z5BYSzpLX{*(eN2<S5>A7kF0RMUUzVsRoma9C9kU8MKt|ZZNTZS^-lb&H z_qF-iDn;UBxu4fw67QX^S>{|{d|D@^Xmb}j?TQKjJp$->kn^2%=AmsjN>Z5Ho~Iw`kGKz z@|Wa^P3|RkogR~U5rcD%a4sQ-1}A9kv69yMIdFCAP5g&@nmzta!*(dy+ND|GW(`^6 zdF@vEg>c}usOtG+-&2Rn}uq-fCf8%GEAjW$g9( z#oo;FRAua9Tj{F>zG!!%eMG?SC+|Bi-(W@&zGx{OZKNj z*wGQ}`Uwc#F1gw6gAb$$J^B0l_%Hb0W#50JNz{m~E*^kTX9tLNjMC8Zn`XE>*R^@J z`jVg>3b~o`JL$Ng(vMj-F+CR91O zG@Vb=2CvjRN>&O0A#)c5My*_J)do)VxLmgEk&9~8c5LNQ9MMQDBi239i@aHSy?I}I z^em!0WQ;CXUR?S@$5C1 z8z8=fcBZn_EMKG2i#S|?_*UuokJIsv%H$!z{KbdeyT3;;f1fIPO$?MqLyV;RTdz(- z4E_+Q6QU4SS^VL3>27?$j5FO9Mu>M4J_aCog$qCcOgbS6!2srYVFC&0tG+D{7MUx5 zApbzmAcAel7T-*a3X})%hF}lYr^>6k0h0)Uac0A`JpkU%s6Zg#O$dGPw|B+(+M4*O zviJ-oX4t9&9_ftqA!I#2WJ0vEnWCd< z7<7!x_oF#Z#!o}`=caEE-MFEA>tK}T4(Tg0W*$zeLkb3IA5)^d5dAUMQeOJhTRG%G z9y{_<1g&pMWCr+}{)RxWu*gMR3b}9&({wpjs{oT59$V38?9Y@e@Us!}?rVmr!V#kw z#?D`6>B_m7au>5gnpK4)MYKg6Z`NP@H}!^ z7k_6?Foe{M+I=S+ZHd&2vgM;z>VPzhK&4Vcq!>dGQuwGnVTO_6y891nIW}G0sVPyy ziXYaYta|_6#+OJtph9f*#U;xpJS!MdX~M@eL9^`gYF{3K7ksI?K@BCpdQZ_&$2CFN z=>>yWO*Eerqc2~Z7i&76Bb6l7cBUKk1#xjFTD8Q@c7oKUnUhjd2Xy^Zi<1RPkubSl zaXXMPFJC9Nd2DeYu~ZI%T$h=a&=gk6^%n@*3U!*LUwEGQghvXu1AP zy@%H2Gr3|g$tnoz5XXCTMv4AjSm=uRehw0}9Ke34=bb|{) zy^9L4hf$h#Q%Tw(_Ont}aKWwX8L3oP4nm1o%B*sp0)j)c&T{V85# zYXlxl{jV_=axGC-5EFhm*APX?79pG=3^oXaB5?H-+IfjUbHwvC0__N)?U_Y}C|Xvl zC}p4umyka5dWjfBXN=MyT7WD$x~Kz7>&f!8QQe`rGB&KEvJhq1HwY7ZQFd*EPz%*c zT#6%v@E2m|#PoQScC`vW15BByuM zb|F4*jE3~N%9n!xt)OOVQ|vnoX{o>Ay?r+@BJ6k!Oee|zIw2k=7nXsGg;NOQ$Hs{z z6QCj@lh1VJYipiY-RRl}zpDg0U>3@P9DJAa>f}jNt2bdU6%+OM6D)&Ef$j4a1 zEAn|;Co<&V#Zpr6-4k8*NJ)QN<=9?*v{zaQD{1Io3!)}wubT^|FHx-TT^Q1ovt=3m`+^3cC{f`tHNTHCZAk%$;E}dHr~w?ug*S}5wp;j zZyUX9F$+uc3(BPe816{|UL>7mp^gN8WIXgv~n;rSlNCj4)`k%}JPAG89o`DPs4+ z@R??n3te*scgxu)X!a6m*|{ZX)(v@C{XWP*ic*RN`6xx`pk#=&7fC-k z4_2at62YC!qnXay?5`ag=If7Q14rcs##depIY;WJ01UZ3o zjIpm+JX~-iaN)08nmp($EZ?o0yM~Wr!*)(i>`zY`2SIM$?yk3ULvxqfImXjzIFP49 zOM?=++?ji9!K+5eEY;OM^+td3z>B7i4%E7#?k)C>Y)|>VLvMbV`QG`VDs2LCWCJY6lQBCY{cLJ4-vNh{JXGMKiCPmAHj`(<||7#%Y%Bd}_DtGBP+w)-q2 z_`H2s&9jg~riDn}FmE>lKGqu%%36N~UW)Ovh_T5E#72}SUa5Amx;u6DA4scU$A=u5 zg=(@m79*d2^M!!nT(+foj?Goln#D@S(X9PIw54y%6}O`JeKLZhjKQ0bEVJdBR9rev z<8I|giS0|b$KLH?)zPB04M^PhdoaHqQHg5$(lmXk6Rm(5JHgkdrQEB}qGbkH*&9_R zq+&mkqowLg=q7jYq4L5bX+3{N^2ulRos$U;G`_QNfKdyxxY@TMzW3Kbh;sN2w679yKXUf7rPgR8@FGCr6?g^=ZWFYY`@$ z9Dxv2Dwyx4LDEvI1s%&x@bTgeHp5Sw9?ET1yHQJ<4HWyal z<6#O?qGl;jMbmIU#L%&ko%0X1!`&T>mP*4kpXFCDi0 z-58>)Bi*Bs!F_r_D&^FT^!rNVS#y9#6oRD$fMv*KsN`8YxU@stie#kt2w=X7OLP{Z zVRd>D!W~>+|3R>*|9Pt!!7{&fKSf{_H(CE&MpX7*c{9ZI+ITv8S{oX}!{$ciIj1Ce zb0-y=D;hS?GMnYhsw9Cw@;50J$_`0lBVuAwx?q-^mP_5=X;|f_Y^+BAsgFHU!mnnd zCt>q2UQM8?PeJl>BRw}aRUSgX{cQzet!Cs$^)X}J`=>fKfzGe66-MWhTnwCgHp~vS zcwqibjh#4AO@tEHZ*eulGE@f>fH{*B0mECny}sBk3;g!z!aZ!T0A6NeuX3c@dlwD~ zew|T)GX>7Re6A=Wqi)~Iz5@KOfZs|&RmLA_#Lllo>&S9ZPb=_JzMuiV%tUv?sKU4Rth4c(E7nLbZbw-)NZ)`WMpS)bWKea_&ZCIXeY zqeiTRqYa7$^mS70M8rz?fPd5DpuvQ2Hy9Q^2!G1m6VuM81WS;yrGXtY!=iX;v? z`xmQ1M^|}n*qV>cNQBEA9X`=u8?uf0fC~fxMnt`KV+0rpN6QqJx6=Vy2&?ik&rMG# zeTe>>@9?S8_wMK1lM*>DiqKu_XCIsTRn0$cGI0ZhPJo90?S^08QK~CfZ8D<+VeS5J zIo}gB7CHs}yB|)w|KEOiY^O6J9LilfjW3;W-vaIr}w+BZ5a^zZogR(QuU_u!7dPb zr$BmKA7%&dS==IUENU+c zLg=Q9(O}4RjBG-kjW7aC*pkl5M)(i&E5FqK`afjXk>tiHF!IV@78p8$K&F5lDwJ&< z-QKrmWqCy$1VR__-EQQW8^eO~ZXCayW50;LMuogLPHdh*4b%*M+owp;&yT_FhmW;l z*Gl0^h!ax!_NyaR5r78N##T|nYmWJv+WMY?do7%ze-Su(z5R_BSs+TyOu2&J4qukc zGQxrpr=VB~+UuA`3uWz_C9D3Qv34u%=VGTG1C(`P7QhE6&Tx(53QKkAdA(P$1|p_nRp`nD04y)kTb)78q%X*l#lWgEpj<)JyMd7xVS@YG(nKZ+rRHE1 zgYu$|N7)|ZBMhGj18->`V2uOB0_6j?1yn_PY9eUEoq0moe{n{~IbeKTLuSILjvvQd z)F)WsF9d{JE2#o8l#@LDS%KbsOe}g3;E`*r=)7n>yr}_gV^c6A1E=+J6{t2!h+k!%>kIS}YK(2hKA}(2;)~Ds5LQW+1YZ zhr~Qel4-nW7g8QrZl#*K-V{mG_=_jFI0+qCM&_6V#yQl46)7u(0~{dXrfrj?GhqfFl>U4qG+D+yy!MP( zp$J|2>Xf+aQ6Pb2(9$tfuGj9~CSnxZUH!Xvtb)+NX=u(^;y_IB`T;kaR$%__e4`yb!6aUYdPeKI?J?^2ucEMuu=0KXgE?&@pG*+66e6XM~U09kq4!z_#?|ZguJV6wq569*cczKc7Gr> ztMAD;{m1uyk6ezjVi{Cy*o9Omz?=M!QTJK;44UUD@nX#f>;6SqVG}#@N3a}CuHhiH zL|xqaQGCau(f$f{$E-~}MT3lhTLb8j`v+&4GC^*ca_ZcubpvxO)OS+2Str6VgKNwZ zm-AYgJTs?kc|5>TuS$G%tTT#lv2DH_Le>1wXq2%9{)Iv0bQ=|Xtb*J@=rrv;-WrE> zbHyCdMMlZpvB>R~_k?XN^H>Vr8n?BuxBzMO$eXU5KD>mbxQ5KZ(R=j6G`_4``j|{H z%`S^i+;ukm`Y8}?d=Oh-DLRFKPaa5a-^6CZcBMfgJ3K1jqx>I&P@;iRa>#nmE&=cd z=#s7S%z8p3bYhIqq@vRo#MP6vXI6%3ZJC7gHfGvri?yjE?(26m_B<6G5}TuYeT>)CT0Fu*+&w62qv zeC#2mEw4yvOs|Q=@plM%l$0#sB0st{BHw^^7!~JRr`2RI9{D;=cTFt=O1U zXYZ6>6ul8gkm`7>SjgJcjs=2f_MZNM+q6v|`SAW2O??E+lZHW`r^3n&!WgOrB|*vr z)HokME?uo%I&T{9ux%6NdU;rzHC)@fJw_7@^4_!;zLr%I^bak!-=^-!+G~$VmJ$pB zL?Ye5N3GN|{GS)RrBWys81K_4^TOJwiuYMLkkw*robmlb3B}&9D$B7_8q*2){1xiQ zY4Cq~f`>U1BI7c1rI ziXU*DQ~l20!(EIV3p2zo1s3DH3sKLp;srDnr~PKS0Y)iV0RK&Up##FRR;p!Njd2&} z$q!OS2KEl8g^%cn2&TI_yN12P2yIe1ip_iAd?igPM7w42o69s~EFeo(-AgIJ3`=1j zfE(h$?_jxzNqM-9l&-`apWv9Yldn0>P zA8F7B9N#oyV;DzyZ*DRHYXCL`Z^dTkhpTT}_X6 z)q0hVrb6%72cRq>)af#9lpju?8lX03R>0f$fWjRwg*GRkbzB%dds1^|7Hql6w7LC& zJDN+V#a3f#cBJdfCU-?b?y(&^!%YvI-k;uPOs7nI>m43|at@py(-%RU^poqv3Jso< zj~g>%(-s|whj4|Js(d?O7h)%NNu^dbV&;`nob4+@A5)d1FJCKBZ~sOo7EwloAZ2Ql z{1#qmr^obD7DMu`h5z-H8S%67=zNrNeu~_YEfWc)0XzMwylYbV@Wxxdp_#HJnEg~< zGkow@gD|sBx_d;vt1DnZ))o7NFWame&$u`K3xQ6{40440;Q%5PG8OHq@g z=2Ojr?~^4Jv274hBxe*`bEXf>Z5^E{f6mFNO8zo84vfAtv-Uc0#{d89We?tIWzvMY54xwYn}D<)^FL$9@H9B)iPW^$>%YrqdcZL zs#@w0u%57Y6K^7>^LX|w5twk{FT9=DRVmF@jNIa0{hBEISYtD=mGYA-RP-$eO#>`p zay?*WEMi%h=GXwud}5lx{lFojvB_(7Dz`Lq{d1qQ;E5PolfShYVS3=X%dKDi%9dJ| z!=tgE-}?A8=O+W(3J+fo{~HzPaZK`+7w*H-?k#Ml9eO?k%f07Vo={u-xsO$iQ3gM| z#~`KAs-9EZ4^3JFa0jmbQTm$o-$`4q#(2>NESfZS_`AOuHF08F)7`$B+4Bp3AM-%h M-7`&H=%eKS0a(|qTmS$7 literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt new file mode 100644 index 0000000..c1e72d7 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..fcdd51e4a46f0c8aa51679776389f6d6142a4d69 GIT binary patch literal 12900 zcmb`tV{;{3*R>rx9ozN}I<{@wwvCSMj?Eq0Had3FvE9Lr`JVT4{e^ecs5$1bM%DVd zs%Fg^6mYQrJKnjy0eY##T&~B>FATgj86IJ#`p<}X@4~IL(R}QkmTG1m+=NC+mp zK)p@-#gf~<{o5Xp2x${gip5pOJ`Gj3jmfm6%o9_S_5ELOSC7}H@6|bpR;TS;1^;e# z47ay}c;CM+-i8mGnMroP&i_3d!ZUx|fZRvaiudX)d zwAT7_k1br%vA&g;zgfO=nq$A+o<2`6FPo1emv&#Kggy^9`VDr!#!I9M1bav-dEUJP z-v3=co@cZ_JI#H87PKHufZv%kyuI(AS3w1z+d=`vwBH}+SFfOJ^`PgY1+9Mn7uUzH z;oEAfZ?1mYi<_J_Zl`?yxBC4#qktZ~udA8O{)FM1`tQ$&&6SyrpuopRkk%=%pn1t6 zxxmmev5zc8?&tsFxnYj={n+NR8v6ANYsmlU`LS?V5PQ|c7+KJ=oBMiGo)*|e^0o4f z&-L&ywY`#o;+44e%^%R$+4cS1ANclp@_GJweVX&WukkdqajP}^^);zw)Q_94-vZpP zkGBhaSn2L==eh|J`1Ir>{0&AYvvl&&@(QV>ce{j*!tXGtq$haWf+gheIIy(((eenX zw0XP4`Cl-lwE15^B;@csu=MoN@(HQ%e*Sg+37Z(u6=_l6qe@@&7Kw!gD*f&87HN_9 zA5*_Y3Jiiu)zP1WM)FlH)}+M6Qvv}I1?=KNN;kBFH%?CfZXD}L_`U^F{l{5!g7YD^ z)k$6}S+I(7E{KG%#+0wrhZ6exd%r%`2pF1R2+p1c8pzXB#|R$>kQDbMYIu ze5bRoo!?1Lh9EbyD!bDyCZT5%*IBuDlOWNzP+}jmdLYuAuD@7+~!p(yIBcTzvO- zaU!;?=$&+PW$1FA_2;YM*!AQ)bi%^PayH|ZRCPEf%eVY3GG%sWI(O+rWI37Zr-%0w zN*R7nR^`bYiLAWePq(!ip_4F`$}FLpFDs(D;V_i4OvS^R4|^25o<*WsuYfh9x*)FZ zC+3a*`yk%C%&s6_oUaGS3de;EbxoA=v5sM7i6@CZ^FHqY-!I#8%ADPVupVy@!H})S=FNEgU;^oDhrWs=mq};m zpagXbSpb#3Z|V!apPqgvcKPd%cwH^_Q2?EOe-Kt?1udPz)u$JrqyYHq_$3D*Od~H* zecMjX9?C$+a&}RK;mpq*M*Kmq_Md01uTe)H*iY{Zr^nV#S^K=ac=DGiV(O+A>1QSG$6#NO*N>rgOPWqYK$97%=X(uCnuEBy?Tzv; z&MhkXCI3d>oZ=X7V%5IRg_gA2nQQO2taw_mY3m&sZ1NZuUW#ICnmiRT7z#F1&wrHY z7`eD*XoJzpe{2No@1s_i6!R;o6DwYO{m&)@f4Q;y~|glY774A;awZ(~<|V zm7?)<`$HcPF?+9{C7Lm4`1S;ho#eJ1hyJVeRDZl;u>#1!UmHAG3pakQIUJ$lLs`}< zxaziN2MK%G3uaw4;`wyNj42(4Poi(5h_rhx|qjes7!) z!Y-Tm?D#`2%hjXr+@r5n=?XM6f1PJ@pOuAS$Gs1?-3L1zDjs{L zjNMl(6Ti1HaJ9`!|L^`rU6v9h$ee>!+!#++@qDI>aQ0HkN%2%jvCd}r ztwU-cLmKx`E0Fe2{~lKkDlkB<{*!!(>0rR^bmW6zz10Z2ihqV|JEEF%&9895p2^P~ zYJ3$1=$|=S^_rl7dXZa0u$s5Z>%$-qK3kpPWZ3WP@-|)&Xc(l&9L{~EtqNuQB8_v( zY{*c}m5?KZbAoa@L(COKHcc|i$M5*1nkkgq>$mlh#uCJx`qc4iXY%Fjdg21&8v58@ z{(E@h6#hEAkvl%K3lEB{7EZ|N$2mEr059eTXu8MVl|Ih^Xe;D=uu%{TF zF3g3IO{tlOfi$PtDwQXmt5csX7A+Hf(hjB zQC~W2ZNEYhR^q~fmLCrXZc8X1W>qno#L-g=!~xEm^q8BV+O&KPo6`R~EFLV;E6to=mv8p@hLOxD-$K#Pk)51%T$m<*duA z*_SGTEjD4fBP}n{7QEM+I9oO3@@q`{CeuFuWh3DE*DIJM*vs4CnE+oZBUR>ed;BdL`>K1b50nnM4aT0F;h&gc4@u}|oq zoIfQ{)l0WKdU|`1lb`rYMo<{N0(OlhG^vaCGP>!p=+nqWy0`67r$)(_o-XNlPL{;c zoqRvxpYk4a4CW@_+cc1l>CKF^(nUa`F+a>_Np7{*5j+yyT+O>9v{lBWD2evM#Hu2ZdG-|iF@`TgMPDkgATK2t2t!-t+UWHxrehNj>l*&#-8}7 z8ZyMk?&%NH031BcOCK#FjY3=IRr>xKn?Y_fN5=PKE{aB${VLr}g`wN~M%k(xK2Mt1 zNq{zLS_LV+ZK`9Po@rF-?aUx9{7=NAB$2&=EP2@l&zqJuKI%=DP?LISBb5#1yC#uj z6mbhN@d+DqvGG3NQ~Y}RXeZF5I6!<^C4g_|hB#nVwv*O~^(FCF2Hw#=J)}ZJWyW;kMtm2h zO*G)Mm!N4AIXP+j$bMi<*@{g^44T12CCW$zS0!p2J5_vN1!q{+qj8%7fxz~I;}>!| zP7N9-v_`p}LSKi}>ghQ)MHMKwe{}k$_kxvN4qrVzPL9xtH9Iyt4$@M;RSNI3_7BWC zTzUyvM&+POTuRd}ez?4)Jc}*0dax6r<77|t`4{C*n2ED#&T_>SCTo5{6YSU_{eV;5 zp&vUoHm=N~wxG!*Jz|M#{aj6%Ci!EQ#W=#3rUjK}RGN09Cb>4kcBB)vrT0zU&QrE? z83MLcsftQQcyc%<$7Df}86yX!`WX+v_MpRhq8ZtBS&iyLT`ouvXU!@$1xr6V%S7!! z_Tdk@0MV8$e{>PPgwwf5666-|cSUeMs@GD9Vi8|itR&Dd)@UkXBZhZpVQCa9i97`# zk(5Yk-PYbXtPGFfWgF=yXTvs=S52~niionok33QTr!gAoT+ zJ?{sC04oWHQ3M^C1n3Iz&eCeX$y7EYIq4@x_;f@fxFe z*U9Ov>cetB;;(dx+|&p?ApdDNBJiZ@YOCKKT@Pvv{EOp%DJHMX-@$9H%^8ahfUN_A zIsme41dpaLb(EuY>CpXnD#!{o{cfE~PFIZm1b-Zvfo#+hPRxJp$(!T%M4sP=fj55g ze4#xLC#ooYr~e^$F8tfNBAa)Rr7o^y$VZ@bM~ke;xXVb9LieRy&}G<7E#7G{w$o_EFkiXunQ6#vU&T)1fw-CQ zo$EcTsCzZ#UojzYeis#K%zb~EntBL(lB1`*m$CdM({DReT@f9ujZ z=YAUbn^1|2ir(NV`==i9K`bh{&|x$ZW*F);H);o}GNriqsKZS7JvzQAgIWESWiQ@XZWa6@f8^bZyjQ`eqxdVGYG zCtj_H%R1YD5$)y*_`SMsE~(pzOxJQ%RM2Xxm^fRw;c{j)M_Y(( zcH}RD#-Vw8PdE)h=Q_DR1Pr#18l6%@q(L;NXv#gN6k!hrtV6fUc&;i6nMg{{e>)Z9=Vjwd0nzS!K`8Q0NpctoxSV_blIrbBj!Z1-K;1TEoq5abh zc>GAY62aH}D`Row^ifKY!aInI+RmQkf)Ntle;c0-Y$O%}R7Pr`3_@&pvGiHMc}k0` z_k8$fkhKP0nGx&AL1~Rsv7aXvBTv#+b}Syfu|-hC;(5-dER7g7PtIf#S?$z~)h_<( zv6|J^nH6lZ^7A@rcU7o)7GDh&&FU*xSSJ7vEo)Jcjz_y#+u_yKKsOj?TNoA9M6!g7 zFi|tRyF5zLWL4E*4G5Y}6D3!%C!XJ-g^f<;L{RFzv>wW0b%SL-v+Z-oPYao`Xl_mW zHIr~wL&w_*0RuLK>q%Yl2WoPl ziOs1G)7m0t-5g245lwc}e0*wxi=bL?nAY%k&-{n)>+fudYkOj|H;eudaCBBt1ejva zeL8;SO(&b)zHwV--tf&PD={Ng=`}2DkNq>Nr?y!gkF< zYl_EUiT?b><;%ZYv=b>s1QMGH40Qy_?SvN;^vT8NZ@&KFb_M>BD1>fVSj+Z0tcTnMvpGaFf;d{bK{pe^n+-y*4b;z-W~1_wRI z+%fI2vLs!Ek+3urU^a@C4obg^*6IZKtBSMLfAGFRfrlGZFFBI(xz-oHJM%}lqI!rT zft5H)!TG7RNfA_|ONW#-O=1I>6x4tgj=mPE?QY;z3N#=Z_FPJa@%)qw=DDEUBRTCW zDL+dRMg2NzMT^TDiaK=+U={qPlzug}Vn$q3Y=@yjZe76<;DDFIrRyNKm)M`mrHlIv zaA3>fVjI`!en8LR!aLI)+aao;A9DTq-{kO?t65>Yk%Z+Zz*f&xb!nmqQ9(dR6UPps zN+xWUJZlkJqPJiN@vb@A-QasV20s6h&W}BalW}B+g3J>X-j2F=d8sW<)Qj=yOs}Yw z{Z4o^=RBEP$NJe*fR6iX6mNm*ea48$HmWIPm9j}(QKuNJt=`hBo?2fms))2Xr%SXs z%Q*aaVU!WY2YC$R;uJccS@U1VO{o4he|C^PSb)7(+`dBNc>=5H2e+%aH~aQ4FcLQ( zyqX)XVI_WdQkZBjD5MQjR=W9MQRN=n#gGFOfP?-7`m_Gudi;J`JyKj7Ncz(`kwQ9x zFa%Q4Zp_o_8chxj3YNKuyMe&1v}EZTM~+4c0$c5^&9Xx_gnel$PM3nZmJQc3qJM3; z5n_2E`+NRPAqZZwCJfuYa z0TUda{&Y^xgntw{ubqW3NbdI(&_&oUHEtY53qEY+PlAJqhLT{;qk_hA%HA2J*yJrF z^-4#MbFZPVF+*{b`tg5K%r?hPrp}rgeEdUj3Qk;P$lS8AF?_N4R&;U2p_1!55gM^qLtkri8EaXjW1R(%5N-xOxJWAa++ zT)V0{Ylqa5qsclKTEo-}f;M?VIqno5$UCfu6%rnw8708a$K+J4kE8n{e%Jeu0{uQ; zA|9VHrJY;sil`zRa&{%|c^)ek5jV$)&1wpUKjDF%mD?R)RbmGCcDhao37yF=CSU6}y4614>Dr+jxbW}i1qFRNcE;I?{ zBq&z@fC?IR1hbi~w~{?I4TDZx@H;J&RB(fCRdMkwj2v95wOM#Tv~Yg)l%I%*9jbIr zSOU~%DYY%M(JiRKwMeOhdz&iM!}3Sw)Oy{8b*T4*zU@njKF|s2Aac1B935UO^Ik@# z8R_zD|8~CU%1I-(miyyazS^yOVR{?O4}3#rF0yA^K~?W~Eh0zln_50^uWhvDp-dtD zH}tY4+{CkJ&tk32ekyQK64so$-qFV=U^3`vhk|2QaN}JTNvw+##!a_?8Bm8T$D z5Snts(_)jaIeB6OFIWN{-lyv?dBTdlDn8?ZvP)trNTD!Fz$k9_Zyx_nCUbW+PH8Bi z4B#`(!54J**ANnzu+cik(~uJ;Nh;D7j6zd{A&tsRK0%!G59hzK>*{AeuBw%hx{^hV z=eoMLPl*Wu|3Z92U4$t;|GMXytPD>%p%pVMV-1b2?nq)3qs{6xDpjbrFjRY_+0+-< zRDP7e{0BFb4vgvG+5!wmL75)`5rM8I;9;qp9n2o^cX!-i;1!*St9?KQB0XcJe6b0! zJqn*Q&I!!|CJOncP9r#c_wX3wz6f!pT}jnIgI>n#`mIk@SaipyC9T^pC z?8?0uFD{FsPG{R-1)p5XFVKq3nbw>S&o2VWVK)a?395!>oukJ*i$f^T68DZzMfVB{rsoLPn{cr_+`u{_g2+k5A4z}#?`lRpkSz7RV6Y-Dm%%#quEtWSl_a8IzM5xo6U8a zOHXoJZ{nJ#!@t`5;TkAlKX0-+!$>q5ZEwks>sUq-Bex(K(y|KorI18s^N-1g5@VjI zL;^>Udf5Zj=W$P^;^qTBw3iBvreHrsKmDjz7b(GF7@RaxXrnTm=yp$fYw8Qup`5Gv zu}j>S#(dd1Lj_|-r5_h<-8gGKDfss0TUk}fxKg@@3JI=~nC#RKN-+L1l9)r8CF5e1 z{~`%2?3+TkHSnytY|{HQ)ilm$p38|5B zai)`gduAiT1Zw;^lu38%@j#02pmg`L?rexJH)wD2D`y?QUl@n|xf(cr5|=IBYFWUI*7wtwhzJJ+*U`&we&cRro3 z5B~H-HBaLslRXaR!lic@@#Ayw&v%4lX#NH&s_hhNQ&!9|yP;lA)7C7b-=?e%-MMCS zS(J|C5kI!aI28+ZWd)exLVpO@#Pe8d=UZCg#+|xpya+m%Hyj2|XLVhlCnJy#4{%YgpP6h>BZ>R( zE!m}?X2PF?%O)KJI)54kesvj&asfdk1%g37lvwocK^j#^YmsIc;QCc%ZTpn5 zG^iDZa)U7DTJbAAr-|D14vN2mt$viXl#-JfBL`lA@3adL%Znz$CFbK0^D=(T*s`W8 zH`*a^TqO@$Fa1Qw5f_F$d6a}BJa(_+AZFGOR?AHW)a;A3@`2Xl=g{2Ls)s}l;@mBW z`q|j?Bt$USSBJ8j)0!0Ohhy_v}-pJxl?YQG=?j0Ww?VT+Kv05y&MLcci)Bgit- zUP)U_1WbxKJW)gmR(}l9^&MuyBH?C_4Cs4$tPgnGI?$80l|Wbjmr{G1rPZK_5kySd z#zK3@4qjeMGVNryQY|EC!zdJ{QZ-$7qkJe=DO?5KTZ~6 zg=q-@E4Ui_V64ScTi9amWu%jho1rR|+r*1okq7yndRM$H-2g^uTwJ9qT;F);E}{;+ z`4KvAAN^;_w`hVuvh&Xxj_M5`{oGB5_83!3j`Qs|_HB%Z>enH!CT6}i`?f2088jo# zJw`1fP51OAJ_gWzIS@K%OdCjM*m_jHY=)zpL8ilqM@BrxmN zso8Cw-F^FX|5KnE)5yLm9tAmhrc;p!CaNdB={+yssLEA_V<)i5{$%3h?ZaHcBF7L{ zzDXtcsPX7obieN7pxSTX1_!~$8xh_CY0F(jut=Nckb0&CFnrN}y3)VizE$x}ln2G~ z6Nt7P6oXZ9VO62=ZD=R;7Hy-OjlQpC`(PQ3U1RRr% zdg)P(K6nPFkm*M;3X{`lKAGWDbO3hY8BTk`AknnROzk~TmrPZ*=>o})xrpfc&jGQV z==Y1IVXVARQ;gHZjhjiu-RO>2mAr#LQO_Jvxh3twC>asOBpp`KkxpA<^Tw;zs9F(%S$m;AX3cq63*D9A#XxxK2qmpzfy09$_tn6^M>bGkzp)82L8@C+0ucX?Dckhgg#KCt`T+KxQQL(DTNfX)^pPPUm9^Tcd_mqr|8uJxL>e~*4e z&L|KQ5ZjrQuawhlq-Co3)tV!JWco2fALoJtM~A*Hj$u(j)}$NH0t6yN2&n@d8mgI4 zh|D&Ro^UcTs~W06v-(4Ix-+B~M__&6@{p{P)aMZ}X>sYmLnc_qetSIc=+EYS`tH2B zwK?sfU;G7eYs25o`H<~-TuxE8$b-pv-QU&H$sF6t=sqsP57an>5Kj}&#b#!7@_*So zl|T6fAgKJH#0O>}Zz~5)BgK5WKncQgAa+}K=?$*+jn%)cMHfn4MOfWMrlC{*K*nMI zEuUh>O??casm2rLsn&b8%;lP9#i>3-o!w=4;bz3NwmvSk#_7`NaoTW2k=U#9Xz0s^ zThpv^N0XpQ*d#uk3JE!Hj|BMjjS!QI+vVk*KjCiLItBOk5n5Wlerw+3mb$s#8U_fx z#=osPrGKFQe1llHy=QQ8L%cDxQmh-arG?P^{fb{PCsH>#Ob>%1aNg>Lt(%{y5(9IF5+AX8EA7vPPgdk;KpO&9>yJp?nYpFUsbN`&i4Pkk^ zV889-r?6knXTYKl7#)krOEamuz3_FqsYrF$;9K5Fi^Xm1RqLY4USeMB-`r?g+O0Uo z8ChoCd15M0I1GK>q{v#ZcT6-V$*9oMuopRvI3+#X;&v214fMXd*xZLbW&YxCCt@|* z@VpvFQ}GykplhQD^7(MvF~Y_cOSK6C9n4`AuQ2*%v~lHlx#x(zU@374C=@GWox25K zo*-~F$>dz-v1o~i;KUz(!Y`3~TM=4qVoyfcgZWdwUL`b!K$kV2Wyq7h#~oKQeR-mp zttK=Vm}?zAUgK;mnGs25#J8u6bp46h!`#7^_tx)B^*hdvhK7N(*@uTR{^!9j@GqgL zzM?vNwHtixmyFx_yM`cN1TRrx^i#=D|=A0ar9ksb2IYU zA7z#OY>T(X6jJ&29mYaZ%c(LOUm!8_t+J>Uf7Q`CqoNE(s{}rv1V!&%)aG zF?JC2RwI-;E+|{yFaW7o{QJErjCa3MnVFQc;MFbn6ElWqD5Th$2iArsrlHwDQ60*y z7}JnOsWAady+7d^>|>nJ)vwlvU+<4!6PIksj2-S)#a4*qE)s_LG_r_le&6Bq71eAh zm?my1+V60$q54ZH^7N8qHqh_T_|9ED1nyb-2z~sYpEy>_p{2(5w23$|cJr@C5?fF{ zDBcazImPJ^kk!lm(S4Bfv5v7l8Euc#am?RIOq43HpHgO?*9!d2Xi z)jAv<@VJJtOHQC7&45#GA>Wk{CPOQ94!^3ZiWAcQj?^a#dmoLPa+cY z&IG}q{UWOf>RL?(uGmR=XY?6rvg3^@pgbVeR)->WL0!tUG8-SKv3Q)aVKCum9|HA3 za+Oy2u!_~+%Ivq|MTNuyL-`5xa5V=jg2lBmkg2z0eASTu@b&#O0-1*e5e*?PN|N?E z<8xql7dT#v0yt|z(h&z;ev~SDX_ttPRS2W(a?z1lE{Eb0 zS5$a!P#?4GBsI@X6N8LRHeOCUQlbW!@ROPSL4}1C)akK6eF}k;NiC*ye<|m0 z$xCi<8l0O}cQWybNO%pvS&~oxnBYMqq9A+LiWMF+W;PjMmbvT3tkO28j+4ye=SS*B zrcl&~mEw0&k3Fuz#;{|FKhhHB@`0<)*0Ya=+YQ0$^A~KEkk&P?hs?y(Zz$fa{iP8O z&O3Qdfndw=&s~Pu?7akSWHe>!%rZt^L-{eAnVLI*=3qQ?KTKysN_`hI zOc)^@V*nPBf-z^@rc+_aPGUK!;t4h#x_bxb5-KCr`AlErl}z#E^P9q>v$n5hDoVeS zvXc#2-J}zOCUSL!QOnc%F@=o_n$~^USG5K~$eAi=51-1L-Ui>P&OqC;Q%T*8vcPY2ud-RF_Pt89S$Bn zvjVwejA<*O)w$Mdd5{D-fJ6coV&B|k7XQ^8dUX#DAvf)fg`sF)l!q<6F{MghT`AYS zWMH-`S7qR4?3KLSAl1LHlRhfiP~TqRK^aJ8SFBYNO?;Q9u8Sdng9I?ZW_$gc`L$Uz zYDbS}yE9DKJdUtM4BEW3zWOWwGVP2eqyRVQB+7AsGvQNj??^7nsYp|9N+9ewdTn%j zWo&KZdLQ3_G&X1wfgBeakU*64nFXKe3&)pb;5rAgWQY|F+{j%mO%tu~r_i&lHPVD8 zp?s`s%93OZ%@C*g16FK#>A4JaX5=kTlr6;lG>SAUoZ!Y5i9C z5-o~%e%TOktis4QY{?hyOdT%F>c1-Xle7Qs5cR=oQ5Ye}BzJ$ox*B+w$K=DJru zUPlqtHMSd->(@pSSoym?)7&5!_3@Pt=>bFV&tW%DvFj3TI*Z^)28-FT(z2Llrc(g5W2>N2 z?zNy64mittc(Yx4BLz4ME^wHoEw_-Fk#%A{X7(q9nVATPC~jMAteiHpcDCe@Y7p|j z;Za;72>Aw}-%K~ttMx$1F54GvX<$5rBP->pbyzi;Q1O2fR#^29Is*BU!!RSYnRG~5 z+?rMdrf2+hwnW*o3X!=UygDdd&Zlfrcf`sI(v(YQ%xVBQQ;jSM%q{iqpC1=%#f)!J zx&!?ku3Ud7dq5s&cYjgESa0{ax1!68wTEa;dAan)26LH?>q>G>?rp0Do zJmpLcvLwiaC4p&Qd8b&;I78)HgJ0b(AKPBI3#>n@XjN6J?U!Dc)@i5#V?A$5>a^5= zYRc~wm&?oNEpLJsm=zieuG@!|)ho-B8D;Gq6k<}IevbPl>erz*uHGhZRg@k8(^WHL6+B6^)AUG6Uw zN^ZS@`ItWeWTmC50ch3**V8S{J1|(2;;*fH5k6}M`4!KN5n=o0^(~N5R;zLv&Osy@ z4ES{#lN8bJQU0`Ds<}eGZm_os*!G)Y@ebn(zyZb@S`v8}(~0JpUNcOVA}a|ulU)RH z|6(uCD=ptP6NMgULvi@G9^TUGQRlSMdg8kz_%P)}sAoG*{m5-wedVD7Kl@nQGIS*;E7){i?YZnQ zrKJLIQy1f3ol6Jec!XL2DA30c)dNwjQy?D2D{kyFz-_~Ugvrw9EMc%z#@r+eW-XP` z3rVGPK|?7*oZugvEfR8vP?gi1DJ~y8DSq))5spU)N+pxE#lJo6bwM3wEp_Ilb>~!c zf|IZkdQ_agX@az+yAu({urLN&>u1gi9mmsxRz^s!H`D_PhOJ6at>Z z4x6E~n9$eWBz@K?$PQ-{b0+Rq)8-RL3BjtF;|4uwsgDSgQAsREcvvm0k?tIDhlG&r znS{a=8~sQbAtJDp%c7zbaztLL41?JywZ$GmdR9mbnq=qGz`ykI;b@8>tXG3mZI9T3 zcTt0sECHBh=)CPxAXfQbd7Npe?C7(>6j@30_lvKCFXV2N6F#kNQpr$3oPI97NLlB- z$~_!%!W})P_9Cj+^TWs-7s;!A9Cqq!mv|ePgUD9qu2nj46Q+O=jOL0z$DX-rVogYx zy)(PWvn9-O(E3Tu1I8zEF$FMFK?!Zl`<2`5Vpub&vNC;VnF(;CwyllJvCjX}i@F86 zptz<(sXnQ@m!jVa|Hkb_v#+bjamKbg=rlA${*X}P964XSWQr+jei+s#$JL|i7H@NF z`hk9O4_M71Fbb_>l;1M2zkBy=FN9Zms&883f$HD&4;PlJ7?IwEA?NI*Q2%p;CDhqR z_Mbxw`E;ZYoVCQX!!nq%k5*TEv2zdm*Cf$Eq8ep0u2eP=}G4G5SZ$}CYAh=9eM zwUG%Kce>k7fpVZfv$CKpc#nsW} z13gl$7rmxBJqFt7d-|*!832EPy6rI%(G7K8`HbzRz?>NgWe~j(o90W(v9o&al*Puy$kPmcaG=V z#5+w4wl@75XUg{&IQ|B zAJxsHYr;;SmbUixHzVig+t+umM*spJ;G8u>6>814>2jKoZ}Y`L1@ho6;iC7ckgsmp zo^w1M>GJ!#gm+Sr8lY_HY=TYn6I6>|$sAt!E%kO72Km5j*v(mQ>{s2^O7mjBmdj;)vRghp? z`)4lC{R_R`0tG#~c}P0Q);Gx$J%IDwYHNB`XUDVM{jD_A*)u@6u;cO38QlauAwAOPw4eb%Pu>)9*0XK?io*H^EG z=b17@!uw0zTktX$0)FqKok|<;mKSl?WZaXKJI#Q2`1|3R;gO5?ljjQ`ulrS%=F8rq zj7^nP03pD`=fUyT%P-J-G7X0brgvyPAPs+g^(@I z$KUqDzTU_4PgC!~jT!%B866ldepZ_ouTP_ox2w-NtzM<~{n;Zx<7u7a*$i`jG}!i` zWr{HZ9n$o%{jq=gBriUBo`M+aR$82rKS;@~!^I~G@QU2X1Esxbx5kJ2bE`p1zH}Z^?lL$k-Jqy|UF}Lg6 z?9uYgW2f$EX9i&gAAfn&Wwa>RMn+~(w2w~a(TmgT{zm#u|2-!cCr^d0n}>rlmfzJ5 z;3n9`n>#x@Bk&zRV-j&5tv9$UIQwGv z@ojmB!|R8m&tX5tt(tb5kDdGV_Tk>@^XSf?zklBB;}sw}crZNtd~kN>vW$>H$K@6p zzau4B7Bjf4k&la)OS?@+$4R_s@=orJTkk1mzU<7PmvFKtxP95D!=&yquiRR}B-p>s z|FQe(NRV@CI$Hdo$PCc#qq%lv_uSno-E{l58b14|;gr+6-sJjtdihZOI~lQuzenY9 z`|#BB@$A?V4=@0b}Qz)sd5g>%6Uf$NSTzX!8}KnzOsTJ4T$-ZmlLa$HNyN zZrI2DX71kbaPDCherivtTfR0mosxG?0u1y#=Fyw{;&c4+nY5 z-@S{r2)%&KEc*6m_xH_NtpJ?cmY`bygUS78%%ZA;s;C|aGsr6Mtk;P$Zl|YHJw!x? z{i-bfukL*O(3_s#$~uqPeZ5)$LR*H3QW-B-hj-A*4kfP!e>L&J$zBNQO}cgk{N$nG zb+w}93&W#147JzC7uWagEtTd8-HZivJ2(H?>~H(efLtDEYJle+*m0V})5~GMg3jq3 zA_4~oCl8d%n_l$Bn2+a=#zz~c^q{iy{%OJ2lTFI3!HUvslC`hmRb_p*$IZVrb@^d^ zHiU-Wj;@SzUV?YgZ9-pTu1n)nJGprIf2-RGK#h(c=rNe_ck;jZ0tuQ}gjo%~N&0I4{1Rqq3ua z+y8iHoYC7`$;(+nmbf9Ptl6D*ZHy9d0L3rBiGoL_or$nViY33#`StSfg z|C-KoYkJsWKhR6a4H2O2+s;wM58eg0=lIy*pZ7>&-wk^(2uSAQ=XtLR0)tzMzBXM-L!MVmAM*7t_Idj3Wb*L8+&_CD5|H&E5bqIY^7*V0=z6Fm*59a! zGh#dzc@uFx-P;W>>e<9*JRGOr3u?5TVA%4RLOxvNJ%a|3wE1MWZfvx*R)~o*__S>9 zfAa2pGN0D7w-9u0ZU2{c3-?%5Y0t{LD1l92zChe zfGGsm>T^nFx;(7uL$irZPm?LcdAraET-d*|W8^ZhkK3BQveI3Mj|q%1v9lI`0$px_ ze(i#LY=I_ZG#O9H!4M`ghL8nb1zv||EvB4=Q`xZWg^PYhW<_F+7>DET5^%QZ;b9W? zw&}S+7}Kx$P6W>k3M{>BW52R_SeI0BhW7sD!@BB`ota(@YwDVvoqm}M%gU5LrXLFA z8iHMD0CDpt)wjVILhPd{bk?Q6RPMXrwDu{CAx)Xozr;lSOT1bx3tNU3e)rn@!z@Ou z{^@64zh8Iug%NOHKI1MlM#TCb3n1W>q0FFiApwhwFv9t8yuVFJz+8R+nL|nh-iy`6 zD!ap$l`q0(W1j!{PXw4UA{LRKOTNHjfZ}{9mxZq^Et*dvN>XZbs6tesj1nLKFA~3v^8&1U#PDQ%A5Moge+F?60rg8~E-MBuKJ`|;zJ|&L$a#lUs zB>j)?@ihS8T3#nD`(oiVsDAF>6 zC@k#u#5;63GfMa@-6%Tclw7La?lTP@RQ(RY)^iy5M@lR zzkR`tIe2PNj32NVYUaCMBP7Lq(jw}g@eV)>K+7Wy3elE$7Z2FjG7&>0vPu-9v$@N+_CFXIZI)Ge| zqNdN04q#-wl}AUco<{EJN6yV@#O<#VLp_B3A9~>*G%-04ZA?eZUe4T|NOK-Zjk1w~ z*xF~F>(5m z+~d_VV2_3nKQ!~Oo``y)ZfKew*j7vPA%7AO#M zEI9p!e1{B399*w4J~WT<|3!g75_~iys3vepFO9Gti`TI?oD&WpUsNCN{^&ix{v(1Q zw|P`M;%Pkuu;(X%Bnh4#?p7AK1l-&%Zrb$lcWkWbc!Q)0=UVjgDT){tVJSFXwU(=- zVTJafC?Elgj0l?C=HZ8c5TIftFeG8qp*<8^MAOZHT9H`u^)38UhKoh~ehD;V7fBI8 z5oUA+9=BHMAy4`cLyLd$wKQri4*twSiL@cd&~XNTf=NFykwP0DC;A!VsN83Ydapb$2~Z8H-XJ zJjF+XP!_q`wPqr|X&{D?9g!gkI|>n^*yQO3K;(1sdeG-qL~Upo(Ll-Ee+$Mf}!<-Sz4DbYy2nkSfoi+W3)LKk=08_`z!=&-9ey)ZzS+3UvA6@A(fRvZws?U)pXU7VuX>EaG5EXjTdcK=b zNvqyn=6aAUizq84j54c@|D#{?7)S!yG2_dnaN<62$}Qo^J%GtMUJlMdR1n0!0A2Av zBGDfaAcyroHMgQ4D{}Y?b*~2_E>L$u5#CZX^X84>`2Tay>xX*)(6a6_+o4CUJ^jl> z=g}ozKsDb|O8xl@7tC){{$Ez9aP|8GjD^{&fap5GHNx3yJUOx#W)(;unF^r6;UE7q z_y!>`d*Nou=L0oKiJ*iF{|mqT4^Ch6f8c$nLA-8RG4!`7asLPh`$u@l|3Ux%3P<`Y zJc?2z1AhB0MQq=d1Q1>F`@zVe++9Za?cb1{y&v2Kiq7~S?})!divJOf=_3=R5^XU= z_^)%G-co=;bz}dp=l`0-!tt+3xOMl^uE2!L2FZN>24RWo_R+IZZIZi;*gpmo8vHPz zh0I^{Z=eCM|Gx(Ozrw1g?=RfyKe)sH7jEs^6Z=nCeexMw9c`6;Kc$kV!#1XH4nr1& z43;s$SIs2~miZWFOG37XzZ!oeFf`zl9XO0cd_%JVfy5;R=77sG5#(iRF*JN0mPEnT z9YKQBf5+1Mp}(Ue+^s8cbOa6sv5ywsZa3$zw9bEFzy^xi%`e3jO`-7I>@v=+VeDSb)*H`jI;Drg9U;S?P zF=r14KWyQU$U7y5unUxx%ikbbhLM#O17Dj#rQMiI%#bKqngoI*s3Zh1+^YSd!^g6N z8HqXK8{C$|BeNu&bYl|XY~NJ(prT|sA99i>T_{!vRv}3c<%Q8l%ri?|hY}g4L}7Ie zUVFu1B}LF~7KP^cD;1!?&@#s;kt2g5_bT^Y?qVC~NvA&<-VJNkmC0VdPnD(&WH?NC%vH)qvIX9n$3(86)Y% zkEXFnld0~q=-|;{tG+`@BP9NBWS*da<8bVM=qMl>I6o#mBmdw0xGRqi0GuDY0_Vrg zN>bq}9!Eo&|Dn(SL6d)=je)obIQ0y2*EDkVkJ+?}l>Z01VCr83Vl8zZ)x)=+)&qFBlTb)QQ<=g%;KjJ2W-0Clw;U94SeO%tWZrla=M+hm?UH$Q5 zbdx?Kr~F4nkdEXpsK9-b$vK!Eo8fB*>m4jXNBdS|bM)k0XGt(YFlKZ?9-=I*r#FgK#LSm!pv|LQ^%Cm#Qbq_aF61^V!wlxB*EL zCB%J1#_BIa^4YsS-PDVB8qW`M?)GW77K`8TCr3EX%n*xoIKT-L>{lRxwR=Kd#+~|X z{*1<~*XURO9oCm3FQ24ajr3)JB*?vyS6m>U<0)bAg)@o()hD|U!1ClMp`wB!RkS!# z?Sb?rWTUo+Ev!t@mHNS@y4jU$xbI14yX&3W14cNiLOK|Yj5`!8j1`Pvpz3cBsh+C0 zPcHMr0%DT0!_%OdYlH8%Z;yHhRri~pcw7m7b*t@e(FR-|PmX%nVe#-1W{C}hY%WM6|&#FSNJpiab;f`~;8B(7?}0n5MB zlZYamfJ)kgN6>^Aqy$hBF?qK^SuDdNzx>RZSaJzoc47Gy8GB*FXhPXuVjz_vZkUrb zP$-oiZA3dqem^{bWddphYNS@av0ttkIpGK|A(bv+`6`DFjh2WE)QA4XsaId)SYCq( zm71x}I{?7Ky!y-rspFV2u?w0xpDv0ltE|_Wt5ES9E}c45g=d_L5pkxO3U#KL4tUT2 z4+h`?@|5~I>67O5d?~zXib{CeuFKxY8e1cf85FMOZktCjMmQv*2W+Z6Cjl&mtl=RdHzIEhSscX%Cq={>08a7otNw|a}_>=Nu05UoNA<)%W){vKBk zp{cK>+J1O~EV-oJd-oeBS^4vu6toX5nKH~9exm}8F7xL%Rl+iT3{@`I{_c*;VHk>> z$e*31?)_Z{xOrQQFRk(R6K(IuT7sy9bJhxS(cU|J0al2(DGi9M=Rr+G@2yl>zS zsI@Z?{&$1r)1W4y^JF0^Fr=@SeWhj|gnW8FuV?!pg1rqf)$`YPAc2O@BB3GDzoux2 zG(nl3U{L5!`a}uJkU5jVC$L9_4kAo)eherkj$yjr@lS-y4`)_ouz!h1!Pu)Y4-fYw zrK`oJyJp}>D_REA2J27l{W(Rk_eWebw%0gys4ykOf-=~Q1a&XH*}BDri(>n#yA1}e z!9YM5!hFavmpD2pXyk-vuXb!fi2?SESEXDZN3l%;N72tb#GFc6Sj+PgcREJ0>L;Wq zt+22s3{L66%*zy8y;}+$d;PePnY|772wBlXC zT~;zwQG|FC5`KEr7OKr5DpZwyDQv1Z1**7T^N{pO?7yl%6S9x~e(0wOQf;sDbd%55 zNR`ib10JH?^7$sQ^7)cra`Fkmx%AR#Y6EyY;qoQdYy3HyK_tTBR}-E{ z*_PGc=ix%2PEpzD-t(+6UmJv4U0FH6kE>*Jo-CD$-&T^!I~z@otLTraLZcd|6c7u~ zH1`Igl#`+g&1Zvi!eS(X3-iW%caNw{K)5mRL#Ymz!0=1(@RvF9Rjz66oMsxNse)74 znKEX!EPff_DEgBoH0r4CDaaW0lWYBATg9LmZw!>GtDv5p8(N8Dj;>$slh$%Afjn@e z|B)xjJu0n4=Kwm-X{`8Q2|-qP=zBeu;IN(0} zNhg|Seh4g2>b_F^G7P-gVcis9=W006RU+`%NUPj&29s#}Re^%DB~Eq>|ELK%qw%K_ zaFa}PA0n-`4D^^fDZ~C(xwAeELe{vjuhJg@H@g{B|M)PL7`dPE!@lv+{-_a@_9
eBwJ*a>jT4{n1(U9g+;OBPX$Dk%Fnh1 zl+J>ZY{U`bDRUD<@6=~)-5Xv0K1v}@uM-bH9oN}r1M?TD%q52P7hiDJ$dS{mSG3mq zrM!wsGk+H-)!;P@{935I8n2vgZVn57yA^3|>|XG-SknTB#p*MTU!lW!aME|6{Gyx$ zt~+%$V-j=J`+@zj0t+geNcfEuoFu5}4Iwoj%!=50^Md6L0gFaP<+QWW1XEbmYBsHE zl7eNwShoLU=MPQEtEO2OUJgJw!QKl?*O3Mf&&rSBY2ls(>s>bCTr7*EH*>I?@yQC? zTUuR0EmrSW1`Vk%SX)7rU29ffCoK9Umb77%%j`AigQpw9s^(KS>XWrm;H(G<^EMme z#^U0Rz*LQcRW1?V1{=~XbyY6a^zK?vnS}FVz_&oHkZIR&Nzcl!p=$Xql9s*u;W`&J zdRf$rlz`L9eWQ0xb@<*rnxF)$TaDYeCHmU{_S^UGxjFP~bN={^$SpNFl`H4ol6pxn-uGtbCS_mwEN{CER0PM$AfUFS~VKhgy#|4?x`&2M- z9N=i-hd&q0)qaRnmZFzVQXFL|n2XDw6A{)jFNQ?4>}A;zR+%4}ngSzO_?(o5M>U|CS%7A~|6ciF=1SEm#eF=xnDahCJbFlUs$A8;uws=QlJ6?DA)1NQxvFHj z6bLIlf?n#1&wn%t<~LSuBO0s}hC_;&=>!R<8iq7^VI3S~knb0di*xQe&= zyEiNoz&D88PU0xZzht9JWT)+uh4M8`nISH0vU9*3+yp_Os{6qH%8_r{VwAz7;Yafw zKuj!}N&s(0TWCh6Ql7l)`0{H?{QkmrmAXFcQ-EOTcg}AuD+kaS@amK$Ny4=AGvMF8 z9Sc!XI_1R2{~kkk4r~lmG#_q{UqPKjp4@Y*guHP$bI1$Th)gv>y~K+!4}|&T1JYcN zA98>aJaVHw(w7m=Y(7CJ293#D5IV2jtc9!Mxx^>s*wbZB0!J!D=*N6{QEgM3sI#w; z*kv#&58gkCKtc@t-uC?UIa)%$Qsk_s16l)KUiDX|9(y@Y!k0FggfIQ&_@26%2Rm+< zZO+w9r9+PnriCCrj8<`elVUaU=CaHbN77J6ny)Ik_m09z4U@ zw|^&LbUN_O?WgSH1nhZ26e%6u3~A&AywMrAKY0*2xU{~Nr@rE+1{y@HvQPRTrWGI& zXWK2MUO%M`B=%5&C2Ra(pSs(#^7Kj_?SwX#L(^&04o{hIW zel*c}hbJ^t#c0>EdBYYoZ**Pww;MJohlLcenQH@x>e=N?=B8~BBUBrS-{s;Ih+pC);+*ill0;M!_=A! zDVSMAk7p-4nQ~?dWxw_v*a&DyFa7F#2nh#xo=Ejegz8dhBXuZv7>EkL+}Trl)YG$- zI*zCdd;?pxUuetdG@{PGW$v8GpUGNSyy7xw6WgWZNqp2IOOZTKJr0&zgTH7eBw^pF zYTVg01xaJxLBN3#-9>((T=tqd#b2hM+@RJfordTD*P1BCs* z6n&a?Fh^r+?6HTf(pr}liZsG%hKZCVZ4M)8HZJ7#+rhevCTeE1qsP@d)MVF93faah|F8gJ?g6Wje z?iPF}isych<&kU_jmt1avaH3TOXeZ1TFqN&EV{BIRXAd7JM3tGN}o!6M{ze%=YmM9 zOx??RN?&`rZWCCFaH?aZf6EVjV!>f>@xmv07j>3oc454_XWqMMn2Co9>pY@7YvS4P zZMZZT@luf)Pm_@zE>KH-3{Ul{wsbUZA0{+j3_VTeq#%^E`EbfTw3o{SwVRx_>Xt>j zFp$JGwIVqVbIqOFE%u|b;*R}Y-wkS_0~QKolLcmn{kJ>PYNM3`PcLQ)~eahUY&IxXdfp` zEmLwV7?cX3_@mBx`_IXt^-BR=_+c0L#CE#6? zBp-XOW*S>L43f!e#dpc@whuVuy`&!VjlsPQEPk^B&o-^8y-i9)-FuQ+dQ3zu@LLd8 zcNyr3Thb3UBWAi>NrXx(2r&_6L}8cZn$B`(lR<~_LhGojFnG`Da1Cw8~3iau#BO+B&#`btQ#w2jD| z2|LNLA}+F%Z)8Xs4zgru4S4&rI_OLboV(fLPsh9}5DidZ?y^}6Nm-`^-AHfU>t2;( z@OS|>RedI{62@F?eGWb#_~Mj`e7OW!Y3#? zD;yU>(|buHU?|Wz)ABWZTB-6kGQ1ThN1emhdsRqV@kA`fb=C6yv=aJlF4E|=mj;hU zcKynUu)uoLnaZB75jDp~Nq;qmy8dhmZN=GK%H}JZNh5xm;wplyuXS+J3PR}bCV3aa z6F&F&ob%J)(Kdga2rWN>p*2RTPymzU956}Btveid+YB!ZGuNEw%|M?+%Cp(=ma+%McM{oUNgnc?ud3z|PcTxk3Jb@_p~}zPYx@5;#DF(`pJ}h zXkILv-+EA<)_cMG`wGwQJihN&k0nW=jayYQ+1Ys zE!XCG96J1o#HFcwHm(K^(>%^aI5gt8r+*XQvO>`lH7f1bC-(r{;?Gj zqW+)ST;H=!ii|am34FeYcbYrEHHj-7Pn^dWo)6h*950l3k6b~t&U157sQ#EM2^x9$ z9u+1iO#Z3q(~S+Zk9Cpg9Cp+#T$!CQ4$aO9=LTcIEJABdWlo;D8+;;Qh7P5*_lIlj zQiXaqd}0{-vV4hp4tyeUe{%481`Z8$Ym>eU;tA*XEQ?~}WhcD#!xPSr2p>ylR|fjq z(8cOM^?}JQMSbu?ePAmz2(k&)AqXLBiIA+QAUcWFLopR!>13^KXNE`9a|#r7bvq3n zjz;nobxk{Sw!!algNoisN)j+vY>QppUW3AvIt?U8hpVZJ>w^>V8}zYh#w$+`mk*Uk z`ax+Bnrn@KixU#rTb!uc+B~+pcD?2;K{;h#+ebksZg z=FN=_`=MFA&!ASq^7Zau{a&e@lb!o5RFf4l!z}9~3A-kW(R51{bi3y$CE_%>1i4u}w|}bD0~At|NK$p^dYZJbTX1hB zQq}?sdV=z^h77Lf;=k_@hPtDrpUua2H@RG#Gbl}H1o1}Q(%EJreesi374?R3zmGg7 z(MljV%c!BN3f39^9o+s&ba9*QVsbSp0)-7tP?SqA0sTa9#bg#9GDeJ5v}OHwNoLr! zgDP9Gr=-*MK)o_qSe?9ahem)#jjZD(C_(W`nbxI}~OE8GSjf|uS9wk%E zvVNOT8|W89TY&6npLTz6Hj39h1MU$*J1z|-M-RmV&2zK0>|fSkZvl-YAOyj)N)K2< z<~ac&uFjO#{|e$fv7K%` zIY$lP?rC{=DV5GI3Qf$?(1qW|)l8y(q84u`U>JG+qKE_S0|#RDG8l9#6^e`SboEjs zLOv2T_Axv%WbM8AS4J|2B`Q2f&?)i?DM(k)yoX#t$aEEC`Xb2%zX}FxD0JXRwSBP^ zisJ*QrzLZ_ZFQO6uoOt%Qf5>+Nnfz>ecYMkzwmKX#_7Ao=C$Y z{dYA;_Aq#pN>{741*PWC@|cj-oW6qgs-tUQs~H8H2OyhUr)m4L%XY}8Q|J}T#$%cw z7`bWeGbJ&)xmj09_sQBqaX@q2G%p9MG>yX>?OD~prT3Js`s*bgAo|k~7a@RUh6?XZ zvzjdP#(niQEn`ZRpVh(;oeW6ZXbm3Tbx-70YuB$o&Mz@j2bo*_3^Iof^Q%MR(|iOv z^slrl5)kD&KQE0hdDxOdEj%L@3;x}$JE=CGl0;je;WjR6KJWJl@db8zQYC~F(u6y( zo7_zV)_#{yfOQcN;Nn|-wtLOK5um&&OXnQ88vL@9%n=z$sJfY1_gxI783V2mtUeS5 z^c}&n7U~ce=~MgXZE}s<%H8=^Mdb@A^=>gKS4=Uof*_ZiNddn<@;z-;j+d3e)bbGc z&~5I?y{-(w*3A{V2X+W+U9FcEcw`=Ucy!GHE`VmK1=Lcz37m~UCou4g=oq`V&%kOT zct(riu$LSB-RJ!xfXCI-nyYNzUHtCroxi(>CWF$nA+ZD2bZxrH&}ZrEIE!EeM+-!dc^yc>iz&T z1be^D21~yVd_!H};|+BMxMi*y!KGWKtA))h9kLDIP5$Q^+o-Y%-A*nZu1&qy{i=z{ z=$=J>J)fi9%e@)t`v!iD!Zd8divU{`kN^Aq^y|8&gB!J?!O;WfhYQSL%E_`xH*d!` z*Y#eH$#?pf8UF0aUf~_*zLJeHXV)LD&W^tWL@qU(y?dRmj}QC%Rf)E_h#cIARtz7# zKXvMRwH;nG*U!KDHrY6*EbqQadCK75Vpu&>v~3t?E*y^~cQwG3(_b|G=_h&K@X1be zX%yO+J^}@->y?O8hTjt)x;W|rHVk`Fn-d8dxz`%|d#jROQ~JA)(bPI|-@NviLhmsk zCC)T`{ya`nf^<1RF4c;9rC8&FpSCXXyLI^7wRu19+8tGVpRFS-(^OE4OT0(i$jS`N zraUElySm<K;^|(C$F#f49c8BmSdb5MC z#CG>_e2@QpU(c2^-RHsc^|mSfGWze1S!rN&)rCw!WO!_uC)wi51aeYfw>mw|c`Y4r zVYs|17=J<^H%y5}>ImDol;bc}Y#I#V|f+tF^%)kafSJEdr%Lx z1Gh)^370b{Pinl&2S-=MN3K-biL6#xtauk##zy~KPPfS*5{{eXn5ZjY7qYaq!`qYcWuuDf(Si3l z`)SlZ4SJ?KpI|l-CBGYjTf4khG+Kaf7@eGP^=&fQ6=;Dt?{V0~KjA{; zuel(b3W(0o*t}N_c(nHr=tJf zP65{z<_@KZs2qwKywZ+xgIro&MqoR>eZ)@heLWi88@)PcS$(4DKV5m98%SLbu_|O) zOm$2;-uOS4q%&Z0gRSy0E)?;p8Y5P10zgNXB{jq}k{re|gz zz#p12UN}T9MA45oR9fMsTLpfyOR?F%{q+-!E*1&y&}LyOz}nb4zt{a3O{|t(5}m2z z5G^XG%%qAb?gFzLb+}k9#XYb@?ZN(;*(0#&VT;#S*I-dnV9J6muQ^u@rmXU@+mlnbK=A&I0y<}*ztD8 zxVm6E7nasOx=}+e>ENi`BGAl~npsSTW@7X)#?ijuQw z4@&f{bJ=vn#AsAyUUNxjIN?42h0*)Na z0y@9jm*bo~Wu7w!MVo~K{GrG~GYMlVr1dFU#Zcn7(Q{b&G<#C9Q09wr&NuH;c}jlS zZ{EtWxXQZ(87~>86*RS3xiw%I+0e^SZws^U!o1{^2!Tx`WtpBU{Lii>?C@4AWnM-s z>gq4SUt_v^gt*tb;`pj%kb;LHD-DM61@I7rk+hv_&l*t9cA6OG809gKF^geuVdr)+ zCHgxAYc9GOr$$txH-WSn?|x_11*LkayUCv9ynOzM+a;|kadWaS?u|{VDLE^3k7w_1 zXD9p{frBT1dLQBzXBsCZ65OZ&)$T-9YS;njpL#U}eS_7Got}Q?H2b*}oGb2U3O~zK z!G0m03rs^gMv;XoyKz?DFB!9Cyx~ou@8hvr4!|(Za=0&w{4{cC9tMfvZZ$dTVh#oc~LP{8Hj8xAY zO2iiONmu^L#4pSM+;7C^qGz~>Dued{-(kWteFWOg_GJXRcZNb2d`E?~Q?Ag#=6s=N zqZ=wqexVn~al~?3?X3Q&k8!(Uwr;;csn4?Lxb`}djdKj4RQ{5pft3Kv+Vf<^VyY1} zMQHF^Hpl2uYyu(b+hw+sSDak&#*M?V7h+{t&c=!AZO6zw{qZZv19^SfUC<_`9{f#7 zWGU|{YMg*!m1BGK`O?5t=xE;>hq9-^_rapXFduod{q^H^X-wMG;`>~;_T($~DpbiF zgLV$8QXO=d<@}97|6kTpWs5|g%@58aY3eslgihLX+~sch^e#N?>~^bNWzyYk2deIg zAc7_5?L62jA0FFT(D@sUTEXE_@C|s?JhK_pXcVqgZo;Zi(D}bGt(9-nqTN=)1llNG z?Fde>&oh1qe=U^FnekLAX5(M$RK6ci<|Jb0WSXv>08kics|7 z0<{YdrWn}Uj!Z+j=&B`9!~{FxUcJTU?SuOf<(H+X5VOl(RE=8g7Loo}4N)e~4!DJVsW!CHB1pof3NUfdIE>k(BOCjDaqYP+NZYF4J=d7d8ju=>E z_YX{fC>pZ!fK%Veg*i+S=8@Nl}V%40=^o(RBI)S%r8$KI+L1r_fUO(o? z`#m*JP-4~d;jUr?ry^zYZ-A5MWC&v6&xSg%@&;=C3Rz;B38b|y zJ=f&!<8%Vm?LX@gmFO!a>}rR~>9mfe<1H-sOqrCBV?8u4WQe`ISZ*4Ly06SiTg1ar zdRqqD2&!^W_v|mX!n}xshz%aG9Gc8u+aL=Y>e>^-*5(d0tI4xZUMTy@T8qVC!OBO; z^8-8aj(-PtUUK^{Vn1j-+q^BnoZaLz)+-HQu2AoYrp~WRm!2!HH>uqdShZ#-T9;$OQ=6g&b#bqAB`Vo}dUf+WUX&*6zJJ5BO^4P~Wud$`Lh@M1$ac z;_AZlIjEuOc!twG)yPxv(6x!tzO9wpw)U7L=OPJbGgt+)Ow(aCV!BDIFL%_jQ4N+n z(bft$A_kDf00VH>=&Pa4=~bu{`u!eS#uqx^OVCo?is#CaBRp{mcQgo|mZ{O=U1X$F zzs6Y6^!QcxVQ&wv1D}4lT_5DKbQX-=Z%-CzMb3>Tk=|yG0Du49Yi!fZi-Y;}qMIs2 zxQcAgA8iz>iQcq>rp-q8#~yb?p6DyOJ6aUomv)EoGq zM6OMJEUq64Ig^-ArB0>6LYv>G2vBaD1NriQJs&*U{a~ZJx#fe{_cQV!ANBMUZxhRi zqJ&Xd3skx+q`7T=&fjWON*rXa(Cs&9mEt7;iQmnD&?B@&O*1fAvBy>|#7{Ob9u!sa zmQK9rkCLu)R_R!u^DLra%-N-RSe=WpT-8nG2J)_YFGLMhMCaH+ZJF3U@LA&JG0T;)2ROu~oPK zXH#FFbji(EhAfm?(G5gD+aWG1hKa*C;ErXp|HGgP-|`HxtG6K|*KtF8qY zVv4E;K`t?(psVdo$GNE-jRhw60<0o`2F`<}vc9mU2A7dVI_&uL&eYovCj64)xvECN z?*bS}0(X1l54U4Lgb0xLXcZA+&uS8pJz8qdQgwcU5At3=Z4{UJbYl%(U}6{%tw_)m zpVe0|z8(bXU0x~n)T%2N(ix9)-3Sp{W zQ{T5Ag-aU0xno-omQ2~NUMIKVca|HWdw)s{B_$6VlZMEymK#TGb1x?t^se~2sk@_V z1LDJ@GiQP~_)m5~^>3=K+)#gp>9O1o%H`^6DOeX@yfZZ6s&kGt70SvMF6yIOy|MX# z^oWGzn3O2Wrz2)AiC=|@<|8mVm2 zqwk_Ks5zBuiGx^^i*QFA=c;A7gSusIAh#6^oih7Cx2&V}gWFnocJd{qGo&$_7jJlg zB>D-wQFjL@Pq_$wrF&5p{DOc-!;B?9NPqn2a_A|b0?p!!P(Q)Cpur_F1GJqHNCZh9 zrax-DU(=4H?@&eTxg3T!GlbQfmY)%D!hljXpcU#Gsv%=&5vo?*i>RH;T}G{4d9q6H z1Gtl%YSk5)R;vGOG@1{zF{Z&;%mH|e16u_BX^N2$ym?oBGHsSF<6#Bt*uTo9*uYBS zY238{>y7Qr-cHcV*4w3Qo{@;2G8#J>j4Rpx(usD@(!#WHC@=$+=wRwpa;DnQEv(AB z0k9+%TeGQJKPr5n$AJ!$+t@tWYAT913o0GL7M{3MIm4${iYQGF;Fw{P}3b znNDvZ(U40v)Qu9&a&cl0&Rk=CK+>11cQ1qyws?m{h<9jLF&I6nwJalX=SP8ds5VAiLdqk1P%P_JJz4KJ!OFv%Wd#!s_Mv=Mq6hsjV>;5jnm-Zj(#%$CtFS1jfV#j=$j z%8I(ZGg%KlPH(A+;-px@zyJLt*)-~P{{RkMC=T&v?5 z2EKiGy$oy#fu|H6jxsk(oP{>>(632#wQqh2a$D_3f@M%p%^0%@V=P^`tV6nL6V zdWxU=07uya|B3xr7uQEDiSX;-Ks~nrJsu79|FoM)v%W3suvI7Int7JtMwd^3-@9nW z3!nSscsqa2Kh;SCr}AeO?Y~UvxoE(A^VVfEi`E~@FD5bQeAy`xf0l2=8k~DOz5CRm z-W_bl>D?W?ND7^%2G=|$?&d5Bm>)JBoM5%d%|G?C{dk-f)9>;uZJT@2NY;IA6`rdI zBAh6ljx!f0oKQQ)o9`eJXvuNpUOFnn>460)wN-)769^|}pp9$Xf*Po*xCV2pO2%qe zP(5lj?xQg(l$3Pf?Ef^-x*{)P@WI*269kudbGvkZSkQTwOIV>$C1fC@vAx8PV+5lc z;S`UoeQpBZ->wvF)Fs;z{j4IJUE}U7Os8HqH*G&WW82Kw)e8J6qg(D+%s9_Io8(BL zeh8=D0Z+_Wr`vJ?X}E5=VC;}UcNJ(wiq}z~M2)gAEI*M2=IjlM)T+U%GUqm^SkL-? zy~5PM_x@A8hO0N78?s|AlPks|79S#{bfGm7}6LUrs|CxCYA z4CIZUnt`?~c^Yrn5xwm(ag1qy);xw4Ve++$r8BsPGHIV6>NozFNg7h?z)v|Ns;*^l zTr!*}L$wl3WSMTV*6ZHe0Lo)Q>R;$8za8#akEt+{rlM*~PuA}FZl4~ooS178zx>XF&N1}U)w%zz-;(5a^bR$$7ZNt~9x$$w{$+P78PVA6yCZiy4Yjr5l|`&sS@81T>Q2+O$aTd`Kim7C|nEu{47 zN+EJ)--c#QKA}%qRJ_C*{PuQgyR21zgv=NJ$6#I}EyKB9biH8A-XDQ00z|ln(lmiY zHjCYxF06&=L@@L8B@TXH4tn$12(DsiB|Pim`r>Sp4j5ZOl(ja;Kek7z7}b#se|Cb^ZMW|0(LPBZPukK$@1 z!%V0-w<;t&j+!KutNt%gZ>fkF@-BQBP_x7PbV(i@f~_ta8yqTv+j5eEMFCxcdiA_?;d4qb|1Fa5&qsjJ3kRFbW*MRK@i#R zZXPl8q-Cp}iN%(dYDIeevs3komd+fIMnxJprV-zg@GxAPdYFY>mx!g&1h}88b#wXj zMT1lI$TLuHD&vx5KiZ1+GpU4(=5i_2F z{?qKYMs(`Q%IiCGp+y&|_?A%2V_72G=L=4>QwdL&e=|3JjdEc=h%XaXfzG=1O(=!zH$o8UOkZ4D35uy z3eVa!2cLFL_qiKv89fJ_)A5dx+XJx3NCR5vlHE4M|Hw=jBd13b+M1bhuf;b*4sEU1 zzeY2E`|2sTSd_l9&DJ@B7*>%lxyt456W3~yVtsAymC0q8mJSK8#FsA{%REs^Y!>ts@2 zF}|H??V7%{FgWmJXLjw%`AJvXm6r?_*Yxj!R;mFI zGw9<|55yL}7E0VYx^0*C1WDza^U9|>B{Z*& zx~v~%DretUx&jP<2F*uAuezxa-FHYtvYxZ4(2T^Hd}^KMdD7F`NQ*|9`|Yus)?e-@ zx*I+Yk}dIEU}*G2OV-}=@I{fg=wQ)NB!?o7nSsREWX0Gj7glXsj0ERxepdS7CY>C# z==2{n!MLS|NE`5`BrrIaySJ>w0;?WP=6y~po>rf>oeKk&>x9%t+@l55vpsdJ`0ipg zt{9OplgGq{%o>5LnPjZE>PK*?9q`Ibby}Sb=d=_*(cwUpG+h(|ca@+)vq*8UUnQ0z zz1y1TTs>nd-%*}5^0{gSr4DH(otjK3B4$#BSg2W4h?!aizSi{hBsfW6*){nJFp0~pJtU+?FdeK%DrkX^FvQR1&4=hkmhN{_u)iFbAj>0}* zB4gWcs9m>#d`iuxUml@=O-D9IK~@B{ULbP6DYoZZ#cBC^3Q=IZrXAcUKk%`ZNKPV+ zM-8ds9-&)Hj9Vok(lank8&-R5ZO{#GSE{qEVQ9t@j_ zU4z)1Z=&*$APiCs%8?%+hbZwvl%k;+ho@sRU#&)avB{*j+7|bov1g5OrU3iQ z3fPsnbD=iF$kxNJmke4pW(yf+DfJG~)UyH4>UD2?_o!Vi zvELys@5q>-<2x*9y0x}|j4>lQ`=$!goh#ey`Zmd2Ad3Z0hI_P2n_Qi$3YC^LtLJ(J zNG(5>yL`i_Bw#NlD6&~E(7E3fwVQTZ_%cZk25}E z^!-MyR;}$%?ZX8vbv9Sl~Tr;_? z$TmkoGz!0ARYJDXbmI_9QUAO$d}3c?$(;^JrPsq<@}MipH*mg@JMZAlvhxyPzh`@(Z~ zbl8_YT7_NaxHl3mOhhD{W7w&XE#EAVZ#9P^di>bjL)~gIydTbd_mjx*TUGSa$gk}$ z2_(u&$|!T~&Xg+iWq~5Kf8z;wv*UPp^w2Ta%czd zLw#^?Nt}YUp~a5Ka|smBMk3gS8i)1U4Qf6VrNHJvZttDC6SBxdyD?>Y__4$erK1A6 zPC=r%XQ+*d8&nVHGo?{p5enUR22if5L)p6b4vtTMei#+@k z2;lbG!|h|*4a&m($syQX$fS57TO2oG6oYNd;9Kp{# zq`8+p2`#Iw0FZ|^Z+CuowYod`a(89wR`)0Om$U#YLj@YQ$3X^h+aQ0QNQcBYpnEr* z&^6LYSs|bJ=IM0m!=q^F74$i|@Ft-tNe$U<_{P-$ijHI(b?JA2#V>d4$d>}uN70)^ z;)^(*1pmYbl5A@rCul7rfOPu;AkW2obz*qmyV+sHIikAY2fR+y>A^9o!-x91yw^37l z2V~X!Jflh^)}s^75W0e1{4F~o1CV_L)x8ufGd;c)|5Lno{H0TI2R?rs&>H{pxutBD zbyh~z|A0~Wq#$u8b`cs!Kse%db$#eM*s|hf(|Z&U1)2mDXB59o>-zr?Wqo%)c=IS) z`}0gFk=Tma@@{DfS z4@uDq78;t{(jYcc(2eywb7DNXP<8P`9BUd{@tZVK_Zc7^GM-#F2(`EU`UXhzu+w&u0jq9j zM^aT;JH=VWcGJ3CKgI~rgKbowkC^_XO~JYI;`<)c;ukE)xIo&1>wppeWCsu_N^Y&? zmj3T!g(0yx+HCmqGb{3&Hr&&5aNsSVY+9ufD#bSNvWG*8kmh=>+nG7=%zOXB`NJ^Em9BX zxJYB3RPr}$mVvGJLCNlalT)8kgl!-dk$L#8{T5|Q97Ffx5wQy6l27peC;!XL4Kgq( zU3cQ*vqfa6*tH7*#0asifZ*h?e~kAf!_(o$3J`*>s7xsvguo3UU}u4FAdlYP)29L-n&_c&`&%LJ~`994v+HdUKuwJ$(POHZqOlSb|C(lW@hfB0i7&Cnv)GtKE( z+r%w>iWlm%x{Sh}ayO#KCB(FI2LZV$FHX2^-7(ql8q;}qk102wd)nPfMpaWa?toGH zq_CC)hmRxYM;G`5lwIE}Zy^AdYvY!K;*g$J`X-IseMYlTOL<^y5?_e44@)4I{At=~ zTi;wfsXxg@!jabmJCrg?ohxSrlAO$r^ffsq*A}b%tkz%k;syy;iwbVXhY)1lQP;Qw z$?#Z@Z%JxtDdgT0X#5onDlytwuhN59bP#EviEbE|G^7{)O8@Nz@{_&y`3WXSxl?F< zRt=0R4)0~<kZ5(7MgUrqHYiqxFb`EgTGSbT5q*1y9br6x) z!AX%rgn#U9(|~q@^1jEs@&$xn=8Id38D@0Teu&!AvfPBrYxw9)F*|N_f9;8(4p(QM z2v_9ahw0i*DXuBbnbuYMA*u_Z1EB}X!f>Qa4qX5AqCcZ z0*e2D6gG^=VN3+@D3k}Q0h4gE9=O@(LO(<-_f&;EZMoSzb~+zv~ph>aj0j_V4qZx`Z6gf79-l zyYh`iRYd;IHcIX_v`#p-IGyx3z;73R%6$xgKwv=ROU(+Uyp(i$`X5Q_vtk6B6A_JrGwbx zAd6T)Jeyc%_n%1cf6o)*`BzHiQli@{C5L$0T?$84;IEmmMRdsODs@45KYH>~8s{l} z$Nlwi#$6U?R_q^60KsLQn*2Md_qg2#xf{PeD#o{(_9w_C{bvhdFUiD%OoLV-ZNd7r zf;=zr*QBF&b2moVO>zpc_XMDmN6H(y8)FS($V5IW5?)51IQq{OEhy{ED*w%(F#iVU zCV8#y=UK($WQ3+!z;!lJjsI+t|3Cd-#Ulmz7_V(P=_ut(=9BYNqAI_+I3HrdX!@ht zrN4X@6{dZFR50sjO!d(E_6wEHuVTty6#v;#Oi!}$5ZESQ^hhc{0OIq;%7&$?Cn0{- z{p@I1{yoHgsGio<_%Q}RhvghyHfS5z2iHbehEO)_47lHWjMtqz2nBR^z7KDoRd5>z z;7wM;uB$(sRq7L9x*a$CMy(9v#`JCaCRhS-G0jA;xx1s^LcyxH!scQ)=1ObjY6!wT zEqg}%>DLlX4{{Kc+Su!Pa&>#$T~7z(|IYA3{PA?U-Ps*b5r^&&pX~v0wZC|;a$DDw zJlC`Y-g+67Y^%82XiDX`zU=h$z9IpZv8D!mV128I&y{XI~PvIuCxwgeMQDgVqP zB>2tZ^PzU*I$xQ|fH03Z_YX_3JbRb7w|~RS6~yg%;6mP?c0L3NS6($Kfi42~%z+VR z|HNlqnh+YNovn1#+g~0RM;EuhU-E?iG~e$r=pkWC3ll*Foc&^1<^LgEhu%BJ+ojii zwKOom?GsSz*x2IfIe~SV5$-z6LIobOv_I zsKAK7J81EWr_81a+&Tldz#I(hAJ=_dx2tZM-3s#Za(}v;l=}Q8zUspoqfAa`U=U2% zGKJaC)BN1iKghaa%zrvo;6`the~07hMjjpyEPY4$UYSAHggdtz86$f(;G_XyUi#@z zIV`BJB`;c^NOCb2Y&7y-Z0AfTZBPLNhT8$vmjc1%isV5hxImi%!RCm>ipv4jlLEo} zisZsMn1oD}B^h2c1|J6ZT~Mw7g3B67xeV&sjwo;&PEVdQR^YA}#<)?YKZHEAN)6G22SP1&%9H~WNoP<* zVK5!Z-8&yWqGR*{(H&~yt@h+8qI6}o(DVbn3*}*lVw&0Pc&V&vae^h5jfn)FOViv7 zG9q_r?rqYLx3S5ur+nI}{ z;@sy0BClB>Q{~%pRM0L3n$%~Sl;zuTRNyWdn;0#>0=CT+?;t=gw<*h`T9M-97ZeQW z2HfB=OFVm<4z~gv$icpX(2Ui`rW)uW5&L1ID=(?+EW1;7IKYAZj)lGm$0UvtU;sKQ zFot84D<|WW9YLL`g z4E^|+WjhmqDy~T8mJcuz{-&^{z2wNC$Ny;eSvlqjfopWG=cm?+&sF=Ie4J-|8mOr{ zX``#mH|aQUiRhpl1fi0@L$?hI^a9c9kI^!Gv3e;jg2SRdYsdHQe0sygO3kANbK?y| zmH*Z$G81e-e(@Q5wtD}qglXO^^1@b^Zp_J(Uy#+{$E|wQ1P8x%C5i1^@D6Zrw2nD4 z&Z_yNH-R^A>r`pPFyFu!I6{TPavSFmnL3C9op*{Vsv#0 zn~P_RO9j(%6+j7-3}DHaXIT{?{XxSr7Y_+*9gC2n;*)0hM)Xm@xQmC1ID{Cv#DZiG zj>8ZGR}lJk(8ZGzmTvKaMug-W`d}lZvig^eNn9ql$EgZIRQy_hzQ>8Kl+#5FL*hXQ z=&Ldv5pdZM3PRE)A07okk>YR>upS3nd5tG5J4QUQEjE_gfnZw^eBuN{o7d(%dK4p> z*fvSUNUpkoOMvoFc&2&Cp|>19^d`!hvSbtO8VL)m$3u*4jCT9Yy+uno0A<4u=Y2s2 zF>6c9j)iNzo}^S!0kMk5xQ6r5WC|$$Td*nFY=@Ooy`DJug(XCGo^W41<0;Gbm>d8$ z>R&+&5aiOTB`E?57(`^{RMGeiq=W)do4mWadqCgBc+NPC?R^tBBWnpUxYNvRC~356 zamRoHIuR@QV0?6GZjA4Fm950T!X{_lhaZ=hKd<)~JGqJ{8hduf`8b+)TBa@l%v=t*-8EX+T4{1RfWD#6KsOpMy5M%s#H5!QOh+|#o%|ESXxXtK#=%F;eNUOH$2 zJ=E(sY~)grs#q}@78z*zO0wNmb%Bsxr(64v@sb+|$>;-jsitmw%BJ1l*~aw6g?0!c z3WV_2W+qE0EC)Ot4<Da(Ix$9lfU2)vzT`$Yf=`=DUF zZ6FF9vwY)gbJO`9K|n6+!5aoO)+;P|;Iolxy;h7SsmCU;J=B2Qz#f~N8DRk-j9TcR&Vy4sAraK5dma3YI z9yA(4JQ_A?RDc;PO8UVct2F{Xj~*6wBywE%7ZRM#49*!rUxwBoM719n^DcUP=xD;+ z{MXEj2`?Y+o{lIf(}#r)e*ju0H_CYLwi3=6Igx+S^2X^dp)Fq zKronXJ(77yN1{w~V=QGw?A}w6QBtH<+Q?-$SAo_6F6y%mWW^lk&s5ca?cXl8?klCz z6c1?0-s-=c3TDXQ(ErETg!KCtNWZ6gu$A-^(Lnh_mSK;LiTSR57Bt8{1S9;;w2x`E8w zeKm>P)z@WPrcQWdffqkKWCoOx^sWqz*^Y-!mxmuibj1^^GB~8DnKx8Cs+rY}?d!8k zs07OiWmM(SijlsZ-#jZe<&*Wq5`2WpX z$+!u|aVB^-CQG1CBn5FZW2}-?h-&F+o+cI~G4U~Xfuir-pMc+Y%P$Kv;N{=Tq7U%C zf0V@@h%NHF-s^UIHw9eMb+uvl-=LBJwL(66MJNSuc4Zl?+5mpAVi@eMc1TJ%zWooJh$&99U>7?z(4c$f5|<{3(2hF8Xhp%mD6mNo<<-=PW#ESh;E#M0u>NBetiy660SbfoB2B1UDP#c~pVRUdUg; z<$kv;z}yRF!`dEwf9;s%r9UlxqZ{h!gMEjWt4q{w-;S%ckKY4|Uml;19k~HhR$nxU z>&}sbkcLlv`{tF&1%E2`*f?%bx*`xi{+e2!4h~6TZ`d~H85zl1Nbf~e(B%|4L!J0e z)F)`~!4*M7<-X9hHqqXTnwY!41s4?&9`UVidfmx=e{3y~jG_|38M$QUOhB6rC}T#5 zo}xKf%OWHbq1SRUP~`*#UnRTpU6`?M&)qMal)p1KJrF0XjZV%AqiN=n1W}X6vsW|k zhugeC&R2t=z|?|Rl(Lx!FAUsu&Ee!#@~|zu)#Y@Tj0p8uzE$#4774rk+FWC@VMPWL zUq%xg8IByfxQGTSG;wFmGdlwwWYhWseOe9jFcJfY{m2O8S{AIyXQ*w=Vc?G6fypuv zE3#L>eO?+wplB&$&_nrbO`Z$&TDp!UvO(#r3w2GTi#c1u?!>y0=e)=h>z*rN=yGNZ zJnVV+dYE}+RnhNUp-u3aRAIZ6!Q!{wJYG08N^uq_M|?T6=xjp9vb>#2$k7>i`ULIdzwlU2lC~RmVk+(Y``Er zvSe3t+3%E~|v^oG)F zQgQ=hn!SmZC`h_VTYNoZo-iZz5{77r>O+N5NKv99DQaHxI{pdCD~X`A2+fx76UP>2 zYt^SM7R`(pNU|koYo}1~L97}BQA24H$*bU2iMc>{Y!9MRGCi^^O4CdPr}}jaq%uce zIlf_1ZSe-&aE82=k(o~D8>R+h?DIsIPLjV|w_7m1LSb7!&xgy;vBDqcM?b%noK~aM zt~0%Re=iv(UO$EVW#%)uYm_H0x?1Ocd+z0GZ~ggrg6_DeDv_W07wfk!4|9bsf->HG z_J^{uPndSsD>Tq)Y!*E>`Q-gleQMHAlsUvX|zKV3Qs}S;EQso!1 zydg~zXw{Xnjk!xx5lfg+0wpOM2$=oHa$uYZbo{=4>SHmslQOO=OfgAK9(jyDbQ?jf zhe184A&!>HnByg}(2I_hGB)6C^9uiS^{YGN|#W*_gF$;EEIYf z^|9>#$YO7q?1Mj4Uawh0Nu%rsy(?R#aQWX&J!)5NE3aUM(EKkJQ+kk}-^0@~SR3J#szU?x zi~kt_^U1^|Qu`Q(LAs+X`h*o79}tfEE{+`CDi; zH_JKQ5&KZEpTFdc8`c2W!^7c-Lw}wL$})K}Gzll{%@7>AwiWiN<2lfywl;hxQ+|T% z7G|*@|LuJ6zyNzV^Ut~b!QdaG*tpjllCm{Sa&0C7+AN?SfF7Pt+-oE*jPY$6^OqpC z=U;b6cZ|y6#kj+#{#Up(8%wR0U6^w-4k};{nF+*q1uqDM^D zZ&w(QmyKi;%I%DFId?MbzQ6Qeqr94{6F-mVI}R(A$9;#H{aCuVVq@fPsh9M4-K`vo zS7dY_<3pT#E}2uW$nIWg{d^(TAlD_Jk;*`Ev0=NE5G=@8;#O* zDXx0?tu`iNN|W|541Rt9jKi~cmt|GnrQ*SM^Tc~qBgqidY4}cf+Z&nTFcPE~3k{ae zH4;%Q)KCeTIsi8`&Yw4Pk~ofN!K~@itYY@sG=b?#Q1iZv)E8OdAQ88*mYU)r`Z0om z7R6^@Znm;076)DZt0G!!w4&%sw8LvtbY2w+_7H$W?Jm>SFTeoAkYqj&!ahfjxV${w z(>WvCfMF%-wJ}_c?Q#&@4h{RLuG4#9;^|^TOxNY<=M&$?@u@PcGh)&Qc1*vaKYFR} zK4R6Dd%*>}KK7jCsh5Mebu-i_qZYTxcwKEmzxT@_N+E_qQ8to6+x}q|Yt{1b3ODLS zXotBBcs@mH&eyjNB~$MmJVOnJ*f)(x){Yx%FBk>?THuNS=+fjHy8yPIx4BOsA^D2H zfC5m_`m;CL-OJ>`Q>mGPqGob7tkS@0rJE9p%BPAkxWKs!IOE)8$s5u%Hyp?hjr87k zN%wkHyFbsIWmlQOPliyJPvP9^b^(|m0hV9S6PnCl z1DyXD-_rn7YrYsi%*P6tOX5h}w>sqa8=h^H_WVw+?5v^Cd?Xx3y=J4=a$jaLrY@<@ z7k+t~YZ}%U*VJ~KurMSFRf!Wjtg@065<h=kq(tZMGCd}9IqT!v@EQ!fD8J>vI zOzJOFa;$x-3rs+JDSkptii-XK0vq@;hQMIA3WXF$ZA)0SNgE=O_I1_+NGl9@AZgbj zX=#X`P&-m8!hN1vQ1P-VN;Wnkr=9A)Vu{U(h&|0}V+DrXd~Q0?E1s~#J)fx8{eCoI z>%zRWbEQ4{$b=EsQ+6_)6DY$dobaUuQ?KXwP2x4r@YV{Vbte)kxqeVkPHpVw?kar5 znp_`_0e;^_2LQEwCh)SGOU$qckg#4nUjLN~I_czBRly7Ny}C~qp72lpjfx*ycX zPcFSTvJKVo6Z}-d6MQK#QJ|0dX%QlvlFUUx zGU~W@u@ijmaeNT(m#kvqipJD6;xJW#iHl|R$%~{}>S5=bjd8M4u!%Knc@Y0R=MtC_ z?c*1!vvi}yzfr~N9RLR2hfO z93hn8-hpDKlJTLI=1C-rS)A;sKgY>_23}Qsi%M=_ZFJBcADvr;&_bHZp>xiQ1Zl9E zMC}y10_;j|GkEfO?o_Y;+!a59t-&EToH{X5QEaHkhmXmahxF{C+X-iwsB`Z8CR6l? zmpQ_}lf@aEi(Eov9|p~qn5t8nP)dA1B)JBVoQr}fBef5+YfVhW&y7q%nfoD6`^MOX zc7$!v)xj*TCEbNVT-g$S=f_7hs~f+P6O^xOFZ*vtT_3n>ISjB?s$QO}5BaWt^+Z*r zuE?G2aczBOq^Eon-Bb&0OGLqfvfQWv#TI~pJ*ch(AIJKA8b_k&s5k5VPx#>sV>@|! zShE_YJ?KR1!BoqPI7gqdF8_yLPwSJ6fYn9=C@%I9LAzd2|A{EHO%3%Hm^crAys(ay zIx2fNC*sc|G(#=+e3EOWTF?$N&1z7Mo^~S`gPuO@e1oKT_SI0fxz8M^8In0r&TfTy zQPiKgzrgX>^Rnes44g>X5qlcK2C`m$&!+ikONhpHvBnX@*pX#l&QSK2o7$8|SI@9` zulI8q)wZ(@PtU#TH5Lwvrjfu?)UiZrCb3~mnIWmhHj-BAe^uS6>*e~zZgL&N`jrDt zA9j&%!GWayvsHHJ!n*d{M}J|%{qLO?QJi{%cri2jF*9m`Z@JARzUf6)306#p8*Xvl(>(&Np#*?cDaiyf9khqP60c?e#|JqN5p0F_-PEgHNBU z@*Orxg>dW@&KgCa$`TZagmztl;fKF}b{;zUJ-k6?3ELNsxEA6Gb6jjxfMT?C(J2CR z#5`KW(6NtwKRZj96=1ex&8oZ$oc5Z#tnCO6oz9|bCRvVTwVy31%cLhWGH8sb6H8{A zXkDCmz$CG!zr&*ruZ4>J%y5V3!-h+_oJeGH9Q;Y z%OGa419Os3RkN{?9;s?4(K}U;V3l)>fG#HvBuHu(l5F$8=AW^+V!{^H;QG zu_XmYN0gTYOZgIMY-kgF@@qQ<+{sip3Ptk#I?jd`BPC`4Z+LGHJ2XA1(TB_tNAn?M zBz_3lG=V<9b`{lwOa%c-#K1S7=MyrCiZ9g53xmUk8@gNaH8rKPMnAUYh(!)f?GFHo za}k=Jil5y`J==~B%FNizCCFD;se0%zAq^8pZire*Mi z2%0J44DNiN-Tzo9Irq3U1`52xpL}y2gQ^HrN!y z>DTp)W1ADiFwQnGj5X>_G0yWYyJYh@zeyYMBxYM2q~}hcNEQrE@{WS6AQ?h&PvX#? z>ZR3uzT$$nW(%=<1`pc5nnZTrvXCXLbtO1(yC$#ZTSrEG`a2jh`X*0Yf-$tPE?G}= zSAvJG7p~$Oc-J9@3%VJ~jP{+Eb4TdV_2p%Z+yeiXiCA>A2&_2G4k+7F14{4VZJN40 zLYss)K1{L?SJ&%b4Z0p39(RR*c6ai=&um1e)^7|aT{d`>9ux2?Yw)?V=UG;lE f+OY@Xgdb4X<8>XsRL4~J^3~AqHUJLxP4fQ*Gs&Fw literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..6e47c307393958110f0dcc039c27c57b6c9379e5 GIT binary patch literal 1014 zcmVuG0001Zob6g|Z`wK({=Q!k@p%^~gc4GvnYxxE{oX5|x4YKp;=ZtD8<}r!bMZ;+74Gl9t3J41? z8V}=}zq*Z2?gwX%xFAB>#O?=8el~)0h#DkBtmhl%GUB-ai)qm2?;|K zW-r!k0{M*>3~-!61Ee8prY|!GsAk2X#A1%!QEzZL?mzY%kadeKIi^UE6u&X3YIeY& za5%dA(z_b(9UOUaL=&-!3Z~Hv$G}UthZqP*fN7F7(C7d!o}1tr$0TGE8vQ7GM>SgU zh?IC7@rC;%;?EBFzqco!$8WcLQ}CzQe~y!xYn^#56Wcb%)+DejyREm|*s?s^L!Gua zu^q5BEg6m@B4YR9zBl@)UESRd`-9%K96J~9G6@t@>2QWg2_A@etbC?9K)$-F2GA7>obYh?e6Qm3v~v!H-}Nj)Xyx-Xmu^qYugqwyPl;Z z(>AP$sr$a!J(N1fktLUH89U(hD(*}PmRb6;c@=c6DL_D3Ze?{sKVpJM^nB|XCX9$Z zD{&SFGr7+!wnI2zCEGPVaC)3+K=YzrKJ2QqYz6j3!m$KY>F!!*vs*UF2TV&o1Nui} zXEN3%a&JL1EabjcG_8BJa|v#-SBXOAN&}8rkx#Ks!dOg%qX-gkxfJm8&Ev83$h~TI zsLE4dhel$!K2TmX1W$0|4aV#{!DYr9V$_UKmA}M@wXRr|shN!G`t%vji9EVzy>jD# zaF?)oA}f6PxW^DrP(lSv5lyfw&-kjK+)+78eZG76dVM+i4u^N6aXGk|BPh@FAP6{) zv8X%<`oRc9iTE=iFlq=|m4_g#3n8ovA*>6*s|&%a3t<{Bm|uAm(i#Psb&ONYV2r~h z655ktp6ma-A0W!-1ked!Rx6ceNC49s1L#LInK9WUO#W)up6uUKCntdoB@Azn>HZdE zP6C+ae-J8hDqclPK(^UZaX9jWSiPncK$F(cltHsBB852>uc9R$X^qEbRe_ixkK&5M zkk&9PVW@gFgcZ-%ci#ONaT2JcH7W(DWOzWIKL3d|^D7rhHtN0i kgK~?vyvwhjmG~cZon<3jUy{o&1h1}0Z*`>lKT$m4DYGl(Hvj+t literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..7fd583598ba37ea1b69a47dc4520250e199b85ed GIT binary patch literal 7907 zcmXY#bzGC*|NiNc5@B?wbU7H^2+~N7kPb(~s7WId64DLQNRJL_$&nJHLrPj&iQnFz z@9+L+=bY<#UiV}7IoG}GtcnC3?Z3+}=Q!8JYgzoukY$1__L!S%>1aABlN_l?lK|O} z$YIB=)q|h`T;GV-jD3gJEEK;PoFk~s*%B->kDfg(^8q&4P|A%$xd#0T0bOFT(>4b-%aRE=Sb5I z#gotl&Im7keAk_=aMrPZ(TL!2;6kj&x|HB*_V5FlH;6r3!^=&6MtGx zw10#*hUf?FkR3XmBRUh13v>=W2uSGxV~`fY#^!k_Sq zzW_aA?I^=&opW3Mdd0GHb<4{a5gvZj!gy1r#vj)0x5j_5?)d;@6z09x{msa}zS^c; zDk5V2xOi71x+HRX(W1<;KR7NawesM!*Ks)LNSNT6&nQhPLq=L5CPGR4ZJJ7N7poS$FrRt#yEciTuXu;n+D znUu3wI*%a4CVnU#)8fh=!zR=+pVo`1zdFhOE27$!oWmqpBf5e562*F)0?%EkNZ`0N z_qY7?rzfy<>BrUk%?ViQb~3!kml00#_axva?L>p>_jOs2g!H|iJ0MtGYF+m3YU605 zKhM|G-y^`ke(wPW^(4>FH_JO_Jn}Ddz&*cn8=?%B7MGP;zmPd$gxzOI2Y3eQ2H!69 zU-ZX@lgMU}v`I-#2 zI#(Zj_mH>@boqNE*{YBKHa5J)g7=V(j0qeM*1%!XZvPA5zx5-lduZ0m(9!Wj-OcV} ze#<&83t_O}Pg#LY#~ma0El8sa4p-orbkD`(pQ9f!>3^>#rDm210VeZ~C zJycGP%8Kf8L~5JI*Q!s8W=c^*%U_wP<;5k;`l7{l;C3_w3XE2I)TQ#UD}=T}5|%9% zC5SpJlw0L_c&xNdlGV@rI6%wxQNp0Omnb-8M!|M={x3}e_ZBIEe<@u_M{T0%S^*!{YlwMowFw%2bV6XUyCm`_pa zpkwo~3Rq?O6t?_%9#9m{CuaH=P&C*~i{Moma}mn|#}T)z?)O+XE}4d}~8NeL?QxzS386WbiPPVP+9zBTmFX)jJMR>-k0;E|mW4ov2=P z=_@7{R2AZ)IBrK%Oi>}83?arH4NrT;(M-9?SF|Z0>d{PIFAlniBeR{P!fbKsY;^{* z=iWQY`wQqvX=$bey4S#QFC{T#?7#KSL~?R6n*g<_FUgiEIT2R$0QHFZ-j!n4SGy*( z5aKcpaI=Y3_Uf2MGsG*x1u7tfY(V%`dJ765YY?MDz>f4Z2-5x|O5i~WbjwE;dJT5j zm=Jg%Xe7-AuxNjy_oaG?4##h;G|rYUpr0*HHv&Bm<0Z3+D#wNj_b)$SAvTt@>>{q|f zi-vUXMG9!n6<%0g4T|C(T9AdbFnw5b8fVDfB`9xh%} zjAX$tbdIi=D)Q50#-F&kKkU2dy>28XG~mErmpIUuYs4zGT2U4}EY{wfYS^C{nvQ_Y zN~#ax8&L4~<4@xlM7lTF2uIk`$8pvfc6nALj+|hVn*u=!937Opj!4_j8c>1ashSaG zil>;7lR=b3%|}R#LtzTcWKCX;LoCk@Owaqc17n6j}QS<>HrqEV%(94ta75d zHF}i-Vd{t7GEzOYxL%e49i&4LcR5e=UEK`ZfQ8uH?A-hCSA_b1w!}5E>qlynG?-Xk zt9*jZN|bzQvr7c`fO09@E=+`K-|(g8!0dye-+eLgS$k%H1FEVj7!r+NO4tI?q;#8UnN0 zeg^?6dHv`jZ$!OJ9Qc3U$9%Jv+x4M(n3jEQP`*1s$rJHfb8IsvX zX(Ns86oKiH1wnybtfFImAbv<1U)q%QP2UqAn73f?1p5ixOvR5+{P7XooS_)I`s}{N z*`udm5aoe%4s1bD8uxXaIE*58LG*|G5XGmczOO#-m@fyy(Ij4wlUHNv3nr4{wF>M1 z=Yghf;$okfvJgjnjs6fvQd7-J1Tx2QAN+oGduQ32i)#OOnjooJYudR|2;<8 z0m@S??e(rFzbPNT(5}`|9bs=Xpj+eJ>wxVIjE`MO9NBoaf9t-Q*P7R|EQ&6jU9oKV z^Nq1$cy4?{508&O@AR(!7-M!rM!}yemMWqs`~A((I&4R(om(y2PX|5f4}pP&yocyY z+@Yv#F>?_!mB!RAFbad%$+3d@XgdQZRZ;7|AFkv^;Gqe6lxXA6!~`0#42wi%R*WyY z9IVE1Wp=qFFDvx)sJ`}BlrG<-bbd8cd6(gluS`KVGvx_XNCt`>&zAk7*rBn0tr&#; zzY#>X1$P76ZhwR= z+wmA%b@EQAKheSno=Yr&b)!z&z|?0Znn=~HJJAxool!WRjuWNgvl4U_&fZZIjecwW zLf&U$nwfdvqlDXB=}yd-mCk@io3aN^)hVW7>#Dr;gcv;@K@} z3Di!lG;kvEWK}ZWR-BW5^CZvPA`c%lfF*Oxt~KUABnAH_+6$QMm>%tPQv1E?1oMLh zB}v!ad-@v;_MQ)tO~YH!El#fmh_&OlXJ26M9R2!b%_ljqx4-&`9GOfX8tmw7C1<6c zoV`=b`NqDTdM@;K<{Yv{dy~AgjSSECg>tKtW{l0mw>B^JjXY+9GkcS9=HloTpg`MOc2u5;Z zmT`#+#y2dS@R&Oa@Q@G*x1qFNhNji?fgk9=FUEuFiqVKMvc#Sg zzPxN=R(7t`Atjliue4+kaQQ|3UofNtKYINg8ZE+XZVPX6-o4tniUYmP5*m$73dXBT z8sLuZt+87%s~0XFoNf^B6Dirnm}KUQpJy%)nWVTawHwA?r#hx7AVK?*Wz z>XUp}r<4Rg6?u$VoXPHkwo2^sdBf})>Rh+W94#8|8uQL53J!EYSoS4tF#u}JJdLFf zFDMB_Ickv@03WE)uF~PNRxQ!bFp)os#-z0xrkCj%FBrmAofx;KL3!dOR?$)HodpV+ zSaSkGMRv_PFL)XCI8L2z6LYFOF_K}nHIjGluXC@B_WbDAe+n?uA)GuXuS%JF?!{N( zXwYM*cvzxWE1Ki%dY9bo#hor<%$RwxN%Dw524B+P{p{VP6Rh|b;SP*0jX*85T}+^UjlQknoa%b zGy-P4wVUf%cT7?^<++t^{YC^YfpJT2Eq|!q=6#qrY*(&JzVzNu+(90=w2myg?u1?` zsQ=4Z@LAC0JaF=3Fw0!k@Ih3*9Pn}3rvV7J<)k%^(d-`3e~Xfnk$IX=2QwJ=@1SE z*d}-^T7_ouZ?Z-piXw7t#_%1}Rfuj|u3bwA0PS;~E^J`FmDVsfL%*e3G;PVXtM{@n z5s&r&5%8S$CoP6*i4UNw7ttwqL2EFFyu=Z(1(?aXztwS=rq!UxiWNWu&SWdQJ+ETi z#iL7|Ho3%k5kc10^;X`YqZ7>nokyd4&cOYx6pHp%)Tof?Q3-(W6RoMd6vt3y_X2cz zl#Bhk)@#bqbQ3gusiAmcxRmJ9vQ|J#_Voc{ZWwu4x)Bu0?EaPkHJ_06B?`66!Opqd zl(Tz|rK;?aCJypeBwrHHkwz6b`}w*@M~dyA*BVW`<%AZ$HZ8tIp2O+8qkkg$CU-`D zfWb}ghSpfz0~g^u3a0LaYpEwYH34Ja7w<@rq>rml`3A$PxT>cl6BgR}3AWGVq8J+z z#($mdI9FxH1B==Yj=+NSd?9TI_h7+QiIRH;*t60i7jxJ4TY!dYbpML?9bZO#PQuFN z8H#KB)^_k4^?6L_HCuEnCavd~HMZXiaNh>fb6 z`E^I+PNok|8A#B&;(kIH5sGl43VoFtb9!XZAh=L8$Sqdc1^-0EKoHx5S28LYIKtvQ zM2z#2V$Ea#r(=(6<4O;iTg_gD^DHb(a(2#`ogUEavmrBcJDYbP*Okbs|ntO_hu~l#dLWn;s+p16tcUoc&Q?*}hVleH2_ z6p}T5LybpDUE{4m^m7d!&u8^>KUvf!)ACXii~PQ@R@CKODYV+!UNG^TfhfIwBd8aq zI&6Z5X)}E2g`trqSRF&cmMTQ!?Zkc({e%qOh>U0)d-JHn94nlTM~CryR0lWP%&L?H zKQp>6)MO!v%ps%qU!<_T=6kt?Wtc+-wj+@sJG-I1`KfNM70zU`?Rb{=yiYdJC?j%7 z_0eP&HqTnyYzm?<1@)IsJC#4=qq}?h*Q?hyoSxz`GWFej4oQOrgT!UT1Jh4MEeZMD zCai;IEs3k=XS9K21#3Y~YeA4n8v)1`hQ8^pX~e5?^J8yLa4L9KbS{6zqOG`Po>ktm zSmwd{s(0;Be)~ry+j`r5)~DJ}^>c07z`;;%HgmCeun%mzl?6?&+g-;O(Z6so7Anm6 z^#34E6a^7ce5s)YK|_k2JZclYS2yZ0*|arl&BcO_(A4ycYfzlx@PaCtRMXbJ8!`TS z3lF+Ki5=I`b7Q`?bxUu6wKl}!14MfI)$Ki+g}`*$#FjS&{_4=4)el4%Utek-cuM|( z;)|-X)4AJQM#_14_n@y|8Q@jr;&(s|EJM*QOrke}O5{0GZIPb%T%gem3Whl=0}zkj zzj6ZJfpVHNr^LEV%?;U+q_@Tfn4TFf_PhxzXOKKbKG&hTO*|_RF$1_96U3SxDCSj$ zJZ(o{C*QSaqT8*$IVku`Y)C$_T(1jq3Pq^0Gi1h2$9?8je*>|?qZg^ps@K(ZiY8hE za*oe_ks8 z(KvwPtBxLC1x1R+4^WHI~W^}WmtMnkRgsC4eS2)MJj^{ zzXtX(A4^^Wi#d>m=iu{h)`kh)Tb-v;|94R>2g(@BpGj89%zs_^m|UwYfxk&7YE6Y9 zH(iz%KXjbG)H(i!i41Y;C*A?alRyxx-Qv85ibFPO#HwBjj>os~7#;U6V&+w0M;}YM zhX^j7vTUXq2}t;zdKZw&=kj~?Xr2isBAfS?PCC-1vE{^Js{IQ%ByX=A zE2__corcb1o4tHyNVO%^G8|Pec_YnbaD5+Xn6r|2A>z|#rZel5DFl{Sr=o2KK7n}L z*hgBz@leqa7q5b_Gt{Q4{yxtmw4v2X)d8bmD1;Ya8mzQ;21O>H}!F2R4wu; z7*^c44En(MPr65wG_7I%K!PgNoo(DYHu1$FW3C8vK1ywVN*ep+Mk4wm&yoV?fB8;v!1%oVLb#1bQH^;=ya*&TrgXVo}z*fm);Q2T7!9avDLqv zHx(OobB2DR5EuT2FsBhnQ&&Q=$EkBlhB(72W*j_`%+)d6z+Nc!;l8_&vFtn|!T~Js z4wS!p$gD?O|Aj7`ax@a->tRMpa*Rz{TRgR^ebWE(Nu9eozmqhvX65rbf3GQKA(y=8 zpsY!Cv+rnYZjM?_X`PN7a6$wcFqx7gy7l+5>*9CsZ)Kv!(Y!42bv`ShLu&4167T!W zN}35=`%~X_T;bF!Kkxfro=D5YOAa)~Zm~Lop@zS9D-_*T9|Fp>!jkJa3j~Qig7Ldh zse2R{iiAaJ3jQK|7l&sy_WfE>@XBogfw! zoljhqPh6bEiqMzvDR)w1e90xwFD(T>vJM=*Hr#!O(==6y)BB~F!9e=RPP!8;WWxbh z+=lzp5K4%qi|UYRhDdlq=})c}8xG2)dN+v^__E`ruD(ChL|XP)$;kIY$rz8VC)jd95QBj?OV z*!x#K((n2Ox_dUw29=*x%dsJsF4DEUin#`1P}KB;KpJ@867v9SC9Qb^UV?i8&ByQ)hL zxs6#_T^^XTmo_$lBl)ZC>r6SPu!YT7Q1N z=M*Cklai8>T}ads*$qB<9rUoQd+&ua{BQfam?_))HLDxWQwe%@4~bz5QJbCanpw5Z z47>HRd!i#lH{&j;V9zW<_+DlHfwt>ugDh@SRKWJy{^q)x)bP(dX(>}d{(i1^ru{}5 zrQx<&T}mkl>%_KFucJmFt}^B$JPd56oYCzJTqThLN?(7be*Yc0_1n~Fz0x<(H~m9` zlT-ZD?4Cr50!`xB2Dj>T_utFi*<(ivT(>^mz;C}f|F-y^3sQ5=j?Xs{-s8-c%w4`J zJw93~M*7mQ`HuJ{#{DBHP4Y!FP>%)OiUd91`wOb=t3@KI**@CW%$V8W4pBx?cKZka zOv&l;OtH`moW;H9>E@UXwoPDLSWpYjdkIt48PrY(7`jbY&F;lT$+^Gqd$`YW%i5%y sEKAlMTF=0LIC3-7WHAr2;=icvfL~l!J3Ky#_u1cd`#v(lkM+#{ALiyjaR2}S literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt new file mode 100644 index 0000000..730cd39 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt @@ -0,0 +1,1250 @@ + + + + vga_control_unit/r_Z + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/b_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + vga_driver_unit/h_sync_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + vga_control_unit/g_Z + stratix_lcell + le + + + vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + dly_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + dly_counter_1_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/v_sync_Z + stratix_lcell + le + + + vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + + + + + vga_driver:vga_driver_unit|vsync_counter_8 + + + vga_driver:vga_driver_unit|vsync_state_3 + + + vga_driver:vga_driver_unit|vsync_counter_9 + + + vga_driver:vga_driver_unit|vsync_state_2 + + + vga_driver:vga_driver_unit|vsync_state_1 + + + vga_driver:vga_driver_unit|vsync_state_0 + + + vga_driver:vga_driver_unit|vsync_state_6 + + + vga_driver:vga_driver_unit|vsync_state_5 + + + vga_driver:vga_driver_unit|vsync_state_4 + + + vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga_driver:vga_driver_unit|line_counter_sig_6 + + + vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga_driver:vga_driver_unit|v_enable_sig + + + vga_driver:vga_driver_unit|hsync_counter_8 + + + vga_driver:vga_driver_unit|hsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_9 + + + dly_counter[0] + + + vga_control:vga_control_unit|r + + + vga_driver:vga_driver_unit|v_sync + + + dly_counter[1] + + + vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga_driver:vga_driver_unit|hsync_state_2 + + + vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga_driver:vga_driver_unit|hsync_state_3 + + + vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga_driver:vga_driver_unit|hsync_state_0 + + + vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga_driver:vga_driver_unit|hsync_state_1 + + + vga_driver:vga_driver_unit|hsync_state_6 + + + vga_driver:vga_driver_unit|hsync_state_4 + + + vga_control:vga_control_unit|b + + + vga_driver:vga_driver_unit|hsync_state_5 + + + vga_control:vga_control_unit|g + + + vga_driver:vga_driver_unit|h_enable_sig + + + vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga_driver:vga_driver_unit|hsync_counter_4 + + + vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga_driver:vga_driver_unit|hsync_counter_3 + + + vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga_driver:vga_driver_unit|hsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_counter_0 + + + vga_driver:vga_driver_unit|hsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_0 + + + vga_driver:vga_driver_unit|h_sync + + + vga_driver:vga_driver_unit|vsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_2 + + + vga_driver:vga_driver_unit|vsync_counter_3 + + + vga_driver:vga_driver_unit|vsync_counter_4 + + + vga_driver:vga_driver_unit|vsync_counter_5 + + + vga_driver:vga_driver_unit|vsync_counter_6 + + + vga_driver:vga_driver_unit|vsync_counter_7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.sft b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.sft new file mode 100644 index 0000000..d306a9b --- /dev/null +++ b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.sft @@ -0,0 +1,4 @@ +set tool_name "ModelSim-Altera (VHDL)" +set corner_file_list { + {{"Slow Model"} {vga.vho vga_vhd.sdo}} +} diff --git a/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.vho b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.vho new file mode 100644 index 0000000..2421f7c --- /dev/null +++ b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.vho @@ -0,0 +1,6160 @@ +-- Copyright (C) 1991-2009 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + +-- VENDOR "Altera" +-- PROGRAM "Quartus II" +-- VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version" + +-- DATE "10/29/2009 17:00:56" + +-- +-- Device: Altera EP1S25F672C6 Package FBGA672 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY IEEE, stratix; +USE IEEE.std_logic_1164.all; +USE stratix.stratix_components.all; + +ENTITY vga IS + PORT ( + clk_pin : IN std_logic; + reset_pin : IN std_logic; + r0_pin : OUT std_logic; + r1_pin : OUT std_logic; + r2_pin : OUT std_logic; + g0_pin : OUT std_logic; + g1_pin : OUT std_logic; + g2_pin : OUT std_logic; + b0_pin : OUT std_logic; + b1_pin : OUT std_logic; + hsync_pin : OUT std_logic; + vsync_pin : OUT std_logic; + seven_seg_pin : OUT std_logic_vector(13 DOWNTO 0); + d_hsync : OUT std_logic; + d_vsync : OUT std_logic; + d_column_counter : OUT std_logic_vector(9 DOWNTO 0); + d_line_counter : OUT std_logic_vector(8 DOWNTO 0); + d_set_column_counter : OUT std_logic; + d_set_line_counter : OUT std_logic; + d_hsync_counter : OUT std_logic_vector(9 DOWNTO 0); + d_vsync_counter : OUT std_logic_vector(9 DOWNTO 0); + d_set_hsync_counter : OUT std_logic; + d_set_vsync_counter : OUT std_logic; + d_h_enable : OUT std_logic; + d_v_enable : OUT std_logic; + d_r : OUT std_logic; + d_g : OUT std_logic; + d_b : OUT std_logic; + d_hsync_state : OUT std_logic_vector(0 TO 6); + d_vsync_state : OUT std_logic_vector(0 TO 6); + d_state_clk : OUT std_logic + ); +END vga; + +ARCHITECTURE structure OF vga IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_clk_pin : std_logic; +SIGNAL ww_reset_pin : std_logic; +SIGNAL ww_r0_pin : std_logic; +SIGNAL ww_r1_pin : std_logic; +SIGNAL ww_r2_pin : std_logic; +SIGNAL ww_g0_pin : std_logic; +SIGNAL ww_g1_pin : std_logic; +SIGNAL ww_g2_pin : std_logic; +SIGNAL ww_b0_pin : std_logic; +SIGNAL ww_b1_pin : std_logic; +SIGNAL ww_hsync_pin : std_logic; +SIGNAL ww_vsync_pin : std_logic; +SIGNAL ww_seven_seg_pin : std_logic_vector(13 DOWNTO 0); +SIGNAL ww_d_hsync : std_logic; +SIGNAL ww_d_vsync : std_logic; +SIGNAL ww_d_column_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_line_counter : std_logic_vector(8 DOWNTO 0); +SIGNAL ww_d_set_column_counter : std_logic; +SIGNAL ww_d_set_line_counter : std_logic; +SIGNAL ww_d_hsync_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_vsync_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_set_hsync_counter : std_logic; +SIGNAL ww_d_set_vsync_counter : std_logic; +SIGNAL ww_d_h_enable : std_logic; +SIGNAL ww_d_v_enable : std_logic; +SIGNAL ww_d_r : std_logic; +SIGNAL ww_d_g : std_logic; +SIGNAL ww_d_b : std_logic; +SIGNAL ww_d_hsync_state : std_logic_vector(0 TO 6); +SIGNAL ww_d_vsync_state : std_logic_vector(0 TO 6); +SIGNAL ww_d_state_clk : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\ : std_logic; +SIGNAL \clk_pin~combout\ : std_logic; +SIGNAL \reset_pin~combout\ : std_logic; +SIGNAL \vga_driver_unit|un6_dly_counter_0_x\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_6\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_5\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_9\ : std_logic; +SIGNAL \vga_driver_unit|un9_hsync_counterlt9_3\ : std_logic; +SIGNAL \vga_driver_unit|un9_hsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|G_2_i\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_5\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ : std_logic; +SIGNAL \vga_driver_unit|un11_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un11_hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_0\ : std_logic; +SIGNAL \vga_driver_unit|d_set_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_next_1_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_4\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_1\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_0\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_1\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_3\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_2\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_4\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_5\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_7\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_8\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_9\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6_1\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglto9\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_6\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6_3\ : std_logic; +SIGNAL \vga_control_unit|b_next_i_o3_0\ : std_logic; +SIGNAL \vga_control_unit|g_next_i_o3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_5\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_9\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9_5\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|G_16_i\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un12_vsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|un15_vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un15_vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un14_vsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_5\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_4\ : std_logic; +SIGNAL \vga_driver_unit|un13_vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un13_vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_2_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_2\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_0\ : std_logic; +SIGNAL \vga_driver_unit|d_set_vsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_next_1_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un12_vsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_1\ : std_logic; +SIGNAL \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ : std_logic; +SIGNAL \vga_driver_unit|h_enable_sig\ : std_logic; +SIGNAL \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ : std_logic; +SIGNAL \vga_driver_unit|v_enable_sig\ : std_logic; +SIGNAL \vga_control_unit|r_next_i_o7\ : std_logic; +SIGNAL \vga_control_unit|N_4_i_0_g0_1\ : std_logic; +SIGNAL \vga_control_unit|r\ : std_logic; +SIGNAL \vga_control_unit|N_23_i_0_g0_a\ : std_logic; +SIGNAL \vga_control_unit|g\ : std_logic; +SIGNAL \vga_control_unit|b_next_i_a7_1\ : std_logic; +SIGNAL \vga_control_unit|N_6_i_0_g0_0\ : std_logic; +SIGNAL \vga_control_unit|b\ : std_logic; +SIGNAL \vga_driver_unit|un1_hsync_state_3_0\ : std_logic; +SIGNAL \vga_driver_unit|h_sync_1_0_0_0_g1\ : std_logic; +SIGNAL \vga_driver_unit|h_sync\ : std_logic; +SIGNAL \vga_driver_unit|un1_vsync_state_2_0\ : std_logic; +SIGNAL \vga_driver_unit|v_sync_1_0_0_0_g1\ : std_logic; +SIGNAL \vga_driver_unit|v_sync\ : std_logic; +SIGNAL \~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_1\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_3\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_4\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_5\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_6\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglt4_2\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglto5\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_7\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_8\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglto8\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout\ : std_logic_vector(8 DOWNTO 0); +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout\ : std_logic_vector(1 DOWNTO 1); +SIGNAL \vga_driver_unit|un1_line_counter_sig_combout\ : std_logic_vector(9 DOWNTO 1); +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout\ : std_logic_vector(7 DOWNTO 1); +SIGNAL \vga_driver_unit|un2_column_counter_next_combout\ : std_logic_vector(9 DOWNTO 1); +SIGNAL \vga_driver_unit|un2_column_counter_next_cout\ : std_logic_vector(7 DOWNTO 0); +SIGNAL \vga_driver_unit|vsync_counter_cout\ : std_logic_vector(8 DOWNTO 0); +SIGNAL dly_counter : std_logic_vector(1 DOWNTO 0); +SIGNAL \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_G_2_i\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_G_16_i\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ : std_logic; +SIGNAL \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic; + +BEGIN + +ww_clk_pin <= clk_pin; +ww_reset_pin <= reset_pin; +r0_pin <= ww_r0_pin; +r1_pin <= ww_r1_pin; +r2_pin <= ww_r2_pin; +g0_pin <= ww_g0_pin; +g1_pin <= ww_g1_pin; +g2_pin <= ww_g2_pin; +b0_pin <= ww_b0_pin; +b1_pin <= ww_b1_pin; +hsync_pin <= ww_hsync_pin; +vsync_pin <= ww_vsync_pin; +seven_seg_pin <= ww_seven_seg_pin; +d_hsync <= ww_d_hsync; +d_vsync <= ww_d_vsync; +d_column_counter <= ww_d_column_counter; +d_line_counter <= ww_d_line_counter; +d_set_column_counter <= ww_d_set_column_counter; +d_set_line_counter <= ww_d_set_line_counter; +d_hsync_counter <= ww_d_hsync_counter; +d_vsync_counter <= ww_d_vsync_counter; +d_set_hsync_counter <= ww_d_set_hsync_counter; +d_set_vsync_counter <= ww_d_set_vsync_counter; +d_h_enable <= ww_d_h_enable; +d_v_enable <= ww_d_v_enable; +d_r <= ww_d_r; +d_g <= ww_d_g; +d_b <= ww_d_b; +d_hsync_state <= ww_d_hsync_state; +d_vsync_state <= ww_d_vsync_state; +d_state_clk <= ww_d_state_clk; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +\vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\; +\vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\; +\vga_driver_unit|ALT_INV_G_2_i\ <= NOT \vga_driver_unit|G_2_i\; +\vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ <= NOT \vga_driver_unit|un9_hsync_counterlt9\; +\vga_driver_unit|ALT_INV_G_16_i\ <= NOT \vga_driver_unit|G_16_i\; +\vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ <= NOT \vga_driver_unit|un9_vsync_counterlt9\; +\ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ <= NOT \~STRATIX_FITTER_CREATED_GND~I_combout\; + +clk_pin_in : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "input", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => GND, + padio => ww_clk_pin, + combout => \clk_pin~combout\); + +reset_pin_in : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "input", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => GND, + padio => ww_reset_pin, + combout => \reset_pin~combout\); + +\dly_counter_1_\ : stratix_lcell +-- Equation(s): +-- dly_counter(1) = DFFEAS(\reset_pin~combout\ & (dly_counter(0) # dly_counter(1)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a8a8", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => dly_counter(1)); + +\dly_counter_0_\ : stratix_lcell +-- Equation(s): +-- dly_counter(0) = DFFEAS(\reset_pin~combout\ & (dly_counter(1) # !dly_counter(0)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a2a2", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => dly_counter(0)); + +\vga_driver_unit|vsync_state_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un6_dly_counter_0_x\ = !dly_counter(1) # !dly_counter(0) # !\reset_pin~combout\ +-- \vga_driver_unit|vsync_state_6\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7f7f", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un6_dly_counter_0_x\, + regout => \vga_driver_unit|vsync_state_6\); + +\vga_driver_unit|hsync_state_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|d_set_hsync_counter\ = C1_hsync_state_6 # \vga_driver_unit|hsync_state_0\ +-- \vga_driver_unit|hsync_state_6\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|un6_dly_counter_0_x\, , , VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|hsync_state_0\, + aclr => GND, + sload => VCC, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|d_set_hsync_counter\, + regout => \vga_driver_unit|hsync_state_6\); + +\vga_driver_unit|hsync_counter_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_0\ = DFFEAS(!\vga_driver_unit|hsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(0) = CARRY(\vga_driver_unit|hsync_counter_0\) +-- \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|hsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "33cc", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_0\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_0\, + cout0 => \vga_driver_unit|hsync_counter_cout\(0), + cout1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\); + +\vga_driver_unit|hsync_counter_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_1\ = DFFEAS(\vga_driver_unit|hsync_counter_1\ $ \vga_driver_unit|hsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(1) = CARRY(!\vga_driver_unit|hsync_counter_cout\(0) # !\vga_driver_unit|hsync_counter_1\) +-- \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|hsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_1\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(0), + cin1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_1\, + cout0 => \vga_driver_unit|hsync_counter_cout\(1), + cout1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\); + +\vga_driver_unit|hsync_counter_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_2\ = DFFEAS(\vga_driver_unit|hsync_counter_2\ $ (!\vga_driver_unit|hsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(2) = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout\(1))) +-- \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout[1]~COUT1_12\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(1), + cin1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_2\, + cout0 => \vga_driver_unit|hsync_counter_cout\(2), + cout1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\); + +\vga_driver_unit|hsync_counter_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_3\ = DFFEAS(\vga_driver_unit|hsync_counter_3\ $ (\vga_driver_unit|hsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(3) = CARRY(!\vga_driver_unit|hsync_counter_cout\(2) # !\vga_driver_unit|hsync_counter_3\) +-- \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|hsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_3\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(2), + cin1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_3\, + cout0 => \vga_driver_unit|hsync_counter_cout\(3), + cout1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\); + +\vga_driver_unit|hsync_counter_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_4\ = DFFEAS(\vga_driver_unit|hsync_counter_4\ $ (!\vga_driver_unit|hsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(4) = CARRY(\vga_driver_unit|hsync_counter_4\ & (!\vga_driver_unit|hsync_counter_cout[3]~COUT1_16\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(3), + cin1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_4\, + cout => \vga_driver_unit|hsync_counter_cout\(4)); + +\vga_driver_unit|hsync_counter_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_5\ = DFFEAS(\vga_driver_unit|hsync_counter_5\ $ \vga_driver_unit|hsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(5) = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\) +-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_5\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_5\, + cout0 => \vga_driver_unit|hsync_counter_cout\(5), + cout1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter_7\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_2\ & \vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_3\, + datad => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter_7\); + +\vga_driver_unit|hsync_counter_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_6\ = DFFEAS(\vga_driver_unit|hsync_counter_6\ $ !(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(5)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(6) = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout\(5)) +-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout[5]~COUT1_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c30c", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(5), + cin1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_6\, + cout0 => \vga_driver_unit|hsync_counter_cout\(6), + cout1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\); + +\vga_driver_unit|hsync_counter_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_7\ = DFFEAS(\vga_driver_unit|hsync_counter_7\ $ ((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(6)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(7) = CARRY(!\vga_driver_unit|hsync_counter_cout\(6) # !\vga_driver_unit|hsync_counter_7\) +-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|hsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_7\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(6), + cin1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_7\, + cout0 => \vga_driver_unit|hsync_counter_cout\(7), + cout1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\); + +\vga_driver_unit|hsync_counter_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_8\ = DFFEAS(\vga_driver_unit|hsync_counter_8\ $ (!(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(7)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(8) = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout\(7))) +-- \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_8\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(7), + cin1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_8\, + cout0 => \vga_driver_unit|hsync_counter_cout\(8), + cout1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\); + +\vga_driver_unit|hsync_counter_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_9\ = DFFEAS((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(8)) # (\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\) $ +-- \vga_driver_unit|hsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + datad => \vga_driver_unit|hsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(8), + cin1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_9\); + +\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_hsync_counterlt9_3\ = !\vga_driver_unit|hsync_counter_6\ # !\vga_driver_unit|hsync_counter_8\ # !\vga_driver_unit|hsync_counter_9\ # !\vga_driver_unit|hsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_hsync_counterlt9_3\); + +\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_hsync_counterlt9\ = \vga_driver_unit|un9_hsync_counterlt9_3\ # !\vga_driver_unit|un13_hsync_counter_7\ # !\vga_driver_unit|hsync_counter_4\ # !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_5\, + datab => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|un13_hsync_counter_7\, + datad => \vga_driver_unit|un9_hsync_counterlt9_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_hsync_counterlt9\); + +\vga_driver_unit|G_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|G_2_i\ = !\vga_driver_unit|hsync_state_6\ & !\vga_driver_unit|hsync_state_0\ & !\vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un9_hsync_counterlt9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3337", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_6\, + datab => \vga_driver_unit|un9_hsync_counterlt9\, + datac => \vga_driver_unit|hsync_state_0\, + datad => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|G_2_i\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter_2\ = !\vga_driver_unit|hsync_counter_5\ & \vga_driver_unit|hsync_counter_9\ & \vga_driver_unit|hsync_counter_8\ & \vga_driver_unit|hsync_counter_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "4000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_5\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|hsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter_2\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|un13_hsync_counter_7\ & \vga_driver_unit|un13_hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|un13_hsync_counter_7\, + datad => \vga_driver_unit|un13_hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter_4\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0010", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter_4\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_5\ & \vga_driver_unit|hsync_counter_9\ & !\vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0400", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_5\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_3\, + datad => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter_3\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter\ = \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|un12_hsync_counter_4\ & \vga_driver_unit|un12_hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_0\, + datab => \vga_driver_unit|hsync_counter_1\, + datac => \vga_driver_unit|un12_hsync_counter_4\, + datad => \vga_driver_unit|un12_hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter\); + +\vga_driver_unit|hsync_state_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|hsync_state_2\ & (C1_hsync_state_3 & !\vga_driver_unit|un12_hsync_counter\ # !\vga_driver_unit|un13_hsync_counter\) # !\vga_driver_unit|hsync_state_2\ & (C1_hsync_state_3 & +-- !\vga_driver_unit|un12_hsync_counter\) +-- \vga_driver_unit|hsync_state_3\ = DFFEAS(\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, \vga_driver_unit|hsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "22f2", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_2\, + datab => \vga_driver_unit|un13_hsync_counter\, + datac => \vga_driver_unit|hsync_state_1\, + datad => \vga_driver_unit|un12_hsync_counter\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + sload => VCC, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, + regout => \vga_driver_unit|hsync_state_3\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_4\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_4\, + datad => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_4\); + +\vga_driver_unit|hsync_state_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_5\ = DFFEAS(\vga_driver_unit|hsync_state_0\ # \vga_driver_unit|hsync_state_6\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fcfc", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_state_0\, + datac => \vga_driver_unit|hsync_state_6\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_5\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_1\ = !\vga_driver_unit|hsync_counter_9\ & !\vga_driver_unit|hsync_counter_5\ & (!\vga_driver_unit|hsync_counter_8\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0011", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_9\, + datab => \vga_driver_unit|hsync_counter_5\, + datad => \vga_driver_unit|hsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_1\); + +\vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|hsync_state_5\ & (!\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un10_hsync_counter_4\ # !\vga_driver_unit|un10_hsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "70f0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un10_hsync_counter_3\, + datab => \vga_driver_unit|un10_hsync_counter_4\, + datac => \vga_driver_unit|hsync_state_5\, + datad => \vga_driver_unit|un10_hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\); + +\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un11_hsync_counter_3\ = \vga_driver_unit|hsync_counter_1\ & !\vga_driver_unit|hsync_counter_4\ & !\vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0200", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_3\, + datad => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un11_hsync_counter_3\); + +\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un11_hsync_counter_2\ = !\vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_7\, + datad => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un11_hsync_counter_2\); + +\vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|hsync_state_4\ & (!\vga_driver_unit|un11_hsync_counter_2\ # !\vga_driver_unit|un11_hsync_counter_3\ # !\vga_driver_unit|un10_hsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2aaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_4\, + datab => \vga_driver_unit|un10_hsync_counter_1\, + datac => \vga_driver_unit|un11_hsync_counter_3\, + datad => \vga_driver_unit|un11_hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\); + +\vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ & !\vga_driver_unit|hsync_state_next_1_sqmuxa_1\ & !\vga_driver_unit|hsync_state_next_1_sqmuxa_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaab", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, + datac => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\, + datad => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\); + +\vga_driver_unit|hsync_state_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_2\ = DFFEAS(\vga_driver_unit|hsync_state_3\ & (\vga_driver_unit|un12_hsync_counter\), GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "cc00", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_state_3\, + datad => \vga_driver_unit|un12_hsync_counter\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_2\); + +\vga_driver_unit|hsync_state_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_0\ = DFFEAS(\vga_driver_unit|hsync_state_2\ & (\vga_driver_unit|un13_hsync_counter\), GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a0a0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_2\, + datac => \vga_driver_unit|un13_hsync_counter\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_0\); + +\vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_next_1_sqmuxa\ = \reset_pin~combout\ & dly_counter(0) & !\vga_driver_unit|d_set_hsync_counter\ & dly_counter(1) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0800", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => \vga_driver_unit|d_set_hsync_counter\, + datad => dly_counter(1), + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_counter_next_1_sqmuxa\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_2\ & !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0003", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_7\, + datad => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_3\); + +\vga_driver_unit|hsync_state_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_4\ = DFFEAS(\vga_driver_unit|un10_hsync_counter_3\ & \vga_driver_unit|un10_hsync_counter_4\ & \vga_driver_unit|hsync_state_5\ & \vga_driver_unit|un10_hsync_counter_1\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_hsync_counter_3\, + datab => \vga_driver_unit|un10_hsync_counter_4\, + datac => \vga_driver_unit|hsync_state_5\, + datad => \vga_driver_unit|un10_hsync_counter_1\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_4\); + +\vga_driver_unit|hsync_state_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_1\ = DFFEAS(\vga_driver_unit|hsync_state_4\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|un11_hsync_counter_3\ & \vga_driver_unit|un11_hsync_counter_2\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_4\, + datab => \vga_driver_unit|un10_hsync_counter_1\, + datac => \vga_driver_unit|un11_hsync_counter_3\, + datad => \vga_driver_unit|un11_hsync_counter_2\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_1\); + +\vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ = \reset_pin~combout\ & dly_counter(0) & dly_counter(1) & !\vga_driver_unit|hsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + datad => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\); + +\vga_driver_unit|column_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_0\ = DFFEAS(!\vga_driver_unit|un10_column_counter_siglto9\ # !\vga_driver_unit|column_counter_sig_0\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3f3f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|column_counter_sig_0\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_0\); + +\vga_driver_unit|un2_column_counter_next_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(1) = \vga_driver_unit|column_counter_sig_0\ $ \vga_driver_unit|column_counter_sig_1\ +-- \vga_driver_unit|un2_column_counter_next_cout\(1) = CARRY(\vga_driver_unit|column_counter_sig_0\ & \vga_driver_unit|column_counter_sig_1\) +-- \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ = CARRY(\vga_driver_unit|column_counter_sig_0\ & \vga_driver_unit|column_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_0\, + datab => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(1), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(1), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\); + +\vga_driver_unit|column_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_1\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(1) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "cfcf", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un2_column_counter_next_combout\(1), + datac => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_1\); + +\vga_driver_unit|un2_column_counter_next_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(3) = \vga_driver_unit|column_counter_sig_3\ $ (\vga_driver_unit|column_counter_sig_2\ & \vga_driver_unit|un2_column_counter_next_cout\(1)) +-- \vga_driver_unit|un2_column_counter_next_cout\(3) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(1) # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) +-- \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_3\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(1), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(3), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(3), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\); + +\vga_driver_unit|column_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_3\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(3) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(3), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_3\); + +\vga_driver_unit|un2_column_counter_next_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_cout\(0) = CARRY(\vga_driver_unit|column_counter_sig_0\ & \vga_driver_unit|column_counter_sig_1\) +-- \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ = CARRY(\vga_driver_unit|column_counter_sig_0\ & \vga_driver_unit|column_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_0\, + datab => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\, + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(0), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\); + +\vga_driver_unit|un2_column_counter_next_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(2) = \vga_driver_unit|column_counter_sig_2\ $ (\vga_driver_unit|un2_column_counter_next_cout\(0)) +-- \vga_driver_unit|un2_column_counter_next_cout\(2) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(0) # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) +-- \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_3\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(0), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(2), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(2), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\); + +\vga_driver_unit|column_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_2\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(2) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f5f5", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_column_counter_siglto9\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(2), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_2\); + +\vga_driver_unit|un2_column_counter_next_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(4) = \vga_driver_unit|column_counter_sig_4\ $ !\vga_driver_unit|un2_column_counter_next_cout\(2) +-- \vga_driver_unit|un2_column_counter_next_cout\(4) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(2)) +-- \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_4\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(2), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(4), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(4), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\); + +\vga_driver_unit|column_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_4\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(4) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f3f3", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_column_counter_siglto9\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(4), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_4\); + +\vga_driver_unit|un2_column_counter_next_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(5) = \vga_driver_unit|column_counter_sig_5\ $ (\vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3)) +-- \vga_driver_unit|un2_column_counter_next_cout\(5) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3)) +-- \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_4\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(3), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(5), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(5), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\); + +\vga_driver_unit|column_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_5\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(5) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "afaf", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un2_column_counter_next_combout\(5), + datac => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_5\); + +\vga_driver_unit|un2_column_counter_next_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(7) = \vga_driver_unit|column_counter_sig_7\ $ (\vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|un2_column_counter_next_cout\(5)) +-- \vga_driver_unit|un2_column_counter_next_cout\(7) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(5) # !\vga_driver_unit|column_counter_sig_6\ # !\vga_driver_unit|column_counter_sig_7\) +-- \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ # !\vga_driver_unit|column_counter_sig_6\ # !\vga_driver_unit|column_counter_sig_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_7\, + datab => \vga_driver_unit|column_counter_sig_6\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(5), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(7), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(7), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\); + +\vga_driver_unit|column_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_7\ = DFFEAS(\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ & (\vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|un2_column_counter_next_combout\(7)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(7), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_7\); + +\vga_driver_unit|un2_column_counter_next_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(6) = \vga_driver_unit|column_counter_sig_6\ $ \vga_driver_unit|un2_column_counter_next_cout\(4) +-- \vga_driver_unit|un2_column_counter_next_cout\(6) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(4) # !\vga_driver_unit|column_counter_sig_6\ # !\vga_driver_unit|column_counter_sig_7\) +-- \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ # !\vga_driver_unit|column_counter_sig_6\ # !\vga_driver_unit|column_counter_sig_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_7\, + datab => \vga_driver_unit|column_counter_sig_6\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(4), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(6), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(6), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\); + +\vga_driver_unit|un2_column_counter_next_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(8) = \vga_driver_unit|un2_column_counter_next_cout\(6) $ !\vga_driver_unit|column_counter_sig_8\ + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "f00f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datad => \vga_driver_unit|column_counter_sig_8\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(6), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(8)); + +\vga_driver_unit|column_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_8\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(8) & (\vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un2_column_counter_next_combout\(8), + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_8\); + +\vga_driver_unit|un2_column_counter_next_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(9) = \vga_driver_unit|column_counter_sig_9\ $ (\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|un2_column_counter_next_cout\(7)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "f30c", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|column_counter_sig_8\, + datad => \vga_driver_unit|column_counter_sig_9\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(7), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(9)); + +\vga_driver_unit|column_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_9\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(9) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(9), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_9\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6_1\ = !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_1\ # !\vga_driver_unit|column_counter_sig_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|column_counter_sig_0\, + datac => \vga_driver_unit|column_counter_sig_1\, + datad => \vga_driver_unit|column_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6_1\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6\ = \vga_driver_unit|un10_column_counter_siglt6_3\ # \vga_driver_unit|un10_column_counter_siglt6_1\ # !\vga_driver_unit|column_counter_sig_4\ # !\vga_driver_unit|column_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fdff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_3\, + datab => \vga_driver_unit|un10_column_counter_siglt6_3\, + datac => \vga_driver_unit|un10_column_counter_siglt6_1\, + datad => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglto9\ = !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\ & \vga_driver_unit|un10_column_counter_siglt6\ # !\vga_driver_unit|column_counter_sig_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1f0f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_8\, + datab => \vga_driver_unit|column_counter_sig_7\, + datac => \vga_driver_unit|column_counter_sig_9\, + datad => \vga_driver_unit|un10_column_counter_siglt6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglto9\); + +\vga_driver_unit|column_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_6\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(6) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f3f3", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_column_counter_siglto9\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(6), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_6\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6_3\ = !\vga_driver_unit|column_counter_sig_5\ # !\vga_driver_unit|column_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|column_counter_sig_6\, + datad => \vga_driver_unit|column_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6_3\); + +\vga_control_unit|b_next_i_o3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_i_o3_0\ = \vga_driver_unit|column_counter_sig_7\ # \vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|column_counter_sig_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f8f0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_6\, + datac => \vga_driver_unit|column_counter_sig_7\, + datad => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_i_o3_0\); + +\vga_control_unit|g_next_i_o3_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|g_next_i_o3\ = \vga_driver_unit|column_counter_sig_4\ # \vga_driver_unit|column_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_4\, + datad => \vga_driver_unit|column_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|g_next_i_o3\); + +\vga_driver_unit|vsync_counter_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_0\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\ $ \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(0) = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|vsync_counter_0\) +-- \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|vsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|d_set_hsync_counter\, + datab => \vga_driver_unit|vsync_counter_0\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_0\, + cout0 => \vga_driver_unit|vsync_counter_cout\(0), + cout1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\); + +\vga_driver_unit|vsync_counter_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_1\ = DFFEAS(\vga_driver_unit|vsync_counter_1\ $ \vga_driver_unit|vsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(1) = CARRY(!\vga_driver_unit|vsync_counter_cout\(0) # !\vga_driver_unit|vsync_counter_1\) +-- \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|vsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_1\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(0), + cin1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_1\, + cout0 => \vga_driver_unit|vsync_counter_cout\(1), + cout1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\); + +\vga_driver_unit|vsync_counter_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_2\ = DFFEAS(\vga_driver_unit|vsync_counter_2\ $ (!\vga_driver_unit|vsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(2) = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout\(1))) +-- \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout[1]~COUT1_12\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(1), + cin1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_2\, + cout0 => \vga_driver_unit|vsync_counter_cout\(2), + cout1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\); + +\vga_driver_unit|vsync_counter_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_3\ = DFFEAS(\vga_driver_unit|vsync_counter_3\ $ (\vga_driver_unit|vsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(3) = CARRY(!\vga_driver_unit|vsync_counter_cout\(2) # !\vga_driver_unit|vsync_counter_3\) +-- \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|vsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_3\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(2), + cin1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_3\, + cout0 => \vga_driver_unit|vsync_counter_cout\(3), + cout1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\); + +\vga_driver_unit|vsync_counter_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_4\ = DFFEAS(\vga_driver_unit|vsync_counter_4\ $ (!\vga_driver_unit|vsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(4) = CARRY(\vga_driver_unit|vsync_counter_4\ & (!\vga_driver_unit|vsync_counter_cout[3]~COUT1_16\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_4\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(3), + cin1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_4\, + cout => \vga_driver_unit|vsync_counter_cout\(4)); + +\vga_driver_unit|vsync_counter_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_5\ = DFFEAS(\vga_driver_unit|vsync_counter_5\ $ \vga_driver_unit|vsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(5) = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\) +-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_5\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_5\, + cout0 => \vga_driver_unit|vsync_counter_cout\(5), + cout1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9_6\ = !\vga_driver_unit|vsync_counter_1\ # !\vga_driver_unit|vsync_counter_2\ # !\vga_driver_unit|vsync_counter_3\ # !\vga_driver_unit|vsync_counter_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_3\, + datac => \vga_driver_unit|vsync_counter_2\, + datad => \vga_driver_unit|vsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9_6\); + +\vga_driver_unit|vsync_counter_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_6\ = DFFEAS(\vga_driver_unit|vsync_counter_6\ $ !(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(5)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(6) = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout\(5)) +-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout[5]~COUT1_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c30c", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(5), + cin1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_6\, + cout0 => \vga_driver_unit|vsync_counter_cout\(6), + cout1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\); + +\vga_driver_unit|vsync_counter_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_7\ = DFFEAS(\vga_driver_unit|vsync_counter_7\ $ ((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(6)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(7) = CARRY(!\vga_driver_unit|vsync_counter_cout\(6) # !\vga_driver_unit|vsync_counter_7\) +-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|vsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_7\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(6), + cin1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_7\, + cout0 => \vga_driver_unit|vsync_counter_cout\(7), + cout1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\); + +\vga_driver_unit|vsync_counter_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_8\ = DFFEAS(\vga_driver_unit|vsync_counter_8\ $ (!(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(7)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(8) = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout\(7))) +-- \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(7), + cin1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_8\, + cout0 => \vga_driver_unit|vsync_counter_cout\(8), + cout1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\); + +\vga_driver_unit|vsync_counter_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_9\ = DFFEAS((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(8)) # (\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\) $ +-- \vga_driver_unit|vsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + datad => \vga_driver_unit|vsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(8), + cin1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_9\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9_5\ = !\vga_driver_unit|vsync_counter_7\ # !\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|vsync_counter_6\ # !\vga_driver_unit|vsync_counter_8\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_8\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_9\, + datad => \vga_driver_unit|vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9_5\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9\ = \vga_driver_unit|un9_vsync_counterlt9_6\ # \vga_driver_unit|un9_vsync_counterlt9_5\ # !\vga_driver_unit|vsync_counter_4\ # !\vga_driver_unit|vsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffdf", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_5\, + datab => \vga_driver_unit|un9_vsync_counterlt9_6\, + datac => \vga_driver_unit|vsync_counter_4\, + datad => \vga_driver_unit|un9_vsync_counterlt9_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9\); + +\vga_driver_unit|G_16\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|G_16_i\ = !\vga_driver_unit|un6_dly_counter_0_x\ & !\vga_driver_unit|vsync_state_6\ & !\vga_driver_unit|vsync_state_0\ # !\vga_driver_unit|un9_vsync_counterlt9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "01ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|vsync_state_6\, + datac => \vga_driver_unit|vsync_state_0\, + datad => \vga_driver_unit|un9_vsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|G_16_i\); + +\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_vsync_counter_6\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_7\, + datab => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_6\, + datad => \vga_driver_unit|vsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_vsync_counter_6\); + +\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un15_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|vsync_counter_3\ & \vga_driver_unit|vsync_counter_9\ & !\vga_driver_unit|vsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0040", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_3\, + datac => \vga_driver_unit|vsync_counter_9\, + datad => \vga_driver_unit|vsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un15_vsync_counter_3\); + +\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un15_vsync_counter_4\ = !\vga_driver_unit|vsync_counter_4\ & !\vga_driver_unit|vsync_counter_1\ & \vga_driver_unit|un15_vsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0300", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_counter_4\, + datac => \vga_driver_unit|vsync_counter_1\, + datad => \vga_driver_unit|un15_vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un15_vsync_counter_4\); + +\vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un14_vsync_counter_8\ = \vga_driver_unit|un12_vsync_counter_6\ & \vga_driver_unit|un12_vsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|un12_vsync_counter_6\, + datad => \vga_driver_unit|un12_vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un14_vsync_counter_8\); + +\vga_driver_unit|vsync_state_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_5\ = DFFEAS(\vga_driver_unit|vsync_state_0\ # \vga_driver_unit|vsync_state_6\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|vsync_state_0\, + datad => \vga_driver_unit|vsync_state_6\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_5\); + +\vga_driver_unit|vsync_state_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_4\ = DFFEAS(\vga_driver_unit|vsync_counter_0\ & !\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|un14_vsync_counter_8\ & \vga_driver_unit|vsync_state_5\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|un14_vsync_counter_8\, + datad => \vga_driver_unit|vsync_state_5\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_4\); + +\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_7\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_8\, + datad => \vga_driver_unit|vsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_vsync_counter_3\); + +\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_vsync_counter_4\ = \vga_driver_unit|vsync_counter_5\ & \vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|un13_vsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_counter_5\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un13_vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_vsync_counter_4\); + +\vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|vsync_state_4\ & (!\vga_driver_unit|un13_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0ccc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_4\, + datac => \vga_driver_unit|un12_vsync_counter_7\, + datad => \vga_driver_unit|un13_vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\); + +\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ # \vga_driver_unit|vsync_state_2\ & (!\vga_driver_unit|un15_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff2a", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_2\, + datab => \vga_driver_unit|un12_vsync_counter_6\, + datac => \vga_driver_unit|un15_vsync_counter_4\, + datad => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\); + +\vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|vsync_state_5\ & (\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|un14_vsync_counter_8\ # !\vga_driver_unit|vsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8ccc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_9\, + datab => \vga_driver_unit|vsync_state_5\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\); + +\vga_driver_unit|vsync_state_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ = C1_vsync_state_3 & (!\vga_driver_unit|un14_vsync_counter_8\ # !\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|vsync_counter_0\) +-- \vga_driver_unit|vsync_state_3\ = DFFEAS(\vga_driver_unit|vsync_state_next_1_sqmuxa_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, \vga_driver_unit|vsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "70f0", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + sload => VCC, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\, + regout => \vga_driver_unit|vsync_state_3\); + +\vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ & !\vga_driver_unit|vsync_state_next_1_sqmuxa_1\ & !\vga_driver_unit|vsync_state_next_1_sqmuxa_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaab", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\, + datac => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\, + datad => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_2_sqmuxa\); + +\vga_driver_unit|vsync_state_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_2\ = DFFEAS(\vga_driver_unit|un14_vsync_counter_8\ & \vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|vsync_state_3\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un14_vsync_counter_8\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|vsync_state_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_2\); + +\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ = \vga_driver_unit|un12_vsync_counter_6\ & \vga_driver_unit|un15_vsync_counter_4\ & \vga_driver_unit|vsync_state_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|un12_vsync_counter_6\, + datac => \vga_driver_unit|un15_vsync_counter_4\, + datad => \vga_driver_unit|vsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\); + +\vga_driver_unit|vsync_state_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_0\ = DFFEAS(\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ & (\vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_next_2_sqmuxa\ # !\vga_driver_unit|un6_dly_counter_0_x\) # +-- !\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ & (\vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_next_2_sqmuxa\), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "22f2", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\, + datab => \vga_driver_unit|un6_dly_counter_0_x\, + datac => \vga_driver_unit|vsync_state_0\, + datad => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_0\); + +\vga_driver_unit|d_set_vsync_counter_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|d_set_vsync_counter\ = \vga_driver_unit|vsync_state_6\ # \vga_driver_unit|vsync_state_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffcc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_6\, + datad => \vga_driver_unit|vsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|d_set_vsync_counter\); + +\vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_next_1_sqmuxa\ = dly_counter(1) & \reset_pin~combout\ & !\vga_driver_unit|d_set_vsync_counter\ & dly_counter(0) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0800", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => dly_counter(1), + datab => \reset_pin~combout\, + datac => \vga_driver_unit|d_set_vsync_counter\, + datad => dly_counter(0), + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_counter_next_1_sqmuxa\); + +\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_vsync_counter_7\ = !\vga_driver_unit|vsync_counter_4\ & !\vga_driver_unit|vsync_counter_2\ & !\vga_driver_unit|vsync_counter_3\ & !\vga_driver_unit|vsync_counter_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_4\, + datab => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_3\, + datad => \vga_driver_unit|vsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_vsync_counter_7\); + +\vga_driver_unit|vsync_state_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_1\ = DFFEAS(\vga_driver_unit|un12_vsync_counter_7\ & !\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|un13_vsync_counter_4\ & \vga_driver_unit|vsync_state_4\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un12_vsync_counter_7\, + datab => \vga_driver_unit|un6_dly_counter_0_x\, + datac => \vga_driver_unit|un13_vsync_counter_4\, + datad => \vga_driver_unit|vsync_state_4\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_1\); + +\vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_4\ & (!\vga_driver_unit|vsync_state_5\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ccdd", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_4\, + datab => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|vsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\); + +\vga_driver_unit|h_enable_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_enable_sig\ = DFFEAS(\vga_driver_unit|vsync_state_1\ # \vga_driver_unit|vsync_state_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffcc", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|vsync_state_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|h_enable_sig\); + +\vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|hsync_state_5\ & !\vga_driver_unit|hsync_state_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaaf", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datac => \vga_driver_unit|hsync_state_5\, + datad => \vga_driver_unit|hsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\); + +\vga_driver_unit|v_enable_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_enable_sig\ = DFFEAS(\vga_driver_unit|hsync_state_1\ # \vga_driver_unit|hsync_state_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fcfc", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_state_1\, + datac => \vga_driver_unit|hsync_state_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|v_enable_sig\); + +\vga_control_unit|r_next_i_o7_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|r_next_i_o7\ = \vga_driver_unit|column_counter_sig_9\ # !\vga_driver_unit|v_enable_sig\ # !\vga_driver_unit|h_enable_sig\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f3ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|h_enable_sig\, + datac => \vga_driver_unit|column_counter_sig_9\, + datad => \vga_driver_unit|v_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|r_next_i_o7\); + +\vga_control_unit|N_4_i_0_g0_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|N_4_i_0_g0_1\ = !\vga_control_unit|r_next_i_o7\ & (\vga_driver_unit|column_counter_sig_8\ # \vga_control_unit|g_next_i_o3\ & \vga_driver_unit|column_counter_sig_7\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "00f8", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|g_next_i_o3\, + datab => \vga_driver_unit|column_counter_sig_7\, + datac => \vga_driver_unit|column_counter_sig_8\, + datad => \vga_control_unit|r_next_i_o7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|N_4_i_0_g0_1\); + +\vga_control_unit|r_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|r\ = DFFEAS(\vga_control_unit|N_4_i_0_g0_1\ & (\vga_driver_unit|column_counter_sig_8\ & (!\vga_control_unit|b_next_i_o3_0\) # !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|un10_column_counter_siglt6_3\), +-- GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1d00", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_column_counter_siglt6_3\, + datab => \vga_driver_unit|column_counter_sig_8\, + datac => \vga_control_unit|b_next_i_o3_0\, + datad => \vga_control_unit|N_4_i_0_g0_1\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|r\); + +\vga_control_unit|N_23_i_0_g0_a_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|N_23_i_0_g0_a\ = \vga_driver_unit|column_counter_sig_5\ & (\vga_driver_unit|column_counter_sig_6\ & (!\vga_control_unit|g_next_i_o3\) # !\vga_driver_unit|column_counter_sig_6\ & (\vga_control_unit|g_next_i_o3\ # +-- !\vga_driver_unit|un10_column_counter_siglt6_1\)) # !\vga_driver_unit|column_counter_sig_5\ & (\vga_driver_unit|column_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "5af2", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|un10_column_counter_siglt6_1\, + datac => \vga_driver_unit|column_counter_sig_6\, + datad => \vga_control_unit|g_next_i_o3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|N_23_i_0_g0_a\); + +\vga_control_unit|g_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|g\ = DFFEAS(\vga_driver_unit|column_counter_sig_7\ & !\vga_driver_unit|column_counter_sig_8\ & \vga_control_unit|N_23_i_0_g0_a\ & !\vga_control_unit|r_next_i_o7\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), +-- , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0020", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|column_counter_sig_7\, + datab => \vga_driver_unit|column_counter_sig_8\, + datac => \vga_control_unit|N_23_i_0_g0_a\, + datad => \vga_control_unit|r_next_i_o7\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|g\); + +\vga_control_unit|b_next_i_a7_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_i_a7_1\ = !\vga_driver_unit|column_counter_sig_2\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\ & !\vga_control_unit|g_next_i_o3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_8\, + datac => \vga_driver_unit|column_counter_sig_7\, + datad => \vga_control_unit|g_next_i_o3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_i_a7_1\); + +\vga_control_unit|N_6_i_0_g0_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|N_6_i_0_g0_0\ = !\vga_control_unit|r_next_i_o7\ & (\vga_driver_unit|column_counter_sig_7\ # \vga_driver_unit|column_counter_sig_8\ # !\vga_driver_unit|un10_column_counter_siglt6_3\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "00ef", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_7\, + datab => \vga_driver_unit|column_counter_sig_8\, + datac => \vga_driver_unit|un10_column_counter_siglt6_3\, + datad => \vga_control_unit|r_next_i_o7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|N_6_i_0_g0_0\); + +\vga_control_unit|b_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b\ = DFFEAS(!\vga_control_unit|b_next_i_a7_1\ & \vga_control_unit|N_6_i_0_g0_0\ & (!\vga_driver_unit|column_counter_sig_8\ # !\vga_control_unit|b_next_i_o3_0\), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , +-- , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1050", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|b_next_i_a7_1\, + datab => \vga_control_unit|b_next_i_o3_0\, + datac => \vga_control_unit|N_6_i_0_g0_0\, + datad => \vga_driver_unit|column_counter_sig_8\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|b\); + +\vga_driver_unit|un1_hsync_state_3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_hsync_state_3_0\ = \vga_driver_unit|hsync_state_1\ # \vga_driver_unit|hsync_state_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fcfc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_state_1\, + datac => \vga_driver_unit|hsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_hsync_state_3_0\); + +\vga_driver_unit|h_sync_1_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_sync_1_0_0_0_g1\ = \vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|hsync_state_2\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|hsync_state_2\ & +-- \vga_driver_unit|hsync_state_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fe02", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_4\, + datab => \vga_driver_unit|un1_hsync_state_3_0\, + datac => \vga_driver_unit|hsync_state_2\, + datad => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|h_sync_1_0_0_0_g1\); + +\vga_driver_unit|h_sync_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_sync\ = DFFEAS(\vga_driver_unit|h_sync_1_0_0_0_g1\ # !dly_counter(0) # !dly_counter(1) # !\reset_pin~combout\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "bfff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|h_sync_1_0_0_0_g1\, + datab => \reset_pin~combout\, + datac => dly_counter(1), + datad => dly_counter(0), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|h_sync\); + +\vga_driver_unit|un1_vsync_state_2_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_vsync_state_2_0\ = \vga_driver_unit|vsync_state_1\ # \vga_driver_unit|vsync_state_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|vsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_vsync_state_2_0\); + +\vga_driver_unit|v_sync_1_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_sync_1_0_0_0_g1\ = \vga_driver_unit|vsync_state_2\ & \vga_driver_unit|v_sync\ # !\vga_driver_unit|vsync_state_2\ & (\vga_driver_unit|un1_vsync_state_2_0\ & \vga_driver_unit|v_sync\ # !\vga_driver_unit|un1_vsync_state_2_0\ & +-- (\vga_driver_unit|vsync_state_4\)) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aba8", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|v_sync\, + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|un1_vsync_state_2_0\, + datad => \vga_driver_unit|vsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|v_sync_1_0_0_0_g1\); + +\vga_driver_unit|v_sync_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_sync\ = DFFEAS(\vga_driver_unit|v_sync_1_0_0_0_g1\ # !dly_counter(1) # !dly_counter(0) # !\reset_pin~combout\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \reset_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + datad => \vga_driver_unit|v_sync_1_0_0_0_g1\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|v_sync\); + +\~STRATIX_FITTER_CREATED_GND~I\ : stratix_lcell +-- Equation(s): +-- \~STRATIX_FITTER_CREATED_GND~I_combout\ = GND + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \~STRATIX_FITTER_CREATED_GND~I_combout\); + +\vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ = \reset_pin~combout\ & !\vga_driver_unit|vsync_state_1\ & dly_counter(1) & dly_counter(0) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \reset_pin~combout\, + datab => \vga_driver_unit|vsync_state_1\, + datac => dly_counter(1), + datad => dly_counter(0), + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\); + +\vga_driver_unit|un1_line_counter_sig_a_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_a_cout\(1) = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) +-- \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_0\, + datab => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\, + cout0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1), + cout1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\); + +\vga_driver_unit|un1_line_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(2) = \vga_driver_unit|line_counter_sig_1\ $ \vga_driver_unit|un1_line_counter_sig_a_cout\(1) +-- \vga_driver_unit|un1_line_counter_sig_cout\(2) = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout\(1) # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) +-- \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_1\, + cin0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1), + cin1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(2), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(2), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\); + +\vga_driver_unit|line_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_1\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(2) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(2), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_1\); + +\vga_driver_unit|un1_line_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(1) = \vga_driver_unit|line_counter_sig_0\ $ \vga_driver_unit|d_set_hsync_counter\ +-- \vga_driver_unit|un1_line_counter_sig_cout\(1) = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) +-- \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_0\, + datab => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(1), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(1), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\); + +\vga_driver_unit|un1_line_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(3) = \vga_driver_unit|line_counter_sig_2\ $ (\vga_driver_unit|line_counter_sig_1\ & \vga_driver_unit|un1_line_counter_sig_cout\(1)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(3) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(1) # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\) +-- \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_1\, + datab => \vga_driver_unit|line_counter_sig_2\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(1), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(3), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(3), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\); + +\vga_driver_unit|line_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_2\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(3) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(3), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_2\); + +\vga_driver_unit|un1_line_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(4) = \vga_driver_unit|line_counter_sig_3\ $ !\vga_driver_unit|un1_line_counter_sig_cout\(2) +-- \vga_driver_unit|un1_line_counter_sig_cout\(4) = CARRY(\vga_driver_unit|line_counter_sig_4\ & \vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(2)) +-- \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ = CARRY(\vga_driver_unit|line_counter_sig_4\ & \vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_4\, + datab => \vga_driver_unit|line_counter_sig_3\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(2), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(4), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(4), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\); + +\vga_driver_unit|line_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_3\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(4) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f3f3", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_line_counter_siglto8\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(4), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_3\); + +\vga_driver_unit|un1_line_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(5) = \vga_driver_unit|line_counter_sig_4\ $ (\vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(5) = CARRY(\vga_driver_unit|line_counter_sig_4\ & \vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3)) +-- \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ = CARRY(\vga_driver_unit|line_counter_sig_4\ & \vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_4\, + datab => \vga_driver_unit|line_counter_sig_3\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(3), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(5), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(5), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\); + +\vga_driver_unit|line_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_4\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(5) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(5), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_4\); + +\vga_driver_unit|un1_line_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(6) = \vga_driver_unit|line_counter_sig_5\ $ (\vga_driver_unit|un1_line_counter_sig_cout\(4)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(6) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(4) # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\) +-- \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_5\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_5\, + datab => \vga_driver_unit|line_counter_sig_6\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(4), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(6), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(6), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\); + +\vga_driver_unit|line_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_5\ = DFFEAS(\vga_driver_unit|un10_line_counter_siglto8\ & (\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ & \vga_driver_unit|un1_line_counter_sig_combout\(6)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datac => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(6), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_5\); + +\vga_driver_unit|un1_line_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(7) = \vga_driver_unit|line_counter_sig_6\ $ (\vga_driver_unit|line_counter_sig_5\ & \vga_driver_unit|un1_line_counter_sig_cout\(5)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(7) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(5) # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\) +-- \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_6\, + datab => \vga_driver_unit|line_counter_sig_5\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(5), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(7), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(7), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\); + +\vga_driver_unit|line_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_6\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(7) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(7), + datad => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_6\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglt4_2\ = !\vga_driver_unit|line_counter_sig_0\ # !\vga_driver_unit|line_counter_sig_3\ # !\vga_driver_unit|line_counter_sig_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "77ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_4\, + datab => \vga_driver_unit|line_counter_sig_3\, + datad => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglt4_2\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglto5\ = !\vga_driver_unit|line_counter_sig_5\ & (\vga_driver_unit|un10_line_counter_siglt4_2\ # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0f07", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_1\, + datab => \vga_driver_unit|line_counter_sig_2\, + datac => \vga_driver_unit|line_counter_sig_5\, + datad => \vga_driver_unit|un10_line_counter_siglt4_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglto5\); + +\vga_driver_unit|un1_line_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(8) = \vga_driver_unit|line_counter_sig_7\ $ (!\vga_driver_unit|un1_line_counter_sig_cout\(6)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a5a5", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_7\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(6), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(8)); + +\vga_driver_unit|line_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_7\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(8) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(8), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_7\); + +\vga_driver_unit|un1_line_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(9) = \vga_driver_unit|line_counter_sig_8\ $ (\vga_driver_unit|line_counter_sig_7\ & !\vga_driver_unit|un1_line_counter_sig_cout\(7)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "f30c", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|line_counter_sig_7\, + datad => \vga_driver_unit|line_counter_sig_8\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(7), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(9)); + +\vga_driver_unit|line_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_8\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(9) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff33", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(9), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_8\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglto8\ = \vga_driver_unit|un10_line_counter_siglto5\ # !\vga_driver_unit|line_counter_sig_8\ # !\vga_driver_unit|line_counter_sig_7\ # !\vga_driver_unit|line_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "dfff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_6\, + datab => \vga_driver_unit|un10_line_counter_siglto5\, + datac => \vga_driver_unit|line_counter_sig_7\, + datad => \vga_driver_unit|line_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglto8\); + +\vga_driver_unit|line_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_0\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(1) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff33", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(1), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_0\); + +r0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r0_pin); + +r1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r1_pin); + +r2_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r2_pin); + +g0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|g\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g0_pin); + +g1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|g\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g1_pin); + +g2_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|g\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g2_pin); + +b0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_b0_pin); + +b1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_b1_pin); + +hsync_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_hsync_pin); + +vsync_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_vsync_pin); + +\seven_seg_pin_tri_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(0)); + +\seven_seg_pin_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(1)); + +\seven_seg_pin_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(2)); + +\seven_seg_pin_tri_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(3)); + +\seven_seg_pin_tri_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(4)); + +\seven_seg_pin_tri_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(5)); + +\seven_seg_pin_tri_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(6)); + +\seven_seg_pin_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(7)); + +\seven_seg_pin_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(8)); + +\seven_seg_pin_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(9)); + +\seven_seg_pin_out_10_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(10)); + +\seven_seg_pin_out_11_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(11)); + +\seven_seg_pin_out_12_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(12)); + +\seven_seg_pin_tri_13_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(13)); + +d_hsync_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync); + +d_vsync_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync); + +\d_column_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(0)); + +\d_column_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(1)); + +\d_column_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(2)); + +\d_column_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(3)); + +\d_column_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(4)); + +\d_column_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(5)); + +\d_column_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(6)); + +\d_column_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(7)); + +\d_column_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(8)); + +\d_column_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(9)); + +\d_line_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(0)); + +\d_line_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(1)); + +\d_line_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(2)); + +\d_line_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(3)); + +\d_line_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(4)); + +\d_line_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(5)); + +\d_line_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(6)); + +\d_line_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(7)); + +\d_line_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(8)); + +d_set_column_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_column_counter); + +d_set_line_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_line_counter); + +\d_hsync_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(0)); + +\d_hsync_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(1)); + +\d_hsync_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(2)); + +\d_hsync_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(3)); + +\d_hsync_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(4)); + +\d_hsync_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(5)); + +\d_hsync_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(6)); + +\d_hsync_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(7)); + +\d_hsync_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(8)); + +\d_hsync_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(9)); + +\d_vsync_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(0)); + +\d_vsync_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(1)); + +\d_vsync_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(2)); + +\d_vsync_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(3)); + +\d_vsync_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(4)); + +\d_vsync_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(5)); + +\d_vsync_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(6)); + +\d_vsync_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(7)); + +\d_vsync_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(8)); + +\d_vsync_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(9)); + +d_set_hsync_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_hsync_counter); + +d_set_vsync_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|d_set_vsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_vsync_counter); + +d_h_enable_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_h_enable); + +d_v_enable_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_v_enable); + +d_r_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|r\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_r); + +d_g_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|g\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_g); + +d_b_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_b); + +\d_hsync_state_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(6)); + +\d_hsync_state_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(5)); + +\d_hsync_state_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(4)); + +\d_hsync_state_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(3)); + +\d_hsync_state_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(2)); + +\d_hsync_state_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(1)); + +\d_hsync_state_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(0)); + +\d_vsync_state_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(6)); + +\d_vsync_state_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(5)); + +\d_vsync_state_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(4)); + +\d_vsync_state_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(3)); + +\d_vsync_state_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(2)); + +\d_vsync_state_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(1)); + +\d_vsync_state_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(0)); + +d_state_clk_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \clk_pin~combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_state_clk); +END structure; + + diff --git a/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf new file mode 100644 index 0000000..3829bd3 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf @@ -0,0 +1,212 @@ +vendor_name = ModelSim +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm +source_file = 1, /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/db/vga.cbx.xml +design_name = vga +instance = comp, \dly_counter_1_\, dly_counter_1_, vga, 1 +instance = comp, \dly_counter_0_\, dly_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_6_\, vga_driver_unit|vsync_state_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_6_\, vga_driver_unit|hsync_state_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_0_\, vga_driver_unit|hsync_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_1_\, vga_driver_unit|hsync_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_2_\, vga_driver_unit|hsync_counter_2_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_3_\, vga_driver_unit|hsync_counter_3_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_4_\, vga_driver_unit|hsync_counter_4_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_5_\, vga_driver_unit|hsync_counter_5_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_6_\, vga_driver_unit|hsync_counter_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_7_\, vga_driver_unit|hsync_counter_7_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_8_\, vga_driver_unit|hsync_counter_8_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_9_\, vga_driver_unit|hsync_counter_9_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga, 1 +instance = comp, \vga_driver_unit|G_2\, vga_driver_unit|G_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_3_\, vga_driver_unit|hsync_state_3_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_5_\, vga_driver_unit|hsync_state_5_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\, vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_2_\, vga_driver_unit|hsync_state_2_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_0_\, vga_driver_unit|hsync_state_0_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_4_\, vga_driver_unit|hsync_state_4_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_1_\, vga_driver_unit|hsync_state_1_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_0_\, vga_driver_unit|column_counter_sig_0_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_1_\, vga_driver_unit|un2_column_counter_next_1_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_1_\, vga_driver_unit|column_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_3_\, vga_driver_unit|un2_column_counter_next_3_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_3_\, vga_driver_unit|column_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_0_\, vga_driver_unit|un2_column_counter_next_0_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_2_\, vga_driver_unit|un2_column_counter_next_2_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_2_\, vga_driver_unit|column_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_4_\, vga_driver_unit|un2_column_counter_next_4_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_4_\, vga_driver_unit|column_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_5_\, vga_driver_unit|un2_column_counter_next_5_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_5_\, vga_driver_unit|column_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_7_\, vga_driver_unit|un2_column_counter_next_7_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_7_\, vga_driver_unit|column_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_6_\, vga_driver_unit|un2_column_counter_next_6_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_8_\, vga_driver_unit|un2_column_counter_next_8_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_8_\, vga_driver_unit|column_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_9_\, vga_driver_unit|un2_column_counter_next_9_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_9_\, vga_driver_unit|column_counter_sig_9_, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_6_\, vga_driver_unit|column_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3, vga, 1 +instance = comp, \vga_control_unit|b_next_i_o3_0_cZ\, vga_control_unit|b_next_i_o3_0_cZ, vga, 1 +instance = comp, \vga_control_unit|g_next_i_o3_cZ\, vga_control_unit|g_next_i_o3_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_0_\, vga_driver_unit|vsync_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_1_\, vga_driver_unit|vsync_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_2_\, vga_driver_unit|vsync_counter_2_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_3_\, vga_driver_unit|vsync_counter_3_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_4_\, vga_driver_unit|vsync_counter_4_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_5_\, vga_driver_unit|vsync_counter_5_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_6_\, vga_driver_unit|vsync_counter_6_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_7_\, vga_driver_unit|vsync_counter_7_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_8_\, vga_driver_unit|vsync_counter_8_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_9_\, vga_driver_unit|vsync_counter_9_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga, 1 +instance = comp, \vga_driver_unit|G_16\, vga_driver_unit|G_16, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\, vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_5_\, vga_driver_unit|vsync_state_5_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_4_\, vga_driver_unit|vsync_state_4_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga, 1 +instance = comp, \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\, vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_3_\, vga_driver_unit|vsync_state_3_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\, vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_2_\, vga_driver_unit|vsync_state_2_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\, vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_0_\, vga_driver_unit|vsync_state_0_, vga, 1 +instance = comp, \vga_driver_unit|d_set_vsync_counter_cZ\, vga_driver_unit|d_set_vsync_counter_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_1_\, vga_driver_unit|vsync_state_1_, vga, 1 +instance = comp, \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_enable_sig_Z\, vga_driver_unit|h_enable_sig_Z, vga, 1 +instance = comp, \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_enable_sig_Z\, vga_driver_unit|v_enable_sig_Z, vga, 1 +instance = comp, \vga_control_unit|r_next_i_o7_cZ\, vga_control_unit|r_next_i_o7_cZ, vga, 1 +instance = comp, \vga_control_unit|N_4_i_0_g0_1_cZ\, vga_control_unit|N_4_i_0_g0_1_cZ, vga, 1 +instance = comp, \vga_control_unit|r_Z\, vga_control_unit|r_Z, vga, 1 +instance = comp, \vga_control_unit|N_23_i_0_g0_a_cZ\, vga_control_unit|N_23_i_0_g0_a_cZ, vga, 1 +instance = comp, \vga_control_unit|g_Z\, vga_control_unit|g_Z, vga, 1 +instance = comp, \vga_control_unit|b_next_i_a7_1_cZ\, vga_control_unit|b_next_i_a7_1_cZ, vga, 1 +instance = comp, \vga_control_unit|N_6_i_0_g0_0_cZ\, vga_control_unit|N_6_i_0_g0_0_cZ, vga, 1 +instance = comp, \vga_control_unit|b_Z\, vga_control_unit|b_Z, vga, 1 +instance = comp, \vga_driver_unit|un1_hsync_state_3_0_cZ\, vga_driver_unit|un1_hsync_state_3_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_sync_1_0_0_0_g1_cZ\, vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_sync_Z\, vga_driver_unit|h_sync_Z, vga, 1 +instance = comp, \vga_driver_unit|un1_vsync_state_2_0_cZ\, vga_driver_unit|un1_vsync_state_2_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_sync_1_0_0_0_g1_cZ\, vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_sync_Z\, vga_driver_unit|v_sync_Z, vga, 1 +instance = comp, \~STRATIX_FITTER_CREATED_GND~I\, ~STRATIX_FITTER_CREATED_GND~I, vga, 1 +instance = comp, \vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_a_1_\, vga_driver_unit|un1_line_counter_sig_a_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_2_\, vga_driver_unit|un1_line_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_1_\, vga_driver_unit|line_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_1_\, vga_driver_unit|un1_line_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_3_\, vga_driver_unit|un1_line_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_2_\, vga_driver_unit|line_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_4_\, vga_driver_unit|un1_line_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_3_\, vga_driver_unit|line_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_5_\, vga_driver_unit|un1_line_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_4_\, vga_driver_unit|line_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_6_\, vga_driver_unit|un1_line_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_5_\, vga_driver_unit|line_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_7_\, vga_driver_unit|un1_line_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_6_\, vga_driver_unit|line_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_8_\, vga_driver_unit|un1_line_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_7_\, vga_driver_unit|line_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_9_\, vga_driver_unit|un1_line_counter_sig_9_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_8_\, vga_driver_unit|line_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_0_\, vga_driver_unit|line_counter_sig_0_, vga, 1 +instance = comp, \seven_seg_pin_tri_0_\, seven_seg_pin_tri_0_, vga, 1 +instance = comp, \seven_seg_pin_out_1_\, seven_seg_pin_out_1_, vga, 1 +instance = comp, \seven_seg_pin_out_2_\, seven_seg_pin_out_2_, vga, 1 +instance = comp, \seven_seg_pin_tri_3_\, seven_seg_pin_tri_3_, vga, 1 +instance = comp, \seven_seg_pin_tri_4_\, seven_seg_pin_tri_4_, vga, 1 +instance = comp, \seven_seg_pin_tri_5_\, seven_seg_pin_tri_5_, vga, 1 +instance = comp, \seven_seg_pin_tri_6_\, seven_seg_pin_tri_6_, vga, 1 +instance = comp, \seven_seg_pin_out_7_\, seven_seg_pin_out_7_, vga, 1 +instance = comp, \seven_seg_pin_out_8_\, seven_seg_pin_out_8_, vga, 1 +instance = comp, \seven_seg_pin_out_9_\, seven_seg_pin_out_9_, vga, 1 +instance = comp, \seven_seg_pin_out_10_\, seven_seg_pin_out_10_, vga, 1 +instance = comp, \seven_seg_pin_out_11_\, seven_seg_pin_out_11_, vga, 1 +instance = comp, \seven_seg_pin_out_12_\, seven_seg_pin_out_12_, vga, 1 +instance = comp, \seven_seg_pin_tri_13_\, seven_seg_pin_tri_13_, vga, 1 +instance = comp, \d_column_counter_out_0_\, d_column_counter_out_0_, vga, 1 +instance = comp, \d_column_counter_out_1_\, d_column_counter_out_1_, vga, 1 +instance = comp, \d_column_counter_out_2_\, d_column_counter_out_2_, vga, 1 +instance = comp, \d_column_counter_out_3_\, d_column_counter_out_3_, vga, 1 +instance = comp, \d_column_counter_out_4_\, d_column_counter_out_4_, vga, 1 +instance = comp, \d_column_counter_out_5_\, d_column_counter_out_5_, vga, 1 +instance = comp, \d_column_counter_out_6_\, d_column_counter_out_6_, vga, 1 +instance = comp, \d_column_counter_out_7_\, d_column_counter_out_7_, vga, 1 +instance = comp, \d_column_counter_out_8_\, d_column_counter_out_8_, vga, 1 +instance = comp, \d_column_counter_out_9_\, d_column_counter_out_9_, vga, 1 +instance = comp, \d_line_counter_out_0_\, d_line_counter_out_0_, vga, 1 +instance = comp, \d_line_counter_out_1_\, d_line_counter_out_1_, vga, 1 +instance = comp, \d_line_counter_out_2_\, d_line_counter_out_2_, vga, 1 +instance = comp, \d_line_counter_out_3_\, d_line_counter_out_3_, vga, 1 +instance = comp, \d_line_counter_out_4_\, d_line_counter_out_4_, vga, 1 +instance = comp, \d_line_counter_out_5_\, d_line_counter_out_5_, vga, 1 +instance = comp, \d_line_counter_out_6_\, d_line_counter_out_6_, vga, 1 +instance = comp, \d_line_counter_out_7_\, d_line_counter_out_7_, vga, 1 +instance = comp, \d_line_counter_out_8_\, d_line_counter_out_8_, vga, 1 +instance = comp, \d_hsync_counter_out_0_\, d_hsync_counter_out_0_, vga, 1 +instance = comp, \d_hsync_counter_out_1_\, d_hsync_counter_out_1_, vga, 1 +instance = comp, \d_hsync_counter_out_2_\, d_hsync_counter_out_2_, vga, 1 +instance = comp, \d_hsync_counter_out_3_\, d_hsync_counter_out_3_, vga, 1 +instance = comp, \d_hsync_counter_out_4_\, d_hsync_counter_out_4_, vga, 1 +instance = comp, \d_hsync_counter_out_5_\, d_hsync_counter_out_5_, vga, 1 +instance = comp, \d_hsync_counter_out_6_\, d_hsync_counter_out_6_, vga, 1 +instance = comp, \d_hsync_counter_out_7_\, d_hsync_counter_out_7_, vga, 1 +instance = comp, \d_hsync_counter_out_8_\, d_hsync_counter_out_8_, vga, 1 +instance = comp, \d_hsync_counter_out_9_\, d_hsync_counter_out_9_, vga, 1 +instance = comp, \d_vsync_counter_out_0_\, d_vsync_counter_out_0_, vga, 1 +instance = comp, \d_vsync_counter_out_1_\, d_vsync_counter_out_1_, vga, 1 +instance = comp, \d_vsync_counter_out_2_\, d_vsync_counter_out_2_, vga, 1 +instance = comp, \d_vsync_counter_out_3_\, d_vsync_counter_out_3_, vga, 1 +instance = comp, \d_vsync_counter_out_4_\, d_vsync_counter_out_4_, vga, 1 +instance = comp, \d_vsync_counter_out_5_\, d_vsync_counter_out_5_, vga, 1 +instance = comp, \d_vsync_counter_out_6_\, d_vsync_counter_out_6_, vga, 1 +instance = comp, \d_vsync_counter_out_7_\, d_vsync_counter_out_7_, vga, 1 +instance = comp, \d_vsync_counter_out_8_\, d_vsync_counter_out_8_, vga, 1 +instance = comp, \d_vsync_counter_out_9_\, d_vsync_counter_out_9_, vga, 1 +instance = comp, \d_hsync_state_out_6_\, d_hsync_state_out_6_, vga, 1 +instance = comp, \d_hsync_state_out_5_\, d_hsync_state_out_5_, vga, 1 +instance = comp, \d_hsync_state_out_4_\, d_hsync_state_out_4_, vga, 1 +instance = comp, \d_hsync_state_out_3_\, d_hsync_state_out_3_, vga, 1 +instance = comp, \d_hsync_state_out_2_\, d_hsync_state_out_2_, vga, 1 +instance = comp, \d_hsync_state_out_1_\, d_hsync_state_out_1_, vga, 1 +instance = comp, \d_hsync_state_out_0_\, d_hsync_state_out_0_, vga, 1 +instance = comp, \d_vsync_state_out_6_\, d_vsync_state_out_6_, vga, 1 +instance = comp, \d_vsync_state_out_5_\, d_vsync_state_out_5_, vga, 1 +instance = comp, \d_vsync_state_out_4_\, d_vsync_state_out_4_, vga, 1 +instance = comp, \d_vsync_state_out_3_\, d_vsync_state_out_3_, vga, 1 +instance = comp, \d_vsync_state_out_2_\, d_vsync_state_out_2_, vga, 1 +instance = comp, \d_vsync_state_out_1_\, d_vsync_state_out_1_, vga, 1 +instance = comp, \d_vsync_state_out_0_\, d_vsync_state_out_0_, vga, 1 diff --git a/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo new file mode 100644 index 0000000..acbb6c4 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo @@ -0,0 +1,4380 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This SDF file should be used for ModelSim-Altera (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "vga") + (DATE "10/29/2009 17:00:56") + (VENDOR "Altera") + (PROGRAM "Quartus II") + (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE clk_pin_in.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (868:868:868) (868:868:868)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE reset_pin_in.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1295:1295:1295) (1295:1295:1295)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\dly_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4768:4768:4768) (4768:4768:4768)) + (PORT datab (469:469:469) (469:469:469)) + (PORT datac (486:486:486) (486:486:486)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\dly_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\dly_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4768:4768:4768) (4768:4768:4768)) + (PORT datab (465:465:465) (465:465:465)) + (PORT datac (485:485:485) (485:485:485)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\dly_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4777:4777:4777) (4777:4777:4777)) + (PORT datab (476:476:476) (476:476:476)) + (PORT datac (490:490:490) (490:490:490)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (2355:2355:2355) (2355:2355:2355)) + (PORT datad (1131:1131:1131) (1131:1131:1131)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (2445:2445:2445) (2445:2445:2445)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1704:1704:1704) (1704:1704:1704)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1794:1794:1794) (1794:1794:1794)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1707:1707:1707) (1707:1707:1707)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1797:1797:1797) (1797:1797:1797)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1709:1709:1709) (1709:1709:1709)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1799:1799:1799) (1799:1799:1799)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1712:1712:1712) (1712:1712:1712)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1802:1802:1802) (1802:1802:1802)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1715:1715:1715) (1715:1715:1715)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1805:1805:1805) (1805:1805:1805)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1722:1722:1722) (1722:1722:1722)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1812:1812:1812) (1812:1812:1812)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (665:665:665) (665:665:665)) + (PORT datab (628:628:628) (628:628:628)) + (PORT datac (650:650:650) (650:650:650)) + (PORT datad (644:644:644) (644:644:644)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1721:1721:1721) (1721:1721:1721)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1811:1811:1811) (1811:1811:1811)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1719:1719:1719) (1719:1719:1719)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1809:1809:1809) (1809:1809:1809)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1718:1718:1718) (1718:1718:1718)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1808:1808:1808) (1808:1808:1808)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1717:1717:1717) (1717:1717:1717)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1759:1759:1759) (1759:1759:1759)) + (PORT datac (1807:1807:1807) (1807:1807:1807)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (663:663:663) (663:663:663)) + (PORT datab (609:609:609) (609:609:609)) + (PORT datac (1034:1034:1034) (1034:1034:1034)) + (PORT datad (636:636:636) (636:636:636)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (963:963:963) (963:963:963)) + (PORT datab (934:934:934) (934:934:934)) + (PORT datac (374:374:374) (374:374:374)) + (PORT datad (351:351:351) (351:351:351)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|G_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1446:1446:1446) (1446:1446:1446)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (626:626:626) (626:626:626)) + (PORT datad (1675:1675:1675) (1675:1675:1675)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (640:640:640) (640:640:640)) + (PORT datab (610:610:610) (610:610:610)) + (PORT datac (1035:1035:1035) (1035:1035:1035)) + (PORT datad (652:652:652) (652:652:652)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (924:924:924) (924:924:924)) + (PORT datab (627:627:627) (627:627:627)) + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (350:350:350) (350:350:350)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (665:665:665) (665:665:665)) + (PORT datab (649:649:649) (649:649:649)) + (PORT datac (1030:1030:1030) (1030:1030:1030)) + (PORT datad (636:636:636) (636:636:636)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (637:637:637) (637:637:637)) + (PORT datab (604:604:604) (604:604:604)) + (PORT datac (650:650:650) (650:650:650)) + (PORT datad (637:637:637) (637:637:637)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (656:656:656) (656:656:656)) + (PORT datab (929:929:929) (929:929:929)) + (PORT datac (364:364:364) (364:364:364)) + (PORT datad (353:353:353) (353:353:353)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (706:706:706) (706:706:706)) + (PORT datab (346:346:346) (346:346:346)) + (PORT datac (926:926:926) (926:926:926)) + (PORT datad (351:351:351) (351:351:351)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1016:1016:1016) (1016:1016:1016)) + (PORT sclr (2456:2456:2456) (2456:2456:2456)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (PORT ena (1257:1257:1257) (1257:1257:1257)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (960:960:960) (960:960:960)) + (PORT datab (903:903:903) (903:903:903)) + (PORT datac (959:959:959) (959:959:959)) + (PORT datad (943:943:943) (943:943:943)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (439:439:439) (439:439:439)) + (PORT datac (1416:1416:1416) (1416:1416:1416)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2436:2436:2436) (2436:2436:2436)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (927:927:927) (927:927:927)) + (PORT datab (928:928:928) (928:928:928)) + (PORT datad (996:996:996) (996:996:996)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (592:592:592) (592:592:592)) + (PORT datab (368:368:368) (368:368:368)) + (PORT datac (462:462:462) (462:462:462)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (956:956:956) (956:956:956)) + (PORT datab (909:909:909) (909:909:909)) + (PORT datac (910:910:910) (910:910:910)) + (PORT datad (921:921:921) (921:921:921)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (903:903:903) (903:903:903)) + (PORT datac (951:951:951) (951:951:951)) + (PORT datad (962:962:962) (962:962:962)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (448:448:448) (448:448:448)) + (PORT datab (377:377:377) (377:377:377)) + (PORT datac (556:556:556) (556:556:556)) + (PORT datad (568:568:568) (568:568:568)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1669:1669:1669) (1669:1669:1669)) + (PORT datab (554:554:554) (554:554:554)) + (PORT datac (374:374:374) (374:374:374)) + (PORT datad (346:346:346) (346:346:346)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (987:987:987) (987:987:987)) + (PORT datad (558:558:558) (558:558:558)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2436:2436:2436) (2436:2436:2436)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (553:553:553) (553:553:553)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2436:2436:2436) (2436:2436:2436)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4777:4777:4777) (4777:4777:4777)) + (PORT datab (477:477:477) (477:477:477)) + (PORT datac (969:969:969) (969:969:969)) + (PORT datad (896:896:896) (896:896:896)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (946:946:946) (946:946:946)) + (PORT datac (955:955:955) (955:955:955)) + (PORT datad (921:921:921) (921:921:921)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (878:878:878) (878:878:878)) + (PORT datab (367:367:367) (367:367:367)) + (PORT datac (456:456:456) (456:456:456)) + (PORT datad (385:385:385) (385:385:385)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2436:2436:2436) (2436:2436:2436)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (449:449:449) (449:449:449)) + (PORT datab (371:371:371) (371:371:371)) + (PORT datac (862:862:862) (862:862:862)) + (PORT datad (571:571:571) (571:571:571)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2436:2436:2436) (2436:2436:2436)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2348:2348:2348) (2348:2348:2348)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4774:4774:4774) (4774:4774:4774)) + (PORT datab (475:475:475) (475:475:475)) + (PORT datac (489:489:489) (489:489:489)) + (PORT datad (1416:1416:1416) (1416:1416:1416)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (940:940:940) (940:940:940)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2229:2229:2229) (2229:2229:2229)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (988:988:988) (988:988:988)) + (PORT datab (929:929:929) (929:929:929)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (937:937:937) (937:937:937)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2229:2229:2229) (2229:2229:2229)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (936:936:936) (936:936:936)) + (PORT datab (700:700:700) (700:700:700)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (910:910:910) (910:910:910)) + (PORT datad (535:535:535) (535:535:535)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2199:2199:2199) (2199:2199:2199)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (993:993:993) (993:993:993)) + (PORT datab (963:963:963) (963:963:963)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (451:451:451) (451:451:451)) + (PORT datab (1009:1009:1009) (1009:1009:1009)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (576:576:576) (576:576:576)) + (PORT datac (365:365:365) (365:365:365)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2244:2244:2244) (2244:2244:2244)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1010:1010:1010) (1010:1010:1010)) + (PORT datab (980:980:980) (980:980:980)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (890:890:890) (890:890:890)) + (PORT datac (858:858:858) (858:858:858)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2199:2199:2199) (2199:2199:2199)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (438:438:438) (438:438:438)) + (PORT datab (960:960:960) (960:960:960)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (360:360:360)) + (PORT datac (938:938:938) (938:938:938)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2229:2229:2229) (2229:2229:2229)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datab (647:647:647) (647:647:647)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1462:1462:1462) (1462:1462:1462)) + (PORT datac (939:939:939) (939:939:939)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1054:1054:1054) (1054:1054:1054)) + (PORT datab (917:917:917) (917:917:917)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (439:439:439) (439:439:439)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (366:366:366) (366:366:366)) + (PORT datac (572:572:572) (572:572:572)) + (PORT datad (1463:1463:1463) (1463:1463:1463)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (924:924:924) (924:924:924)) + (PORT datad (426:426:426) (426:426:426)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (941:941:941) (941:941:941)) + (PORT datad (347:347:347) (347:347:347)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2229:2229:2229) (2229:2229:2229)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_1\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (966:966:966) (966:966:966)) + (PORT datac (620:620:620) (620:620:620)) + (PORT datad (619:619:619) (619:619:619)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1013:1013:1013) (1013:1013:1013)) + (PORT datab (350:350:350) (350:350:350)) + (PORT datac (373:373:373) (373:373:373)) + (PORT datad (1014:1014:1014) (1014:1014:1014)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (954:954:954) (954:954:954)) + (PORT datab (651:651:651) (651:651:651)) + (PORT datac (933:933:933) (933:933:933)) + (PORT datad (347:347:347) (347:347:347)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (890:890:890) (890:890:890)) + (PORT datac (881:881:881) (881:881:881)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2199:2199:2199) (2199:2199:2199)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (933:933:933) (933:933:933)) + (PORT datad (685:685:685) (685:685:685)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_i_o3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1016:1016:1016) (1016:1016:1016)) + (PORT datab (917:917:917) (917:917:917)) + (PORT datac (1056:1056:1056) (1056:1056:1056)) + (PORT datad (992:992:992) (992:992:992)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|g_next_i_o3_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datad (429:429:429) (429:429:429)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1046:1046:1046) (1046:1046:1046)) + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1004:1004:1004) (1004:1004:1004)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1094:1094:1094) (1094:1094:1094)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1004:1004:1004) (1004:1004:1004)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1094:1094:1094) (1094:1094:1094)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1003:1003:1003) (1003:1003:1003)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1093:1093:1093) (1093:1093:1093)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1002:1002:1002) (1002:1002:1002)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1092:1092:1092) (1092:1092:1092)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1001:1001:1001) (1001:1001:1001)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1091:1091:1091) (1091:1091:1091)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1002:1002:1002) (1002:1002:1002)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1092:1092:1092) (1092:1092:1092)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (634:634:634)) + (PORT datab (647:647:647) (647:647:647)) + (PORT datac (940:940:940) (940:940:940)) + (PORT datad (976:976:976) (976:976:976)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1002:1002:1002) (1002:1002:1002)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1092:1092:1092) (1092:1092:1092)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1001:1001:1001) (1001:1001:1001)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1091:1091:1091) (1091:1091:1091)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1001:1001:1001) (1001:1001:1001)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1091:1091:1091) (1091:1091:1091)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (999:999:999) (999:999:999)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1429:1429:1429) (1429:1429:1429)) + (PORT datac (1089:1089:1089) (1089:1089:1089)) + (PORT sclr (1316:1316:1316) (1316:1316:1316)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (996:996:996) (996:996:996)) + (PORT datab (971:971:971) (971:971:971)) + (PORT datac (652:652:652) (652:652:652)) + (PORT datad (996:996:996) (996:996:996)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1000:1000:1000) (1000:1000:1000)) + (PORT datab (345:345:345) (345:345:345)) + (PORT datac (1071:1071:1071) (1071:1071:1071)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|G_16\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1066:1066:1066) (1066:1066:1066)) + (PORT datab (1052:1052:1052) (1052:1052:1052)) + (PORT datac (456:456:456) (456:456:456)) + (PORT datad (354:354:354) (354:354:354)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (624:624:624) (624:624:624)) + (PORT datab (584:584:584) (584:584:584)) + (PORT datac (960:960:960) (960:960:960)) + (PORT datad (622:622:622) (622:622:622)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (629:629:629) (629:629:629)) + (PORT datab (639:639:639) (639:639:639)) + (PORT datac (656:656:656) (656:656:656)) + (PORT datad (619:619:619) (619:619:619)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1053:1053:1053) (1053:1053:1053)) + (PORT datac (979:979:979) (979:979:979)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (886:886:886) (886:886:886)) + (PORT datad (854:854:854) (854:854:854)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (947:947:947) (947:947:947)) + (PORT datad (699:699:699) (699:699:699)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1803:1803:1803) (1803:1803:1803)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (PORT ena (1081:1081:1081) (1081:1081:1081)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (969:969:969) (969:969:969)) + (PORT datab (673:673:673) (673:673:673)) + (PORT datac (364:364:364) (364:364:364)) + (PORT datad (444:444:444) (444:444:444)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1803:1803:1803) (1803:1803:1803)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (PORT ena (1081:1081:1081) (1081:1081:1081)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (674:674:674) (674:674:674)) + (PORT datab (610:610:610) (610:610:610)) + (PORT datac (657:657:657) (657:657:657)) + (PORT datad (683:683:683) (683:683:683)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (924:924:924) (924:924:924)) + (PORT datac (701:701:701) (701:701:701)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (431:431:431) (431:431:431)) + (PORT datac (877:877:877) (877:877:877)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (433:433:433) (433:433:433)) + (PORT datab (871:871:871) (871:871:871)) + (PORT datac (863:863:863) (863:863:863)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (968:968:968) (968:968:968)) + (PORT datab (435:435:435) (435:435:435)) + (PORT datac (700:700:700) (700:700:700)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (972:972:972) (972:972:972)) + (PORT datab (894:894:894) (894:894:894)) + (PORT datac (1516:1516:1516) (1516:1516:1516)) + (PORT datad (565:565:565) (565:565:565)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1606:1606:1606) (1606:1606:1606)) + (PORT sclr (1138:1138:1138) (1138:1138:1138)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (PORT ena (1299:1299:1299) (1299:1299:1299)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1038:1038:1038) (1038:1038:1038)) + (PORT datab (351:351:351) (351:351:351)) + (PORT datac (582:582:582) (582:582:582)) + (PORT datad (564:564:564) (564:564:564)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (888:888:888) (888:888:888)) + (PORT datab (671:671:671) (671:671:671)) + (PORT datac (703:703:703) (703:703:703)) + (PORT datad (960:960:960) (960:960:960)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1803:1803:1803) (1803:1803:1803)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (PORT ena (1081:1081:1081) (1081:1081:1081)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (346:346:346) (346:346:346)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (992:992:992) (992:992:992)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (354:354:354) (354:354:354)) + (PORT datab (1046:1046:1046) (1046:1046:1046)) + (PORT datac (451:451:451) (451:451:451)) + (PORT datad (944:944:944) (944:944:944)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|d_set_vsync_counter_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (991:991:991) (991:991:991)) + (PORT datad (946:946:946) (946:946:946)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (636:636:636) (636:636:636)) + (PORT datab (4638:4638:4638) (4638:4638:4638)) + (PORT datac (363:363:363) (363:363:363)) + (PORT datad (613:613:613) (613:613:613)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1033:1033:1033) (1033:1033:1033)) + (PORT datab (610:610:610) (610:610:610)) + (PORT datac (662:662:662) (662:662:662)) + (PORT datad (613:613:613) (613:613:613)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1612:1612:1612) (1612:1612:1612)) + (PORT datab (1692:1692:1692) (1692:1692:1692)) + (PORT datac (1412:1412:1412) (1412:1412:1412)) + (PORT datad (1529:1529:1529) (1529:1529:1529)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1537:1537:1537) (1537:1537:1537)) + (PORT datab (1691:1691:1691) (1691:1691:1691)) + (PORT datad (1518:1518:1518) (1518:1518:1518)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (428:428:428) (428:428:428)) + (PORT datad (1520:1520:1520) (1520:1520:1520)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2477:2477:2477) (2477:2477:2477)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (PORT ena (1086:1086:1086) (1086:1086:1086)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (968:968:968) (968:968:968)) + (PORT datac (1401:1401:1401) (1401:1401:1401)) + (PORT datad (1404:1404:1404) (1404:1404:1404)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1628:1628:1628) (1628:1628:1628)) + (PORT datac (1512:1512:1512) (1512:1512:1512)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1737:1737:1737) (1737:1737:1737)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (PORT ena (1092:1092:1092) (1092:1092:1092)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|r_next_i_o7_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (427:427:427) (427:427:427)) + (PORT datac (930:930:930) (930:930:930)) + (PORT datad (1453:1453:1453) (1453:1453:1453)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|N_4_i_0_g0_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (895:895:895) (895:895:895)) + (PORT datab (648:648:648) (648:648:648)) + (PORT datac (650:650:650) (650:650:650)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|r_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (891:891:891) (891:891:891)) + (PORT datab (618:618:618) (618:618:618)) + (PORT datac (551:551:551) (551:551:551)) + (PORT datad (852:852:852) (852:852:852)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|r_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5163:5163:5163) (5163:5163:5163)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|N_23_i_0_g0_a_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (698:698:698) (698:698:698)) + (PORT datab (349:349:349) (349:349:349)) + (PORT datac (934:934:934) (934:934:934)) + (PORT datad (879:879:879) (879:879:879)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|g_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (990:990:990) (990:990:990)) + (PORT datab (621:621:621) (621:621:621)) + (PORT datac (860:860:860) (860:860:860)) + (PORT datad (899:899:899) (899:899:899)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|g_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5163:5163:5163) (5163:5163:5163)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_i_a7_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (449:449:449) (449:449:449)) + (PORT datab (436:436:436) (436:436:436)) + (PORT datac (1056:1056:1056) (1056:1056:1056)) + (PORT datad (868:868:868) (868:868:868)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|N_6_i_0_g0_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1060:1060:1060) (1060:1060:1060)) + (PORT datab (435:435:435) (435:435:435)) + (PORT datac (557:557:557) (557:557:557)) + (PORT datad (587:587:587) (587:587:587)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (546:546:546) (546:546:546)) + (PORT datab (535:535:535) (535:535:535)) + (PORT datac (559:559:559) (559:559:559)) + (PORT datad (631:631:631) (631:631:631)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|b_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5163:5163:5163) (5163:5163:5163)) + (PORT clk (2359:2359:2359) (2359:2359:2359)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_hsync_state_3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1628:1628:1628) (1628:1628:1628)) + (PORT datac (1512:1512:1512) (1512:1512:1512)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_sync_1_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1415:1415:1415) (1415:1415:1415)) + (PORT datab (335:335:335) (335:335:335)) + (PORT datac (1460:1460:1460) (1460:1460:1460)) + (PORT datad (431:431:431) (431:431:431)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (364:364:364) (364:364:364)) + (PORT datab (4641:4641:4641) (4641:4641:4641)) + (PORT datac (635:635:635) (635:635:635)) + (PORT datad (616:616:616) (616:616:616)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_vsync_state_2_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1513:1513:1513) (1513:1513:1513)) + (PORT datad (421:421:421) (421:421:421)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_sync_1_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (610:610:610) (610:610:610)) + (PORT datab (634:634:634) (634:634:634)) + (PORT datac (403:403:403) (403:403:403)) + (PORT datad (649:649:649) (649:649:649)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4772:4772:4772) (4772:4772:4772)) + (PORT datab (473:473:473) (473:473:473)) + (PORT datac (488:488:488) (488:488:488)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4776:4776:4776) (4776:4776:4776)) + (PORT datab (1496:1496:1496) (1496:1496:1496)) + (PORT datac (490:490:490) (490:490:490)) + (PORT datad (660:660:660) (660:660:660)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_a_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1009:1009:1009) (1009:1009:1009)) + (PORT datab (556:556:556) (556:556:556)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (434:434:434) (434:434:434)) + (PORT datab (426:426:426) (426:426:426)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (614:614:614) (614:614:614)) + (PORT datad (355:355:355) (355:355:355)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1696:1696:1696) (1696:1696:1696)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (747:747:747) (747:747:747)) + (PORT datab (342:342:342) (342:342:342)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (664:664:664) (664:664:664)) + (PORT datab (695:695:695) (695:695:695)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (610:610:610) (610:610:610)) + (PORT datad (542:542:542) (542:542:542)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1696:1696:1696) (1696:1696:1696)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (646:646:646) (646:646:646)) + (PORT datab (631:631:631) (631:631:631)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (596:596:596) (596:596:596)) + (PORT datac (368:368:368) (368:368:368)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1696:1696:1696) (1696:1696:1696)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (678:678:678) (678:678:678)) + (PORT datab (679:679:679) (679:679:679)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (613:613:613) (613:613:613)) + (PORT datad (541:541:541) (541:541:541)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1696:1696:1696) (1696:1696:1696)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (646:646:646) (646:646:646)) + (PORT datab (942:942:942) (942:942:942)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (353:353:353) (353:353:353)) + (PORT datac (928:928:928) (928:928:928)) + (PORT datad (837:837:837) (837:837:837)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (671:671:671) (671:671:671)) + (PORT datab (588:588:588) (588:588:588)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (540:540:540) (540:540:540)) + (PORT datad (572:572:572) (572:572:572)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1689:1689:1689) (1689:1689:1689)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (682:682:682) (682:682:682)) + (PORT datab (681:681:681) (681:681:681)) + (PORT datad (999:999:999) (999:999:999)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (668:668:668) (668:668:668)) + (PORT datab (702:702:702) (702:702:702)) + (PORT datac (437:437:437) (437:437:437)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (611:611:611) (611:611:611)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1696:1696:1696) (1696:1696:1696)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (636:636:636) (636:636:636)) + (PORT datad (660:660:660) (660:660:660)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (565:565:565) (565:565:565)) + (PORT datad (538:538:538) (538:538:538)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1689:1689:1689) (1689:1689:1689)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (674:674:674) (674:674:674)) + (PORT datab (341:341:341) (341:341:341)) + (PORT datac (653:653:653) (653:653:653)) + (PORT datad (662:662:662) (662:662:662)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (557:557:557) (557:557:557)) + (PORT datad (533:533:533) (533:533:533)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1689:1689:1689) (1689:1689:1689)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2323:2323:2323) (2323:2323:2323)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4282:4282:4282) (4282:4282:4282)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4282:4282:4282) (4282:4282:4282)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r2_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4282:4282:4282) (4282:4282:4282)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2951:2951:2951) (2951:2951:2951)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2951:2951:2951) (2951:2951:2951)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g2_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2951:2951:2951) (2951:2951:2951)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE b0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2828:2828:2828) (2828:2828:2828)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE b1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2828:2828:2828) (2828:2828:2828)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE hsync_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2955:2955:2955) (2955:2955:2955)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE vsync_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2606:2606:2606) (2606:2606:2606)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1363:1363:1363) (1363:1363:1363)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4309:4309:4309) (4309:4309:4309)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3697:3697:3697) (3697:3697:3697)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1273:1273:1273) (1273:1273:1273)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1273:1273:1273) (1273:1273:1273)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1363:1363:1363) (1363:1363:1363)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1363:1363:1363) (1363:1363:1363)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3254:3254:3254) (3254:3254:3254)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3254:3254:3254) (3254:3254:3254)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3697:3697:3697) (3697:3697:3697)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_10_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3842:3842:3842) (3842:3842:3842)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_11_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3830:3830:3830) (3830:3830:3830)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_12_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3254:3254:3254) (3254:3254:3254)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_13_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1363:1363:1363) (1363:1363:1363)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_hsync_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2955:2955:2955) (2955:2955:2955)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_vsync_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2606:2606:2606) (2606:2606:2606)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2176:2176:2176) (2176:2176:2176)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1905:1905:1905) (1905:1905:1905)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2327:2327:2327) (2327:2327:2327)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2207:2207:2207) (2207:2207:2207)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2378:2378:2378) (2378:2378:2378)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3095:3095:3095) (3095:3095:3095)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1888:1888:1888) (1888:1888:1888)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3062:3062:3062) (3062:3062:3062)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1984:1984:1984) (1984:1984:1984)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2982:2982:2982) (2982:2982:2982)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2120:2120:2120) (2120:2120:2120)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1873:1873:1873) (1873:1873:1873)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2469:2469:2469) (2469:2469:2469)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2149:2149:2149) (2149:2149:2149)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1892:1892:1892) (1892:1892:1892)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2139:2139:2139) (2139:2139:2139)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2130:2130:2130) (2130:2130:2130)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2400:2400:2400) (2400:2400:2400)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2376:2376:2376) (2376:2376:2376)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_column_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3450:3450:3450) (3450:3450:3450)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_line_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2524:2524:2524) (2524:2524:2524)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2189:2189:2189) (2189:2189:2189)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2078:2078:2078) (2078:2078:2078)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2133:2133:2133) (2133:2133:2133)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2126:2126:2126) (2126:2126:2126)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2313:2313:2313) (2313:2313:2313)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2116:2116:2116) (2116:2116:2116)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2128:2128:2128) (2128:2128:2128)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2167:2167:2167) (2167:2167:2167)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2171:2171:2171) (2171:2171:2171)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2340:2340:2340) (2340:2340:2340)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2402:2402:2402) (2402:2402:2402)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2446:2446:2446) (2446:2446:2446)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2471:2471:2471) (2471:2471:2471)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2446:2446:2446) (2446:2446:2446)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2469:2469:2469) (2469:2469:2469)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1665:1665:1665) (1665:1665:1665)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2212:2212:2212) (2212:2212:2212)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2397:2397:2397) (2397:2397:2397)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2401:2401:2401) (2401:2401:2401)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2394:2394:2394) (2394:2394:2394)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_hsync_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2047:2047:2047) (2047:2047:2047)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_vsync_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2911:2911:2911) (2911:2911:2911)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_h_enable_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2355:2355:2355) (2355:2355:2355)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_v_enable_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2730:2730:2730) (2730:2730:2730)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_r_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4250:4250:4250) (4250:4250:4250)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_g_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2951:2951:2951) (2951:2951:2951)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_b_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2828:2828:2828) (2828:2828:2828)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3434:3434:3434) (3434:3434:3434)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2465:2465:2465) (2465:2465:2465)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2351:2351:2351) (2351:2351:2351)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2196:2196:2196) (2196:2196:2196)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2188:2188:2188) (2188:2188:2188)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3450:3450:3450) (3450:3450:3450)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2303:2303:2303) (2303:2303:2303)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2148:2148:2148) (2148:2148:2148)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2482:2482:2482) (2482:2482:2482)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2399:2399:2399) (2399:2399:2399)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3927:3927:3927) (3927:3927:3927)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2404:2404:2404) (2404:2404:2404)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2524:2524:2524) (2524:2524:2524)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3107:3107:3107) (3107:3107:3107)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_state_clk_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2588:2588:2588) (2588:2588:2588)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) +) diff --git a/bsp3/Designflow/ppr/sim/vga.asm.rpt b/bsp3/Designflow/ppr/sim/vga.asm.rpt new file mode 100644 index 0000000..63929eb --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for vga +Thu Oct 29 17:00:48 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: vga.sof + 6. Assembler Device Options: vga.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Oct 29 17:00:48 2009 ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Auto-increment JTAG user code for multiple configuration devices ; On ; On ; +; Disable CONF_DONE and nSTATUS pull-ups on configuration device ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Use Checkered Pattern as Uninitialized RAM Content ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; vga.sof ; +; vga.pof ; ++---------------------------+ + + ++-----------------------------------+ +; Assembler Device Options: vga.sof ; ++----------------+------------------+ +; Option ; Setting ; ++----------------+------------------+ +; Device ; EP1S25F672C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x002DADB7 ; ++----------------+------------------+ + + ++-----------------------------------+ +; Assembler Device Options: vga.pof ; ++--------------------+--------------+ +; Option ; Setting ; ++--------------------+--------------+ +; Device ; EPC8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x0BFDD53B ; +; Compression Ratio ; 1 ; ++--------------------+--------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:00:30 2009 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Thu Oct 29 17:00:48 2009 + Info: Elapsed time: 00:00:18 + Info: Total CPU time (on all processors): 00:00:18 + + diff --git a/bsp3/Designflow/ppr/sim/vga.done b/bsp3/Designflow/ppr/sim/vga.done new file mode 100644 index 0000000..a7e1459 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.done @@ -0,0 +1 @@ +Thu Oct 29 17:00:58 2009 diff --git a/bsp3/Designflow/ppr/sim/vga.eda.rpt b/bsp3/Designflow/ppr/sim/vga.eda.rpt new file mode 100644 index 0000000..cb19c18 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for vga +Thu Oct 29 17:00:56 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Thu Oct 29 17:00:56 2009 ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Tool Name ; ModelSim-Altera (VHDL) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+------------------------+ + + ++--------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++--------------------------------------------------------------------------------------+ +; Generated Files ; ++--------------------------------------------------------------------------------------+ +; /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/simulation/modelsim/vga.vho ; +; /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo ; ++--------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:00:55 2009 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga +Info: Generated files "vga.vho" and "vga_vhd.sdo" in directory "/homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/simulation/modelsim/" for EDA simulation tool +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 163 megabytes + Info: Processing ended: Thu Oct 29 17:00:56 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp3/Designflow/ppr/sim/vga.fit.rpt b/bsp3/Designflow/ppr/sim/vga.fit.rpt new file mode 100644 index 0000000..e771696 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.fit.rpt @@ -0,0 +1,1683 @@ +Fitter report for vga +Thu Oct 29 17:00:26 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Control Signals + 19. Global & Other Fast Signals + 20. Non-Global High Fan-Out Signals + 21. Interconnect Usage Summary + 22. LAB Logic Elements + 23. LAB-wide Signals + 24. LAB Signals Sourced + 25. LAB Signals Sourced Out + 26. LAB Distinct Inputs + 27. Fitter Device Options + 28. Estimated Delay Added for Hold Timing + 29. Fitter Messages + 30. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Fitter Summary ; ++--------------------------+------------------------------------------+ +; Fitter Status ; Successful - Thu Oct 29 17:00:26 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Total logic elements ; 141 / 25,660 ( < 1 % ) ; +; Total pins ; 91 / 474 ( 19 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP1S25F672C6 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.13 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 6.9% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 234 ( 0.00 % ) ; +; -- Achieved ; 0 / 234 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 234 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/vga.pin. + + ++-----------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------------------------------------------+ +; Total logic elements ; 141 / 25,660 ( < 1 % ) ; +; -- Combinational with no register ; 79 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 62 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 53 ; +; -- 3 input functions ; 32 ; +; -- 2 input functions ; 54 ; +; -- 1 input functions ; 1 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 107 ; +; -- arithmetic mode ; 34 ; +; -- qfbk mode ; 3 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 49 ; +; -- asynchronous clear/load mode ; 3 ; +; ; ; +; Total registers ; 62 / 28,424 ( < 1 % ) ; +; Total LABs ; 18 / 2,566 ( < 1 % ) ; +; Logic elements in carry chains ; 40 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 91 / 474 ( 19 % ) ; +; -- Clock pins ; 1 / 16 ( 6 % ) ; +; Global signals ; 2 ; +; M512s ; 0 / 224 ( 0 % ) ; +; M4Ks ; 0 / 138 ( 0 % ) ; +; M-RAMs ; 0 / 2 ( 0 % ) ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; Total RAM block bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; PLLs ; 0 / 6 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; SERDES transmitters ; 0 / 78 ( 0 % ) ; +; SERDES receivers ; 0 / 78 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 1% / 1% / 1% ; +; Maximum fan-out node ; clk_pin ; +; Maximum fan-out ; 63 ; +; Highest non-global fan-out signal ; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; +; Highest non-global fan-out ; 11 ; +; Total fan-out ; 677 ; +; Average fan-out ; 2.91 ; ++---------------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; clk_pin ; R3 ; 1 ; 0 ; 21 ; 0 ; 63 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; reset_pin ; K2 ; 2 ; 0 ; 35 ; 2 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; b0_pin ; B8 ; 3 ; 14 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; b1_pin ; A7 ; 3 ; 14 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_b ; F7 ; 3 ; 14 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[0] ; L6 ; 2 ; 0 ; 32 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[1] ; L7 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[2] ; L4 ; 2 ; 0 ; 33 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[3] ; C11 ; 3 ; 25 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[4] ; Y11 ; 8 ; 29 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[5] ; AD11 ; 8 ; 29 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[6] ; E11 ; 3 ; 31 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[7] ; AB11 ; 8 ; 25 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[8] ; F10 ; 3 ; 23 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[9] ; A8 ; 3 ; 17 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_g ; W10 ; 8 ; 23 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_h_enable ; M6 ; 2 ; 0 ; 31 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync ; AB13 ; 11 ; 37 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[0] ; C10 ; 3 ; 21 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[1] ; L2 ; 2 ; 0 ; 33 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[2] ; K8 ; 2 ; 0 ; 34 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[3] ; L5 ; 2 ; 0 ; 33 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[4] ; AC11 ; 8 ; 27 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[5] ; L3 ; 2 ; 0 ; 33 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[6] ; H10 ; 3 ; 27 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[7] ; A10 ; 3 ; 23 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[8] ; D11 ; 3 ; 25 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[9] ; B10 ; 3 ; 21 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[0] ; K5 ; 2 ; 0 ; 34 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[1] ; AF12 ; 8 ; 33 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[2] ; G10 ; 3 ; 23 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[3] ; E10 ; 3 ; 23 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[4] ; K6 ; 2 ; 0 ; 34 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[5] ; G7 ; 3 ; 17 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[6] ; AE11 ; 8 ; 25 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[0] ; G11 ; 3 ; 29 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[1] ; K1 ; 2 ; 0 ; 35 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[2] ; A9 ; 3 ; 21 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[3] ; J8 ; 2 ; 0 ; 35 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[4] ; K9 ; 2 ; 0 ; 35 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[5] ; D10 ; 3 ; 21 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[6] ; G9 ; 3 ; 23 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[7] ; F9 ; 3 ; 21 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[8] ; AA11 ; 8 ; 31 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_r ; AB10 ; 8 ; 23 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_column_counter ; AD12 ; 8 ; 33 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_hsync_counter ; A12 ; 3 ; 33 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_line_counter ; B9 ; 3 ; 17 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_vsync_counter ; K25 ; 5 ; 79 ; 35 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_state_clk ; N8 ; 2 ; 0 ; 28 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_v_enable ; C9 ; 3 ; 17 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync ; J19 ; 5 ; 79 ; 35 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[0] ; E12 ; 9 ; 37 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[1] ; C15 ; 4 ; 50 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[2] ; D16 ; 4 ; 54 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[3] ; B16 ; 4 ; 52 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[4] ; H16 ; 4 ; 50 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[5] ; F14 ; 9 ; 37 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[6] ; F15 ; 4 ; 46 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[7] ; F13 ; 9 ; 37 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[8] ; E13 ; 9 ; 37 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[9] ; E14 ; 9 ; 37 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[0] ; AA13 ; 11 ; 37 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[1] ; E9 ; 3 ; 17 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[2] ; F12 ; 9 ; 37 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[3] ; AA12 ; 11 ; 37 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[4] ; B11 ; 3 ; 29 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[5] ; K7 ; 2 ; 0 ; 34 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[6] ; C12 ; 3 ; 33 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g0_pin ; AA10 ; 8 ; 23 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g1_pin ; AF10 ; 8 ; 23 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g2_pin ; Y10 ; 8 ; 23 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; hsync_pin ; AB14 ; 11 ; 37 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r0_pin ; AF9 ; 8 ; 21 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r1_pin ; AA9 ; 8 ; 21 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r2_pin ; AD10 ; 8 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[0] ; D18 ; 4 ; 65 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[10] ; M4 ; 2 ; 0 ; 30 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[11] ; M7 ; 2 ; 0 ; 31 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[12] ; J1 ; 2 ; 0 ; 38 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[13] ; C18 ; 4 ; 65 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[1] ; M9 ; 2 ; 0 ; 29 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[2] ; K4 ; 2 ; 0 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[3] ; B19 ; 4 ; 67 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[4] ; C19 ; 4 ; 67 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[5] ; B18 ; 4 ; 65 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[6] ; F20 ; 4 ; 65 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[7] ; J6 ; 2 ; 0 ; 38 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[8] ; J2 ; 2 ; 0 ; 38 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[9] ; K3 ; 2 ; 0 ; 37 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; vsync_pin ; J20 ; 5 ; 79 ; 35 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 1 / 61 ( 2 % ) ; 3.3V ; -- ; +; 2 ; 24 / 59 ( 41 % ) ; 3.3V ; -- ; +; 3 ; 26 / 54 ( 48 % ) ; 3.3V ; -- ; +; 4 ; 12 / 56 ( 21 % ) ; 3.3V ; -- ; +; 5 ; 3 / 59 ( 5 % ) ; 3.3V ; -- ; +; 6 ; 0 / 61 ( 0 % ) ; 3.3V ; -- ; +; 7 ; 0 / 57 ( 0 % ) ; 3.3V ; -- ; +; 8 ; 16 / 54 ( 30 % ) ; 3.3V ; -- ; +; 9 ; 6 / 6 ( 100 % ) ; 3.3V ; -- ; +; 11 ; 4 / 6 ( 67 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; A2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A3 ; 733 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A4 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A5 ; 725 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A6 ; 717 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A7 ; 703 ; 3 ; b1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A8 ; 702 ; 3 ; d_column_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A9 ; 695 ; 3 ; d_line_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A10 ; 684 ; 3 ; d_hsync_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A12 ; 656 ; 3 ; d_set_hsync_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A15 ; 640 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; A16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A17 ; 602 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A18 ; 589 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A19 ; 579 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A20 ; 571 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A21 ; 564 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A22 ; 554 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A23 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A24 ; 552 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AA1 ; 158 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA2 ; 157 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA3 ; 160 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA4 ; 159 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA5 ; 155 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA6 ; 154 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA7 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA8 ; 214 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA9 ; 223 ; 8 ; r1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA10 ; 227 ; 8 ; g0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA11 ; 251 ; 8 ; d_line_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA12 ; 269 ; 11 ; d_vsync_state[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA13 ; 273 ; 11 ; d_vsync_state[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA14 ; 271 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA15 ; 283 ; 7 ; ^nIO_PULLUP ; ; ; ; -- ; -- ; ; -- ; -- ; +; AA16 ; 304 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA17 ; 316 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA18 ; 324 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA19 ; 334 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA20 ; 344 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA21 ; 350 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA22 ; 386 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA23 ; 382 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA24 ; 381 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA25 ; 384 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA26 ; 383 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB1 ; 162 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB2 ; 161 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB3 ; 164 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB4 ; 163 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB5 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB6 ; 184 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB7 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB8 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB9 ; 217 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB10 ; 229 ; 8 ; d_r ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB11 ; 231 ; 8 ; d_column_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB12 ; 268 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB13 ; 272 ; 11 ; d_hsync ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB14 ; 270 ; 11 ; hsync_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB15 ; 292 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB16 ; 309 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB17 ; 322 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB18 ; 323 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB19 ; 336 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB20 ; 346 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB21 ; 351 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB22 ; 365 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB23 ; 378 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB24 ; 377 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB25 ; 380 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB26 ; 379 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AC2 ; 165 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC3 ; 168 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC4 ; 167 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC5 ; 171 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC6 ; 185 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC7 ; 186 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC8 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC9 ; 215 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC10 ; 224 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC11 ; 239 ; 8 ; d_hsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC12 ; 257 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC14 ; ; ; GNDA_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC15 ; 293 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC16 ; 307 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC17 ; 328 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC18 ; 338 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC19 ; 339 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC20 ; 349 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC21 ; 355 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC22 ; 369 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC23 ; 368 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC24 ; 374 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC25 ; 376 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AD1 ; 166 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD2 ; 172 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD3 ; 174 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD4 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD5 ; 170 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD6 ; 188 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD7 ; 192 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD8 ; 204 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD9 ; 216 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD10 ; 220 ; 8 ; r2_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD11 ; 247 ; 8 ; d_column_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD12 ; 256 ; 8 ; d_set_column_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD13 ; ; ; VCCG_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD14 ; ; ; VCCA_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD15 ; 302 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD16 ; 310 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD17 ; 329 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD18 ; 335 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD19 ; 337 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD20 ; 353 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD21 ; 354 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AD22 ; 370 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD23 ; 364 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD24 ; 367 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD25 ; 373 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD26 ; 375 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AE1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE2 ; 173 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE3 ; 179 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE4 ; 176 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE5 ; 187 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE6 ; 194 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE7 ; 189 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE8 ; 206 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE9 ; 218 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE10 ; 222 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE11 ; 232 ; 8 ; d_hsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE12 ; 259 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE13 ; ; 11 ; VCC_PLL6_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AE14 ; ; ; GNDG_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE15 ; 274 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE16 ; 313 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE17 ; 319 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE18 ; 330 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE19 ; 340 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE20 ; 343 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE21 ; 352 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE22 ; 363 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE23 ; 366 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE24 ; 371 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE25 ; 358 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF3 ; 183 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF4 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF5 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF6 ; 198 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF7 ; 197 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF8 ; 207 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF9 ; 219 ; 8 ; r0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF10 ; 230 ; 8 ; g1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF12 ; 258 ; 8 ; d_hsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF15 ; 276 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AF16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF17 ; 315 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF18 ; 327 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF19 ; 331 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF20 ; 342 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF21 ; 347 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF22 ; 360 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF23 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF24 ; 362 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B3 ; 740 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B4 ; 736 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B5 ; 730 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B6 ; 716 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B7 ; 709 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B8 ; 704 ; 3 ; b0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B9 ; 698 ; 3 ; d_set_line_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B10 ; 694 ; 3 ; d_hsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B11 ; 667 ; 3 ; d_vsync_state[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B12 ; 655 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B13 ; ; ; GNDG_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B14 ; ; ; GNDA_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B15 ; 638 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B16 ; 610 ; 4 ; d_vsync_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B17 ; 596 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B18 ; 582 ; 4 ; seven_seg_pin[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B19 ; 577 ; 4 ; seven_seg_pin[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B20 ; 567 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B21 ; 563 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B22 ; 551 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B23 ; 548 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B24 ; 543 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B25 ; 544 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C1 ; 0 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C2 ; 738 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C3 ; 731 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C4 ; 742 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C5 ; 743 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C6 ; 729 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C7 ; 728 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C8 ; 710 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C9 ; 699 ; 3 ; d_v_enable ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C10 ; 692 ; 3 ; d_hsync_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C11 ; 682 ; 3 ; d_column_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C12 ; 658 ; 3 ; d_vsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C14 ; ; ; VCCG_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; C15 ; 617 ; 4 ; d_vsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C16 ; 605 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C17 ; 592 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C18 ; 581 ; 4 ; seven_seg_pin[13] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C19 ; 573 ; 4 ; seven_seg_pin[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C20 ; 559 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C21 ; 566 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C22 ; 556 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C23 ; 550 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C24 ; 547 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C25 ; 539 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C26 ; 541 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D2 ; 1 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D3 ; 744 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D4 ; 741 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D5 ; 735 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D6 ; 722 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D7 ; 727 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D8 ; 712 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D9 ; 696 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D10 ; 691 ; 3 ; d_line_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D11 ; 683 ; 3 ; d_hsync_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D12 ; 657 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; D13 ; ; 9 ; VCC_PLL5_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D14 ; ; ; VCCA_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; D15 ; 630 ; 4 ; #TRST ; input ; ; ; -- ; -- ; ; -- ; -- ; +; D16 ; 604 ; 4 ; d_vsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D17 ; 600 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D18 ; 583 ; 4 ; seven_seg_pin[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D19 ; 575 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D20 ; 562 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D21 ; 561 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D22 ; 546 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D23 ; 545 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D24 ; 538 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D25 ; 540 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; E1 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E2 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E5 ; 726 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E6 ; 723 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E7 ; 713 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E8 ; 706 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E9 ; 697 ; 3 ; d_vsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E10 ; 685 ; 3 ; d_hsync_state[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E11 ; 662 ; 3 ; d_column_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E12 ; 646 ; 9 ; d_vsync_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E13 ; 642 ; 9 ; d_vsync_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E14 ; 644 ; 9 ; d_vsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E15 ; 629 ; 4 ; #TMS ; input ; ; ; -- ; -- ; ; -- ; -- ; +; E16 ; 607 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E17 ; 597 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E18 ; 586 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E19 ; 578 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E20 ; 576 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E21 ; 569 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E22 ; 549 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E23 ; 534 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E24 ; 535 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E25 ; 536 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E26 ; 537 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F5 ; 720 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F6 ; 719 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F7 ; 707 ; 3 ; d_b ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; F9 ; 690 ; 3 ; d_line_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F10 ; 687 ; 3 ; d_column_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F11 ; 659 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F12 ; 645 ; 9 ; d_vsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F13 ; 641 ; 9 ; d_vsync_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F14 ; 643 ; 9 ; d_vsync_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F15 ; 632 ; 4 ; d_vsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F16 ; 612 ; 4 ; ~DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F17 ; 599 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F18 ; 591 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F19 ; 590 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F20 ; 584 ; 4 ; seven_seg_pin[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F21 ; 572 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F22 ; 560 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F23 ; 530 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F24 ; 531 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F25 ; 532 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F26 ; 533 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G1 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G2 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G3 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G4 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G5 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G7 ; 700 ; 3 ; d_hsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; G9 ; 688 ; 3 ; d_line_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G10 ; 686 ; 3 ; d_hsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G11 ; 670 ; 3 ; d_line_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G12 ; 653 ; 3 ; ^DCLK ; ; ; ; -- ; -- ; ; -- ; -- ; +; G13 ; ; ; TEMPDIODEn ; ; ; ; -- ; -- ; ; -- ; -- ; +; G14 ; 636 ; 4 ; #TDO ; output ; ; ; -- ; -- ; ; -- ; -- ; +; G15 ; 631 ; 4 ; #TCK ; input ; ; ; -- ; -- ; ; -- ; -- ; +; G16 ; 622 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; G17 ; 601 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G18 ; 594 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G19 ; 585 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G20 ; 587 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G21 ; 522 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G22 ; 523 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G23 ; 526 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G24 ; 527 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G25 ; 528 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G26 ; 529 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H1 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H2 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H3 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H4 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H5 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H6 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H7 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H8 ; 20 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; H9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H10 ; 675 ; 3 ; d_hsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H11 ; 654 ; 3 ; ^CONF_DONE ; ; ; ; -- ; -- ; ; -- ; -- ; +; H12 ; 652 ; 3 ; ^nCONFIG ; ; ; ; -- ; -- ; ; -- ; -- ; +; H13 ; 651 ; 3 ; ^nSTATUS ; ; ; ; -- ; -- ; ; -- ; -- ; +; H14 ; ; ; TEMPDIODEp ; ; ; ; -- ; -- ; ; -- ; -- ; +; H15 ; 635 ; 4 ; #TDI ; input ; ; ; -- ; -- ; ; -- ; -- ; +; H16 ; 621 ; 4 ; d_vsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H18 ; 603 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H19 ; 506 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H20 ; 505 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H21 ; 514 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H22 ; 513 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H23 ; 518 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H24 ; 517 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H25 ; 524 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H26 ; 525 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J1 ; 34 ; 2 ; seven_seg_pin[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J2 ; 33 ; 2 ; seven_seg_pin[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J3 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J4 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J5 ; 36 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J6 ; 35 ; 2 ; seven_seg_pin[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J7 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J8 ; 48 ; 2 ; d_line_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J12 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J15 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J18 ; 521 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; J19 ; 494 ; 5 ; d_vsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J20 ; 493 ; 5 ; vsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J21 ; 504 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J22 ; 503 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J23 ; 512 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J24 ; 511 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J25 ; 508 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J26 ; 507 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K1 ; 46 ; 2 ; d_line_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K2 ; 45 ; 2 ; reset_pin ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K3 ; 38 ; 2 ; seven_seg_pin[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K4 ; 37 ; 2 ; seven_seg_pin[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K5 ; 50 ; 2 ; d_hsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K6 ; 49 ; 2 ; d_hsync_state[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K7 ; 52 ; 2 ; d_vsync_state[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K8 ; 51 ; 2 ; d_hsync_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K9 ; 47 ; 2 ; d_line_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K19 ; 486 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K20 ; 485 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K21 ; 490 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K22 ; 489 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K23 ; 492 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K24 ; 491 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K25 ; 496 ; 5 ; d_set_vsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K26 ; 495 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L2 ; 54 ; 2 ; d_hsync_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L3 ; 53 ; 2 ; d_hsync_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L4 ; 56 ; 2 ; d_column_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L5 ; 55 ; 2 ; d_hsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L6 ; 60 ; 2 ; d_column_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L7 ; 59 ; 2 ; d_column_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L8 ; 61 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L9 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L18 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L19 ; 480 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L20 ; 482 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L21 ; 481 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L22 ; 478 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L23 ; 479 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L24 ; 488 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L25 ; 487 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; M1 ; 81 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M2 ; ; ; VCCG_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M3 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M4 ; 66 ; 2 ; seven_seg_pin[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M5 ; 67 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M6 ; 62 ; 2 ; d_h_enable ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M7 ; 63 ; 2 ; seven_seg_pin[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M8 ; 72 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M9 ; 73 ; 2 ; seven_seg_pin[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M18 ; 468 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M19 ; 469 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M20 ; 470 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M21 ; 471 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M22 ; 474 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M23 ; 475 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M24 ; 462 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M25 ; 463 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M26 ; 460 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N2 ; 78 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N3 ; 79 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N4 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N5 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N6 ; 70 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N7 ; 71 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N8 ; 77 ; 2 ; d_state_clk ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N19 ; 453 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N20 ; 464 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N21 ; 465 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N22 ; ; ; GNDG_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N23 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N24 ; ; ; VCCG_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N25 ; ; ; VCCA_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P2 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P3 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P4 ; ; ; VCCG_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P5 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P6 ; 88 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P7 ; 89 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P8 ; 76 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P19 ; 452 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P20 ; 448 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P21 ; 449 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P22 ; ; ; VCCA_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P23 ; ; ; VCCG_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P24 ; 457 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P25 ; 458 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R1 ; 82 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R2 ; 83 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R3 ; 84 ; 1 ; clk_pin ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R4 ; 94 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R5 ; 95 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R6 ; 90 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R7 ; 91 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R8 ; 92 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R9 ; 93 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R18 ; 443 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; R19 ; 436 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R20 ; 450 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R21 ; 451 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R22 ; 446 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R23 ; 447 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R24 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R25 ; ; ; GNDG_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R26 ; 459 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; T1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T2 ; 100 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T3 ; 99 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T4 ; 108 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T5 ; 107 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T6 ; 106 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T7 ; 105 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T8 ; 98 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; T9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T18 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T19 ; 435 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T20 ; 432 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T21 ; 431 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T22 ; 442 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T23 ; 441 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T24 ; 434 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T25 ; 433 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; U1 ; 112 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U2 ; 111 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U3 ; 116 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U4 ; 115 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U5 ; 110 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U6 ; 109 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U7 ; 114 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U8 ; 113 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U9 ; 117 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U18 ; 428 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U19 ; 427 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U20 ; 424 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U21 ; 430 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U22 ; 429 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U23 ; 418 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U24 ; 417 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U25 ; 426 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U26 ; 425 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V1 ; 132 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V2 ; 133 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V3 ; 136 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V4 ; 137 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V5 ; 124 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V6 ; 123 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V7 ; 127 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V8 ; 118 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V12 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V15 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V19 ; 423 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V20 ; 414 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V21 ; 406 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V22 ; 407 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V23 ; 404 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V24 ; 405 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V25 ; 408 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V26 ; 409 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W1 ; 140 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W2 ; 141 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W3 ; 148 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W4 ; 149 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W5 ; 134 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W6 ; 135 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W7 ; 138 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W8 ; 139 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W9 ; 212 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W10 ; 228 ; 8 ; d_g ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; W11 ; 255 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; W12 ; 260 ; 8 ; PLL_ENA ; ; ; ; -- ; -- ; ; -- ; -- ; +; W13 ; 263 ; 8 ; ^MSEL2 ; ; ; ; -- ; -- ; ; -- ; -- ; +; W14 ; 279 ; 7 ; ^nCEO ; ; ; ; -- ; -- ; ; -- ; -- ; +; W15 ; 282 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W16 ; 285 ; 7 ; ^PORSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; W17 ; 311 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W18 ; 321 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W19 ; 402 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W20 ; 403 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W21 ; 394 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W22 ; 395 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W23 ; 392 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W24 ; 393 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W25 ; 400 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W26 ; 401 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y1 ; 153 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y2 ; 152 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y3 ; 146 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y4 ; 147 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y5 ; 151 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y6 ; 150 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y7 ; 156 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y8 ; 210 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y9 ; 209 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y10 ; 226 ; 8 ; g2_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y11 ; 244 ; 8 ; d_column_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y12 ; 261 ; 8 ; ^MSEL0 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y13 ; 262 ; 8 ; ^MSEL1 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y14 ; 278 ; 7 ; ^nCE ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y15 ; 284 ; 7 ; ^VCCSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y16 ; 297 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y17 ; 314 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y18 ; 317 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y19 ; 325 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y20 ; 333 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y21 ; 385 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y22 ; 387 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y23 ; 391 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y24 ; 390 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y25 ; 389 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y26 ; 388 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; 2.5 V ; 10 pF ; Not Available ; +; 1.8 V ; 10 pF ; Not Available ; +; 1.5 V ; 10 pF ; Not Available ; +; GTL ; 30 pF ; 25 Ohm (Parallel) ; +; GTL+ ; 30 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 8 pF ; 25 Ohm (Parallel) ; +; Compact PCI ; 10 pF ; 25 Ohm (Parallel) ; +; AGP 1X ; 10 pF ; Not Available ; +; AGP 2X ; 10 pF ; Not Available ; +; CTT ; 30 pF ; 50 Ohm (Parallel) ; +; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; LVDS ; 4 pF ; 100 Ohm (Differential) ; +; Differential LVPECL ; 4 pF ; 100 Ohm (Differential) ; +; 3.3-V PCML ; 4 pF ; 50 Ohm (Parallel) ; +; HyperTransport ; 4 pF ; 100 Ohm (Differential) ; +; Differential 1.5-V HSTL Class I ; 20 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.8-V HSTL Class I ; 20 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II) ; +; Differential SSTL-2 ; 30 pF ; (See SSTL-2) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; |vga ; 141 (3) ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 ; 0 ; 79 (1) ; 0 (0) ; 62 (2) ; 40 (0) ; 3 (0) ; |vga ; work ; +; |vga_control:vga_control_unit| ; 10 (10) ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |vga|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 128 (128) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 71 (71) ; 0 (0) ; 57 (57) ; 40 (40) ; 3 (3) ; |vga|vga_driver:vga_driver_unit ; work ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; r0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; hsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; vsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_column_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_line_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_hsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_vsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_h_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_v_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_r ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_g ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_b ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_state_clk ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; clk_pin ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; reset_pin ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------------------------------------------+-------------------+---------+ +; clk_pin_in ; ; ; +; reset_pin_in ; ; ; +; - vga_driver:vga_driver_unit|vsync_state_6_ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|h_sync_Z ; 0 ; ON ; +; - vga_driver:vga_driver_unit|v_sync_Z ; 0 ; ON ; +; - dly_counter_0_ ; 0 ; ON ; +; - dly_counter_1_ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; ++-----------------------------------------------------------------------+-------------------+---------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; clk_pin ; PIN_R3 ; 63 ; Clock ; yes ; Global Clock ; GCLK3 ; +; vga_driver:vga_driver_unit|G_16_i ; LC_X38_Y35_N5 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|G_2_i ; LC_X28_Y33_N1 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X35_Y35_N4 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; LC_X29_Y32_N8 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; LC_X29_Y33_N4 ; 6 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; LC_X35_Y35_N3 ; 9 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X35_Y35_N2 ; 32 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK12 ; +; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; LC_X28_Y33_N0 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; LC_X38_Y35_N9 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; LC_X34_Y35_N8 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; LC_X36_Y35_N7 ; 5 ; Clock enable ; no ; -- ; -- ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ +; clk_pin ; PIN_R3 ; 63 ; Global Clock ; GCLK3 ; +; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X35_Y35_N2 ; 32 ; Global Clock ; GCLK12 ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ + + ++-----------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++-------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++-------------------------------------------------------------+---------+ +; vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; 11 ; +; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; 11 ; +; vga_driver:vga_driver_unit|G_16_i ; 10 ; +; vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa ; 10 ; +; vga_driver:vga_driver_unit|G_2_i ; 10 ; +; vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa ; 10 ; +; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10 ; +; vga_driver:vga_driver_unit|un10_column_counter_siglto9 ; 10 ; +; vga_driver:vga_driver_unit|column_counter_sig_8 ; 10 ; +; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; 9 ; +; vga_driver:vga_driver_unit|un10_line_counter_siglto8 ; 9 ; +; dly_counter[1] ; 9 ; +; dly_counter[0] ; 9 ; +; reset_pin ; 9 ; +; vga_driver:vga_driver_unit|vsync_counter_9 ; 9 ; +; vga_driver:vga_driver_unit|vsync_counter_0 ; 9 ; +; vga_driver:vga_driver_unit|column_counter_sig_7 ; 9 ; +; vga_driver:vga_driver_unit|hsync_counter_7 ; 7 ; +; vga_driver:vga_driver_unit|hsync_counter_6 ; 7 ; +; vga_driver:vga_driver_unit|hsync_counter_4 ; 7 ; +; ~STRATIX_FITTER_CREATED_GND~I ; 6 ; +; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_9 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_8 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_5 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_3 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_2 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_1 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_0 ; 6 ; +; vga_driver:vga_driver_unit|vsync_state_1 ; 6 ; +; vga_driver:vga_driver_unit|hsync_state_1 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_6 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_5 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_4 ; 6 ; +; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; 5 ; +; vga_driver:vga_driver_unit|vsync_state_0 ; 5 ; +; vga_driver:vga_driver_unit|vsync_state_4 ; 5 ; +; vga_driver:vga_driver_unit|hsync_state_4 ; 5 ; +; vga_driver:vga_driver_unit|d_set_hsync_counter ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_8 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_7 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_6 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_5 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_cout[4] ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_4 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_3 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_2 ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_1 ; 5 ; +; vga_driver:vga_driver_unit|hsync_counter_cout[4] ; 5 ; +; vga_driver:vga_driver_unit|column_counter_sig_3 ; 5 ; ++-------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Interconnect Usage Summary ; ++-----------------------------+-------------------------+ +; Interconnect Resource Type ; Usage ; ++-----------------------------+-------------------------+ +; C16 interconnects ; 27 / 4,620 ( < 1 % ) ; +; C4 interconnects ; 51 / 69,840 ( < 1 % ) ; +; C8 interconnects ; 69 / 15,568 ( < 1 % ) ; +; DIFFIOCLKs ; 0 / 16 ( 0 % ) ; +; DQS bus muxes ; 0 / 102 ( 0 % ) ; +; DQS-16 I/O buses ; 0 / 8 ( 0 % ) ; +; DQS-32 I/O buses ; 0 / 4 ( 0 % ) ; +; DQS-8 I/O buses ; 0 / 20 ( 0 % ) ; +; Direct links ; 80 / 104,060 ( < 1 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; I/O buses ; 3 / 320 ( < 1 % ) ; +; LUT chains ; 10 / 23,094 ( < 1 % ) ; +; Local routing interconnects ; 89 / 25,660 ( < 1 % ) ; +; R24 interconnects ; 22 / 4,692 ( < 1 % ) ; +; R4 interconnects ; 132 / 141,520 ( < 1 % ) ; +; R8 interconnects ; 55 / 22,956 ( < 1 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; ++-----------------------------+-------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+------------------------------+ +; Number of Logic Elements (Average = 7.83) ; Number of LABs (Total = 18) ; ++--------------------------------------------+------------------------------+ +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 12 ; ++--------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+------------------------------+ +; LAB-wide Signals (Average = 1.72) ; Number of LABs (Total = 18) ; ++------------------------------------+------------------------------+ +; 1 Async. clear ; 1 ; +; 1 Clock ; 16 ; +; 1 Clock enable ; 2 ; +; 1 Sync. clear ; 10 ; +; 1 Sync. load ; 2 ; ++------------------------------------+------------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 7.94) ; Number of LABs (Total = 18) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 7 ; +; 11 ; 2 ; +; 12 ; 1 ; ++---------------------------------------------+------------------------------+ + + ++--------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+------------------------------+ +; Number of Signals Sourced Out (Average = 5.94) ; Number of LABs (Total = 18) ; ++-------------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 2 ; +; 5 ; 0 ; +; 6 ; 6 ; +; 7 ; 2 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 3 ; ++-------------------------------------------------+------------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 10.44) ; Number of LABs (Total = 18) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 2 ; +; 7 ; 1 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 0 ; +; 11 ; 2 ; +; 12 ; 1 ; +; 13 ; 2 ; +; 14 ; 0 ; +; 15 ; 2 ; +; 16 ; 0 ; +; 17 ; 2 ; +; 18 ; 0 ; +; 19 ; 1 ; ++----------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Data[0] ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 16:59:56 2009 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Info: Selected device EP1S25F672C6 for design "vga" +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP1S10F672C6 is compatible + Info: Device EP1S20F672C6 is compatible + Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible +Info: Fitter converted 1 user pins into dedicated programming pins + Info: Pin ~DATA0~ is reserved at location F16 +Warning: No exact pin location assignment(s) for 91 pins of 91 total pins + Info: Pin r0_pin not assigned to an exact location on the device + Info: Pin r1_pin not assigned to an exact location on the device + Info: Pin r2_pin not assigned to an exact location on the device + Info: Pin g0_pin not assigned to an exact location on the device + Info: Pin g1_pin not assigned to an exact location on the device + Info: Pin g2_pin not assigned to an exact location on the device + Info: Pin b0_pin not assigned to an exact location on the device + Info: Pin b1_pin not assigned to an exact location on the device + Info: Pin hsync_pin not assigned to an exact location on the device + Info: Pin vsync_pin not assigned to an exact location on the device + Info: Pin seven_seg_pin[0] not assigned to an exact location on the device + Info: Pin seven_seg_pin[1] not assigned to an exact location on the device + Info: Pin seven_seg_pin[2] not assigned to an exact location on the device + Info: Pin seven_seg_pin[3] not assigned to an exact location on the device + Info: Pin seven_seg_pin[4] not assigned to an exact location on the device + Info: Pin seven_seg_pin[5] not assigned to an exact location on the device + Info: Pin seven_seg_pin[6] not assigned to an exact location on the device + Info: Pin seven_seg_pin[7] not assigned to an exact location on the device + Info: Pin seven_seg_pin[8] not assigned to an exact location on the device + Info: Pin seven_seg_pin[9] not assigned to an exact location on the device + Info: Pin seven_seg_pin[10] not assigned to an exact location on the device + Info: Pin seven_seg_pin[11] not assigned to an exact location on the device + Info: Pin seven_seg_pin[12] not assigned to an exact location on the device + Info: Pin seven_seg_pin[13] not assigned to an exact location on the device + Info: Pin d_hsync not assigned to an exact location on the device + Info: Pin d_vsync not assigned to an exact location on the device + Info: Pin d_column_counter[0] not assigned to an exact location on the device + Info: Pin d_column_counter[1] not assigned to an exact location on the device + Info: Pin d_column_counter[2] not assigned to an exact location on the device + Info: Pin d_column_counter[3] not assigned to an exact location on the device + Info: Pin d_column_counter[4] not assigned to an exact location on the device + Info: Pin d_column_counter[5] not assigned to an exact location on the device + Info: Pin d_column_counter[6] not assigned to an exact location on the device + Info: Pin d_column_counter[7] not assigned to an exact location on the device + Info: Pin d_column_counter[8] not assigned to an exact location on the device + Info: Pin d_column_counter[9] not assigned to an exact location on the device + Info: Pin d_line_counter[0] not assigned to an exact location on the device + Info: Pin d_line_counter[1] not assigned to an exact location on the device + Info: Pin d_line_counter[2] not assigned to an exact location on the device + Info: Pin d_line_counter[3] not assigned to an exact location on the device + Info: Pin d_line_counter[4] not assigned to an exact location on the device + Info: Pin d_line_counter[5] not assigned to an exact location on the device + Info: Pin d_line_counter[6] not assigned to an exact location on the device + Info: Pin d_line_counter[7] not assigned to an exact location on the device + Info: Pin d_line_counter[8] not assigned to an exact location on the device + Info: Pin d_set_column_counter not assigned to an exact location on the device + Info: Pin d_set_line_counter not assigned to an exact location on the device + Info: Pin d_hsync_counter[0] not assigned to an exact location on the device + Info: Pin d_hsync_counter[1] not assigned to an exact location on the device + Info: Pin d_hsync_counter[2] not assigned to an exact location on the device + Info: Pin d_hsync_counter[3] not assigned to an exact location on the device + Info: Pin d_hsync_counter[4] not assigned to an exact location on the device + Info: Pin d_hsync_counter[5] not assigned to an exact location on the device + Info: Pin d_hsync_counter[6] not assigned to an exact location on the device + Info: Pin d_hsync_counter[7] not assigned to an exact location on the device + Info: Pin d_hsync_counter[8] not assigned to an exact location on the device + Info: Pin d_hsync_counter[9] not assigned to an exact location on the device + Info: Pin d_vsync_counter[0] not assigned to an exact location on the device + Info: Pin d_vsync_counter[1] not assigned to an exact location on the device + Info: Pin d_vsync_counter[2] not assigned to an exact location on the device + Info: Pin d_vsync_counter[3] not assigned to an exact location on the device + Info: Pin d_vsync_counter[4] not assigned to an exact location on the device + Info: Pin d_vsync_counter[5] not assigned to an exact location on the device + Info: Pin d_vsync_counter[6] not assigned to an exact location on the device + Info: Pin d_vsync_counter[7] not assigned to an exact location on the device + Info: Pin d_vsync_counter[8] not assigned to an exact location on the device + Info: Pin d_vsync_counter[9] not assigned to an exact location on the device + Info: Pin d_set_hsync_counter not assigned to an exact location on the device + Info: Pin d_set_vsync_counter not assigned to an exact location on the device + Info: Pin d_h_enable not assigned to an exact location on the device + Info: Pin d_v_enable not assigned to an exact location on the device + Info: Pin d_r not assigned to an exact location on the device + Info: Pin d_g not assigned to an exact location on the device + Info: Pin d_b not assigned to an exact location on the device + Info: Pin d_hsync_state[6] not assigned to an exact location on the device + Info: Pin d_hsync_state[5] not assigned to an exact location on the device + Info: Pin d_hsync_state[4] not assigned to an exact location on the device + Info: Pin d_hsync_state[3] not assigned to an exact location on the device + Info: Pin d_hsync_state[2] not assigned to an exact location on the device + Info: Pin d_hsync_state[1] not assigned to an exact location on the device + Info: Pin d_hsync_state[0] not assigned to an exact location on the device + Info: Pin d_vsync_state[6] not assigned to an exact location on the device + Info: Pin d_vsync_state[5] not assigned to an exact location on the device + Info: Pin d_vsync_state[4] not assigned to an exact location on the device + Info: Pin d_vsync_state[3] not assigned to an exact location on the device + Info: Pin d_vsync_state[2] not assigned to an exact location on the device + Info: Pin d_vsync_state[1] not assigned to an exact location on the device + Info: Pin d_vsync_state[0] not assigned to an exact location on the device + Info: Pin d_state_clk not assigned to an exact location on the device + Info: Pin clk_pin not assigned to an exact location on the device + Info: Pin reset_pin not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Completed User Assigned Global Signals Promotion Operation +Info: Automatically promoted some destinations of signal "clk_pin" to use Global clock in PIN R3 + Info: Destination "d_state_clk_out" may be non-global or may not use global clock +Info: Automatically promoted some destinations of signal "vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock + Info: Destination "seven_seg_pin_out_12_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_11_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_10_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_9_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_8_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_7_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_2_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_1_" may be non-global or may not use global clock + Info: Destination "vga_driver:vga_driver_unit|hsync_state_1_" may be non-global or may not use global clock + Info: Destination "vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock + Info: Limited to 10 non-global destinations +Info: Completed Auto Global Promotion Operation +Info: Starting register packing +Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option +Info: Finished register packing +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 90 (unused VREF, 3.3V VCCIO, 1 input, 89 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 60 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 59 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 54 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 55 pins available + Info: I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 59 pins available + Info: I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 61 pins available + Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 57 pins available + Info: I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 54 pins available + Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available + Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:03 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:04 +Info: Slack time is -3.661 ns between source register "vga_driver:vga_driver_unit|vsync_counter_2" and destination register "vga_driver:vga_driver_unit|vsync_state_3" + Info: + Largest register to register requirement is 0.814 ns + Info: Shortest clock path from clock "clk_pin" to destination register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_3' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Longest clock path from clock "clk_pin" to destination register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_3' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Shortest clock path from clock "clk_pin" to source register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 7; REG Node = 'vga_driver:vga_driver_unit|vsync_counter_2' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Longest clock path from clock "clk_pin" to source register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 7; REG Node = 'vga_driver:vga_driver_unit|vsync_counter_2' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Micro clock to output delay of source is 0.176 ns + Info: Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 4.475 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 7; REG Node = 'vga_driver:vga_driver_unit|vsync_counter_2' + Info: 2: + IC(0.678 ns) + CELL(0.087 ns) = 0.765 ns; Loc. = Unassigned; Fanout = 3; COMB Node = 'vga_driver:vga_driver_unit|un12_vsync_counter_7' + Info: 3: + IC(0.683 ns) + CELL(0.332 ns) = 1.780 ns; Loc. = Unassigned; Fanout = 4; COMB Node = 'vga_driver:vga_driver_unit|un14_vsync_counter_8' + Info: 4: + IC(0.655 ns) + CELL(0.087 ns) = 2.522 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3' + Info: 5: + IC(0.487 ns) + CELL(0.213 ns) = 3.222 ns; Loc. = Unassigned; Fanout = 5; COMB Node = 'vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa' + Info: 6: + IC(0.527 ns) + CELL(0.726 ns) = 4.475 ns; Loc. = Unassigned; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_3' + Info: Total cell delay = 1.445 ns ( 32.29 % ) + Info: Total interconnect delay = 3.030 ns ( 67.71 % ) +Info: Estimated most critical path is register to register delay of 4.475 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X37_Y35; Fanout = 7; REG Node = 'vga_driver:vga_driver_unit|vsync_counter_2' + Info: 2: + IC(0.678 ns) + CELL(0.087 ns) = 0.765 ns; Loc. = LAB_X38_Y35; Fanout = 3; COMB Node = 'vga_driver:vga_driver_unit|un12_vsync_counter_7' + Info: 3: + IC(0.683 ns) + CELL(0.332 ns) = 1.780 ns; Loc. = LAB_X36_Y35; Fanout = 4; COMB Node = 'vga_driver:vga_driver_unit|un14_vsync_counter_8' + Info: 4: + IC(0.655 ns) + CELL(0.087 ns) = 2.522 ns; Loc. = LAB_X35_Y35; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3' + Info: 5: + IC(0.487 ns) + CELL(0.213 ns) = 3.222 ns; Loc. = LAB_X36_Y35; Fanout = 5; COMB Node = 'vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa' + Info: 6: + IC(0.527 ns) + CELL(0.726 ns) = 4.475 ns; Loc. = LAB_X35_Y35; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_3' + Info: Total cell delay = 1.445 ns ( 32.29 % ) + Info: Total interconnect delay = 3.030 ns ( 67.71 % ) +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X22_Y24 to location X33_Y35 +Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Completed Fixed Delay Chain Operation +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Info: Completed Auto Delay Chain Operation +Warning: Following 6 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results + Info: Pin seven_seg_pin[0] has GND driving its datain port + Info: Pin seven_seg_pin[3] has GND driving its datain port + Info: Pin seven_seg_pin[4] has GND driving its datain port + Info: Pin seven_seg_pin[5] has GND driving its datain port + Info: Pin seven_seg_pin[6] has GND driving its datain port + Info: Pin seven_seg_pin[13] has GND driving its datain port +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/vga.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 319 megabytes + Info: Processing ended: Thu Oct 29 17:00:27 2009 + Info: Elapsed time: 00:00:31 + Info: Total CPU time (on all processors): 00:00:29 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp3/Designflow/ppr/sim/vga.fit.smsg. + + diff --git a/bsp3/Designflow/ppr/sim/vga.fit.smsg b/bsp3/Designflow/ppr/sim/vga.fit.smsg new file mode 100644 index 0000000..38de4e4 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.fit.smsg @@ -0,0 +1,8 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Start inferring scan chains for DSP blocks +Extra Info: Inferring scan chains for DSP blocks is complete +Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density +Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks diff --git a/bsp3/Designflow/ppr/sim/vga.fit.summary b/bsp3/Designflow/ppr/sim/vga.fit.summary new file mode 100644 index 0000000..327f27c --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.fit.summary @@ -0,0 +1,14 @@ +Fitter Status : Successful - Thu Oct 29 17:00:26 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga +Top-level Entity Name : vga +Family : Stratix +Device : EP1S25F672C6 +Timing Models : Final +Total logic elements : 141 / 25,660 ( < 1 % ) +Total pins : 91 / 474 ( 19 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,944,576 ( 0 % ) +DSP block 9-bit elements : 0 / 80 ( 0 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) diff --git a/bsp3/Designflow/ppr/sim/vga.flow.rpt b/bsp3/Designflow/ppr/sim/vga.flow.rpt new file mode 100644 index 0000000..0c3ec8e --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.flow.rpt @@ -0,0 +1,126 @@ +Flow report for vga +Thu Oct 29 17:00:56 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Thu Oct 29 17:00:56 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 141 / 25,660 ( < 1 % ) ; +; Total pins ; 91 / 474 ( 19 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 10/29/2009 16:59:51 ; +; Main task ; Compilation ; +; Revision Name ; vga ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815334056.125683199105130 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; -- ; 00:00:01 ; +; Fitter ; 00:00:30 ; 1.1 ; -- ; 00:00:28 ; +; Assembler ; 00:00:18 ; 1.0 ; -- ; 00:00:18 ; +; Classic Timing Analyzer ; 00:00:01 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:55 ; -- ; -- ; 00:00:48 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti14 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti14 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga + + + diff --git a/bsp3/Designflow/ppr/sim/vga.map.rpt b/bsp3/Designflow/ppr/sim/vga.map.rpt new file mode 100644 index 0000000..76ca7e8 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.map.rpt @@ -0,0 +1,230 @@ +Analysis & Synthesis report for vga +Thu Oct 29 16:59:53 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Thu Oct 29 16:59:53 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Total logic elements ; 143 ; +; Total pins ; 91 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; DSP block 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; Total DLLs ; 0 ; ++-----------------------------+------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP1S25F672C6 ; ; +; Top-level entity name ; vga ; vga ; +; Family name ; Stratix ; Stratix II ; +; Type of Retiming Performed During Resynthesis ; Full ; ; +; Resynthesis Optimization Effort ; Normal ; ; +; Physical Synthesis Level for Resynthesis ; Normal ; ; +; Use Generated Physical Constraints File ; On ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Allows Asynchronous Clear Usage For Shift Register Replacement ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++----------------------------------------------------------------+--------------------+--------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; ../../syn/rev_1/vga.vqm ; yes ; User Verilog Quartus Mapping File ; /homes/burban/didelu/dide_16/bsp3/Designflow/syn/rev_1/vga.vqm ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ + + ++-------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------+ +; Resource ; Usage ; ++---------------------------------------------+---------+ +; Total logic elements ; 143 ; +; -- Combinational with no register ; 81 ; +; -- Register only ; 3 ; +; -- Combinational with a register ; 59 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 53 ; +; -- 3 input functions ; 32 ; +; -- 2 input functions ; 54 ; +; -- 1 input functions ; 1 ; +; -- 0 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 109 ; +; -- arithmetic mode ; 34 ; +; -- qfbk mode ; 0 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 48 ; +; -- asynchronous clear/load mode ; 3 ; +; ; ; +; Total registers ; 62 ; +; Total logic cells in carry chains ; 40 ; +; I/O pins ; 91 ; +; Maximum fan-out node ; clk_pin ; +; Maximum fan-out ; 63 ; +; Total fan-out ; 666 ; +; Average fan-out ; 2.85 ; ++---------------------------------------------+---------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; |vga ; 143 (2) ; 62 ; 0 ; 0 ; 0 ; 0 ; 0 ; 91 ; 0 ; 81 (0) ; 3 (0) ; 59 (2) ; 40 (0) ; 0 (0) ; |vga ; work ; +; |vga_control:vga_control_unit| ; 10 (10) ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |vga|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 131 (131) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 74 (74) ; 3 (3) ; 54 (54) ; 40 (40) ; 0 (0) ; |vga|vga_driver:vga_driver_unit ; work ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 62 ; +; Number of registers using Synchronous Clear ; 48 ; +; Number of registers using Synchronous Load ; 20 ; +; Number of registers using Asynchronous Clear ; 3 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 12 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 16:59:47 2009 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm + Info: Found entity 1: vga_driver + Info: Found entity 2: vga_control + Info: Found entity 3: vga +Info: Elaborating entity "vga" for the top level hierarchy +Info: Elaborating entity "vga_driver" for hierarchy "vga_driver:vga_driver_unit" +Info: Elaborating entity "vga_control" for hierarchy "vga_control:vga_control_unit" +Info: Implemented 234 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins + Info: Implemented 89 output pins + Info: Implemented 143 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 185 megabytes + Info: Processing ended: Thu Oct 29 16:59:53 2009 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/bsp3/Designflow/ppr/sim/vga.map.summary b/bsp3/Designflow/ppr/sim/vga.map.summary new file mode 100644 index 0000000..b6cb501 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.map.summary @@ -0,0 +1,12 @@ +Analysis & Synthesis Status : Successful - Thu Oct 29 16:59:53 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga +Top-level Entity Name : vga +Family : Stratix +Total logic elements : 143 +Total pins : 91 +Total virtual pins : 0 +Total memory bits : 0 +DSP block 9-bit elements : 0 +Total PLLs : 0 +Total DLLs : 0 diff --git a/bsp3/Designflow/ppr/sim/vga.pin b/bsp3/Designflow/ppr/sim/vga.pin new file mode 100644 index 0000000..b015813 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.pin @@ -0,0 +1,748 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- Bank 9: 3.3V + -- Bank 11: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version +CHIP "vga" ASSIGNED TO AN: EP1S25F672C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A2 : gnd : : : : +GND* : A3 : : : : 3 : +VCCIO3 : A4 : power : : 3.3V : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +b1_pin : A7 : output : 3.3-V LVTTL : : 3 : N +d_column_counter[9] : A8 : output : 3.3-V LVTTL : : 3 : N +d_line_counter[2] : A9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_counter[7] : A10 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : A11 : power : : 3.3V : 3 : +d_set_hsync_counter : A12 : output : 3.3-V LVTTL : : 3 : N +GND : A13 : gnd : : : : +GND : A14 : gnd : : : : +GND+ : A15 : : : : 4 : +VCCIO4 : A16 : power : : 3.3V : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +GND* : A21 : : : : 4 : +GND* : A22 : : : : 4 : +VCCIO4 : A23 : power : : 3.3V : 4 : +GND* : A24 : : : : 4 : +GND : A25 : gnd : : : : +GND* : AA1 : : : : 1 : +GND* : AA2 : : : : 1 : +GND* : AA3 : : : : 1 : +GND* : AA4 : : : : 1 : +GND* : AA5 : : : : 1 : +GND* : AA6 : : : : 1 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +r1_pin : AA9 : output : 3.3-V LVTTL : : 8 : N +g0_pin : AA10 : output : 3.3-V LVTTL : : 8 : N +d_line_counter[8] : AA11 : output : 3.3-V LVTTL : : 8 : N +d_vsync_state[3] : AA12 : output : 3.3-V LVTTL : : 11 : N +d_vsync_state[0] : AA13 : output : 3.3-V LVTTL : : 11 : N +GND* : AA14 : : : : 11 : +nIO_PULLUP : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND* : AA21 : : : : 7 : +GND* : AA22 : : : : 6 : +GND* : AA23 : : : : 6 : +GND* : AA24 : : : : 6 : +GND* : AA25 : : : : 6 : +GND* : AA26 : : : : 6 : +GND* : AB1 : : : : 1 : +GND* : AB2 : : : : 1 : +GND* : AB3 : : : : 1 : +GND* : AB4 : : : : 1 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +d_r : AB10 : output : 3.3-V LVTTL : : 8 : N +d_column_counter[7] : AB11 : output : 3.3-V LVTTL : : 8 : N +GND* : AB12 : : : : 11 : +d_hsync : AB13 : output : 3.3-V LVTTL : : 11 : N +hsync_pin : AB14 : output : 3.3-V LVTTL : : 11 : N +GND : AB15 : gnd : : : : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND : AB18 : gnd : : : : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +GND* : AB21 : : : : 7 : +GND* : AB22 : : : : 7 : +GND* : AB23 : : : : 6 : +GND* : AB24 : : : : 6 : +GND* : AB25 : : : : 6 : +GND* : AB26 : : : : 6 : +VCCIO1 : AC1 : power : : 3.3V : 1 : +GND* : AC2 : : : : 1 : +GND* : AC3 : : : : 1 : +GND* : AC4 : : : : 1 : +GND* : AC5 : : : : 8 : +GND* : AC6 : : : : 8 : +GND* : AC7 : : : : 8 : +GND* : AC8 : : : : 8 : +GND* : AC9 : : : : 8 : +GND* : AC10 : : : : 8 : +d_hsync_counter[4] : AC11 : output : 3.3-V LVTTL : : 8 : N +GND+ : AC12 : : : : 8 : +GND : AC13 : gnd : : : : +GNDA_PLL6 : AC14 : gnd : : : : +GND* : AC15 : : : : 7 : +GND* : AC16 : : : : 7 : +GND* : AC17 : : : : 7 : +GND* : AC18 : : : : 7 : +GND* : AC19 : : : : 7 : +GND* : AC20 : : : : 7 : +GND* : AC21 : : : : 7 : +GND* : AC22 : : : : 7 : +GND* : AC23 : : : : 7 : +GND* : AC24 : : : : 6 : +GND* : AC25 : : : : 6 : +VCCIO6 : AC26 : power : : 3.3V : 6 : +GND* : AD1 : : : : 1 : +GND* : AD2 : : : : 8 : +GND* : AD3 : : : : 8 : +GND* : AD4 : : : : 8 : +GND* : AD5 : : : : 8 : +GND* : AD6 : : : : 8 : +GND* : AD7 : : : : 8 : +GND* : AD8 : : : : 8 : +GND* : AD9 : : : : 8 : +r2_pin : AD10 : output : 3.3-V LVTTL : : 8 : N +d_column_counter[5] : AD11 : output : 3.3-V LVTTL : : 8 : N +d_set_column_counter : AD12 : output : 3.3-V LVTTL : : 8 : N +VCCG_PLL6 : AD13 : power : : 1.5V : : +VCCA_PLL6 : AD14 : power : : 1.5V : : +GND* : AD15 : : : : 7 : +GND* : AD16 : : : : 7 : +GND* : AD17 : : : : 7 : +GND* : AD18 : : : : 7 : +GND* : AD19 : : : : 7 : +GND* : AD20 : : : : 7 : +GND : AD21 : gnd : : : : +GND* : AD22 : : : : 7 : +GND* : AD23 : : : : 7 : +GND* : AD24 : : : : 7 : +GND* : AD25 : : : : 6 : +GND* : AD26 : : : : 6 : +GND : AE1 : gnd : : : : +GND* : AE2 : : : : 8 : +GND* : AE3 : : : : 8 : +GND* : AE4 : : : : 8 : +GND : AE5 : gnd : : : : +GND* : AE6 : : : : 8 : +GND* : AE7 : : : : 8 : +GND* : AE8 : : : : 8 : +GND : AE9 : gnd : : : : +GND* : AE10 : : : : 8 : +d_hsync_state[6] : AE11 : output : 3.3-V LVTTL : : 8 : N +GND+ : AE12 : : : : 8 : +VCC_PLL6_OUTA : AE13 : power : : 3.3V : 11 : +GNDG_PLL6 : AE14 : gnd : : : : +GND+ : AE15 : : : : 7 : +GND* : AE16 : : : : 7 : +GND* : AE17 : : : : 7 : +GND* : AE18 : : : : 7 : +GND* : AE19 : : : : 7 : +GND* : AE20 : : : : 7 : +GND* : AE21 : : : : 7 : +GND* : AE22 : : : : 7 : +GND* : AE23 : : : : 7 : +GND* : AE24 : : : : 7 : +GND* : AE25 : : : : 7 : +GND : AE26 : gnd : : : : +GND : AF2 : gnd : : : : +GND* : AF3 : : : : 8 : +VCCIO8 : AF4 : power : : 3.3V : 8 : +GND* : AF5 : : : : 8 : +GND* : AF6 : : : : 8 : +GND* : AF7 : : : : 8 : +GND* : AF8 : : : : 8 : +r0_pin : AF9 : output : 3.3-V LVTTL : : 8 : N +g1_pin : AF10 : output : 3.3-V LVTTL : : 8 : N +VCCIO8 : AF11 : power : : 3.3V : 8 : +d_hsync_state[1] : AF12 : output : 3.3-V LVTTL : : 8 : N +GND : AF13 : gnd : : : : +GND : AF14 : gnd : : : : +GND+ : AF15 : : : : 7 : +VCCIO7 : AF16 : power : : 3.3V : 7 : +GND* : AF17 : : : : 7 : +GND* : AF18 : : : : 7 : +GND* : AF19 : : : : 7 : +GND* : AF20 : : : : 7 : +GND* : AF21 : : : : 7 : +GND* : AF22 : : : : 7 : +VCCIO7 : AF23 : power : : 3.3V : 7 : +GND* : AF24 : : : : 7 : +GND : AF25 : gnd : : : : +GND : B1 : gnd : : : : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +b0_pin : B8 : output : 3.3-V LVTTL : : 3 : N +d_set_line_counter : B9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_counter[9] : B10 : output : 3.3-V LVTTL : : 3 : N +d_vsync_state[4] : B11 : output : 3.3-V LVTTL : : 3 : N +GND+ : B12 : : : : 3 : +GNDG_PLL5 : B13 : gnd : : : : +GNDA_PLL5 : B14 : gnd : : : : +GND+ : B15 : : : : 4 : +d_vsync_counter[3] : B16 : output : 3.3-V LVTTL : : 4 : N +GND* : B17 : : : : 4 : +seven_seg_pin[5] : B18 : output : 3.3-V LVTTL : : 4 : N +seven_seg_pin[3] : B19 : output : 3.3-V LVTTL : : 4 : N +GND* : B20 : : : : 4 : +GND* : B21 : : : : 4 : +GND* : B22 : : : : 4 : +GND* : B23 : : : : 4 : +GND* : B24 : : : : 4 : +GND* : B25 : : : : 4 : +GND : B26 : gnd : : : : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 3 : +GND* : C3 : : : : 3 : +GND* : C4 : : : : 3 : +GND* : C5 : : : : 3 : +GND* : C6 : : : : 3 : +GND* : C7 : : : : 3 : +GND* : C8 : : : : 3 : +d_v_enable : C9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_counter[0] : C10 : output : 3.3-V LVTTL : : 3 : N +d_column_counter[3] : C11 : output : 3.3-V LVTTL : : 3 : N +d_vsync_state[6] : C12 : output : 3.3-V LVTTL : : 3 : N +GND : C13 : gnd : : : : +VCCG_PLL5 : C14 : power : : 1.5V : : +d_vsync_counter[1] : C15 : output : 3.3-V LVTTL : : 4 : N +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +seven_seg_pin[13] : C18 : output : 3.3-V LVTTL : : 4 : N +seven_seg_pin[4] : C19 : output : 3.3-V LVTTL : : 4 : N +GND* : C20 : : : : 4 : +GND* : C21 : : : : 4 : +GND* : C22 : : : : 4 : +GND* : C23 : : : : 4 : +GND* : C24 : : : : 4 : +GND* : C25 : : : : 5 : +GND* : C26 : : : : 5 : +VCCIO2 : D1 : power : : 3.3V : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 3 : +GND* : D4 : : : : 3 : +GND* : D5 : : : : 3 : +GND* : D6 : : : : 3 : +GND : D7 : gnd : : : : +GND* : D8 : : : : 3 : +GND : D9 : gnd : : : : +d_line_counter[5] : D10 : output : 3.3-V LVTTL : : 3 : N +d_hsync_counter[8] : D11 : output : 3.3-V LVTTL : : 3 : N +GND+ : D12 : : : : 3 : +VCC_PLL5_OUTA : D13 : power : : 3.3V : 9 : +VCCA_PLL5 : D14 : power : : 1.5V : : +TRST : D15 : input : : : 4 : +d_vsync_counter[2] : D16 : output : 3.3-V LVTTL : : 4 : N +GND* : D17 : : : : 4 : +seven_seg_pin[0] : D18 : output : 3.3-V LVTTL : : 4 : N +GND* : D19 : : : : 4 : +GND* : D20 : : : : 4 : +GND* : D21 : : : : 4 : +GND* : D22 : : : : 4 : +GND* : D23 : : : : 4 : +GND* : D24 : : : : 5 : +GND* : D25 : : : : 5 : +VCCIO5 : D26 : power : : 3.3V : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +GND* : E5 : : : : 3 : +GND* : E6 : : : : 3 : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +d_vsync_state[1] : E9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_state[3] : E10 : output : 3.3-V LVTTL : : 3 : N +d_column_counter[6] : E11 : output : 3.3-V LVTTL : : 3 : N +d_vsync_counter[0] : E12 : output : 3.3-V LVTTL : : 9 : N +d_vsync_counter[8] : E13 : output : 3.3-V LVTTL : : 9 : N +d_vsync_counter[9] : E14 : output : 3.3-V LVTTL : : 9 : N +TMS : E15 : input : : : 4 : +GND* : E16 : : : : 4 : +GND* : E17 : : : : 4 : +GND* : E18 : : : : 4 : +GND* : E19 : : : : 4 : +GND* : E20 : : : : 4 : +GND* : E21 : : : : 4 : +GND* : E22 : : : : 4 : +GND* : E23 : : : : 5 : +GND* : E24 : : : : 5 : +GND* : E25 : : : : 5 : +GND* : E26 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND* : F5 : : : : 3 : +GND* : F6 : : : : 3 : +d_b : F7 : output : 3.3-V LVTTL : : 3 : N +GND : F8 : gnd : : : : +d_line_counter[7] : F9 : output : 3.3-V LVTTL : : 3 : N +d_column_counter[8] : F10 : output : 3.3-V LVTTL : : 3 : N +GND : F11 : gnd : : : : +d_vsync_state[2] : F12 : output : 3.3-V LVTTL : : 9 : N +d_vsync_counter[7] : F13 : output : 3.3-V LVTTL : : 9 : N +d_vsync_counter[5] : F14 : output : 3.3-V LVTTL : : 9 : N +d_vsync_counter[6] : F15 : output : 3.3-V LVTTL : : 4 : N +~DATA0~ / RESERVED_INPUT : F16 : input : 3.3-V LVTTL : : 4 : N +GND* : F17 : : : : 4 : +GND : F18 : gnd : : : : +GND* : F19 : : : : 4 : +seven_seg_pin[6] : F20 : output : 3.3-V LVTTL : : 4 : N +GND* : F21 : : : : 4 : +GND : F22 : gnd : : : : +GND* : F23 : : : : 5 : +GND* : F24 : : : : 5 : +GND* : F25 : : : : 5 : +GND* : F26 : : : : 5 : +GND* : G1 : : : : 2 : +GND* : G2 : : : : 2 : +GND* : G3 : : : : 2 : +GND* : G4 : : : : 2 : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +d_hsync_state[5] : G7 : output : 3.3-V LVTTL : : 3 : N +GND : G8 : gnd : : : : +d_line_counter[6] : G9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_state[2] : G10 : output : 3.3-V LVTTL : : 3 : N +d_line_counter[0] : G11 : output : 3.3-V LVTTL : : 3 : N +DCLK : G12 : : : : 3 : +TEMPDIODEn : G13 : : : : : +TDO : G14 : output : : : 4 : +TCK : G15 : input : : : 4 : +GND : G16 : gnd : : : : +GND* : G17 : : : : 4 : +GND* : G18 : : : : 4 : +GND* : G19 : : : : 4 : +GND* : G20 : : : : 4 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : G23 : : : : 5 : +GND* : G24 : : : : 5 : +GND* : G25 : : : : 5 : +GND* : G26 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 2 : +GND : H8 : gnd : : : : +GND : H9 : gnd : : : : +d_hsync_counter[6] : H10 : output : 3.3-V LVTTL : : 3 : N +CONF_DONE : H11 : : : : 3 : +nCONFIG : H12 : : : : 3 : +nSTATUS : H13 : : : : 3 : +TEMPDIODEp : H14 : : : : : +TDI : H15 : input : : : 4 : +d_vsync_counter[4] : H16 : output : 3.3-V LVTTL : : 4 : N +GND : H17 : gnd : : : : +GND* : H18 : : : : 4 : +GND* : H19 : : : : 5 : +GND* : H20 : : : : 5 : +GND* : H21 : : : : 5 : +GND* : H22 : : : : 5 : +GND* : H23 : : : : 5 : +GND* : H24 : : : : 5 : +GND* : H25 : : : : 5 : +GND* : H26 : : : : 5 : +seven_seg_pin[12] : J1 : output : 3.3-V LVTTL : : 2 : N +seven_seg_pin[8] : J2 : output : 3.3-V LVTTL : : 2 : N +GND* : J3 : : : : 2 : +GND* : J4 : : : : 2 : +GND* : J5 : : : : 2 : +seven_seg_pin[7] : J6 : output : 3.3-V LVTTL : : 2 : N +GND* : J7 : : : : 2 : +d_line_counter[3] : J8 : output : 3.3-V LVTTL : : 2 : N +GND : J9 : gnd : : : : +GND : J10 : gnd : : : : +VCCIO3 : J11 : power : : 3.3V : 3 : +VCCIO3 : J12 : power : : 3.3V : 3 : +GND : J13 : gnd : : : : +GND : J14 : gnd : : : : +VCCIO4 : J15 : power : : 3.3V : 4 : +VCCIO4 : J16 : power : : 3.3V : 4 : +GND : J17 : gnd : : : : +GND : J18 : gnd : : : : +d_vsync : J19 : output : 3.3-V LVTTL : : 5 : N +vsync_pin : J20 : output : 3.3-V LVTTL : : 5 : N +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +GND* : J23 : : : : 5 : +GND* : J24 : : : : 5 : +GND* : J25 : : : : 5 : +GND* : J26 : : : : 5 : +d_line_counter[1] : K1 : output : 3.3-V LVTTL : : 2 : N +reset_pin : K2 : input : 3.3-V LVTTL : : 2 : N +seven_seg_pin[9] : K3 : output : 3.3-V LVTTL : : 2 : N +seven_seg_pin[2] : K4 : output : 3.3-V LVTTL : : 2 : N +d_hsync_state[0] : K5 : output : 3.3-V LVTTL : : 2 : N +d_hsync_state[4] : K6 : output : 3.3-V LVTTL : : 2 : N +d_vsync_state[5] : K7 : output : 3.3-V LVTTL : : 2 : N +d_hsync_counter[2] : K8 : output : 3.3-V LVTTL : : 2 : N +d_line_counter[4] : K9 : output : 3.3-V LVTTL : : 2 : N +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.5V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.5V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.5V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.5V : : +GND : K18 : gnd : : : : +GND* : K19 : : : : 5 : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +GND* : K23 : : : : 5 : +GND* : K24 : : : : 5 : +d_set_vsync_counter : K25 : output : 3.3-V LVTTL : : 5 : N +GND* : K26 : : : : 5 : +VCCIO2 : L1 : power : : 3.3V : 2 : +d_hsync_counter[1] : L2 : output : 3.3-V LVTTL : : 2 : N +d_hsync_counter[5] : L3 : output : 3.3-V LVTTL : : 2 : N +d_column_counter[2] : L4 : output : 3.3-V LVTTL : : 2 : N +d_hsync_counter[3] : L5 : output : 3.3-V LVTTL : : 2 : N +d_column_counter[0] : L6 : output : 3.3-V LVTTL : : 2 : N +d_column_counter[1] : L7 : output : 3.3-V LVTTL : : 2 : N +GND : L8 : gnd : : : : +VCCIO2 : L9 : power : : 3.3V : 2 : +VCCINT : L10 : power : : 1.5V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.5V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.5V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.5V : : +GND : L17 : gnd : : : : +VCCIO5 : L18 : power : : 3.3V : 5 : +GND : L19 : gnd : : : : +GND* : L20 : : : : 5 : +GND* : L21 : : : : 5 : +GND* : L22 : : : : 5 : +GND* : L23 : : : : 5 : +GND* : L24 : : : : 5 : +GND* : L25 : : : : 5 : +VCCIO5 : L26 : power : : 3.3V : 5 : +GND+ : M1 : : : : 2 : +VCCG_PLL1 : M2 : power : : 1.5V : : +VCCA_PLL1 : M3 : power : : 1.5V : : +seven_seg_pin[10] : M4 : output : 3.3-V LVTTL : : 2 : N +GND* : M5 : : : : 2 : +d_h_enable : M6 : output : 3.3-V LVTTL : : 2 : N +seven_seg_pin[11] : M7 : output : 3.3-V LVTTL : : 2 : N +GND* : M8 : : : : 2 : +seven_seg_pin[1] : M9 : output : 3.3-V LVTTL : : 2 : N +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.5V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.5V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.5V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.5V : : +GND* : M18 : : : : 5 : +GND* : M19 : : : : 5 : +GND* : M20 : : : : 5 : +GND* : M21 : : : : 5 : +GND* : M22 : : : : 5 : +GND* : M23 : : : : 5 : +GND+ : M24 : : : : 5 : +GND+ : M25 : : : : 5 : +GND+ : M26 : : : : 5 : +GND : N1 : gnd : : : : +GND+ : N2 : : : : 2 : +GND+ : N3 : : : : 2 : +GNDG_PLL1 : N4 : gnd : : : : +GNDA_PLL1 : N5 : gnd : : : : +GND* : N6 : : : : 2 : +GND* : N7 : : : : 2 : +d_state_clk : N8 : output : 3.3-V LVTTL : : 2 : N +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.5V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.5V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.5V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.5V : : +GND : N17 : gnd : : : : +GND : N18 : gnd : : : : +GND* : N19 : : : : 6 : +GND* : N20 : : : : 5 : +GND* : N21 : : : : 5 : +GNDG_PLL4 : N22 : gnd : : : : +GNDA_PLL4 : N23 : gnd : : : : +VCCG_PLL4 : N24 : power : : 1.5V : : +VCCA_PLL4 : N25 : power : : 1.5V : : +GND : N26 : gnd : : : : +GND : P1 : gnd : : : : +GNDG_PLL2 : P2 : gnd : : : : +GNDA_PLL2 : P3 : gnd : : : : +VCCG_PLL2 : P4 : power : : 1.5V : : +VCCA_PLL2 : P5 : power : : 1.5V : : +GND* : P6 : : : : 1 : +GND* : P7 : : : : 1 : +GND* : P8 : : : : 2 : +GND : P9 : gnd : : : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.5V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.5V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.5V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.5V : : +GND : P18 : gnd : : : : +GND* : P19 : : : : 6 : +GND* : P20 : : : : 6 : +GND* : P21 : : : : 6 : +VCCA_PLL3 : P22 : power : : 1.5V : : +VCCG_PLL3 : P23 : power : : 1.5V : : +GND+ : P24 : : : : 6 : +GND+ : P25 : : : : 6 : +GND : P26 : gnd : : : : +GND+ : R1 : : : : 1 : +GND+ : R2 : : : : 1 : +clk_pin : R3 : input : 3.3-V LVTTL : : 1 : N +GND* : R4 : : : : 1 : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +GND* : R7 : : : : 1 : +GND* : R8 : : : : 1 : +GND* : R9 : : : : 1 : +VCCINT : R10 : power : : 1.5V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.5V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.5V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.5V : : +GND : R17 : gnd : : : : +GND : R18 : gnd : : : : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : R23 : : : : 6 : +GNDA_PLL3 : R24 : gnd : : : : +GNDG_PLL3 : R25 : gnd : : : : +GND+ : R26 : : : : 6 : +VCCIO1 : T1 : power : : 3.3V : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +GND* : T4 : : : : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 1 : +GND : T8 : gnd : : : : +VCCIO1 : T9 : power : : 3.3V : 1 : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.5V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.5V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.5V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.5V : : +VCCIO6 : T18 : power : : 3.3V : 6 : +GND* : T19 : : : : 6 : +GND* : T20 : : : : 6 : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : T23 : : : : 6 : +GND* : T24 : : : : 6 : +GND* : T25 : : : : 6 : +VCCIO6 : T26 : power : : 3.3V : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND* : U5 : : : : 1 : +GND* : U6 : : : : 1 : +GND* : U7 : : : : 1 : +GND* : U8 : : : : 1 : +GND* : U9 : : : : 1 : +VCCINT : U10 : power : : 1.5V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.5V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.5V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.5V : : +GND : U17 : gnd : : : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : U23 : : : : 6 : +GND* : U24 : : : : 6 : +GND* : U25 : : : : 6 : +GND* : U26 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND* : V3 : : : : 1 : +GND* : V4 : : : : 1 : +GND* : V5 : : : : 1 : +GND* : V6 : : : : 1 : +GND : V7 : gnd : : : : +GND* : V8 : : : : 1 : +GND : V9 : gnd : : : : +GND : V10 : gnd : : : : +VCCIO8 : V11 : power : : 3.3V : 8 : +VCCIO8 : V12 : power : : 3.3V : 8 : +GND : V13 : gnd : : : : +GND : V14 : gnd : : : : +VCCIO7 : V15 : power : : 3.3V : 7 : +VCCIO7 : V16 : power : : 3.3V : 7 : +GND : V17 : gnd : : : : +GND : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND : V20 : gnd : : : : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : V23 : : : : 6 : +GND* : V24 : : : : 6 : +GND* : V25 : : : : 6 : +GND* : V26 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +GND* : W6 : : : : 1 : +GND* : W7 : : : : 1 : +GND* : W8 : : : : 1 : +GND* : W9 : : : : 8 : +d_g : W10 : output : 3.3-V LVTTL : : 8 : N +GND : W11 : gnd : : : : +PLL_ENA : W12 : : : : 8 : +MSEL2 : W13 : : : : 8 : +nCEO : W14 : : : : 7 : +GND* : W15 : : : : 7 : +PORSEL : W16 : : : : 7 : +GND* : W17 : : : : 7 : +GND* : W18 : : : : 7 : +GND* : W19 : : : : 6 : +GND* : W20 : : : : 6 : +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : W23 : : : : 6 : +GND* : W24 : : : : 6 : +GND* : W25 : : : : 6 : +GND* : W26 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 1 : +GND* : Y6 : : : : 1 : +GND : Y7 : gnd : : : : +GND* : Y8 : : : : 8 : +GND* : Y9 : : : : 8 : +g2_pin : Y10 : output : 3.3-V LVTTL : : 8 : N +d_column_counter[4] : Y11 : output : 3.3-V LVTTL : : 8 : N +MSEL0 : Y12 : : : : 8 : +MSEL1 : Y13 : : : : 8 : +nCE : Y14 : : : : 7 : +VCCSEL : Y15 : : : : 7 : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 7 : +GND* : Y19 : : : : 7 : +GND* : Y20 : : : : 7 : +GND : Y21 : gnd : : : : +GND* : Y22 : : : : 6 : +GND* : Y23 : : : : 6 : +GND* : Y24 : : : : 6 : +GND* : Y25 : : : : 6 : +GND* : Y26 : : : : 6 : diff --git a/bsp3/Designflow/ppr/sim/vga.pof b/bsp3/Designflow/ppr/sim/vga.pof new file mode 100644 index 0000000000000000000000000000000000000000..bcc8195780e206c933b9e349c5ff1e11106fee7b GIT binary patch literal 1048717 zcmeFa4PaAMnm2w^BXnm_l8}~yrES_2ssohL0!2%ko8FeFg%&Kz?o>;mGIa+$3ilY6Tvo)nUM&&P9~^E+S9bDr~2Q(eh0jE=bye=mpcRrfV)ZN6_?){Qr2)ok7T zovjUb-+kxStXuEgy6vvb_hc=dzaYzg-(8y;vkD3qW-VBlzi?6h!UYSKX5DgAR^@%0 zHi-e5BuuiRro5QBg83_;yXKzeyP7xM*~l1~DU1Oe_)jY&{ssL|1SkR&0g3=cfFeK< zpa@U|C;}7#iU37`B0v$K2v7tl0u%v?07ZZzKoOt_Py{Ff6ak6=MSvne5ugZA1SkR& z0g3=cfFeK0tID22-@%>L<{@JPjSo*Voqwr*_%ae*XSb)=gFyptAtI0PEMumzz$iSY)UPT?;h&+|8N*1)Rsk=j0Gwlx z#W(SnVZ(Cv9v%Zt%2b%^HX)*4i7N<|@HOe1#Z+XWmijf}dGnWTm;9EiijA;*1wwhRyIi zoPwPZf2)kMu>QvXZf0@#|18_FoC_CA~aaCC7RT zOT74-K|dEu#IM2TT|I&iLurrS1#ZVpH(K2=vzDkZV$N{jz z&Ox!&<`r^yu~_^nEap=eGdbE8n$yuX42g)87!i}`E`~;qu|lQwcGA>zqm`NH^4jJc5u>fyn1Nt4frZ~Lz47ZZxDF!5?axq zDXfSu`%1tIN95!#YtWXu9wpw&&p%UE`uQ1L`b&0f zD_r801%XH`s3-&&WwRB4F}wmo(+P|i(W;-~6p1{`0iYz;fufXDU_XSixhC=;p`Z{o z=&(k+;A?wV-GER55pD-OJK-M;4@@ik^5ZNfE;}Z6mxw)Wq*Oz=4pc#S>Ml9paq)e% zSb3CS7eoU_W?^Jh-1ud&_gbs%A6sz+mTdx(I#+e9Z=?#onyUak$N}_v#9DW;B>mXo z;q+TK-?`{kEK>l#URefWD*PY_x=WzQVg(^U0rv%1EDP-eWq}|TXpD3MfCl=)C}0MJ zvw*-6)Py6CTd{F|Jb&J2$6tT$;MnWJ_}!B~x1|T9vvt!t40g*=l2O5oR(whX zK~kj_t3Yfy2T;ZSb;eWK*+L&JTaAcCD$-z}bBaho1#&W-p@d&0BVhnH%x}o36i1HH z2nZiu(NR)zfeMTp!QssG5-bh#6l6IFAm)`BjZuB`i_v3!Pk!;qCr|Et?m+QQEMSML zZvhA-0!a)-9$E;2>?*qJ}z{a zawuX0xXBiJNJER(X(-`>TynA;5O53VZvDHv#`@u_q+cGjKv}~R?qC9WR?<`gs6p4f zt?ZV<0*J4;bH7;fQvJ6-ed)FOx{}wRfd+{At4&;$P81>#D-k78)$j#4mP=C!fH4+$ zNR`vB_~iJHR^_CUvry$=?oOPoDstc%S~Q)9i&NA(0?kAe4~mh@?Jp@Ms71=Rl5sV_ zgQTXFmlcha-~8%}BR9iW(anq(9E!@(_egnA4uVqWyEhz|5WBftoM7?GA%K?mJ3GF2 z={vtab+Y95DEIQf@zbLCTXtSoXdZ-~MPY2&Vh*Wj0l-2k%7(JVmo}&at@?|Rk!tuV zs+K?=04-=h4fJd>vr_8v8x$K}glX@^n>Sos%ujPmDk%Xo&<+dIz4)6!Kf~$b*YoMT zHpF;AoESU&yC*=gmPpW5yxlNN0iPmcy&F=V7-X3boZ0f3C$}1PTxZ#3?VvHE*|TVICsJ1Hg+} zh*$c79s*mCuwf>>arU*@Z5AEN-PLGay3sTv$Eo93>l{Z(@!=Kh`n<*N1`F$%#tpJPcv2g#M80&h~VpX zN=eVwJ0-prTbd)C+v@6Tn|9J{?O1O&tablgljE*U)@x_GlH7;;Y+GF;-8;EkS7*;L zRTP*lmOZN+mOSIyF85P;yUaH=G-f|@C%Zh?I(v##PSo!un$^{^1t<8Z9V+nJr$cy_*}dy%opnm)~KTuiR5$U*Rt7>6^~ZowTBWy>?ZlYtj0ub&EZVomS^UcUsOnT(M=|5%8|;0dM1a zN09dhH}W;ktvqfvxmQ(oq%~~9am}8E?gc#Ml)m-O6&BWgXQRh>WYWgACX>UN*PER4 zPG50ORUJDw^@Q2Pt*z`x22WR>3u1Ob%&w1K`Gy8f#9P}vNdq#z+VB3Ft$b?XKBG-v z@eG%{e|E~xr)B9X^mn^_ZHIr&PP*=jnL2Lg+Q!#w7yj*PyP_l-T~K* z?!EK>Zss4j+MVBG!+yZZ_>?o zzt^DOmE-FJuX_vhsjju=e(>5k?dRtA?8zCG?-eIir|WVmo5Al#?jS!qSDj}6_=u6s zxz!Ft8*W^i#z$>%zt@vImmBHIxwmhXdrk*8y~o)o`kLf@(>&=NsZZstQ7}UNr>`~ zQqt0AA)q(qZH>Chu$1F)kk;%tqKi?gU(zB^kw+1sa)?Pr_{}W-W&~fQUcgPNi%rvU zR>sUQjyxvKZO`VcCa2k8PBQ2iJCki{HK(~O33Lj`0kwSZ%eRP>#P*510L~_9;JCIK^wLVlhId%Z zuColgz=a9ReDSd*ov;u_N)OR`?qQ^u%#D8)LP+)%VoTy0 z^oGD#B_!NZ_$`PDCSTe3a*h&$f_DvCbH*~SrW|v7TswavASDgQO5te?P?d%WxH60} zg*CplrTAv4TfEQJFLfllB-!I@NH8^Nr3m)%zZqEFtg|^f|9kuoAOOc7IS?~qug=Ql zG7wk#^xW#n(%0Z;p_VYw0rK`uYtK92;U^CekM`J4~CR+jb5&pe%)U%$PrzUYn4+~-TvpU=Gd zlc^7l4)#vXJU8duNX~_iE%yw6+`eRVoCuWm%+ENTI={ZDt={@Zr{#R_uQT3!`3z{o zc1L!eDb49-Ig8Z@>MWDx$#Yv>*-oP)jWgR#EUMiuk2b-Q7+>%A$<;x*4;}4^SW##w zG~ozDlfv1w{gOG3@c42ryc!VfllenMxFz%J3XLOs>u<=tucb`efY66&wv)cGcZ#WA5~0jc*qi8?BH11^@Cc%q^NZSXC&-R z(|Q!kEM6EVOo|bS2d7e|gnPy80ut+NPcm`JR4F~PfgLW?WD*c+kPFH_Pi3vPv1kfs zhdt*0RVK&$udS?}pBY$IKx_03=h7ZZ81k z;X=;o5lh1*=l7;JZ~sbki)flnhUtThrp;_;zNNPl^rAD)&u7jU?3}!;#WfLi572(5 zoF5u1{wb&$=MYn*b41DdcqA}B>f)3`Hw|hjguq)0Q zOk@SyJ(S0khD2tM!fw6PDb8n2hq^d<2td#6Y1RQc>zS>Sfx*v_P0bL#$jBny(==6F~1^#*0TL|1&M-fG!8M zV{mBl$};=>%=M}Hw{35`?JKfqM6;=!&AD*ea?jAm?Tbe%UT8^s0cjmAH~e;jYaPU1 zN1+Gpw~0VeXue8l2o?cZyG7=+Xx35fCuaFscC97Me!eu`+{l6e*_Xr2_w$)*fkE+O zR0v5N`W}1sE8vEdB1+Vnw0(GN4gCtboPo*aun+qA^R& z^6&`N#v|{m$Q2vW1{Quh0d4dNw~j#(piu%PT93R>ixo$(ZD^Ho?ov&RXqo|}sRnWR z!bD9x@-0@|E?Pbf<*rm7Bw|IO`T9WX948;{=O7qu{=f$-RT z@AfN>P1m2#aeQiQ`m^V@~KG{omkqTXZ9^PP@Qd-FaW zO8cbxL}&Foz2C{3ReI!b=IN1-o7<`j$I@SU*z;mp*9)1u_I%-fXmp$iobSy$Ka}=i z^@)z^cY3~)cOhpWX-wjI-vHYq4ht_ln~t((`7|pqduX3u<>B zjcly&|e+Q`+@H#;!e| zyYC+5<{{MxDIQCAV}o*!sH2Dyd?C5~$F-Q7 zi^`3%j7=~hkyDhbl7bbA!bJ%*(b_j*2USomy|ec#I;K7-QuvE@)kX^&1%L2%{)d_v zPsHOfG@Bd_M=w?v&@;4zNec~1+wMorRvJ7XU03{Stf0z;Prw6u67Ucss6XQ+(`%@A zw0g#AFPf1#RQg|XwI^1$iLZFP(K#U(TFt_m$8IHJ{h8kOi{GLKkI2nd z+kq}}TG4&An{kXjlOLTM@pM`(1PbjWN-c60gTZ5>!W5nF=piPWh7>(VF`vyqB1xek zAw%zTAtFPei2}_8&^yLWH=_0qYVU|+%g5K(-a+n50zTRa)B&1tKV7c6M%;h|B2s7q zScE)LXhHx9ye1qpT2A9nbZZC6T}>Ok#Y!nruO#qdl?1rO;4lhzJlpXs!9WL8Ivj&YG@0 zB$kA75A75%O<_`=0dh!9bqzK1k=P>RoBM4ttIB<#`$@FpUNpW~MmZLwkhqH;H;Gd%(W)0ckJ#4oAQLzI}GfiUqbU#Wr{?{8ry~! zy|2{4b|8%Hsf0_UZI{0Gq`H$FSVM;XYwy-!IE~e zk`@`0m^;1&O++Q+{E4tBkEkSrqhF3H5?9}@wsGJKlWM!CY5=izd!aO7CUN#8VEg#( zpQ_3eE+sfe?Agb)m|Ug>MJ$ZV7n*UB>KWhd?-S9C!2@^C zhZ98e0CP)-!K3=Oe?<)**-oP)jWgR#_&#EnM_!HTv4|!WhS_ng7MBocC#3NeQQi0D zC<K8;Cj4T9hp*z&r8jRHMFXcTeE;*t$ySoe*S-aFG8$7>8F%c`;DR_KGpoH@eKmqY8+=8x2|u+nYseaNwC^0e1+6}M*R-eOEa zgXjUz&V$@d&tzY>-*@dZN=;F!Z36KrzWpvU=wg?L&oFwOY1yfUD>wvOL2KNAxBgmr|&uaDI@ z*b38XlM&B>?6f{@&A!gk;B>Z|Yps@CqY;a32ORdBvY#@UQq$H-LW4m;WpKoaNM&f% z;){WGjtoI}cPUfxBL{<28DVnZxq+#HyGxnzyUsKhWmUK@_3-PxnaQjHHzUTSQAI*| z!o++#mf&ZVU$oyR0!X2u&=3(IdeB-!p&=qb^q{qdLPJD==s{}@g@%X#(Sz0+3Jnnf zq6e)t6dED|L=RePC^SR_h#s`oP-ut<5ItzEq0kT!AbQYRL!lueK=h!shC)L`fapPM z4TXk?0MUci8VU^&0ip-3H53{m0z?m5YbZ2C1c)BA)_gsnVTEj#y`+92Eo_6W)nGj? z!{Ak(Y8TSNF**Z_R}xDx1`jPx1~RZZhpyhNdeOT<4;5+F&O)aa7n(prTeywH zaxCte4HlYSLF3W_BmSU^$L@&*4pLanU`n*Env`Kh?yzAB{&f}ZP!u{!AT7FT4Tdp% zgd4*=`G6LucW5!FB8KkxaTM^Pcne$Yb2PlTC`yV^y=jXIhbXa5BoSJI_aM6OYJ7Hk z!Z4P=Cta9L2DD=Un4GKbj6K*ii3_`{?CuG2)Ww89+k1NY{EXA7^Xr@1>aA~d@`rmr zUpQrg98JD^DU%J(ur^Ivi)o!y5 zg~#{r=x|WgpIEAKgv3C_(Bf3?8Y8HdhaWrh3_7n=W!W>B*zk}g0^5qc5)CHC`}sw* zn_1-t4no*_n@SoG9nIu)6kQs1({=Q)ogF@xN1O01A0++IRakewb7|XeT+UU_y)<>#RBHG_Cx9ie0}Gc?kT* z%1t0<&=c)!g5Z$8P-vuR1U*w|g5Z$8XbFu*#{uDaoUCNOra~_+r5BgN{XWJ|haUZ6 zuyg9NF`x5c*UGY<`I)Cv^Xs>_)fc_dnfrWc`tzAre=_x<(ZSxSndj!58_BuwvE`oO zkK31wR&=+Z3xYxjlv33~+7SrP6Gce0%kWNe^8U09tvgfu(Xy*l%M0_RTq2?z%`z;$oRp@8S59^v0(FpC9ZBu`n-(U*A%Wb_FlbVYWL)~zj@}Wi<7qH6kpTx z*7}AQ3fg8BTv%{v!S@&ZX2H8$cV$r_j*$v6PDo;Orxx*~LOn>N7JQe5G-md^X1O)> zh1`M@W|aE=qt9$x7CT$_epg?(!GSUonz}}8P5y4S`gV(FhqWMsdTIm7ZX&1VW+llc zyP!|jIY!5cGH%3@Enc0M$2i2yE0UGP*!SOyMSnHFTFx$@o?Sc-7DR1$k)G+AvMo-{wS(_TJ#JGu9vLa{k|7zGI;T{SrR3kH&S~;y8t#pH1 zD0&=r#_jAo+}Kc5V20JF=n{%9tKOF8NUu^8%xYo0cW*)2Hks1m&pnFi`wJ$}`g37% zY`oOGf7eDD%VHt<^^?&RqeGz~LPeqZGN4hlUIZ}+6NAS-fFVrv2N@$=j7udQxHjY7 zo*yJbwp8T|I!?I9up#EOk6j+~=GJIRU%FN;DFuaA`!6K|W4J98W4~H(p zf|WJT=V>toqhY~)b7%5CPiQV;e6KMwDTiOkO3qR+bOixqOA1K|Zt~e;nbI11xlHVX zDlC$}P(7qw_0sz)+@ zz4BmfaifH}xE@j6_5D!Y<-$V38j9I*WyK!}o2C-vN@}5Rpu5rhr4#(1^@; zgP^y8>sJK5$ND1f_IUQgSYyhCSKFfaZi4YX21+TC$W%=+1W!)DmV&nm`2khQxGI-B z!R@(Q;BrFkxHGV5CI#4A-zx~AaY~S4QIZwX;IJa&L z{xpgBzNfCTt1f#$-*I)v)jO|$uGwBx&J|QT9?;`I?w#_+yp)aU%{}nfR#iBV{>nY*cSepPYZMQdQbXD&xkfr-!y+X z<-@8I9aZo2H0GUkopsee50fX3EIrfe&{rho>QF!F*@qn5HI5o3_U>_LO!^Hq8PrV{~{Ao;v`#@1yqD!MszmA$%5ZW zVkvtJCd0oyxh-$SSdE|V^_#$F&8A~lPUNJjq3RBFfheh!H(`j|{Uzj57AA0NhKY)QFyh?_p z7|DS@XXuOXU5$8B(>|b*!_h~Fr{!p|_!-7{PnJhz)?k{@JXoO31~oXU3Z0*&dfO#B zK5Lb6R?f65RnMm4?RWqD2aweqP{1)7De?F~GH;@C{L_97_R!&D*;+lQ57$!PG3ab% zn%sL?{Zi%R$}6hP`Ub}q_`A5P@s+VuN7VwdBl-NJireBWHj%-;cA;s=|~YKQCmfVaR2u}$zQ}b|8E?X^u7Pp zqA!O+)7UT(?HB_aU-RVaG*~y0H-9L?8yB_MOPz2t3;seUJn-T%2_KtXhvIqvzYQvj z9}5di_0!)Zu%XbI*EpY88eXRr5)gu6I=;L9HMUq`q4A8YZPAazJYsRMu^40K-!H@x zOC~JtoV|A?%0V@VLz!s>8OF&@!g%95xR)G8fsa7O`|RUd3_bof9{X@3<<;9$z`ur$ zV$wj-pYJ`S#o0Ul`HkoaMhbzUY1LX-4;UvRr5(wS?>CrZH~p1hoN#HeSks_G3p*j* zyvKzyP$#p^DM?J*#87{bZDQ9QZ`~^tU^vyb zh;WOB(df5Md~zADr)-V50)&@A!^H^fE_AsAxYLN#g#CWL%75}dt9Vd5H1QG* z<5OuH7LB_2sL}}8;Q>Ek?Es=-i5bdfjE)`pkyrY<&Q>AEZ`eb&;bv` z&LcVenO+ezJ5mLb67oH~kr6Z|sWUz+JJ=!e+sQ@6kpn36)pXWf{VGqeCm4qxyXW#X zPH3^6RMTm9EuiMD6Zs!b!q$KY4J2xvgocl%wf7v48d5=k1iZKc%{szA1 zs%&N0GUiCpJ4&1=gpJY}4YPMre=|NUP}P z90|JQ>CrbIebaq+KQ|98v_eY7{ct4rxG5FFGAUT`bW7n>byfwaqabAZZfL`@oD!)- zzAYjuP%8&+SO(U4jW$h~{~~DSgd`O@gDf9cXA>gpAmhZpsWt=v&v-UP%}|5#EfgA~ z)C@qx3akJ{8@>^lZ{{#YlTz7Zkdqi4|cS`i9HSDmfj9? ztm9#n0MiuOcmeAho>&}B_z7w$q?d&2T&yHcCGH$U%DXToZ7S}O1dsL6B1ZW2AGFxj zI&phmlKhC!KlUsySt*jySP@a-S5nAx3vUhajP(_FkiNT!t2M|ntBjI5P`H$sKABKh z6kq1!VQz{X{4zMs6*E@yf}&_q0>#tHWx#pj`rAkeks732_?5#bQMDE-Nn& z4sbH0rJ2np6TYg@HHB~j2?MiouTQy2&$K7MHs#Jqi>`nT|*vCPjB|^OcH9A94g- z!AHy@ehGZpbSFzzdR!Xpl+~GyIZF0GAYeoVhi5Io4gd5+$;?nE*>@gmkydz+clRjO z82p9hFFq+XtTMdyEoJ+_#fce2GKd<=s}+&u)tT>~lO_@UIf#}&xcBAqi1Rc4jPVch zcq3}Z+>y(UkI7>lP9@;t-Cigx829ri_rQwT;etUzVTCPqUzA)W7n64rqx)-;Tu^={ zY^?C7a-L*2KW0Hed@t_}dJlTx^Y3RW$S>sO&=PluOW+j<;KaG$m74N3+-Lq}AZGke z5iB(Rbmb8d)8waVe2?rF9YTKvTx!Io5$YfOK2~-l*dgo<(}8{s|HC~SbZ&lTgMx4V zFXBQY)*mDMK|+Ji9n3Z7NE~GzB^XH_!vfZSs0(uoci@rY`3mqE6s~2-2SM+o7lR(L z0|WzYG&tzJ|4M?$W`>yXsezMUFc)Yn5i%!F?O?$`F!8{fPzi)Q!6{WR=yG~E2%Y2f z94k-GH~l9(0hysrjA7$|NuDZVjeiOxD?EAM*`EZr)xw+ne1)yqjSLoWY<*2-g;`fo z3*@;DvsDk3_BFFve`hUcWGc*NV3sg>0pv4NiCv#?b`Doz)}#N7p_a4Nf+PNiT{(k* zb_nk+)30W-AY;sp$rjw9g`BUy+))AZM0hrZT?6b^=$QrXibjruJk)Tn>Dd~)VHN)Z zFo!d5&^ZATpr7A@Qjz=EIG#1kF@Vf*EXxAZ$Qe;)kw=AI7JBdQEn6G~RYh#$x@Ppp zR!-Z>?Qu5rZ$W=uC2a#mEIx)Tv#EvcEU_Fl&p_vp!QavT!v3mhjrbTc=aK2n{nNHq z?Qx<5%!ajyc=eHtrcG?;TuUz;e3rIr^p1ItE*~t{&#GM4Z0G+fy1BKcyVCa@ee~#H z=agjwKIckTB|3#HzixY5UC|rv+&ym^(LrPn^$+%@j|6;++v=LJZwflZY!Dq%R>x(Y zYj!t|EU!ZU%IjKikUM|)=9<07D&XHcQ4^SwdCuB&wg>&SFYd2+p(^dMU61Y>xS(0RwL@ZvOIYZ!r)lTi7u?`#=N~8G(l%YI>bw5uSA`x z7%okg6vOOJC)E(tE8sp@=pAq^;?TEjrC^F8OD#CM^h-)s;(qESo#ajKkq|LBX!;G+ z!47h7Qc7&N(1M~2K2F3Zgrby~#ES>Z`}gHU$w!PR{z7Cl^hsVN4D$QAVgGXp<72(?AX4q_^}{)qYX{zG9zu{V$=JV{A}WYBl|TR}nP zUij#|fFUET@=?5t%8@P5B}X9O2BgFspdnfAFgkAkQCdjdvG5Cdl1l+zD`bLC&f~bmVVirLrL^T9h3qTme2hQjd zr7s6LN?t%P143B5hMb|Izz*OBe9H6ZyYz;HK**!0B0y}L9{20#HL&_19(aF9VP&;s@x7H63Xs3R!3u#fk~iLg~cW}!=R{-J_wN;>vt>#uCa!@ltp=joiGy3-$z>{P|Pf(dcG!MTn0SgFb5$9OA z3PcQA9#VJUs{-jLSPK%<0O9}+@)Fu;w5d>~=y#(?DYBku&{xjk@Lw$)w3fT)i1C3b4`96q zt0n04<&mEDCH)oMRclQjrtiUO&y!63XbbAt{3`gXYig^rB83YSqB=9^;7I>r!qlH&wFWx2wS6qtfK()?ejV;6 z&H-IsdoAcq;8=^gsb~t(Uxta2kO6h;xB|dvfkz_Tr|?6WTwlN#2bNw!9ZQ(dCRQMz zh6qA;CAx?`V&ENIbkLTD_bm171QCEpOA-T96S^3Qv+xKV6r9L->2ZQa((3PiWb-84 zDCutqz6*OjR#z}reBUokSwc$kC=G$muG}*#ImjvSg0V-#gaoL7Z|IZn2hR{okuO0p z1{zhvKVl+=!{@p?)E~CS*o?#P|9&nhZ!oGm5D#Li;rvKlW*u0?gq;8<5pyA|zid#v z0Ng>>RNC|~Q0aUPV^Z2d&xi`JB*qUS>JKRTdJmK*+migw5gxKgFyJ`8H!q;uTVmO@ z6>=a{umP*SARY3`Q7qQO5XeU?C8(fi*)h!-2{yY|d76#J3omh(z7O zXDvie@bEKZ)ANw=O+Y5fduyi=$APkvJGM1n=NHO5)5dEd!Mib?)IG)bsM(AYl*22O$V@4dn~uRULTyw&w?Hpc1M` zPQ$?BxQI8z9!^4}EC~%mMc)%`q*-g2oQEn8gm4a}%=o$VGm9U5uj9TcC;{mUo;Tz9 z!On;yDOsULAitB-L4b|;fk72FJrKW;H37W^hxbz+JbJS|$r|h#ctb`FR0zNqriYmb zr3Jwe-ze;gSK)YK!iNQ|1cL?fQ9(cic!htVfbsSel**3XdK>Nw!>d20guV=VB<92V zJCHbmDB?Cm?#DrqkM~foj=&s_iF1bn;Jyr3F+@`O0o*WjhLPFC841Lb0qi0SXe025 zQbv+7$|^4qO9(>gaC+P^a!l)zFRV4$5>Lq^#D)5g96$?US*-k^SEQB*v?ZZ|N2GxB z%39ffRglILUU^zc*y(nZjJR6ZyjDOt!FghWn;zWaM%@*FY;bK6Nc1AdhU z_i+o{S%_>qr?07GjnI*SX2UfK>Jz-Yre`cQ6#?BKMB^xTGy)4qF#!gX0JpMBq&m+t z3vJN=stlSI1whPxm4nxuAaShbfEUhMAXDJOBZzXcV$J?rvTL#;F1g8MH{tT}1eg+^ zpm?CLQN2NB4fIgSzz_cq;{ybdvtWf1BEqZL%;Kzr5^vUfd$(nDntQov+$1=*dUE9w zw;D^6L$ox(Z8#(|)bk3>P#4x+a2`o*?l�@(jV>7dcgrEw?V?2Gwd+pjTl_)G@GA z{ZAhvqw0rSv7)YwRacy zVgLP3Taq=ptqJrfC`)GruWn(X$@&ww{YK~s{mKA__gI zltu_GRN3L<&7DTFzViVDIUG^q2_t9J7ln?r)qBV+p*TSw04eAlUPS6$#j``b$nRlP z{6T%EXZW8ZJfM<8-b6ySg?}9(x?(SdD`cUNfD@Q3(uY#^Gk9Uq3Es~Y8iaojQJjQI zWXGOZdV`v%!*9N=I|LFk-J;;5;K#e+mT!g!!^0Ig2URECH#M%l)eQ&W7jzL61B)*h%t;#rdFc z{7Xr3lZ>l!Cth-f?I}AVSyBA-U|5G|K97)_K!*4b$df-Iq-VoFgFa3=C&mvF$ag4? zP`~|kk*5af;DFdF^JHGK)rMse!87uYU$OQFLvkc^5s=}%`}ub4_X<1+8G?}q{R_B< zJ@Gl%v2jY+EKN{QlsWVffBCn-x3E47=8o1F$CkWdwPhyk-wd%2`(xoyKnwr} z85-0QzYi3wB9!gbSU19}=hi!*e zkz_<#36#!qe9)Maw^LyiCRj}}SktT^<%4ac=A6mxQ%@ygt>=A$=-|@qM&|u@V|CKhE(D7uqT()Oyq)1CQ{;@%;qLwU+D& zpLG&~%FiGNIv73}q=6;PM(CoVn45TZLJ0&h#u!!^D~xXqSxNIC!J#9)L5}N(evlku zYm$p0fl1CAnVKv)q@@J1F$j*@Z2n@r|9;CiHP}ML_#9@2P>})|hz1UXOnbX4FF6() zOn`deiRH~Duda0M--l{ms0?4XERc*4*^1!sRs$cKgh{7#}-|3tI~2(0nq zHoQn$yIb&sA0UNU_iiDXd2s-zAYKII0K`McM{ue>O`IbaB7l^M8Pd`36FFQc}L zH1MwoNRVUb%LPl=^332MeC~tY!r#hzCV^fgCzs=}I8Tu%AwSHop>LYn88!( zN-P3H*o#9&&yJjl2y~R<@~7eZf1tAyK0;3tsLq6N$eHsFMLW<&LBT_iFZSpoB%{)UihPaZ>mFGLK7Ji3-Ok^GVVf@)brn1%8J)>*dteWD-{rPL7%fjYmb z5calZ;lyPPL0M@l5+u`7wqE}79iFTRhxi+w7@u#O-dDaM+%w1}#K1vd z%6seM@wNtOYH`(PDFOaDa{UPpPjG>@9$^OyAyT+AZ+Lm^Obn;ShZ7N9FtOpG@HQ+4 zh~YQUU}91Z|LS6-K|^8Q47&RJB3dVv(J>pnP54@Rq7tWs4m5ltQ(sM9LaBrZ9|kmw z*>JJ8@(A)F;p&Rv!kgv&VsnKG1jke65lktHY1v>!JZ#&Uc~)@@azL|p4Z76U4j~8z zhsZ*D77Q4E#UdcV8+7l@i#7p6W-8!=l|z@-+}U)@XG;Q!#Qy{Zs`XBq@jP50-hDK} zUKf%^phB78jT(|e1wczl{;>anZ6M)CRVGNs4EQyN$jSxSGH$sDXA}5Exj5?qm`R?v zp{o^jG-~=95WvjP6Q9!W)9R)$@Bg0RXS2zYcdITW)3PGaFFybzg965~P=^9V(2tp_?cYi?SxNiQ~@?{y<1GCTH!$p17b6<`qHho&NY08j!+UigNmc>Bsk` z9Ypb^9~3LnglbSg;tSuptDlC6!QhHcj6v`~_22uCk82=YYIsCAnjIzZtH9aJub%Y% z@w9@#QG;k)apFEjQRvSPE_^X6%L)QWCVdoy4i6$sWi5Ct+$(k$waoA-s&7=7PQoed zvEvyQgNMeRm1GL6H3Fp}I}0D|h078YgZR8*ui5SIhou;cgAE^D4`!XRyFsLkkBcaI z0Cw^}|5-n@O<)|NfaGi@cA=Y~hObd|M% z_pZ|HH#1EiWH#+!JIgFbr_U%Z?Z4>E*qwQFw6MQr+SzkCr^hS}pF1y2Zyp_==ozLD zGMYBCo%xpDPDuQW^YfWA20JG&YZ)Axyt2$bKXZL*{%zaaZYz4DGxzz@^yf3L{$%Py zql3LuGtbRAH|u9YdYU+{B-EiF9tiOE*tYXA9k%Q>zSW|clITkkr zw0G4-bZ(g94+-VXuO%s=ftJ*8kpkA0R7CQQ>?c`jUG_&o2MWXhVKlPZD#uvY4buV? zVt>L5Kv1-mY79Mo(7i+5F_l&@!%&@sw)LJ{j!Z;t)!!-wns~*D_lfS%#RG!u7M!yD zTY#i*MV)*QMXo}*0ZJ{4(-p-a1;Q)704CqFnQ*(zw}R(9NRi0y$oSxO!`bGqxKwBy zID58Qpyit@S4GL`2m2tnQI7(9v^QSV1^dPH1`B-Ax)9)nt$$2dmk|>IF)&{N;G5mF zs9JQ4=NGMTM2*Ct-*H^UaEjM2L$=`4Qjm{+;#7ZmLN*=hZ$A2&8ub@9eSAPr`ZT#V ziD9F!0(OQu7;z=QeYV)XRVTUMq$P3Zhtf-i#$QS4aKq`$ z*?Fn%RSvX`zA}j!T(4pTgMNoYo8!^&^F%RP#o8J_HRzv_pt9iSurD*t?pf$VfRc@4 zjc*x?m&)`iF+0J{XvrPP76tKwwrB^12Y_IHan_Gu19RLTq&Xm@(eI9#DhT;Gz}lsf zjQL~8Kb%UrinKLRK0=Ty8osd50i*Mx)1D4RLX|s+MA!>#$sJyyMl{D9A+qdP!F6He zV{qVQArL)lH<9-n^Yp}^5vhahIqMfsT?<=1q81#MF(QyQ=mDmo--b&15tAo)qM8)8 zVvZ12&Ka|czUsHaR}3F$>meV0x$xSHk@QwRmIR*=8vH9NkLPODEO&s4jXwtW^?xfo z#`6P=f)s>e`u_ZMJOo1Hs54KXUES~xX`MShi3D?KvLWwyxjkP9O&61F{7F6`VHCY0 ze9-zl7ET4S=}#TM(iT5g2xq?7&J8O{oDfJXdf%D7e;W?SvS?&N!4ooUd72e; zm~||O9(Te%ZaC7pe)UR|eRX!+l?C(|P`Kd4FF--q^_{-#b0&9nwx-I=y`X=9Dd{k* zPUBPKs{i3Cn<~C>{(*DIfN)YW>%DDTI;b`CTBh}tX0OaNZOm-CpY2@ol~`6tcAXP_ z_g8>n=i@$Pdf7gbgiJ3#c4Ninw;z74@-7(xIBSs4U1q+pm(UBLh5YRGG61f zjHq&2fO|`{ADTUQ z{k5tVA!CT(%V_?uWmXkxEjkzrABM{!S)`(`e_Laonj5tNEIpb-gwupp8Ed~NQLz^X zx?NByk$DE4p8G`czk_;^o1~>?!*%HGS*y9_aLREN19xX4vEF>v$U-_QCJNADT*8@G zFn5g(G_K(Ke)0BSXKQP!>8Rz(_1Wv7@an)KWQSDNfIju)+=}>FhZD`i(;7iN8U}4+!*>47xmbIa!W&8J>{pjs0A9~QbtYrciZeaCVHCkL&a5lAc z1g$RnxWx?%;9z-K*L6k^7ROt^@xXCiv-es}HyYQv(N26=VRpxqYgO!m0}TgSF|^o}HS&9mMpL>DU}pd=q7G$}BNw(3Kc zw}Av_!BU*HHMo?pg%F?KRbd=HuvtP1J;I6sObC&cdfAeASbgS1m+}A3CJUB^GCDcARpA}1tU+O5$23kBO~C-Fy8tN z#3O;iqLCfcE=I+?05=C?yazd$BY@hB;bu4WeUv8qkbbUpwVnR`e$Yg zSAw-*qp-<0?$ZjP=u!W2a7n}sdBLE_zTv9mOZgjF&lnxm8Rej3;#;-v;9kaJi7{<| zaNd-Hv*j&&Jjw}h{#ddP$RY>r1N4OI(PTC1A{0GzMW{j^Dw=6XvdX5W#1Z#q(4T=7 zZ2wOUNpXV&YhK_OWEP!Ljpm8p;fnWLELN+w>W|r~&#tiQUIUc{)F06QM*iUzt8m1) zM@eo&!+A}?xjw^2DxaIbWMkDBLVB0|#uTfSFy#ngwI4eJ_ z>qfM0ZG{DCKYhX#F7@ArvjkLpuzd%H%AXYS{iX_15LNBKEu;=(0ElBMK1RNe^WC&H z*mjMCo!CF@VDqwfV~-|@A;?<3=WB!RewT!Z<#EuO&kspaG!P<9L6Y|M{erPd#}Pqp zBF2;RK&yOheTCc7`2thP(id)Z>#&5eZPP-01-Bf(O5C7P81zPi4o2?3SJ%Aw)R0Yu z*Hwa2m#>X7Gt;~jisEMegM2d$)@A&u$c*i9uNU&}TKFp!bFxBxMs}BF1OC)ve}Li= z>?+>>zD2FQ2qmwo145Mtt>uM}cVs-0-aaU%TKq6{Spsh2v#+ zbnyU3jCAgJK5$VX7#v{Tn9in4;nQgaZ;;9_SClsZ3)rP;#^TgYS z`*Q+QsqF9%I&CVfY_MDm?7uL!K=tC-l7gS^f^*{M!KSr%BxlSRq6pWQKt^pGo>E;i zyyQRT#$5zJ6O8VtP;L5}3iIGU7JO>$0J98S(W1%O0Juj-mz-2ITlMJd0Z@M`YAe8m zviSoCED(#|{sRbLU;Ufz+*m=uOzHq3(^*5GeoXam=d3++A zDsU8?YcR@{_RP;Xy*&6>fs>0~g%woetomdjyAN79hwo{rH0hZYw}#BqMyxhro_uEv zJ)iem7q3w_(DSm8um3;85{bnDk9;sm1frr&CSI$Yqf9v73C6y`N7O8o_ESE2{Z{|8 ziU(Cw-u`s8S(?Of_3byQD{`Krlf_{Mu&kJh3CN&g|LA9GHdlz~4Aw3>psGor@gIHu zVi!pgegmRLq_Nm~OPpJkq4G*T`ESQbMnVI`d6gS;L}F!+C>7X3B|9Nk!q31BzY|H4 zer43au%Nz?<6&-bIN&LFhrKDg*wkfoq;skEX)ILa);!N#_iR_r;WTF-+h{4mD~vs^ ze$4_}8RpapC|)gRj3s9=G2Y)4ego`=d96CPO>f1M8?DKX)}%CBGG|M|YOp6>Z%{R7 z!jVf3M^j#LS{Li6;wtUs7&VWl%iZB>JDle3;~K3+Sl#w``ZWs(s8y9b0L9~WyIfAz z;ovyC9i_~8JPt=*URs*l&2d&MPPoA*FCjx=8$uIBW5F}#q>@;Hp#>}t*qIC=MEgMJbPNUTjJzt zwR*DM7JD9Rc9=LLx;GWZ!+3&0szS`oe^e?FAy!Er4Mzp>K3Gi;NpXRQ^4{}hjd;x= zmV+2Lo_pN3av_+|%|VdBa|2V`&9zobt`VQ~xX)p~Df=mtDK%}agRL;FHW_h^bM3S~ zZOy*U(%^JTLbv7}P#YW=@l(mTv#>gFZeVNP_#GvPgc)>sD;z>N{Q6jpqsCfe!gYF| zW1n@OX`M6R)SA3ge^b(Ry42)$eMM3(S}DeO;tB>4#h3?6#+;J-0<<~32GPgT=@<7? zK?;E(^Pz&23@E%4g@!^yM1bf)YYl~lhyc-p)*1>85doqHtu+)HA_7DYT5BjYL|rnE?eB;29ft!JlE;fjCjT=n_G!abm(&S-WFNj^ zc5rC&$};=>%=M}Hw{35`t>}$TI0HQW`OK?7nflP^U@tl>;#|c0U*Q<>m1RBiGf$`H z*KcpDA0GnfoN~)O!KYQU+yDoIWsTp?6}%5u*t(PE#M^~n=j)ntoM+lf zGS3Z&cI*h%I|=88XbXM z_kPtP1-T_LzQ;O=jXVaA1nduD;66MkIt75a{m1fWXbGoZhsTn4IeGn0#9DlEjtepS|}KM!&pN5{>kD>L*$p$fy1k5kB)zelOaUqojOD z-PLo$-(^z&FDh;*^fROZH;5KJLCKG3=mUi&6cPXXWeg3Sj`Npt;2{A!8^5supCcqW zB@&AY0b4|j-f)8D8dcXr37}!b#*Ihc@%ljF#Qy|R;+C%Iy)D!FO0!pHnl@%O-OqL| zu^gQ~1MV@s=*-xid33b!%h4aAXfGEm4S#Z8N^KrBEo||C?vruuxjnjvwh#8sIWYlE z7i&%Ddw-qr=I(9~OUCikF(elYr)~*42j~~Q-;ck(1Y+{~Md+Eh0e=NgxM5b;Cx)De z`Mr!sH3j7nF$jf*2oHj0rzcPCsflnIjIO0%sRpFbZMRIBORIa1h#6PzL2C~YD+&#T zhKK;sgVvfa1)6b_->Hriqhq zwtDLuoffQOynF_(U!2G)2C_x-cprIO3$-cXMv=fpH1R`J zvdj~Igsa2x7mq)tcAX8Q=Au%8pA^=pD_PhNr^c&pScue) zJqdPLx^@ux3sA*_ru~kZ`8hm2=WBmeMPB?-q2p;ycKKi9d@vhy_;#r{qX(j)_61k? zs%6M*HX7f1Mmx*$1XwVYrR80y5}OT}>0f>Ksz3?F{RBlj;WuNrCZf6l`$eXl9~vu$ zr8N_BH-?$1TlBA}+Nl}jkm=FrbC)x&ogTIG!A7`y$b0*KH9M4q`yUx*^GjD|{Y2W` z@ylZPR)#xz{xR}5#~97tZQWn_t?nY1Hl26Peo$}Keo}P4rU!%7GU2su{;CgGD zt}a8j4~CXV;S~6pjf^kzKNJLv8bmvhUxRER!-RMG<5y@v3Rz2hIsH-duONVS5GhD3 zS`ZCI|7b}?_Q$Izyqp&8>vGf4Ff_~)OaBrXL1n(0%KOTaB!^O~Obf$`_h7jeQn6ZY zgnZKWO)PK>&DYY%F-_Q~&iwKzB04d60FV=XAr&=p$ZX08Tn{;}>!@O=Y4SlYt5HPA zBJH>3%NkrMG!z;l0z{9?w$|)aJJ$j?Ko@QJ2BVrz4e0G_28aa^gNKG?wNK5A7GfE4 zWh;Cp2Ih%DH65l@Wee$WzL8uar!jb-qEfSI1SbWW)2Sb6w(md#(*{#xiU9*+P+X6* z(8;OdHQul@gJGnXF*A%IIW|uyy0_YhNygxTi!M)V?##^K4vlP_sh#FtZW=eKax(l) zZ!EGbH_u4xNbiKdqy2^bRnr>Jts7f6<~%aJxqsT$sy)u3{$cpr(pAznP{ejzePrs9 z%%&E$v&3=~BJN7x4S(t*URZa*c_g*D-?XsGGX#Gh{UPZA{W8uqt8!hlo&T%o=GL0- zO5bzz(W8T%Qw4n?`!jU;C2& zitehlrVrEi9DDSbCQ;{C!CzfdTb&i9y6e$hPb@i#j1*Ap2H0|K@}KYo)iPM#*E5EY zo!T)3fm5OuX}Hf*O%H>oW#E)3n3&eWF2Ne#+Op6ZLo*`=t$wK^*`=xX|Br_rb!wUTEbW+a9%X4 zK@=K7-OyB4C#0d+`7-U8wWxfTFEnw1&Y3edQbu|J4@V3=;Yg;{#J3_!9Jjh}y{69`x6~xWD3ssop}aLy{MHv zFtOnwI9*@;M?3vVOPCT*9#*rG6E7S+_JW!fykbFvP&eD7#SRK~xbS9?sG47>KQNb= zr}oqf8;j;%cEGup>OcGhMM5hLF0HwPncdHpsD}>E$Zc^HR28v}>zdIYTRCkjx5wGg zzXkn`uQ?&20psc;8BLqm&bgLeciOJBU88r*dvy6=x%EWCYYkw-NdB<@fo*UMi`@Z5 z#Bvs^{}fnNmW41XaH`WS7uDASBV%-TBg2)d8F?@k>ukfhxtbUoU=M^j<*hBH+tufe zVZyBq{7PP)w)8n-YRKF$W1AMex+Wrzztkc!9o5rpv>Au{zY{fF)BpzE;Hu*9B1@BG zaFFgXkv>PE1}hITilT&3mc-sP)P0Nat)U$QHw*&J0GaUA^MI8jVum+L0yAR?+3_SuZ9k4>;|wm7-!8XPm0p= zm3V`A;mJ~c3>2KXG+3aG&Gs^#L<%uAC@Gq-Fn9}qG5z65Bnxj}0o1P%#UWju7l@3pXHFql}TCKu9c*Da#c zi+6g^@gX*jv)l1xnaAS*i@Mv*aaOAt^%AWf6vF0k#2W;Tq&igm46zm{_b{5PAAoTM z*kf#ZD^`74li|&l%-ND~hvsR8ytrfZL^A;=Z|Ff;O;*lKSV)+9fc3Q1H)(WUPC}V1 zQgmI5Z_{R%1iQ+ZGCcDip&0EBXt5mI*icjer-U=Dd7imlR=q9FkzSQrIj!6XlLsDG ze-DImJNpi+3T8?&559H%4Pj*#vjddyiU(tkB@+P+3t4Ka8=?tKK*OW9WVnpc>GD-@ zV+0~RIE5x06T#)Og~mh7vO*6ygK^VJg<&HGOEe*LHaf-06_MrWo^WYV3OhW9FxMSD zzBB{?;~9%d*8sECGd{>I(`ZT&sG=65?08 zrmise5re6R29Zy=aUx=#6?!HfG-zIdQ8mjDJU;;i_g10&FsKkCAsDXH4tR-i(j%Ci zkhv7#Zm}jOcma3K>xz6FYv?d{nmcQkI~MhDDGg2QTF{@bzs*<0deA|?fA-WZ@2blg zs5Rn~ey1Lpyt^d%m>D+8r|+)Y-G5(S|Dv9%lm>i6ur?9j_taH()nyOpJFf1ydgt}e zHQS5Ixq?c^1A6?&y;I(pm$EUvxd;B*stO0vUs>td3kUf=dd&S$Kew!^WA)Gx79G5` zq`#YZMQdQUn!1Xz=(Z$1it?z{WBc~u?u`HuOc>}i-T(ZlSC zO<$3et3wj1XP+ZW&$w$GHP#vv>N8uDcR~nTa(kRX3`tDMA`Q0Uqs{7xwH7J11|}am z(G)5z5Wc*IM?q~9Ki^E~o54E^r)@FR`MJysBS`Oh$j>tr$d5MRwKg>c6wEKewl9-MMw=-aEHw9%v0WyUiQidzU|o|F~sb$LfY7 z?3}7OUxH!~Q62ek3j9@_=%{+92h7=s6z=+R!KVKx=2 zJGx8A!NgJ;sHs6>u%M=fkXC4uD*4`_-m60V<9WTjX7_mn;vFA@@C8!ULt5BR;^ii! zG-*MF01|km&;+mudD0FVEzASrArzWS$n(cO3{6W4$#Oiei3tL_3WLH<$t@2N`x7}&GwgC?n3IbRYqLvE z)4PNcYAazP9+oawpfR~Y4T`X_K{+YBOL*?mdz8l@htzCcj;80YUcNACA;f7Xo|5Z@ zav#lV5QRn_bUI@oj|;d`XucF^w4cwX`x?H=eGRgDLm@W-Rw$hXwIS0AJ31$t+CXfl z3O(pn0wPcp8VU^&0ip-3H4_FJY#-RE@j_(Y`0(og?b7bd>4Y>s57I<+U_+t!u3*OS5l?-o&WY&;` zcWSxNWT7)gPw`T^eI6IF%18CEtwIcVZ=VKJma0FXz+bkTBlz0{_Hp|-xO9fA*;rEu zm)bJS&g9pp+@xpPlV6*1=cGkfpn1@Jo_zxls>V|@pek5y5_9j}lT{arrrM;cGvaVo zjLgZ!nw+{R%+I9FM9p8t=ZRtuifuBbsUQMTeSc9&fKQ%|-^5~|&`@ZI2oODJt)b8m z5g>Y8rnTn6m1C1y+WN2Qf9G7|D@9!uhc9?9dB5-djrU#eX73WuA!pSil^r+to-hww zy=m&9$tS;g;VLmg6N<1xZG?l)swWy6XWV?;dDSeG_JiKr+g`iFpZH2heC@a;PR!oS z{=ONNKYHFe>%`z;$oRp@8S59^v0(FpC9ZBu`n-(U*A%Wb_FlbVYWL)~zj@}Wi<7qH z6kpTx*7}AQ3fg8BTv%{v!S@&ZX2HAu2&oX^gz5-0dtS5Ln)*U+!3i@;dw*xa`ZHIG zX`e=Er;J65CJ=9+zoziva;*Pznu&hKK;sgVq`f z4G{sNM*`LwdsdPuXre4TODCNvi_aU>_1oVk!VrT8Mr^!zWb!}L=q8!equUDxmarFc zPLEg`E;+w9y?Ohztu1?;XWB|K&kc`m=_+dj?_H(YZ)Tc4$ZXodc9vO=PM=X++JDiR zu{-nVXkmZLw6o`OPLEj{K6hT4-aI-!(KAdRWHfDNJM%5Qosjq$=jStL40cXl)-pIW zd1aY>e&+hr{M)v--B$EQXYTW*>Cb0g{mImaMhAPRW}cgKZY1Zz$Ci7BKW<+#TG8FI z)^xtt`03E2Ukr9mT{h-(KI~dq)-ylzbZUP6_O|-*A#gV5!fDGrLm#&<9<6wxCGCaM zDd&e;Zul+CM!T)9Y^TwY#+mIV|Fx{yd8Ra{o8>H4BRY{V%af-~For2RlwcEwF*GSs z&;;z9NUSP$r%T?5P*G?oG(-f59<Jxhp5DAV%1LVlqkFG;fCI5w5G|Je^42RW->|DWX4W24ZpP1()c4z z#z`{`7?aUrXAWyRWHj2h%l#7WaksaRX7BpBe{T1E-}n9ayzjpEzQ5OO+_ENJ&HMye zi+2`&bChQ-2A^~$^k($FfW?)H|L!@TX}~_ITU(0NMg{LX-WtC|+HbeUeGj^NqvgLM z>0aMEEbyRbT;syXfFe1w-hl&(Q8WZ>NTw({R_SRIn#j?h2^9zpkZOjYk?jZt8g4+4 z##Csi&=_uV>0i&yso&zbPiT$<>HmtR-{QDWXpRHv|Jr~w`Hqck3tPM5`6;={9TO|n z(3NTDOS6jYyOV`|*$t@+s`b#pyz`N*n2yDM{_^S7LDpxCi=^pBZ~v*Dh94_~URslO z|J8Nhsulx{%WQ5c4VVmYb8~WW%YaEpMiyKh-~GsU&ked~=Qm~+CcCovD|1UbE~^Tb z%Uz|d#Vxy&rG2>#=?fB-n*4Hke`G5Lf>&Phm%*hfm5RkeHlNSsIy$ON2+HMrK9fl% zOQl>cov!Y9bGaPNYC#i%7f&18W8>G+Yc5uuK=QdKB5Iw}a6?oz;4ofM6!t_|%~wys zb@dq$lwSY*Z=>s6+W*`c;im{~MtB4Rqcz&#OI=r&J3pISa!uRJPsS^KJU8zwZ~Jy` z*<)?9AB|5-cCOyPWYvb5E9XzD_NFaw+qr+)jt#RPnSaiq!l{keRzd6C-zyJ{Z_O3b z*~GCv#`$8VBiU9Ou&BH_U2ZF-Ix^WrzBL!G^gZ6(GNRRjz4pZX(ob3jUbqo{j__rv zw@-B}>VlSok1yKL-&ikJ?#Q32_ItThFw z+>2^+W&1(D{~68i{Y4a`w?_DrsT^6If05OFMe&?R6M8k#pa~TS4UlTkgbIWPNHu6e z1wsR)8Z@B-p#f42noxnz0I3E|s6c3dRD&i|AT&U#K@%zv8X(o62^9zpkZRC`3WNqo zHE2QwLIb24G@$~a0a6W`P=U|@sRm7`KxlwegCYrg8^H8fqmVz`A4WX85LSSMsG^dOvQSGo0+jaEE!4EU) zZWqUf`>ub$?#0#q6R}v=qFYYgK^n2!h}|`G44Rti9RNHQd+#R=F=Th8cU$n+eKh!$ z)c@y+A8J@9&9Pf(V}0z}aa{$vp2=>5`$lj;-Cuckh~MEbf`jdJeeA|! zIf5Yv;)z911~X09{TKYwX6+1qBgHzml&F+VW{>=P1VxiUQQ&7UxHjlv8{H@~!NFDg z>sIF<>S&6`e*5@{&o`K7yZ4D5i|zQu>9Jyj`J~`LgBiqoM%M|(Ch7kE{-_T0tkFJk zP-748{q^3di3S_qd(QmN1?f4ODS-y-WnUdcsQA9@O2jh<)p-XE-fla87)c#SaF>a{ z@MrUbv6SlntE&p6I&e_+7~+ZN=Pmka{f9GVOSXbnuySUkFJZm;n(DySFWeqQ-X^-& z%ev02H^o%W?1lKHsVFv99VV+S7 zr1f92SobZj4}c%>$2yx@^zPR)tXJZM|6`S=uG?TmDJW-q?pG02xz_&!?<;pHpKt|- zzo1&QeqjD*BDo1x+DIhs?x<9^*ZWtgly0;KMZM=#iQj0ytw>&cdK}MDLGE}pMfXY+;lpW))7yrBd zmXXz$$2)Gl^R(yA8h5xo|8{)iuglk7-gRyJfotRUUV8AIreB@;Kd0ZfsO7n{N>5!{ z{K53X=kGiE=lhOcxM$O(L$96oqv>nkU3+);(JkGZvgO0=``?bg_UrPR%e&T`n&{IC zpTGC$_4|&_+_ULmu=8mLzccUbJGNZDU{m53_k3?r>51uE@4vhBI4hHHCk_=OL?>e#AJId+cGwUip%thS|N_K{W_!(eNp8BC?RtkGy9 z88o5V8uG{kUyP#7V63+RVklt^0g;t)M9Ngd352d1X*#N_II`0JVAq@{BYF`m&nS~dwal)g=m?8AhhFfu;%+{>aUj;ub|PuUxNgt?A#KAKsQt4-U> zePpLbXO?aMN6uS@>s@|W+q{CAYC7&2pXY1b3e z?!Eur(k(}G=T&k>m3+s0PX!%LM|HlVo|?#NtKusc%~ad*zTf1DUm|_bow)hhmPD5W z8N0>!YCDEbQ_-cPq4zcP8e{Y)HuQ-Py#|_4BQ*d2X!_p5tFJG?RPE8c;;ZdY+i?o2 zOVoA@c87A`9!#aW3=Nu4fzSY{X4KF`T8yu@BlNmg0(vWjPP)=C0~_WiRxONP71dCA zDm#X_{wh0EcJ#KfQrR)NrE}bp=9$5Walt$w+lQz+X~0HS>N!6DklIAl00lMqNj!&#(i6G&ahcSn1g@$}=6qPOB$bF|uaF zy0{Lm99>>x@a@;Obc|-hYC{-&Z8U?s1WOx@rdrpr?bLKnJyy2=vyEfD3Z$c>t*y1S zP{?Lesp^=1GcuWUx~(l0PbL$I*4FCWZ^dFHtF3IUj3$4iln+f|H3U=>W8d5j)uC1T z=bUJ+P8rcZ2yBhPvDnW)^}!mBC2ZB`Zu(=q9%XxO`wiO{bSIN54y?Fg#ex-;%eSrN z*)wh$|FP5VD>OZQ#`WVbJgqXp+V=AH15vIzvpLp1^-E#bua@Nz-W==gj8o}Aa?9UD zk$~l(Lz4g+G@$~a0o7DfPp_KFmV>6L2QIoQbRRVYG+MTAY)x6ycOil)wx%wKWd5)* zde@1x)lwgO9Umflnn!YG)mdhy9-3IJ^wh2Q?lC4?EmbacbZ^^rB%Hpek)@z0O4QKw zU6m}4isL^VqcLO6@h&xg4+TVCH^~ED^c3ymR$&;H_w;tXbfBVo^-+TU^ z-gzt+?U_6MmC5I?xpT{!Q?r3r+ut~0!kSHg^iL+NsX5IK5@9So?X$h-x8~QCiQ($~ z8&gk_UYqV~K2xttCH`1SHoYEWG>aO=Yk0%a1oOo1thcne+PHJ~1HXuLRE*xlRL}qD zeq2#9jmdOW&t>X~8$2c&ZW4k9Y|bAfpHYzOn2{ORHlwL9VO*-ln%5!fZG)2UAO1%a zBcqLlY)5hGT?gN4fA!7O4M%S4y!g)FQMO{pjLoHc=1zNM^4v8Wx2#E5b4F(zKJ?DQ zZ;tYOM|oSz$ZvWRs;w&i?P}E>Ll`#P3)2wCJDlL)))PskKtq<}M{;JWJBFE#yh{!a zHKvLjdQY*@>gs!stDgVSWzp(6ik`SqO(^0qno*GPIAxim*!jPWV*Kv_Qguh+uHsdy zJ3@bKg9f-c4a!nkIQnUNYZV5ZV)tWj4EQ$Uk0W`&HrCM$a_ZiM20=Nt2+hf8>MF<2 z^=!F10qZ3nFW0rPU|SO^lN(nnPhNPn5$aic&u{tDExS zd?A}jrsAbsEFFx^mXBqU#g0<0tu>t}WMip#tQhNP+nkA)ORb4wHrbR*#}b=cf^8L( zrH-~-y0s7swiOFXH&(AjdfTMb+C(Z1K1s%#NVmWbwE)4}W0z`wm@m^uMy5cxt)sN#8ng zY3)nuO~uFV;}gdH<4h0V*SG_{Yf#40Z-OxZg5(ZQolITmeQ z>ENE0o5$U^u=(;Sx2|tFt7Ao`{B$*1Ccd;~Zr84*-R1UM_cfinH5Y_!ydqf+Hu*?q zZ6(^A+*@65UG}wAsq@+TY4fb6l^wHp7C(_a=fSp}e{%n-)Q4AQrzX&yKIg+O~Sbvx7%lv422VXUo(5@tR8m!rE4pS6tb*yS8j8*SWL0zei@x zXs$#%ulUF5M@~#swJ(Y6o_tY!&eA>evdL5~zI6Nzr(IM?7v`6e*OeBwUwKJ<&iE-4 zOY!yj_%q{g*s^hAzBO}MdEV0Uf<5~t?q0vE5^HN>-OXz+Di4e{B?wAYf~NEYCCc+l zAF0NQ&zZbGl`ZuK%_;;zT_0K673?gCRhZJ6ORnF)_U5&-%5C{VW^YUTOZ$%g*X6lu zI&N#bI&oP%=zZOpD7F?lOOIskO)OiNZhP$d>@^+hTbImBq*7-mgTRLhtMi#{ujC(2 zENxr=>B$>rre{@SK2W$ipW1nLc|g=98`3?25A_D_%WPYp@2JGgEnS(pr*%m@(b^kW z>IuB5_*f9L^R~>@iEQfOayHm(IiK%(B(pWW;nlepe{z3z{fFDOq?)q1FKkGE^oG{6 z+Y3#(?1uLJTP7aJuFuR*wtls=^}%PBK5*Tv`{%VL7bkKT6)#!1drEq1CDs)i^Iy1m zkZ5hm*3!T9hh>xb0r8p(1Hzh1g?;_IYnzb&Mpt!r>)SGmlldvR+lp^J`=j^#5gY;T4h7(@R~h2(Xv51wt@{ zLoEsH8f%H9NMJ1xM$YhZwk<7)p|qCw$-Jg4cpn#n{6hHQQjit|><7|s2K2BL{IJl| zKJbASY}4^YRGr9*jQZ_!>i*1$!F-wVMPy`DW>sd@IpFKlUR(_^~HXemwl#C&N$v`sY5^I999bTPf5hp1=8XAN!G?{L%m9V{iXx^-A@C zsQ>)${@Q1M?Q_5Mi`8q@n$$o4^Xkt(Qf;UP3G^S*_;Zqn)o=XEZv#n^{@Je+TUGG{ zSXHYJYptq2jNGeg^W3eaPoN(Z4M7_QP7syKVBPtV?locpTU<#uKmBF+tqq zeV)2R=YZC#^eWqanx@#Ry?&p~-%m5Yt1;7tUu1RLnz^d_LuRkmS#9&Lc3j%*BG$3r z+w}L+?z2-n{RK8{Pfsc@FXif5+b_Jrtv^!5Q>ndus$;o6 z>LFWS#LBDr6T~e>U!>W3dYL4ZlY7ld_YiBj6HEi3WA%23Kd!1~6-_H$^O{XP`iI<<*1Y%YmF~G*eC^@WwYJ6o1=hYy=+&Oo5!cyznys-C>1wlTxK`*s zuA16AOf=A}{rw}7<)FKPSL>J{^tRbuq*|-e?jn81shz~{ zkkvU{?rOhx(xk5Cv)#R`a#i(WcdzEvs<>N4ceUSptK=?s);xA!{TClfiXZ)pGF|Xp z^F20g>3>mkZTwarR;~)odiuw^+VfqF)>D;TUfzhfv41Z~>yvw4&7UA?vAZ-+w5Av7 z>F%Z{ul?*JZu{kGUDqCcQpZEW0-F^!Dn+&CUM;ybT}9XRdiv;TQ=|TNYiv_{R9`r) zE<7b%@YJQVXFF@G(vI8Z+W0+)dH%cAcC}kso7$^q?WIw60c2f>AG_IoLbC^~^O~-w ztqG#o<1bQOS>v0{n%C6U|Ex$ezjw`zdavfys&W;j*xt%obIt(ey=ndR-{g9H@?qtw z)Nr~RG=8l+?q)rG_ft*nqnoCN^)!U9rsc%4Xl2z2*C#5;FL@;St9Ijk@x(W@!WH^VngNF%oQ^9d~vUn_UbJ&EYi_y1K;!&os`O0*t;PHVMKj~& zadRqxT_Yoap1pQ;@1lXVIfl%!td@)QX{86{S+f{@~}o0vEsA-o`i9IB*t>$~*47w6>$DqJ7ZG9>k(t=9#kk-Kk#hW1TzD^9EO@ zCuEJUvN~1w*jGF7HEFzo?4$2yk>5wGs*1f~f@^KIs-2NnVZ|a5|Vh zU*l-44rM%d9ULn(0xz*mkG~JBZ-rwv;%QfZV^{MlcW(Ng_{VuC*ygeB#ve@LM;cBu z{*R-x9XeObKp~dgY-YVVajw_ZQyXyYUNbK?@7Inmc8{H>Kb4xs`Q?1NV;k$P^keVL z%T_L*FWX;r>W=J)B+}-cSh}6mH-pKU)++6uLbYK`r?qQz!d2Af6Y6~PY9Z}8r&ZVm z++@R*(L4L>%KF8?tw<9&c(d3T@vU_o=QO>wW3$S|MW&eQ$rD|#jOnNzN8mUD#}PP= zz)c;2j_u=eeM1sHCek?(9aH!5&ISt`?sOsvgQ)}ERlT?XNU;r}{(3Iq)3&5wf%^IvD0h|J~8B>=wXVUVB zw`&A8Re09>f}SJzoCPC=_Ry zkOc-X3eaXuU9`6=66c7wU<9@=`Ev?hJ#N8q-n|sJ-z96^+-HO0x-W;QwQHqjst4BM zfH*S&O+9HPQY>!$R*9$2ai+%RtxecV0-Whe+<0I-5OX;};SC-``DL7%#XX|%0DLjB zLYCM{G;ng{bO)zy;m5j*a;T4ti~wI)GY6wNL1#8UHv2$~K*CWfKqDDg)LJW{v~Vzh z+rjbMx@<6HSTH^_o(-f~K5MC=s64>b-J);nf}U{AnD9F20UXk@-_|ubJwZi5ZcY-C z^gvo&p-_NU$g@gbddE*7&0`482)_C}kdyKXk!Av#7E&gnDLJ(h!^u^#MOY7Lm|(hU z1GGZQM8xGj3J>IuD|BwSR)o!n5He+c6|+=G^V`$HAjD?VVR#wZO=L>I=C{rrZDQ;& zSb9bx>ltlqx6}3fH~76qvK{ph{=NDZt^9 z{(l=44~V{(ht&?o))2XnA`wk#M2*5FI@(vUgLpvn>-4|LkeE`6M8xF^ON|FaN9tDR zhHGV9W!6#aFHxgeC?r<>3xIriTQqw)!b@aQ#FPGI{4|Y>Ha(FfpOmCfJQQqX=gNPT_dkE3N;&0dH|lp z#Ga%|gaS2(=`{(KPc1u7Hz>|~{wE1m53^6Z&+Xebqu#1%U)qE$Ca|f({aoL%x&JTDj*B!d~PGqS( zE~F!~ga|pXK9tw6mvjWF0F5Md0eBJo9U-ueL$ioBh`Q;l~?*Ow+)n3!q2ku}jbmU<-B9m9Y zDlr3!76ehjlf*Va^Tc!|E(4pBogj|EE!}7VCJ(@OOt+YFJb|K6o2F%I67N^@&hxtF zFuf*m2F^GC0?1R4y6K+D1oQwri8Dc`97oIx;C6vNuB(fMAL}j-Id#q_&F9xLu1+Ec z$YaD~-98gJdn(7^UBm7db-ZRs8|NBs4+t-c5u5@vlGFv@NlffXszk`qOC-W!EWnq9 z<9Hxun{~}*g_nq!0}2nolav#5CWeSY0UC*yBy(aeqY1x5b};)=Z44+q08e6KPf{g9 zj$R@ruYOg6B@``4qJoE*G=X$`H%giC4aoKPclRGSFcdus7z^RHzJtQ#T`#EdGMkG-BIcB%Na- zQ-}GRQyU!0|86J3%eaCJV&~2+X0x$&415B=gDo_O+0a!Lvxz-Pl?VkUFf##7NqDvQ zfUS@09XYclBv1;_@;i{&R-uvT+;9!l1;DiwpO)xMN*gntLKc|7?BE<4MCZ!X#B5?u zQYAux3Cv7DQ<8NTu=TZNr|xMzb=>z6ZqW$fb&Ba0<$FxE9)VCLUIgjB7K{Qk60?-4 z%GLx_faXbit!p0Zbn!Jc36W6D1T^)eorqoB`mGW#qVNDb{S{*3Vh5;rV!9HSH3|>J z98f4gt0kaRfJUNoWom3qKm}-?v=U969J!eZQ&mDF6f*%$J!vK4{~Ev3MdC#i9)PFE zx`aXjnkS|gap8gSK+FM!0<@zXN8mUDOOL>Xbm%-a3@&Q!bzkJ4z$ie2m=#!6F`L+v zREbbv0y7iPl*C)lm=m+zK5Nz%34{VPe;JN!5hy39`RQ|Mp%%IUnVLj1S3H%-pn1zMb7BrC6i8`)`m7|^$O6&1GBvg)paL{cT2q&r zY|Q4Vs)R@=W&)ae(n_Qlz<#U5izqw*zw~3>hHp=}=OY|P;5Y({j=)mS2HWPS%nGck zIP@=H{nn-nQzqy$0ZmDGwfBInOMhF(ogTlf8<^92l)PDEj_nJ7TUY0Fg;IeOTn2nM z=mFVCUTFh!1~w@({e<;zsRMaj!Ak*Jm!#BXnZ#q33fb4|?TWxXgqui@e_;xtpjyNUFZnIXRc0h| zke3v?$>ro5xpJNLyPHV)Ho3=iec}x9K;~eJn*{}TVrazPgF%6mM2m~}W;^D{PA}oo zu+wYkuaGhkO=(1p;?Y~Vy!R6_N9O1Bzsa>o0z{dJxV??S1ET3IYUKn;GY2=k**S)3 z6u%11Hrdzf?QGmW-A$ygfwirpWm^W|C46QAnif(fV)E)&w;Uc?-LQT>)AlWg_B2SC;># zLb+osCTJIUjhce+0fh(Pi*JO4ERk}}KT)e4%yXowV&{>V33U%eVG^07N-&(yl?QcS zCgGYb3ez*&6VLP%{QGiC0x&ScETQlKJjA3aWPzqBN@JOED;+W^fI)3dk?Tqe#01&-r&a1bu> zd3lh~*B*4cYzCW;uH`=R5ZyYTG>2=MIwz3>9PjyX%>5;@s+!j+y4P?(E*^j%@mR-8 zVa1cBAZ@E@>w{S?`qjFJtZ)<2lt$Dfm|5FZt@|>0Wf{~}HxafapanGFlz1DcB)GQ-DU2x&Sv5qZ#HXbl%to3*aHd=z>}EM zOUQ%ack_|9!|!j!Xvgim%>QfCrA1n-0hgi#38=o-*8WCbO`smorrrI5;2Y*fG_q`Axms+?TL3=a_YSA zkN^S2Oh8jlT8Z@Ba(I3c&)h(&%I2+2*h>PO=|J4fZHTI3b|$F)%Ez(zvlb;1Fth=h zC#^&Sr$ladaO%!yjYuS^*l# zz@pY#38jUD0o)Fn$GQmC1Mnm!_9Rsz6d1tF1T-ZvOPQ){y>GK-wuAsm0b23Nl-WkJ zxk>CE83Cyc&`1t2bTnHr2~ZLIU`$kgiY0!jsFo|vA+#jL=pin)9? zXny)!TBt=tD-XcuIR@4{z)f^$8|8>Ip(78w5t+RDRf%aPOx3zelkmty#7*bQ)Wlp) z&_!4HBY)#Z;A=NN!()Q}2z>2~`2FonOpVQ3o3NJzIFpFD@xXW>W_N%@ti3U!N zobKS%E&Od=Gt&G^1O*vdz8myFTD^)wp$V0jaa~SYgzdyanVOreXM7G%L6iB(G|KFznT>xLD zvlcLCfkw=En;gA~YvA>ECiW!N=D>QHh{>y8r5KNCdEHRy0nw2bRFfumY@tjPmSdCl&@Wp->V7J2B2z*SyreLV{fnC!iJYdsL@!}fsHy;> z=RI*`P1p?;(4Y{Se>z)pAO-FC2t1Hagf#5*8u}}wOvL2XugYx*uB?ui6h`!Das^dL znTWV}?YT2Mv5H_Lk06ZN-8=!fDjw^-QSR-7}kj9)KrtCrC^S;dY^ZuA`ggJCWBzf=-K{8FAI4q75gjN%BS~EVp2WnSq)LPWBbb?hrX&NayvGnHJotd z9)Qm;-^h9gm~E=|nzlZ02V>~{x>6$GHzB(1yo`4>JCn+cB_^(CE$QPyJ+ zv2H)3Ow;y)Pl5e}vr)E|pfiP6zLGyI<0Nu`4g+6vy@B_c2wtZMaj)S7iwEHI%Qv!K zKwySDP^i78tq&2c#sYlX5?Iw7rq?7M$MHbSHp80D3T|7O?!~@B;enXT32L4V+-L!< zJOJM@-C`1y3))}*y(F2-nwoUSs(A`oG`kU*y!us%GjP877eJm)_lP98c>tcooggtC z06))1+77&JtIKF!A_wSs_#?%I5_$P!Tk)CFj&t5tm(jdL4$$-Pw{;68^76;_nAf0} zkMq(Ij)_bi!f&72;86Z|I+1`;Q-DU&xpT8M0TrNm(%#ye$GXm24hfM^D7@QN)!^0O z>q$G2?4#om28kC@cmSUMd?InN15`XQ{fUdY4N+Ch0fhpz`YRtLxdsc#{--W+N)&q9 z)FouohD}?|tnl^3jMyYq;zbl5fTw>QETK?<=85T9TzFtS5OYAG0Oc9S0e{OR-Lic5 zp6>B=ihCc@r2?Mvnpix=OZNkdntRbOhtq1iayjYQhj{2#hw>P~e2 znl4P4pih95Iio2FPZPmb3ma$ zO7qiaCAmfx$Z_gu5V8|4Vo*E)Pm2-XkkG>$jJWdKi4(vznbzgLy!0ccP4bs0B+q-PjRlh176qvxw1T-b# z>(v9cF8o;6{PbC8Ej!r{Fm>mAkOX&d>Xv-0qY*r7hVlbZ1{@^=Do42)Bf!hpLa8*L z_$<_2e>VYU1!yGwi&}z<0yIzB`_jB`>kPGs6{D)Ax;55O<}Z5?--&swM% z6#JjL)La|`+h{`fGXYIKIrP*m{B2!xh{fCFFtl4(JpfOyiE0CL79M(UlfxOoSDy#+ z2y9|cQf&^bmx=I0(O8h1Oa8%^2lcsc(j1uxyCn>Y2Snq>v6vuk<-#eSqpoQR$=9uE zkdh&cI`;K;rdhx0CDPx*SO;EGxY-#0E_FsCCo|e~bw8Sq*^|cTMu%{`yNQ%l^d~Of6~dgD-3ju7 zAB; zjqe0qkTzvB;oBIYDnKJiT>zfM#Ga%|gaRX&nSiDw<}6cH%r@(qwM7J>0L{Nb0$T*i z3A*@nW?rB={8yg~B(c9PLLTaVt{lF;@XS@ifAx9rV}5d=9uhAN2MX?mJ!3Kg6`+ym zf?#T5F0WzX+i13_+89uJ0G`Ceo}@~I0!<>5R0)<)cmN(^(iE~l)3ewIw$TVL1yY)S z7}<@M$e}0G2(tn-Ps}z|yG+Y8qSaV{uO}vvNvgyPC_Dg9659aH6VtP}tWbC$=5m4} z5209(cpQP_2rM}Q?ll~cfd}C4%wydOJ5&H*ucC#iu2EH07`?v^+u+$Lv5}x$)f!8y zY&xjBVp{@w0G`C1AYNd-XzmkTw!r$)q$kCQssc2U)CJ&4OzcUjL?|$VDdE)N3O_U@ z@wOu7#B8&!SzAO93ec)5bOCr0GeL={r{I^9JN8&t4;-Ia@?)FjhVtxyQn?yR)(B80WRf2IG55!z#-O#&|+qOGsY||c=s8#c9V2(rLaYr7AIigU2)|SYs z*`{ijX_<1Qu~7Gr72MKpMD4sbZPhwUuz6zz-8i2P&@b@wXdI* z!4h9SC4W%HOJq!k*&W#!_wAt5+(ZTg@7v*&&y;r5a2-?UCDKl7FWUKFl!X!*%wy2u zk{5RpML6AA@r)fr;~DnKLAxiU2|oADH~zyM|k z&0}2z>j8KY6T4L7y5#C+5~09A%o?ECjIN;-$w?Q0PivbsSVsal1!%=bXv0&NHfJ(` z(*Dk4xGP7vxy7$jyeo4s`#1uxgvBS~5-+0g0DOMQ2i7~FwXP*za>&!Hz^Y1=fMO<~ zsVA*OMl8v>i^S7a%+%PtwF!GkfHR4R8xM>JVm6;(2+8PLB1|5DFGg0#5?hG|PL7;( zu1rk=1Qa`vzr)z6YH(|4>Pah+VgUQC63D*?38SGhK<>2?`Iy>`suFj(8k_ z;|MG@0%zP&0xCcwF-w`MVs@{4F=ZG~SAa$my8t|ii9Jb`2n7Z(GXYIWy!DJZG25(b z))oPT0<;;=2G!H2+%N$ZppocYnVOi*cnVoy0HXknBz6IK5)*rpDiI0{U}gfElJGQn zAZD9&&DtV>P=GdL>YA^7r1=qV(+F(&g$}nV<72Ke0^9H6rO5t#NQ@DM2jKHdKCs>a zt+g)kYD1o81y)s}1Qas?O+9HPGI2?kc=|A4YHZ%xguNudnMA~m2gU<2m(K>xPoGN* zwTNit0r+BMg)Fg^XyD|?N$1McBtSqh6VTL?RwBg!_FE;MnJ`t^yzpb)g?Efc?l=O+ z5jgw^By^zwjl?Wvs*2exF+vs?!0ce&w{>=RFg+U;9Xb-g%>*T2?c2JZy(&9`yc(d)1T-b_W{o)*)3V>zp-aeRKK~}%k>fKG` zJ&daYDfFhRCpbeqfHSRm*y|LSv+$rwXT&MM;gbG;8x;?Trk8MO*y%MyE~H3AQyNjD zaEXq#?x_{H1ga78_bw+9mCF$x7C+Zg3+ZN2WV3h?10@IY?k zH0<;m!dr<&BDjjOy!uu0M8uw#6!O_vFKDhaAmn~DkK&j#RP$5LBmQx$Ws&^ zh?%oh5VF7sMgba0>H_d28g_b3A`}?G%mg$g!ECz#p2XxTWPuTk0yMd;mXkWPRcItS zH(V1E7~24iWFXVdXwteytl`U^Q&x{hv|??jgB`$9T0ONfvI>qB`Bdr3!-3eZSW7l0=*u_vh#p}+`c zCZH+Fz$$OEmPQ3eFbdH8eJQX-pqQZMA4ZO_D?lR|$h3$itqhudskR1`9)Kq?u_vh# zp+J+!BvpbX6dr(wm^6hf(DW?!fy*SqO99#$;3&rtIF7)wBY^AIy@nI=@Bn;%`9{_| zz-&{s*R=J4I~WTcdDxA})$J z?&6SB=X}z9el6qbByxZ}Mm*N-Gm++XirzKseo@D3h8(wZ4Yvn`7sUup0UAl_0`Mdz z_9Rsz59OTuwH5VOs?X0yUeM9cw&2jEG{2|5!)M4fE`-Y&KRQ3rt{ku!ROO8@j4uHnAtE5~08Z zW+tF139t4Zu=SC>BWJdR1WEx~eg_iUDl`(E8?J%60JxUo(-NIYX=BDy$O03X9h^gh z=vu-_{2A_@<{Fa21z;oB4L`3T1m zIF7)gBe2x7!L~UnU3^VV9Qv29erwZ(DHHUWfTkq8+Izs(rN6D?PCt0x)}7jq8xsbi z6JR9qW{o+vF8pmB_vyV&4%Y(T4SFE0UO}NCWE(dp(c&`jdOHm}y*4rtO=(1pVh+5d@Hx4dTt_A%Zf~RTfM|M)S~)?|%)yO3 zjysk~6u%11w%FI}?QGmW-A$ygfwirpWm1hxp26LgVTkJaM{97kZm5s2tZ z0UC)e2&N|H@){Ptjpbb}?4b+5lbG0(REbcaNu;f=+G=~(VjIOvh}l5e)xe6FG#lEK zrYo@yY)%ng3eakCqa_$U0G|hntarc~yh80YEz^irV*$RNXb#hB5-*_e06a--12j)e z_u^tM4pqhMOi}GwKq}DxTg`0?`G@>TK23Gm@2ATE0 ztGbD>H32;UPf||Mg%~Q6y|4qBmeJ~9O~DQkngTSE)CJ&4OzcUjM99%gB*I}Vz?a0F zWvYtVW?i#c;UyyGfWiatB<=)>=>YiMd}!^!`&%*EaeFl{k=_RLjKd!(E|kc;^s`n; z&XkOy>UDfu=O)rlYad$TOR6u@LW%UHFw*wPk$wkvJ0>!5h_CoJ+!Gre!hK(fq?b)@ zUx~ExFgGM(izqw*U+k$umZ*C<)e`TvP5VlJNz znx8(G7HSdE$^-Cuj)C5%}6I%=wryM&N5_ zJPrw{0F7i|QS(z^gvp@ibA0Ym6vf{T|rkMCDQ*1Q|JNFm}?C? zy++LoDHFMZ&sZQ=Bz&L#ccH#2Os?>@QYIoETNED9Fu|mA!!=M{vf_)S%Q}{io?{3e ztK8Vv+i8p8S{!!i_eiPVzUF15-v`z>>|#CQj5apLbp8G5O%BuI?k2LuUZ+6HCH?<4 z{qF+!DxI}}ISVvm&fDbZOE@J|3b{Vmcs+-7^zymKSOmYi29C1b> zr>QUOC5#GH6+ra7CyuNMyP*Oa6hiY)XKN0mpdBB92l9!KhMitRe}$BZn7sN`xedXU z)$x+Th#pO@pb9Ay5tnH%Da^udP*)I3Lg4{;I)*kt^MrEEpW(eWD&N zh3koYem&hT(rfdb$ReFlCl}I@cMZE+QMNveyM`k=P=H2~x&S;2|bWAqzA;i+x}ljqp-{_9wbPLp`|ghkys~H_d2CiWy%A`}?G^ulSU6-`NKKZ*eJ*c8D) znl#r^6SBYvMgf|?F9o&;=-hBkEfG}(Xe4ElH7DlIXkxCh++Se`T>zfM#Ga%|gaS<> zlT-2QHHcF9m36BLwP8$nmjmKch_3_JU7={e-howw9nXg_o3) zKP=-Ua)1s4Uvs^I_n8P@rwDPc;RK5Z;PcBjvR*)7hC5KGy{4@X5v|4oeA^OO)f}eR zBp%1{K+HD7n#~GsTbb^~zCz)Fn9B)jo(S0`gkvI8hw$5{HaL|3 zolYcR)D)nRbne`2O+W=`p0v02=CQ8xmP0}$6f*%$J!vPRA03aWNxX={1Mu|c6N!r* zpyG*1L|n{mh^k@^C={U8U->A>HCRaYKXr*yqR@A6>cX;97oPDcz!PB^HmcC_ zOGuy;p!qv!WQ&0L1f#Zqbu9;&y7MNRJ2-W(EjV?l8OIM)89q=)dpy`1I06el8|+44 zU@cB?oC#>^iEf6|YZ5P_@BlnXY6CP+O!wkqHcwT>98f5b(){#UNv@Fv(!U=ixF|sL z#7*=rKGx-fk-U*;ICn&Ju1rl@1r!RTG++6^@IetCnmcf?j|N}ka0d?ZP9DOeFUVmn z^=z6Jn1n~m}BQfDM`GNVmb_oMm9&m5!O59fGy6Di*&muS%Y-V;Zo z{2oSH!5eoPu`MoN1$aI7-)whPpSY1uG7USuHZBoOX+(`eUef<>BeVxZ<5g-VS9n|T zN5p?WA-}Vb0z9Xs^o&Xc8?~jMj9ma)t*nAsC}dx+w<`ko5N;wp{)H)4%?(yzVqz71 z-m)_i302?Pg=;K(r5x4KWzT!!V5S#Z<^atK&Hp7h1yXPs@)3AICNkUDrmKDx?X8rF zT*756R8@dGt}GYon{ksDyseaph{tmj9>~pjF+sby5j6#9Bs|$3h?%b_1tANhT=PeP z+8$7P0G>p{POnLX0!<>5R0)<)cmN(^auu>b)3ez5uFwN9M-&RsYH_0_7(D==2a2qB z0IxF&wU?J9b7GGuW&)aeqB%^jNxXo<1MnoV4bVI>-HVHNg)k>(cY?g&2jdc-mj?@f zt%0}K+WWyf57#?&dTJlwNY4)tvoB=*CAoVI2Q>5me7=A2)huL*JXs30gASspiQTLZ zlhiT=VKj&7HHk2=%G(e3Mkc~;3FrZMl5&EcJ{5~O7$FBTEu%@ZHb$rl&`44jfG07r zC#e#lzzAk0peczt%TyJ!&AMi75kV+G^RJM=7J+htE0!YGXj@0eBJ< zdy*;<3N(pKQYBbI;Q@GvNmIxIP0wN<*qkD~6i8|QVPrR2B8Q$#Bg_iWJTco;?J_OX zh*o0(zMhywCaDrHpzr`ZNo)f&PfX9^vO?j3n9B)@JcMFB;&B9yBe3KMxYuw%1|EPv zKGyN4=mIhz%RbhjPb{$R{yMN9xzk-AgccE11!yFx3&4|@*ppO=P+$Zz6VQ~z+lrVI zv(36@Z4p5zK=ZGVz!m{BL5bL>nR$Wg@v&}igM4bGUZ5Pq3$6oxTW2Q zOkVw}1PdrU08bLz0Ie;NRnwKY3|uDe1hs?jQTzH?87%STQ}PF8yhIMr;dU_QLWvCK zG3ap0XG+^GT*uUTiL}$&i*`O3WuZg{^B8ovePhBw^GP zpplsER8=vXjaA431DG8&k985O2jEFe>`AIbC@_GT31~{ftGx$oy>GK-wuAsm0b23N zl-`wyIiXO1^5z-1j7+Qx=vPah+iA%D?(+$Yf*u1p~dr5#ZiHI8yj0a*ipI`{d=vpF79)K@K zR>%@ti3U!NoOG^CO#%cIGXYIKX(dt&U}Ig`k!6F#GZUsNo6l{+UJ~G%uIie&d9&6G z7J0NMC^JawBi^PF_~dc^Zqsz-xqkYLwFFdvMq-vSRmJRH_hQO0psoOoBz6IK5)*rp zDiI0{U}gfElJI%%ftYR9HEW9iLIK+JXM?n}ERlT?XNU;r}{(3HfRHRi-@v#wcN1P}_)rcYhuA(6I6yk#S>(JnbmU=IvsPY1E40MahXGS#^EO)q zIrWi=h#L=#2VyQKsQKx0X`vPotvmofZ|cHzOtMCcGWsKinIe%YI%ZEL2gbGlJr0tU7=8bR>(8&ZE`pT`0DeZ;-R}j zq?v%Gg_Mbyx7_usa#d^*)`NOfuV7bzR!EtMxbUCDdf>jKun3zGA!N$@DrTvWeZAhU z2;4)siS+mvrh%6f##prQi5ZC;Uc#ker`Hg>uJPc9@o_NxWYzQxHaT zm|l|z1Ls?|qfZ`@gdRKqPf||M=C}DU#Jm7*7wF@+<=5FrQFhw>Wsl8zu1ppm3508e6KPf{g9ff3A1KvR-|Ro-STjS7rl6rlP0 zQecZfF+nNGq8wpYfJQQqX%S6Y88rJ+Z4D?r08e6KPf{g9fhLhjssu|YJOB?dX$o1O z=~?Upmq~<|0@Y8sGtfu5Z6k2}4d!i|v0c9Op(d_h_Zm*{@&J5(`9{_|z-&{s*R=J4 zI~WTcdDxA}{0`Z{>`S#Vp!5JdiHSW) zl?XX{iI}|lRSA|*cmN(^(iAdBFA=d1Y@-og3eXO?KBq6B10(xfF-+)A)lH<+d$u9* zy!16+D3QJt#@RwF{SvQyC4W%HOXL6@hQX;@D3O;xwlrefe)(QTL^vigVvt%c-)w2u zsNC&DRv1|Y8RyQOTg+x-6|%qtW(Qkn5VN7HDrOUVk}44jOkidLnv(Ep?*UsM**kJ( zOGuy;pyhWUv8_TQ(YfIoF;^FCa4f_hVCv4LyE{2`uPr-u|Eiw4W<-zWZ5e^IIg?BG zwj_MaQ$`?EiPyxc3Lq4qk?00wYHUqF1!$hMx2fi_ZeT4=h%^(>)RT51c5&;sO1y}| z1Mu`$h>43GpyG+?N?g_`JP>n0p#ZIxfKmY(iJ34}*_wa~&^&1+nm9RfyMt2~u4n4J zF+XxQdjzKcz0l1*o)1oT>9^!q%cln72Nt!~O4vDM2^Ixt5M6vtP0S|tBvm35n83^g zG$rBH-UBh)?XzZWkw7Rw^LNn57J*`do}Sj<))f{sI8Gf6Lc&PY;>{X!wcVUyO*2y_ z=nBwgOr4zk()ftCYXs7pw=3Ucw#^8nU*T)K3Lq4qk(gabRkkLe0yIzBi^%b@uJ{{a z8MJ}5IKWGRl=MFDXBo+6vOsjMOpUDxr~u8A*3>1=klY5g(S%4d0Zlz=B~lDvzg6Nz z6dr)D7JjVT@a+lre1zLG0!c2o+mrAyQ*Q*8dN$ZLotqU{RdMKFzWS|A7p6?mX9Aj% z@M`Y?TNnPej{787YU<9L4t1_fO&t0sr{CIiVaf!3CZH*aH*3tXb>VO8xX=7Wa#uBj z0p+_v55(sc6beGNadVQr>F-Hx!#cf1-Gh2`HSF{nLR%>l;cUi&+?*r~iU&mVxo>i{ zNkA_X5ijrkg!OOr0(o4)O95J!q|{}Z#AB8U+1KmsioiXDn@Ep;VG5z3TEq!29xcjM zW+bwi(Z=n268Xj??*lsD-9*Z_$vvj)6SM7s4Dl8_j)FVxG-B_;pg>BZ#bx02b{cki zZDb;v(uf+x9C%6Lb8<1cj!Z<{-bUd8(e&j`IYH9Q!HqnQJC;dQ_F`#mx3AaRX~f~0 zo5*l8^;k!(JGCI2ontZ7j6_z!#AvB>jpZ-GlaCrAmTwQF=_LvUXoXOO;%#yXUIVYU zyM)h7K+{6XL`+`&s@#U)%IbJYA#X>Quq!|-q)bFy=*r^Ds{r{-tC*l&;5BLr!Uq%{ zfGHAv$(8Kcp&C-g5r-YyFe#C zFD0A1hTCNi=*hA(N8ckadwfgwcD`h1)K~o_xqA%<?8wXf3s1uMZu@9 z0^1YN1Mno}1g)NmMFVk7$btQ+j3&+67@;aaBS~EVp2WnSq)LPWBbb?hrX=20#GIII z)-`L32tomxe}x3L2ow`^#$%Fs9ILlx1fJZQOpl405qK3qu)M2< zL+AqVBqsJGRU#B<5}Bk*u!O<`@DP)xkOi8a#Xhh(MR+MdtHq6$VDtcd9w@Tj0cM-3 zy{2W#9gKyJJnTkf^6FP5WA4T+7rsi5%c~&xd2~FOgN%yiU=*h68f(0Q`u@I$jhjo-74vJG70O z^}ERlT?Y2qnAj8!&rbX$-sVOvzA6VdWncVpzr`Zi8;N9*@8Zhd^aCyJNW)qjCR~! z%}b=W!93&eM~Vw2GB5qCRgyC$W2kx^-`2T_wA0#$miUtDi?mQ8eJPBzeR8DV!QGCD zOdR5?S0*+%l>6OI#N3d`AVw4(fG_q`Axms+?Ww*ivKzYIhDd;bVkV%eC#^(!ZaF+Z ziPw3b%jT_3*h>PO=|J4fZHTI3b|$F)%Ez(zvlb;1Fth=hC#^&Sr$lZ#SEeQ*0*aY{ zrk=DCDF(3LD)IWK?%XEqB>~QKC2l-09*DV|pwovON6bgOO(XF1;x=V_%rzTYB&8h%P(;Pcmt)jI%^2Fo2l}XiCyMb!lz0mPQ2z zFbdEnPn|S6;&B9yBe3WQEc|S+J2u@nO-(!kikX0>o|t8Ik}C0Z12VO`yMR&wnkS}b zap_%)i@zg-T z&zxt2r%$e(RiEijFa zS%FoRkqanh0-Aba*7r%O#M6fXQ)BbiChR2v&h#v9JTM-J*_|LZxt0i%2jGj56|%%u zqJfhmC!H%(lK=t5Oh8jlT8R_`*l(41X2Miu^TOZOHHXN*L{N~S<-0)-q}8h^6q-JK|iuJE=}CL$hN6duqp z!K8D;HBeo$;JI+l-~V+bCr+}PLKX^Y`n9B|SYgzdyanVOreXM7G%L6iB(G|KFznT>xLDvlcLCfkw=En;gA~>j_R$4~TwV#5P^^ zt2PJL%S23G{VK(HOv~$rN)L#Rw4j>2xMK@tBH}UaC51L>uE%QR0+2T<>og0ctYgV# zOyJEfBlb~s6PXft;3b7=>|fl>NaQs2C3*>?LRAG2J@1JlYr<}*fCh!o{L|T*11V_7 zN8o{cBBWub*U(=fWg;f8epPNmaAkG8q%fjKlPjn~%0$Fv+Di(vup87B#F9{W0G^Ja z4bVKHT=PeP+T|3b2V##XW&)aeViK98O1yx=1MnoV4bVI>J&Oy@=gI>y>)dcnNJckW zfXM^!`ApkrVy_WrYNb%KUXsj--DEmRt$Qd6&0%^?f_bMJb9G-P%_4)EFx@kofF6J+ zaVJPj3*mO5ekOOrLo>Nmt3mUfNQ=BX%K6s`a{R+Oy|1(<<;yimU|>HguVF9m2vPwWN$LXdBqsJGRU#A^ z!OR3SB^lU{Y}V4Kzz9YGn!hgvwg|Wr1XFASG?IZ#JEKYK8q56^hR_AzNlffXszfNz zBr-{rU;2|bWAqzA;i+$iSiSSZ@mNr75zJwef>-ICsG;J^V6xdHV8)a(=I#YP% zEBV7RP9g{BFz_|k8+f0I;B|@+_Zm*HcmO`Xd?V`x1ZKDch1zS{`Vi4-EWo!dfmO|6 zdQIYS91p~7GpyOH;I@_NUhFFr9*DV|pyt`YjTX?#1MnTwEha&^p#AmVOOm;)sY!RN znx~LOvm24gt6!Bk1LvE60p#g)k4S=>2jEHE2@=x*@bi46?ZDf%x{T%}a)6$PKT=#M zk(WQV6`v{XIOlD38O=-N06hPR5=lQmtN-RdqBUz%1zMc` zNmae5*C^?MSF==A-$$yfY{KskCyhw9eH0l`;s=#)KWOv`!I4O+zWyz*hiCC2ui~p} z{eOMvG*CTg98_t_w)c46dd|Dq^Saj&NpEuM$XbqqYtTqIdk@%}fC|t&;i1|=^H|46 z?}3C!DEi^uE*J2g{QG;~LBBxzUNXISKnMGcd$gYK^2%#xbjY7sr0;gZZq)Q6 zO)#E*$Lc1eu}BWD->X*DR}<}LKSc8v{1)0e51;MIau zrEjC>v-Fe1s)4*9>n>pHBbzX@B_vP^(28X^?l@FPbZ)pNB(tvN08@8f_t`adp|;aR zZ0tzrc2xrow7S4 zQb}7^m-wrV&3zuLQ3Df3d9|E)(p$`ACH?HDC%3t+4X(b0E|$2WE92|`gyv}T1`b3n z+ub4IvUz?F>f`pL?mTWxg?`ER49SExKqK+CBIekdfC|t&@fQ&u7!M>wLNOE2)RS*K zpr^TW`mk#CUp{^xf3EqZR6W99Lu!UEPUtT-PZRmQz+Y>tLE=Re9)KrFZGh&9hUJ>L ztWkI%=754A$y7nBQUr!JKqKL4@_?-gr~u6qH&HB2ygn5mA>Kui<^fuH@{MQ2;<2v! zBfwv!|4(1N077N>_*1I!W8JIhT@CQ?XDzZtAfZrz=81;o+KPSFKo2BBKtZsUsiNF? zUci0;d>~}=-vm0zA0?WV-`LO% z+m94SE~kI>PkA_aS-7bKgS)Fo7m^)ktsvyUqGqo9j>Amgcd&&9;j`KUF`L+vREbdF zqv-a+f$t^j;s~_5= ziXZF#=pWI{eEkQiK<}sE3#mSW*Q(#7c@3vLZ`l%XGY^omhlDmjBjM~lU~2*@K=Y)% znhkwh7bQeOF%!_#k@$`8qbd2yN67Sz2djtGH(ptvtp5G?($qB>()Tc!$j_khXV!G| zjR6ECb^-XMzpaz(mYceSv4QZ3?SYsRieA{f)8zu*lmBq?usZp-uv}I2RowsiR<%0) z2M>Zy9lcL(MJMW?y+tm6?W2_9w5gl&ZC&0e^+v)2Is8bVrl4^5cT@pz)8_lb%#mt$9cFjNdYJ+g~sJ7GO zi7%2VM+C#+zMn9$MLu}ex#3z7HX}~`jx&!@)w=VRZ%Qq-P{zI9j!)2{Z6|ROV2br7 zz_%s|OuY(96&SSIstvrPaIx6Gtb$o6WM8khD+2crO(HTH0iOK3o@Z33&}W>rXssq36bu$0fd^zFvyE-K>Q~X;iX^hGzH*;_ zZ}Jt~bi4q3<&@M*I5T`@P5W$O18U4MRIfCTG ziyBc^fJVZT?SYv2ic%1=K*}|L6sYY1r3c_iH0<=6L@1E2nlJw)I;#Khy=3I8?Mo*y zPmXi_4csREFuK*$C0Ih?0eFbXRmcK0EZ4-&>3AUKh(bYn0+%J3MN6=F06q^CS?>TI zF@@U8OOiRUM-(#wO+8sZd{$Ne`lQ-cfBG(cmHO(*s(NdU6H}9;+PeB-{O;sSh@b|E z7f^Tro+P#bnkO2TYvO`LDi6eLr#ovY4hP4?=jFk>aXWa8o~SmDs(YdO3>LPurcaB% zo}H;pqZPRGMjKyl$GhG}&v1QH7piww57M{N=P1AV8`!U2(24t0mYZxO>Dz)8*3y5D zU$9J*8M8gD1LIx)T_j(B3gQ${cmTd*krh*pJXs30$y*wj6T4X-CaJQ{f$ssU2J#}|+4Mln{dhjV%f20eBLf z8>;a@J$)(`FWOM1t!NU)!3a(P8cFH`@FXVoBvm3IU3iy5jk{Cz9{ZU?fbHdD}y+s=iM5p`p2tBTy zzFLK!f2}&({Pc(DB#saNTRQjYPO~la+3uRI%rD*Jb+K*h*Ag!c{XToOp1gx_u?^5j z2G)o6H7rd^G}~0|5Kwvmp2WnSq)LPWfBD|;&=Vc#A3dcb`+daxvh!H?f4@T3zX?B0 z9a?>kC#MDqV$u|{Kn=?^v3omccJ@SgDNrsap<;2PC73(_p9hMpcL1M@3bog?Ou2(z zh-$5SD2hM*J5{KD4Ws*?y-Dz2oUCGXk7lW&X~H`a7j zeLerEvL2MrB>Iu+mt`J`C^v_A^vb=PlgxoL`8Zv0=`XxOy}XV~?@Q}H@gG_0(k)5xrT+dh+)b$f!fUddLVYQfKO8E z9&8ccqC=x!f>mh?)8${s55RvHUt&;(^>-O3^gJGMqqzMZtX^aYfJVwyzWxbB?O@Vu zn%R;gDzG^LJpfPQP7p7!O`w5H>xa_5Xkt^0s474sNnHS*#ANEI!QrHtL?|%E^J*10 zC-m#ROESi{X(HnH&}c`}a`*_{WX3Phh^PS`B&#l9Yms%$+9HBbfQD0~1Hh9s6I9m{ zQBT1yC)fE{x1nQA51-SY@x1nozU6)J7F~M(GaWjQiVi{g6zbn!Pn7b5wS^z+&dk)M z!34)UBr_&xc`c81l1RFsi<17nB802op-jGU|AOZ4UU@EEVG90;F3IR347qX3O$)dg&ovsEu-Au~)|_t*abn+M=YH0<=6M9464ee;-#KRZdG z`gbQZE5Bb6{ZBtZN3R)v9>3(+Tu3x5*O(jn%rU}C zft0I9a@M)g5;?f(g3kj*)(a4N4PK%4qSM9yFjwpm#Y{lcwnSFfEBel#?jA$+!+19M zxAZ5FCqEp?hUDZK;a^vDzrDU9<|5HF*sKFNQu7i(ks+c`fYz4Cs)ps7xU5ikAm(y{ zo*Zy}{`#5pnj;2eaj6seTOX#m_&Pn-Rex4J4AocgCF?~Mvol{Vo5Tt7C=RBYd&NO- zZ$t(g^*XeY@AaHyl;7>Qn}q9_x;l~n_3=Nh(zmX+lF`Y(q*Ia47$mO=NGY(#s~|4NwB90F8vN8V|(G z>%4-H1qLuXXl}(LSP#IHXxQmBiBMnwGYcYGLUQL{_0lc{((<6)a##lK$dZdC_Dh4U-E(V4rr}) zi5D9399WAv;!Nns!>(qnyoOe2iK!cqsj+#RErOi-$V9}=tiY;@*_|LZxt0i%2jJ&T zUAV(jcYZxnCzEl+TQmZnY_5V^l=CrF9)VAvagl%u&`1XMBePjZn*swE1!yF(3&4|@ z*ppO=P+$Nv6VQ}|&vOsNY_qOeTLcga(562d#C82eYeH)UXe5hF-CO7>?f?~%NpoeK zB|?D#%uGO25^vU+6SK{_W^EBbC_tM&b%7^G+8*(ijlg#BH4eAzfV$GnE{@RUbF296 zckyClzoC_5h$uV&pI`EU^$uvQW~mv$fwh<;&ICV?;zO!dUPCLi#550cs+1lExNP668V$2w_6_yjctxj9M5&jUEquK?Qst&nH@XGA%W3(g3> z`T+JtcXCiyWU(EL<@7Qjc4=Y2YP=F?Kg?S+Yc0e2=o8(WWQd zNYftv%xPp#18#RWk@s-q3Z&2rVV+>NJ%BTwclJ&`0Ib#=ur-X@1D>N&hUkSLE)CQ~7V5qn-z$lH<06-}(fqEqp}mF2#vkXU#W z69ke44J!p9Pf>UvX3kbY$O0o61!yFx3&4|T*y%NiP+$Zz6VQ|dv+V+S5|gWt1x7Fm z(CYI!I5kitIyYPs5*XV6jbtFx&S=uQMy%nLLd^!09)Kq?u_vh#p+L=HdQE~Q6dr(w zm^6hf&~z_$?+Rf~%n^kGw58?#(j6|>U*d^duD^wQO1z{j-04Eyg@vas%0=m3!wJXi z0r>p#jjVTozy42KAGm|D(2BQ^JOED;+W^fI)0MakY)<6_HUEN^8!aHH z2jKJli{lDeBA<&2wZpVbP2&A(nSwBy!}OX&7&zau9ewhMB=q0`coH)~G<=)i=ED&4 z0=QkEkL&89`A%e^{=c~F%*}Zt??NN-^4&DwiM)KI;Rsl?F2v0&AwmwU59S)~=r4j- zfJTzK06d9_JxP@a1x7G40ZmCTU@m|sF=+}}U<9K8&EJ;-TLjt@6sovRvM5K`6`+v} zWZI4dH|lp#Ga%|gaS<>lT-2QHHcFNILQZ3663 zZq*0``mT__Rg}-iZdL9d@MLM~p3{e#xPIMhIAMG|0H0sJk@XJn*Z*nj19vbMI`Xg^ zk;$uHm6!pA2jEF!8=!e&x)PUx&B>i0Zs|q~FnIvJW4gtZqrd)7%hV*^ujZZSb(2V~ z#i|c>`AIb$k9tA!a?D!wrUJ8l5iXk#B8&!*{tvq5pzJ{0eF&ff<7&V zh(ZAxiI*gEVlJZzze9E~`%-NTC_MmAVq#BHB|?r~A||hXRe~iH9)O3KG=$sd&Q z5;;JJVQ}geO628_EsfZ=U%rAC?7xVFx2UU0rZxXJ~PItHFQ+qc4U5UKZ^2eu@f^^9dJrJ|mSWTLZ zno!xn78-;X7Z1d2Voy>fLV*d)AcR%=+w=qV^j(3R!=`%U^5^xE)RT51Sl8-*iKj6{ zS?j*>Th#g=;-iYw?fa&7AAgH>w*N;DDx&HE_$n+o zb({qEdW6;pgsS@NefqnQUw(%!xBrby%?a1?a+UF(Zu1UOuNQTAd{67gS~db7e?Y6` z$KN65jgZlYsm3{BzxZ3yiq5Y5(Lu<8MXj|GN(%=QI0a}BK94*Qvxz-Pl?VkUFvEaV zZ&h9X1pQ0$>3_JYLV9*m1!@W5OUeT=+m*LwZIM7IK=YU3$QFTOf}S>Cv=$aL_#jRl zJrPu~s{Zh2&O`OpA6!@IiibwV&zm*o#JuR#@gLjO{T_>E1OjfnQ&s&R-yTk?CqE|_ zTVuR2WqR|*q;fM7Ns`9ZhXVarj{e=`lSKb+(@m80E4+)yVou4@9WJ02Z!2Ps?Fpy= z&6D;vb)z2Z)RR^s_0w?4tz_!{-_=|6(j@V}f~x?C$0uC@1@*Ez2*oK{@_cWaE!J4DfA9bcO_ zFJH-sFFpk!2NpH6*mN9P0>6VTG>Eqh>(I$ynGVLP(m{aHDtqa_tfX*7%ggn61oi}}6^VHe7+RdpL-v8+(IcIaX69=nByM9W=5@z|DV0LiIrr@&cmwjyKMHOD9xR*BtH`Z89f@o&x8y;?zo`Mn0{m6dO%nY zh8J3RQ7{cd@X9p2AY@Aj4jOMLfR<;Wg$)>RFT63Jg%^h5o!SOGYc9OdaMC}HaOHtg%P3OBH$oSkr^3zyq%|=U&DBn)k0hntG)h-qZP$Y$0+QO@j=wa zU|^SVAJAqYplfBdkf3YmmS2%|D{sCl@SC=RcajoE7KQFRmJf(Kz^kklVomT?!QoYb zT`^V*gqCXeKnEie3I^b-Z-k7jQMC5&;<^Ty345c4n#J|Ryb!t(sv-zE>wfdQG8xC; zeI>Vc|D6idY9_4vs}wiVFp7W5$=%jOU(Qm|GvQAMnAJV6audR{dXQbu^`jP3Nsi7c>D-S8Zn>? z)QhiQUGcAqt}6bIz9Y+!|L)=Nlk!RzWRSi0{_XL4QPcBZSoKr+^O4@P>iZdf?vX$G zW%(n6kAF#+f6-&lR!hCaXWL&Ke5)BU&;g!U*cIHh@U&x?@BaxY7=RZGxo5eSp~4{K ztXuw9{E_yb|1CMQ{XI`qP*wQJQ%zlXupraO3d6`2XJ^pf!JW~{L7db7phdG4X<$l2 z4SYXQR=)xIUUcYM%~PraOofJycp`d|boW#{HIdo)4{-7O|NN1_59HEQmqca@sTqJ5 z%R_+2ER1JO{J01;3)c&je|y^vx^$DkUePDSmBW|clQsIUensx69<#018F^V_$1^Ri z9g^k!YTK2Q?~$+lA}-FS@8@BbyEm`?#%J=G#D5`sL-|`G`Lki1dykx6jqSc9r^j%@ zs0_fr?AyBXyYjxyzbngHzGJTzII_#@HzGaoj>DS1eH}DdfDFKkRSWdC`;U(3%O^xmZ8E7W-|PS%tQV=^2?O`uXk@!DP%eMwHtV`Ak)YS zGZ+VW{0K=JF^CJ4`@vqjy;}YonS=73w}PMD+Z#JfN(%ng?*1E0I^z$A-}_}b!hZOd z4tIzD_O}l5mu#Py6*+AG4bzlSIKUGd*&pIDY@H(3SG8!7S^!=w1f7pqYd<8jAP@Zabc0(-41Vt>2Y%NAkP8qEn;B`i`6$BZ8?fgcM2humM)#N;e6sB)?wLa`^j2mhcbpsofVp%p-}vwD@tP+4aIdLaK-F-`f@M z_40Zq@=0^L$7M_Gbhs5Rxi-+TVNIZb$yY;)R*rV!+euGCZydl{T1l`>+jOx{lAKP@V|0ztED}1yPqxG znfT!_PsrI+s|Jg`Q-)*Q;@dhZWGJieef&(k&ktF?t@~G`_Am0`uCe{~dr4gnslW}~ znL-AAw{?EJ?QreUCJNE1e=RHUaDVuP{=DZ$U$v7iiA)r-B=uGM=)3o-85bs-Dnzd# zk{n^*dvo~HKY~Yg{Dm5{+f*U5#!%G`);FVI0KPh>8d;;h{1X&C+e#yShcmDQ3B^J{ zS7s*-{KEDWeK`CYs{iP>(xJS|Qj;e+oOVLpHyKE?!{HbOD@))s)ZncVE<@b41im&} z6Y`7hE1xB0J*r3Dp-KMEFFhRc zKQFHj=~u+XV=+(mv}*aOX7>Zy;4vyZb&KUd$SLS+6>8R?UZ5|&+f#PR@)w}gsb{Y< zAM$HV$!mEZl|SjdnONhSa_`>Xbofti@FGX|2a)yg$MWX?>$;^0^(opr5hWT6v^l^N z)At+$3)AD?LOo2VKf&p?E`wzNUM%FEcI6#4J)_G(w%PWGKOFPUToG{g~pbl!UX073AMW?*8_+9 zKLh)>-`u}C{NJA)zO*LN6ZWbF;7fPs?rOjiOW+*f&0ad|wByqZ$POddlfRUNxcf#P zhxwWOi1ruqmEEUkA3jA==>U&e7}=UUEuEZW#T+bL-PZl2SYSt)frME|ukueYZC}WN z^^%E(N>7*ua=l={ZJ>jVP#b>E&2TF{snsNx1 z4R7m;>*td_WqCL182FY{N+QDhd#?kVOiGi^QXmm;jjLAzdY=Yzx7I%?f<<0 zp*-*zFXqqeiVxLK()8eSFpU`n1Mt<3V`Po8@yHc+2j%n*9@&c%+AjojWp>iwYKFD) z=I|fg-Rrk?_vs&e|5ZBt+94mxfBKWBWVkqpcXY~Wi(?f0)UQ{;>kdLJsuRP&!oD_f zpQMqDUJSrjGizjx1EER9Nuy6<>8g{{XL5i0Aa`~0e;deUK=~{9jl)0pxvH1$!B$dV z^>x0j>mHfvi)b@S7f3@QxBKHit=ZJ-)gS}QCV#yo&x(2iBZrvp4*uno=$b8LY}6y(VGiPakbhsxKMLRv``T#DNJW}0A;bWDf2CtKi+6~3>cOF7nMma<9+b{g zE{ad&g*T$A?%7l-{_#(i}qXyNs<4F^IkG=YJBBlIIlvPu zEdVbTa?f%tLxma40PctGgSmhD%hK+fAIOXxF>L?Mb|?Os^HEfd*2FS62YCFvlr&;c zEzs$I(8`EAz!Mu$T4l3d0mEskizbu|z>9_4vs}wiVGz=E|MabN{ilb|)8PTXOzEAq z3UV?44+}DltT2ph@sYzMqm{!U9S;9;;|*Fr`h$#5(6VTf8T^2E>@Pn1N)U_qWIDM| zUR^1=a5cXY^Ks*qE4uTo^kS}*_CNk165Yum^b`C2WuBHeZMSqfi`m{w^cXIX%m94< z@XhKsK!!#dywxR@~3%QC*po~=)Sujs_$NWLdgL9 z%e}3W|2pH%;lGwQbN+WQExluDRZ?+zCpj2IryJH zm%07>hx>B)8D-i2xc8>&hptgS)=7$PDK) zl+ghkx>js!=$%G0nk@u$9a>V?H8gAg3=eqL+q(bp@Q)AWKm4P+`|^+8J|)vU47wH> zr31X7C3VB_){=?iQ%=nOuU%PcJg07D373~=*XvF}$SiRi(vq1}9 z9sjU*6rGYEPn9i8CWMbKi}>oGw{^dlKbPA&O!YrKy$oGp-cQ-?#|URyOc!z{$rd4d zn(}a@$DzB8$As{a6X+kK{a=^=ZSWxf`I-D4E&(Ul_|i=mGGVwin|wL;HeGY@e(qgJ ze>SGnd;gWnl;-QTdC@`==FI_~m>x9@G+KZT@R$ux?Wfzia6)(2QWO*m0bQ95g|sg@ zSUby?Q7`~6e?C#Pcmh-GZp62P18b(Bnt(tNgEkFl&%mzZs zNsX^ns9B1FVj-X_vw;wPq1bF<`7#Oy;N{@~1%(4VW?>X-q7%cw!U=@~oNnn5*zH-( zz?;9Ik5hbBi^Rpg>GnUl6Yby1TjQ{mxocs1+*_!J1@$L5!h@aPi|3t)mI!f4Q);I9 z!1gocH2y_Y-Svt^0pS3TZ^Kz526TZAU}?nHD%337k{NqEsd<4*_q`35uAJs^FQ4HI zeDe%7?~-)}{+{l7y{pdDo0#*PT0u((c+B{=8g^iJEk!}G5YUxb5HicPd>I7;@M2{M z@R)^>t;szK1{O{z9OR?__wq&SkkqhpW0w*ItsLMnqeA0%O#9NYRa4I9G@)=1#}*Fs zZqIE7zR+hmJU7+5Uil;UpV};Jb#HJyP5SxMXuQe}o9=n{G(;#1{Dpw7V&^xtjc@DT zbU&NfYUv(r4#rNS&vNOuyseXIe0!S8y?jnHaQY1FIceUt#u-Q;9N>waKOYq|ae&8c zcwXASt*gFlvPnCC8ttu33X`n9Ll?dN(iK%G>HR(~)NJU2Vj-X_v*CMa-r3ahWfTm+ zZ~bkZjww(*O%4-!M3ez|{KRws@G6u4mP2no9Ha@}5qk77us3DMJ?$pQIg>L6vCggmN z5FL3);lo2{-*OiS!5=_uZdva+HXpVg@Z}0AZ{^iGz>!Hm_nbIs@-1e_0bVqju_F#& z2ekN-KiTeM_r}fgCiArOYf%LJT8K-UP?HQF#d|w2oE_u@>z4gvv?y zoBrD9D#fe=U;ZNZ3Wimv>{^evs}i3fsE{-5t580iF0iVQ5_gI7kzF7p9nS4t1wjX? zV@UliF9QVazq--?@5aE$Aknj#dk?7T=&?U>D=QHd^at&8R!T6Vt_JU|~I?9E_|`wDxZWx_Cm#0KAx| zonNyI6$T-*TuW9^FaQq=T8*qQjBIheDr8{cjKTq4XEa+ukOBC9qO5)cbf0nPS}~Ez zSv;dy26 zcqEH1MvOxmmbYV)~Ji+&^4I1KZNb#yjx2!#x=~ZS%#5aK7P12 zQHVAxKnCE&!UD-Fc=ygC;l&s^qBLZ)J{4v#4)DZE3&4wo+_PNEP+dkqM%V!!GabO8Ycfx1Mz5)Ww#;0^{F>!UC>Vej%R_+2EDUc= z?ocqWaJ4`W?<}z6Gn|3Xo}uPlGG?IYAzEFF+vFq^48ZTVb^0l~flbJUw{_isSUq}v z9XTJ-ExXI*xCc(IUsmTMU*%wQG*x{B%hoPmX->W+;?2H^mYA0bI224R7U zu`xpVwGb33fn{^bej9TWw#<^WGDoKD@fa5%9VSz!Y61gG1& z43+_Sv5;pG^5xiG>Ra2Y6q-1@_3IzJae* zs98A7XRl+wn&3Ra>9#I|WdL3*0MFQafZ~oHR3|ikEpV-Eb_9ON*Ijp`O zeGKfCeMF{(fUcF*Lc&w-&bxM09Fdkm_ul`AxC6Y(Y9ZD{f0xIAKBTZp+apryIm@e9 zrOK}Lc)Kd`8G;Hq)4mFgJftw^ss|?)2wCMJgfCeU zq{SCF*Ext&oBYSnZ-sS0lMnLmYg8BrEf3-HwDW7oTv;W=B~7SFx+1Uk+(QcS6t$qW z5&@zTVy*OngbXB{<;3M{qc!Wq@ji2YCaaG^3w`q8{HAf2LWgU(OvtRfBM&KjQzmAc zFQg3qey5Yh$jF{gs9bk39<-uMv|J5pEpTMfClv~d7S#fQR71yugOP7gFtD&5I}S!xn87%} z6DutMFXn0I*DOPY8O%aJS23(M1@K})tC1CEFb?qSvfAF%Y3RZe^R>~Mk-$6zcw!?; zo7t>)hYu(nIyRwX0A4KQp5h_`VHXw|GfP{2bc;C9q~jI zbe(rCXF|aMyjUIrJZ51iYcg_jsu$?=KWNcx2}v1%@1MUkuaPzCd(ok5Fi&X^e%`Gm z7~>k|*DS-x`>l6IpFJZ5BQO9jRxi-QKhc+A;SKP(!5Ft1;`E)!M&tj;`vLwyW0(HW!zJh9RO@M0nNEY~trn87RrbQK%f<)dorRAC0= z0FR%Sl12=w1u8$YO*td(08ea0X_d`-1q|n052AD&vGq8g+a(H*OC(3%O^xmZ6VKNJfLHfVN_qhk=Eo z>W=LdOo)XO3I^cC>IHghfsDcdo){CUoQ3Ob#y_Dp2SpqU&-l#YRHTNthN( zxo%f<=d1Zu875?f0n_NxZ6xIK*H$K+wl5!LLWUP8q<6(JVFG41`Lo$QR_gGwh1_A* z9BdAoXKo9J6RVLG7BEk6ga->JbayQra?f%tLxly*LO@qB-R&7@^ow{!u51kjlmoo} z6-XYs@Wgy=vDqQw)S!YmABP3}=Juy8`*0I#!z(gB{B zuT`jNv;ZC8F&hXiDo&b(1#{O@6ch^qU6~Dp@L%IMn^?Y#f&utLy3Nw**gNbqu+P92 zGw|L9A$JXlpwmyE>xlI56HusGwlJT)ar)H)#{nM9*DBO39CFWcEklI`%tAm{u}^C0-kPQz zpWh6W-+F$Ucg2{2@&~#V9TFS|cw%8Ib62AU=m3w|aIf2M>l$aok-a!!Y8}Km{q)&M ztw{vtYZYo5EkFl&%mzzWRG~BrCr@`RMM1F;(3ROhNHu}yqn0nDU;uvW+qwt6JkgPN z*k@p$flX&%t9ygv=~aat*j;OIe)~EfJq;1c0)HW(tC;Tg3^cm+w{<$`{%zgJns&3q zR!upLZ}@Fprw7HyA&7^=K(7WFp!MPK*$|Wq@bIelq`$AaATCLcs59sejF5Yli`3C- zA*yC7*u_b~q%aU#x2+&Eihy4Wu~zK)gxzncleGAVRu1r5R!S=}i^nQecCE+TRf*3K zRLGh3RVby38W68^%O6nGSs>(LK_5EyEb<4lTCdpn*xr)xdlZkYAFM}?g4GK}8mp&z_tC%eEBcY%<*MDjdQa*Pe1uZJTlWBX=+ z#)rd)A;7ClGE`5KD`=&!fPr0se?XgsfUcF*LV~XIu3d)U$Z9;KP)|o65O;uASuMnx z$dSdLRA^U>)dD>wzDLc$_=JK1`0Ar9BWo0`{ZG`o2H`%^U5o2XEQD@^st7`6xt0v? z=L3UotRT2!t0Ii7@d9K3Ud-1y~KwM#{r&LX#se#kb9PE87jMyYRsr>oK3R7Ej zYgi$1E9F}aWS|2)v9K$+YvF0fFyH?ZQZN877IM#WEklJt$Sl{A6%-7>!-7mBD-0uB zoSi{?C#Q^74&t2t2Q8YdNP{y5mWKe3 zSs2-x+@WA#;d+7cZ*RLn7kyuvnwN&hZC}t=+pe5^kG$>c+j6wWEeDgax-ZG;F`S@< z0r>s4u6OTb6B2*3(XmybZ(j$E7a#-hV$}kDk>6Rw6KT!Jk@HcN&3XmQ@EzcZl@@>( z3%O^xmZ8E7W+9-f7&{dyXW^*2V`Gs)IKbmaNYaQwy+EZG-K)=O243=2!*g1P1zK~r zJ!bTEfG0MxKh(#tbsJ)RRf~9P0eG>HdzNb%Dhxtqxt6S;U;rK#WExpv7}??@C#Q^7 z4)8jo*$RRT!1ohn^&1dQQ(dchN_Bv#(9jW2L_ybi*K#Hl48V)!A;4o6hO#CjhY4Ar zqsdU zF#tc|woW(2gD#eX^&LBnhW%ld+l|mGs1TPlp=QZOcKPw!Ix0kS7a#-hV)X+3i3Rxl zjwr3Ob;(YJHpuWC;E9zMfENq7XStT4k4#8LgQm>B!<#CEGU+l9=W;*V=?#&fj1Y$4%-#3m-AU;w^4ry5z~;M899Y%7hL z*BzE1p;!p$%4{Iy%q0hFXZf1fxf(u3!Au0WFn~1+mm%(2m@H8Dl@E#VRm+wMn1%q4 z*+6JgNz&{EF5Tmz897avuT`j7gL;A9d{<}o)PFCZ&kXF3Q+z&a6_macUk=a$+5w)} z$fh>f39Sdg1nvn=w{;mT1Mp%Y_bk^kRG7dl1auV(Tba8WeH~RRTSEfn0I&LF%Fn76 zE+`yq>cI6#4J)_G(w%PWGKOFPUToG{g~pbl!USd^psSd#Rj46Y?xSk3#1c3Mc(a$z zI_8v#_nsaxI^qfI_VsE}?XQ$1IF&O~MZBu7&G+ zgVRr+>xlHo=*0khUt?0g0aWN=Uph>e&W+8RuT`j7xL%<57TEC_&cM%~q2@Iu>rU7W z&^sp99CBgqpTE_P1?T`zY-Cg8JE3)TOsGD=Cx2U4Tx+j}*C6()1sdJ*woV3o_fAJG zKnHkYD=gi9Ti1IZ+k3<2I$Za-YvF>zL7c2-KhV4FGqBIVwlh#)ddm128W@0Y9QPLL z{|W ztYJ!wb#Ch8?Yzf$Esr;;S`4n>N~z)l;$F9r0Y#lm*|TI`(C2KzNx`2@YPCV5mn-Cm zcbJ1XALQTH@{a=eLwP#@q7P{00I#zLln%xg6b!)2YZwAN zW*GS3&^6Jw4Fij36bk`enYo7fHOrS!FaR%>hX9XR7~Y!T{d{0xVP6}qC1Zg!o5mTS zM}MU?n~^o*oqBNS8ZeQ{Sv)A6<#r=fg=?5!vt;b8rd&5xkXb3{h%mge1;_xr7+oL> zZG^{-#<|5Xr|(2=G4=*yK5j6^MMHdC-uv{O$np&HdcL>j>=?FdCbmgfpJ~T%Mq>wf zVx*{oMU zzW*n*VgOz&Vf;1(`-x7)G`@J3@M=(Tr9O@c!k-8?=51h=E_8 z6M zDCj!xTF!)m0eG=I1bEECP}XGR?gisy=Jh2g_&1}}Y!|MF1d;&56 zFBWpoaxFuJLC7rEk`)vTz{7$}BP$FeTYTg&$!O&OuRIum`WE(rH|U}Zx5XsN7_w}C ztZ#vJ#yi$&i|%|izb-?BtT132U%G1w!Q&KR^cXIPFaX~_e6#u$BxQ5}hpyGU{UM{* zR6yIIC3RiH{F>#{JPa%x6?SZ|(7uN-yv6TOFtBjFKwoL&>Z-t+Cln08H%41uM&57y0O-qU&qzTt2H?f&<<_4KTJY-lhx$r~X^%s9 z8;=QDVdU|T6gLub`D?q=cgir&61=<+-lXV`_zox<xN6bk`enGJ>XK02NduzVQ>1Mu?a z6Ge+BK!sTt&zgkG5O*z{P&mNre)j34)({Z8{?ZkdB&lEE(xq*eE_F+%E49OOnt?ZV z6Zo7Y?^}p~Qm?t>GgPq@t=bea_2ysbMYNq+1yFCMqe-Tx8 zy<$;7IKbm)(5w*yxHE3+VEmTUPk3I^cC$`If&3nN>TdlU>ToKQH3Q|$h#M`~Ci zuzl%d5_F<<$13YHeSvr05v-CUn$7tdzu{H5qk77us3DMJ`a zi%b2%7lUrc3Nj}O(Pjmc!a!(TLRJf;y(qlV1Db~Bkb2yP4y6PlxKkf*7rLEynUM26 zLUiOIg%1y*eal@SGv0S?@U}H(#&e%N0^TO|HnKpLk=hvnQaY++ulJbN6`x>bk2#r5=3tG`S8lMsVd_sL@p(OZ5 zw$is!I>f94|JBqDV9+Z%ScS^2^?17~@fm^&In%xh<-_R$s|qP`mpC8U1wzu{+}?#_ ztoKMcsbk19&xu1=9%$JIc+q72KY()(2Zy1)0tOP2aLgTsI`5)?v|7jqG?@yALqc;L zSroeasGtk2qt!yJ#WyGz*iYxG1$xSxQFDMNri;zM!g@qG7+Ilc?f-DEizk!}z>9g> z`8CT>VGuIQwPXba1Msk*)yN9N$QIYDLIxJjC>-E*Mza+J8G!F6%IY^j_Zf$-6%(nP z#WRY9fUeA3!~B}%ODGtC7t2F{$1DtQP1q5loQ3HEvE-|9Mcx zm+o=(*&7bf{cn-<7*1%)0DS-arAM=oHR@tHbPeY14`I7F@75BGaSiipmSJR9_4vs}wiVGuIQwPXba1MsjQ)5r?L$QBRQcHssl`ghK_h53cAj_mNTJX0A4H)0Uon3lrCowGZb^}6_4!l+uqhe5_Z`jcal=N+}5*) z8FdGEVxoa)YNn~sjnFH!XFL%FUFTg(mQXMNFP4V@Z)jQFFqAbJIZWsR4U=Ed`^DQj*%~`W zJxXAhkkI5B?r}89MnXn)j5c_q@04M)q&we`Tis(qRv7egH0MS_Ms_X)99N>wC)2X`_4kuP4D@0Z7IM#WEklI~%tAm{ zG2QJMX!Lbdt!xbmlmoo#lPSL{v2a1*0I#!x(gB{BuT`j7ILxP!6(%rGaJsF_U>SfH z3%O^xmZ8D~W+9-f7+W>v5G?mmHCSQ^oCCatOP96l4$o=^$Q9tT(!Yz983;GXHYXVc z1MvMrKB?b;!CqHR+e_Gi-L)*5aUnEx#NBM5Yix(MoPGidH4Ptoo1jXcD8!oS#4xZh zT_6q7NJfYO_+?9%UhvXA-e&1+F?QT%V4s1_W}sjs2Y6zB0tz(?hm(bo6(%qa@WgTp zz>9_4vs}wiVFI%d&{a%#dj=Mcsyj9o34{Z@h4%*NScU*kEG(G277p`iWQ7Tg13a0MFQaf?}DYX?mO-?u+PADGqBaYK{;(NzWIil zz2<~sA)qU>S(|QXZ27{?oVyx6M!`%3IGS50hJj_F3#1_$$p|q3U(KwMH4cO(6(^0t zg1Ku65{iX@uFM8PstG(FwS2x-p{C*ewvNsE&h0ZWbq4epoZ9%N?FvSBfG6g=Sg2Vz zY%xYwn7};2{%u`vGHhBfKNs8^6!dn0Cl(gWT?;Q+y8YX_YcJhbSPTcdI4QWKU|=iv z5rqT1%6`P2CWp6x9(@e#m3>5}g@CS=)k4Bk?#{b*R2-3(LHD-*h`0m1%4#9jM1MEU zfIg(KO4}n+>N(4+Sf$FY^?17~@fm^&X|^wQWaJ@*IoDk%Gf#-7SmhywR9v6@yzkty z{&IzUi)nQbN1k-0&xB)OYw!imbq+=b{Ji%dIenxY;veMS*QhWMS{}mXY3J9Fxw1-# zs+kIsuE?uB_mDz7N-b!uM1ZJ-SSx)XAp;3#IdS>gXw5otyw9AU$?BufLZ57xKY?}k zE_wZGH6~)F&Vzzhd;BkL;MXaxY zfqgpfY3J9563nZG;3(?pI`2wL+@LOq2At>_XhSA$v$99i^9g~FmmwLl=%(DC44 z-XP&#yMLdgKUSjaugwG0)yhWRy1R!}ei4+}DltS}63 zadw0#XW@*(0p8a3dg}q_j+f?kcxqpC;hx-=Rw=rre9Es%cbY{4(`}ZH9>WE%fC2da z;hWWO0N?-T?GHM@RA}gkC!(P1ylXiV3I^cC@(|!L3qx6xk&_c$Ani%BC4?A&@1MUk zuaPzCd(ok5Fi&X^e%`Gm7~>k|*DS-x`>l6IpFJZ5BQO9jMiHdzNb%D$HOO0=kNg?DA2y zb*eCfae&9qOGzUJbb%1cLx3kXqO_UKdUqJkOC6g~G5{|Ya?f%tLxn-eEZ3416b!(_ zf=nYT3?o~77)2*%Cqwz&DoLLVe`>|2(BZ_<6TKc)uQm z%yKQy$oq{S0DTEo4d> zVaG82qE7b=d)=eQux^SOjUC{Ll@@>(3%O^xmZ6VKNJfLHfVN_qhk=Eo>W=LdOo)XO z3I^cC=mH^-hX7BEiB!(Q&1@F#h70GVE|yR-052AD&vGq8AGLcBGRw7O1qB1}upraO zJ~AN|A32R?v~qyAqLrVLFL6bilcsF!jwvao_tb@&S^F(gpaAGyG!UE
zXHia7oM1}jn<58M^;$6 z$J3a;R-tAMX026dd>SH@1^z-nS24C~%4zg_w^=$JdxvK>1Lc|N-Q~&*q^DWXnnTBj zt;}7G7oYZ#T)K3drDJoxbNdYJGqC0i(7T3_O>M9f zHpLZ09N@vi4(zUlL+)9wWvH-#SqSJVrn@}@3&+!E$Ht<7aDd0ppjjgZbb&NPBm2_H z+!UKSMYd|nb#1~stk<(&EpQy*U9xo6f5&|W_8Hi01``L5g#~k0qXp;ykJ(`9iYk<5Bd5`VObY>BnGJ+g6L>yq z`7#Oy;1Bz4ole6J`wYDN8QALH;CPx!-+V*O8l2z0&PPu}gtEY22qH^e>}wPZ zgqEkM)eB_Z2tMe^js)gW`Y5#6;@cl76`dZG*d^) zF*ba@9*(Gt?VAA_9}XXe0IxF1P(4kqpw-CZ?LMH*LO|EbY9T?_dDkvOaAY+eQmCh+ z4~RR!tE?7cP2|YZ!>a*Rm|UDp=RNzx?^LJK{&wUM@Z6$0bQV8eEsT6`m4`4TdyPWviwiw z&qr36)uLO&3W?9B%m_Qc6AQb7yB3~y4D`Fm@W`Yz8Y8beYsltn!9%hWB^`_E|5k%k=B&!NegEt3%9db+%ThXfG1X30A4KQp50MF!yjj~^jPBL;MVdhxxw&%izdo6SJRNDlDCd_xE|3r{hbN)GVG+ECh6A7KF@lEnh;x0K8Zp0z776WNQ)*4tFg~7lxcOUW_h~MuK|fF21eP;WBbLz!NJi z052Al&T=h7ADNJh22%lT#lpMHT?hzpdLy$TIo8Ud7%iJw~dx__mG;8OpkbSM-oNmuVv*=Oj#w{di)#)tl`? zW=`=({SEibPHQxO*+RlqlTBbo!2o=9PBpSdKbK3b=-IYzG>=JW-UI}h4TMye9IVGY z?ieMtx(6ZFEL?`TYhk)T8lsVm5Cibl+!Dz1U@7VS3zKsD}ylC%DdST^KpI7?4;3 z*8~JFwAXwmtg03G0ou~k#f!b@iCI=6LUl`$H*F|?PhhqGfYCP2?#O^LW0YB%;gd~ zIKX2@M}CEt3@ig(APvz-Mu-9UzQ&|}1E|nO+lh2SyCxvWEC>lM>oJ#0=->d486BCe znsSzbE)Yw;bNdYJGqCmy6b#@1Pi%#IgZ;LyzoRCdW(B{G3pHy}P&mNTc70OAh={GQ zbo*`HwU^G8YR7#B_8Hi22I!?{#`p}tH;#J?^>J_|TzZ$g{YLZZ3C){;AhUsx#uqQF z-&F1xCAB&RA=XSMhJl6Y0%?dwGC~Z%S951%jRT=c#np}GF$v9^fFQGhkQJ8hM&H)y zFA*H<3PrC58Q8@9DhdZSTlxO*5xfJui_HgRHI}{>@)6A(;9YD%4a-*xu~tVE3=Vc( z>1(4kv~Jm*zDHZpseGQ=aP4-_SxCN#_@Ax4BvbRhTp>rC>m0=SApgFWe-ywU%G&`b z7eQuh#?$0t@0K4%4leCg3t3;+L?PB}-a`s$>W<6@y&XT-WJ>ItDUG&h^PGjeDd%SB z1A-3S<=dI(#7Xmlv!R1HwaNN_3D%)*GoSH6S&inag}5ZG(OxsjpoaFYg;=wB4=L=W zen8#9A_WBl@P|Vl0z77-wf~74YciM1=+FcNnFS%iWj*F{866zpF{2~nI5;q{48As6 z>!qdH5*jc7-(TsN&Eg&Ejhw?Ew$KT$Be<=ku7TBBz2@-Cgy;wb$N;<;T_6i>gvX7> zxy3No`A*~($|sHaIH}e1Y;>LPL}tJCu!UIvHz*ibc-k?X(bxgr#TG^mZX9e==$3MG8LJ3Lw^=&6dlbAv2H^XL zZ&tqn)As*HXHI9(O+b)Y5E5M0V=kA`!2upKIKXJDU!jc0&f)s9@RPCJG(UWo&|i!F>C+(!BgUZC{UoH&Fo zwKR2C%NWT49zQQ7jTq1cLMRUbp4eRrG)lK@i}Z2g{@?F1S`V)yxQ*^7A$Pq`*-u=f z%UpV%kf)!(VwjP`B%_T3Jh7~}5$v~h*O_R2&s`@K%W|yAqI+A-ugef2HsDn!An7r8 z_LVe+ob7c!=o?4x)fDt$0KR|tX7wvb%1k>ERh`cf8NntXIJBg05F&2wU2+e5Eb}tD zIlvoQQa1>(CU+X2V;}tK8NVjMD@J znGJ=+TVU2>9#>u!bZ~&jEC{hCdU9c4;e^5gUS|oV13WQbt5DNu0Xo2AHV|4=9BVd* zD`?yV1epzlRG(h39`m?#o28p4a&NuQz&-<)&p^0~w}jzN*If&T4X7cafPaD`yo)W2 z9Nb3w0=@|dUT9xTJbf07;{dP!5lkMs@Wki>DN7^1R-tCumdx0rb-fV7$iZzSFW{Si z;Dz?R4VSK5sCDnY&%izd%V!{=s{=f-a7W;-MhnmZ9R-vZR0(5}Kj0$B9r*j2On}8s*ASAe~$6T(U zg9AKfbY!+_%2|el!U5iH`wZ+eaHAR6>fYdZmDd+`oZvQwDBzob;DvUB+d3%TYUv&? zoF3~Q)+)G-Aqw~=Ab6o|d0Tg()V=#Y1N#hIFarsF9pH(DI|6q#T7VAlm<@Nc{oA_6 zmrZ&fz`*7-p>TlLSwiUmPt4aU)HGUv4)B-_maeEc)@%+}(6|W*G8+hKOd#twmAlcm zb=A}4@Nx4Iq8Wfc9KITYauL3JIOsFv9Ab+lei%8pG-EBqC25WJnn^AbqJtD191MiU zC1kZg+Ka*)eUH-698!yCRc*?m2NXZQo+* z9N0w4j2&_KIOVS$aG@A=*SpQmxwfYJd0StuxMz+$oQaV_l2EKY| z1ZL6s7Kb`h_?(5L!@0eyAm|``tp5ja4)89vFmiBN`dY{bG;@G= zu`Lo}qsoz`hgSvmhaJ8)TI(szs5`(D)5T_BVLhT8jI2reMQ5~b0)ot31FNwfbGeKT z4)Bs>DWYittU2I|G;5O1{@J&GQ zVp}A{MolOffENo3RFvEl_S?Emr+evOMc*mI-Z#0eV?u_quHj9%ty@D#hIR*dV%SM3 zfUk~WBYRl1K5_r=^&78--6ObF|DsF~65OiO5@L}M8))R@l+nroUT5@m6a*Q7?qKkp81*QDVM0QaYq-bJ zBpV4C)iK)OjlNTc&5~{@LvD4C30Yy#$I+Y{2^rNf+Teq3Nu$lyZ?FrQJIB8>x5HZP zU$l^dS#y9V7Ea{uS~#3ojjS+%d4lWQ)`gLSivfuxa7{q)LVF!A#vAz(cn5gZCsTe^ zV&Q_q0bXYXr2{-MU#n2F@RAw3&TU;7Ik={-!UU!X2wrC8e)FZvmnq(R-(m*H72qvo z>|Zku?r>v+}BLFrP{2(gXyV4TMx560sihxMP&m>K=qxGo2U) z7N!fNAsWdDF#uo9osl&TgeDbNH=4&JG;ace%mzYMSh~k3iHQIggjh4SYQ4##3)C85 z=l2=dXJE}4C`j%APb}OKxNBj0+*_!J33Uf}7h4!PxQ+A)d=n77*cJ(~QT;wH)GS<3 zIKbQ{r zUV3JTVF13`dyK4cu!)r3~PHd^mg@ z0=&w8H0x<{Rrdz*5quL6tgIF?<0*Glwg&MLyaT+-Y9ZD{{~VTqJ@~O&pepebk^~A ze=WpX;mFd%D}u0SQ7sTiHFP{U82JVT0}JcP)4|9JGZ+VW7n={tYK`<6d=n77*n*ly zz6{<0-r0w=tV+o z2zG=hXW@*(0p8a3dg}qV8?S`JVY`tx8L8-&vdK`J50Y-PbYTmzmt9aW0N+1+v-%B~ zw*NOeb2@`=0)otfkl?Z&bGeKT4)B=Kkw;EWbb&NE&6W^i0KR|z(!562IBoy0`<-FJ z>j-YEV-OPDRe<1FaR$`7YKno1bAX2XU=9e>)qkR z{eRGB%n2h0x6wNY32vj)JbIB38)D=z$!O&OZ?}C0_8GXr3}EM=yGMZ-2H^XLZ&tqn z)As*HXHI9(O+b)Y5E5M0V=kA`!2upKI`YWLDK3!a(Bw2*LWlwQ#&TPzkJI-5evi?5 zcpbrQbq_*<+v+ukUnWFHC_o0_#pnWAXd^ssG|nxCc}cf*Z!*6Ep$jAjn-F1AHNY}AB;0eCUGKnUa^z!TF~%)r9U zY!>c@o4Eg<`b;Xr$ib~sXoDamxOJxm%pxH+&d6bs(aHhdidKF~lCQC2kYNTC6*6dX z6{qOJolCWmkaH5I#Zs=@72Q&5epQAESz*95x^x=}x%{=238(GLN12de7cyaz22K8K z;N8%9*+T9xvko@T;Y9APg~N%}$O;RXCpf~p*uu!cZKN;Yn}Fbj_C@^ZTq9or?*OlV z1(Js@JTYGztr^*l_*#XUbzCxIkG(OMSHsA`ZR9QBn}Fbj_PyII-G!?6?l+l%a+6W_ zK`)wt^we8x4jmtEFx}O70Xo2AHr&mwa$8q0P7@GhHWV^(b7P4bTzORxae&7x2(c#j zC>U5cp>TlLSwiUmPt4aU)HGUv4)B-_gccRYn$6(~8aDw!W&G;?5`W^;>#*eLqDX$E#(`4O!g;I*uj zR%8~hd+e+pD>Z)3LLP1+{s$|q#O$;$S4jOdxi9%6;`Fg`HgQLsVjSpf%8Y%BfCFtd zlk`DZjV7ywxFoI7UNgy{hW4(7ShKHDFc4avN>?wCbtCv-x8o!-i~6gxTCdpnISaW< z=O#vuvElRea71NXsxUy~!{Nga;8i9W+E0_KT2CcDpkWgbtgIHYzOG6Hh+2p>lOszH zuL|snv05POC3ugTgYgLk1MvHwMcOR%Q7WBoU+%Ecv=3Z z^5-Kf%xckNZiU3Hly5bVfe!G*!snXqT6o$q>|6i!ZY;yd!8LUi1|h+%nlB+139*4j zPEHxE9K=aKu(t|*9Yq=(7=Z65%IY^@+Wz0@%;^le2?#O^LW0YB%;hpVIKX2@NA}|) z)GR~2K>4?~-JpxUFWKvP{@iifm+;lLD|bjQc`F z2DU(&hYhd_SM+_kO6r>@J#MSuK`uJ=P+enK@=0^L$)cd`OVV21Yd*6~h>lZ$48V)k3v}8p>Fdx}%%GLc z_$Sol8Lk7oi!Hp4;MVDxkc?&y@GiDRLTuE8f&q9jxK>BTMs3)AD?LOo2V zKf!fw>%z#v#el>TxF+aUg%->0^-DQB98RhFWxhXrgzo_F zV)H>+jis-Jd_*$`co$nx!}8Tatkn?(gYM$Q*G6k--LgA7Z+U>@KY<9Ia zCWK5xUeJf6nMwU@X8YBezg!_lyz3mq`5^zkmVXq$AIjSSC>KFyY{t{%V(*q8Mh-6R zRSQ{P*F+)K>{oFSz(8nuDxI&5)@bUE%m=+4Ki6bR?3*c#wrKO5g}f=}X6OTg4&CM3 zndihw^MbRXgE+Ox`hN-5p>8vu@j+RQ=BtIcB(2e2Gs&Qa_O69kGkxA81G{4UfL0Fh zI(tCrU~ECb0Q}*QhX9Y6XzhQZ#+uCKGCDK?L1sZna9NMJTt){6c+BX?I1UaBEQ7C& z)_Q4awuA-@!1q@=X0v#Q*uxJFgV;hRypG_ulDY;~YxSDLFB7686d(ieVswElv=JUR z8s`?nT<1HHTPUA2=HsMR&$H2Wz7v`L+TUB#u!R`;1_c8PPdkP)8au$d*uu!cZKTiO zn}FcOwn&JLnouwRFIFwk>3`75h&sR%V<%1JEL>$XI^e|pKlD29hLMBY=o^Ftx6x@H zy-0`+!Hy8+ESym|!26dQZ_uwX=*zUC3%A*8`?&GS72Q%cdwH7E)0gCQ_b7Pf z48Zpf->iNErtSZY&YaGmn}8s*ASAe~$6PL>g9AKfbYwjdF|Z8v0=@a%-YPU(LN5m3 z_uD#~g+A8v+mAlgb;=!-FF*$1#p(sx|F=k+nH~2T*nS55@YR|L7`a}pk71@egLHs* zv4xR?+en|mHvz$mZIKWgHKAYtUW_gf0(l7V#MntwISV(lS-8WA`~RTNm=i`0ZliY) z65K|odGsP7HpIwblF`ZmUU@JA^(}0_t-H=F%d-8kzWJ_`E@nAKLf$F5rPTbo3=y)z zfD>-(t|{c<`!<(!_b7OM48Zpf->iNGNttQ;f7SUMkr8YHfgiZJ-5bzs3B4GApKx1egG}51E#*WEZ?xdnNvM$Zbxjna%?gkK zcrm&_7Fq%Su=nBVDgE(O*|KCpRv3T$BgKt`T>jeb^qn%y^Eh<3@tBYmMjrpRZX+R= zzqT)ROS(MI#Tj-XbEoh-b33fn{zVH(m^BA@V&O#Yu0{*c0UopAt>#s3>k7tc0)otj zLgIJ-tj9dAyejD60FPM^Vomhq!ob1_g#)~-d3vOV5fSsX3N?)upaVQ+1EEF5v1W6) zg2qiikl8>;^|KAuV;+~bUApumTe^87_tu}l4D63n`~((ZnS?jG6IOTemMq-qx@+OE z0W|~^@K11rcd><$gWE{|9(-v5_zUfeiKowkaU9_FKZ40a7oJ%7n8iqCX~fqm)U4yQ z87pY~1ds48wlH#V8|e%9CLnmBeQ(31D;H|ryYDlw&%lBi*!bRHfkM)yfNKJR%)+)B zT-IYQSJ1%$9y2=fJz6rb3<-sUIH#XJJE=8^z{1U(yBaM(2YAe=P}XodSJ1Qx2r>&o zg3Ef$8NdOd$})PC_(d#zjD8yv4P zwPD8zZexf7z6l6kXdB%*J78cB1jTWE- zJZ6KXD=LmPo5K|}ZUTbL20|JW$ofs?HvYEmB&&Lw96oM7BFX^#;qcWEl#B4)!$EI8 z9Ab+lei%8pG-EBqC25WJnn^AbqJtD17Yu~PC1kZg+Ka*)eUH-698wR|IUUw&`zo!K zd1l@PGxBOdpP8!a=2`DKYJPL(e!UtuwMn>1bYK>0w=%A+2ISg;Dns+W1 z&L|wBZ6{*b zJ%Zax5`+Y|)oTvFOo)z9fDFKk)eH3Qoki&D7&&v+*(}!(Gh7FF7h4!PxQ+A~d=n77 z*cJ(~Q4T@e4*B|Hg^;4e9xbeyz#{cT`oZ3%1R`i(LP~ux1 zD+3+iiQTn8qvRMieuxwI|6!*QFYF${ZFCMog4^gck6t9ih8Q_HWwde-=ky;V)7Md? z!GQtzexj^?1E%f&jn16Tpqqdovmhk6tjAm~qk{uHW_09{!=zrItc%U^@7z8E`wXl* z1N0b97?T0`{kG0#p^x>h){j2b*%`4Q$^g6=U7!PYS(fcEa^~dObf6w&)E(emY+>Z! zHqvMCO+fHsTO`CrO(+lJKJyTt~S?iS6sTH@06j`o7~nhAwyZ$@Fv{W zT~o;Eaf%t*9pH)GwLqis7&fwpMe7sy|6afGYS=x3TlFu>1R=q#IxQg<39*4jPEHxE z9N={K2K03lX>ecwzMm+oUxCrn_W!E$IYJXRq3)?oJzdm6%_= ztrJ~y$EdS67$&6A^b-0w+Ib@(qdG<#ywP{cuvyYAWyr1WF(E4q`Z$_%BO#+YMjL$4 zEorpb`VDp=bLaSX=5|=C{fib-Fl!F*#KMW(T?>a3tC1BZFi&uu+qy7va4{gU1g;4P zUTCl56?r3H0`CB?`ee$lN-SJZIKVr+RV+XUcw)X*p=RMFGj^TZx-fEZOl`$H*E7yIFZvH<-^P zbZG*D%$|hUPvD+h+vZr0dE7BdYIUb0>%=gyEa9`3UTPZ2Xutq`HFrkVI1rjtT-|6M zlhC{g2r?T8sXpXmJ?3$bQBtdW5Ms^Ps`Vy|E>LTLo!@6*pMiB|;H{=DKnHkY;f}yv z3)AD?LOo2VJHWfx!pOmGq)*_RfZ)ZpNQjN<_i>?S;ex^e-n)0e9$C~k@U;px3tu3# zH^`D|7&*9&j0t=b5WLtH39(Vbg1Kwqg2F+Z(^ozS?Do87;HVFDcwU-!wU~k9-_T<` z{(Q(LC!=5hzS?_?tZ}f3lvj0w`AkBWCLqXcAY_GmgJYD$M1TuIteH*>0}I#p2B)7s z*AeNF(Tf52YVM4zaUe9QxVq6iCZTx~5M(wG(wIQjZz{L(ZQc8+y7qSa4D2&--5DrI z=KxPE+!45I;jjT2Sz!Y61pBvjy~(g?!Tc=xw$3^h6b|sje62#w!b_HJ|F-VhOZOEP z!@(|23N9%a*vfrG;Q+6)ACafY>CI-2Sx#KOHd>>pJMuoCi%yRH(&UyaH+;rI zihb18nxN`wayX*CL2Cw<k6t9i zhG0jCau&`g9N=wjmtUf6t$6ZyB^(ZuJ3fzwMYojap>?jxwDHn)Uy_F{#8ej)48Zpf z->iNErtSZY&YaGmn}8s*ASAe~$6PL>g9AKfbmWnfQ@uc^|3QmpOBj~{`0DdgBWs+t z|JVJ_FyVCsx79HS32v*`9DbP)9iadjfETM5=;5E}E3ohec-&x&TMcoY??fi6|C4Q3 z?(jHS+4F07o$o}ZzwYVej zs}|_=A0uZ(9pH(LoH?s()+^w|{Xg_N?}m|s+vppF1h>&?9=%A24KZ?&6W^i0KT!@7V6`){lDL1v>skZa9iDjkl?m@&Eb~`(Gd!e0eCU8KyUOFSa<_G zZZO8JhS>PF?){oeM?Pu3&21eKvcmdKxUIXUkkjK7>FzOdy-JSZ;e_b+oxwT4yV%0W z!L8FXAsNja;9YEsgxIJF1q1M6^#Z-MKt|yJPfTAi0}I#LjDJFHFmeBX>NKSiMhs@}VAJ_C51V%dDueZY%nARUTsg4JDompR<& zx~uU5bb!ZfxSL(&wyt2DCLqXcC}iU1#u7ES@~R->0FPM^VomN*FtBh!;Q+6*gwg?? zn6Fi+X|w&Ro5K|}ZUTbL212S|!Pi%AVCulyx4-SM!o{x0p60^y3U zZtQ*JOJ<<_fga<%+k(_f7w!n$)iwp_0FT*l6WMR;8h0i4m^%hGrwN6FIH#XJJE=8^ zz57VD&E{|gjhlcVvw@Jt1hRfpxs7k@>{C>RJWPo=9D$hr}Hu-kDGnMM88S*=%W{G5f{rE?P_$Jp@s zdN`soE>#$y@!{}c2=FSC4DF}MRjsEIAJDJ~2v$}LSzlKr0z@sun#qx+hgSu5#aJzn z^%A^C&B6GDf&uvI%RD1%6s`SF)anNF89i+`p_MNP32v*`96m^Db!8(LG-d!^%-2S1 zMk=yw2ON16tYbTy#SJqG2Y44-7&*9&^cj2;5WLtH39(TV3I^cC=mJ@2Bi#6}J~w(* zHq7fyN85a`Pvy@?R(Rn>kGT~Rw^F{gZ!HqvMC zO+fHsTO`CrO(+7)b*lENIyGL*v zor93zHag9t7YVT;X5ZGGl+o8w5M=sdUF#tc|w$AP&rtSZhaw3M^Be-=EDr9|K6NPBA0%QPQtX`n^7T{MLBWKP! zTbJxqXoC#T0p7(HMh8{O)vUd`fqqLxz13a;CgXyk?>2YtN z9wyYE;5xT;VdUUqKw=486A--6ULO_-u~EYZLhf3)pl~>3_-X~{08h-%z#vHFXsxFik-4GAs8RF5P8P_ul&q>@%=v1~$GoSfsJ)l)y9rL1tlF4KC|3mrLm2 z0FN0R`4w6+unhIR!Re>Zbwqk(^kM+MuQ93L04lW6b|Rh7t_cV-3qpd+dd%e#Iyk^% zMn`6=rkrJ{7wA1py>riE27dl5RPG|{8Tk2I;geaM!~0xVKOb6Y5W}-`4de z!=?rEQ~lsz?6yvNynCk|3(x_cn6Fi+S$N6P?YDK;TDq)fKU;|%_Ziq{V9Ob(FFj@S z3MJRmfq!VztOyULh~jd$ZQ~Fg|B>$Q4$jYE(oz^Ix!3^OczK)G?Ecw z0KS?#BWoN8O)9Q#G>=JW-UI}h4TLl%koB9&ZTxNBNtXT+!NIOj^lFfS_4-v54yRQ8 zGT$FQ!gqjovH75^#?se9KBAceyo)WUVfkty*6N6Y!NIN`eQmUc)-Ai!_h>6RmCsWf zuHEiA3&}ST|FgB1WNQAGE98iCor5?Z2*BFK!*c$!@7-SWf8!KJ-w zA?xd!D8!omDlP&T2rW;g^R>|$P2G|Cpf};?noNm(Go{fMZJx7`H|5+6eL&EmyL>zI zoH%J-a5i)hr#4ytFTpz0ZRRsRD67$YwGfx2HQH+?8Pw3;wGeBj&wFHGSBxLf$^l+y z4=5dsEhrd(KOFK9;4u@e{ZG_blet_*hbAD%EC>lM>oJ$h=->d4866qN!GVEg@U_ue zFD=cM(BS`X?+tovS&lQYy3OXDCP9z-acJXuAjsK-Ft`G2;Brv;e^pJ^LS>^))+?-WM1m5R9zqt=3I4qmO+s)b*d z2=|bH9)KrtCrC^i;bEhGCc2sYoK3WwHJ#@-k=bsZ%i-a z8_!(gEoHOI+q9#w?bLNq7Nxs;BwV=%;Pd4hS+Bsd{lC)Krz7Yp!03rd#He12RxYA} z0yIzDp7|j1Kq8bAH2jB=-Dm-=JOIBx*2yU3SdMRQJ=VPwF~RBqc#?90_WxR>jLeSv z2y8zB-WqnF=(79Oat(WVN0188P8QR%Q7hpic!HQ^GjK4SD#6>;yr2=J0yO_z3TzQ@ zCkUoE252N#HDGI3jV9(E7w-R~Hgk&U*{GG)CK01n8nw}@5|J+2AM4VsOj}!u>%I5K zy7gn1x1?$NhCh5cdA|Cg4D~#ZbxtB>Y9})b9_!AT2wtZMad(ddiwEFqo2=Lv1LLxT zC~G!fjc};~jAIF`nna8`Zm~{_Xr=&dEP++G=b6K)oS@;^z>UTodB6$y(=DdVVd06G zx0|h->o98BoE>Z;%g&`T*aWjK5e}Gu9)KrtCrC^ez`xFJMu+f+p|WM^C31oO=f6_i zD3Q~z?TSAs<2VmvbsNn~fab|4(ZtDlZY^Aba}{9pWRytpXB%FNHZE*Cb>S<%ptc-$ z@6TZb_SY$X4zsW-ha27ri|?5wmU+NbRm^4ss`Q`0AK?J)WHCJ(wGuvouL6uG%DaWf zXF@*;(DJWf;#h@7V!mq;l3CZHb7gAMaLtH4^nIRIn4XPViJib#0mc*M`x{POIx*M1 z`91>s2&@=^jh_uxWauQ7c^}@Ku2EMA`VUZuryZt)}kb#NmfMRy?#=fR*hgUH2n4iKfJUMZ1E$8-1XO_L$#^&0f32(h z*u>8P9>|ym6bjIK2`Ckyk(dcnm8}V=0L_!p)Fn>Nb8F!eoT~t%C!<6v1L(C|%5D5> z-H=xCHaUFVe1O#h@Ll&|49r>hqU-qKL&18i(M``rbt9IEXi8jjTQ!pF65&n~)`bT| z;})`*Aa3Qt4Sz;mQwzxpwWYzOd|z@RZ=NIh$j=;?nvRCJuQ!qMwRVXH-FrnGb24UM zqfqb$l1A)+<*VR%HFMgjSM$P^iD*h(^BOgCCL6DPnTU9Oz)gS$M1Q2M^o~jeX;Z;B zw-rMZ9iL;Vdl|muL_#;UcO@7VsE+IRUJ=I}j9vHLF)(L<=Km3t0<@FG^lVfwe3{52 z+!UalEUOZcUTJ0V@+v_79gofp*Ro6_>I%?Ec(OeZGp{HGAq(Wyt5De25Zb9%t3=cs zyjrDE8*Q?wG&bFfSM|w7%n^kGv|ik32}TdV=Yb;Y6~G?eDO9|g=m_sB!03tQ;MKeq ztz1L{1!$g_?#0DhA3STW_eZ2!+&%+}5BVbof4lZa7kty=hXiEs}I=mB_=a)R#f#o}Ja%*k0s zlUQSnP!*t^ET(6pR>DW{Re`TKc${*Y4< zH=em}{$G7gW&2s%60f-pQ@rE8B2WPu$yE*5>a1bm$GC9+A2*uO%X z#O--znUoV0d9uCuJGYO(J_45=0e1}t^yvZk{jp9)A;)r8%dN+{cOoWOJpfPQPEdzk z){EB7oSc3%?kIzZx&pM5#q?~{O85xA3NW55s}hl30}2nolb8ugMBRhmPWH#TvyJuL z1*b0YCuN-Kvpm*$iHy@ahqmCc?wpAXuTzZ3T>%=&RSno`*RYToCay2s|Fd@URkM2- zwP>H1F^L$pXtah{m56jSb2vqKDM0C;4czNUSZ8`RDmru|fU5$GC(2uUM_!2+z$-v2zM0ay5-}$f3edVc#spM=Mxt|N zYGPh9V&{3RGd&wsvMVrvsRE3rNxI&2>eB697f;K0Z~Yu2aNF&#Q+$qbKTDs1cf!iQ z5sc`<1MtP(BV>uA-7LK+9om_ICRKpZlTjkYH$`5HHtt|ksx>!>h#L=#2VyqgwPc}P zON4_5;ES;nvcyrMfs-qp+L!?MD!}N;D3J?H-GfcZO9GrpMBKbt%LdDxpjrnzzmLE^ z0+$(qI}V$G3eZT*6M?E?cGta_G7P9IKs#AX&ql3;58$f+E>66VRjzFnTgdq;iMuwOh&^ zY)Z9;CJ}Mtf$>1h<+H)?r_ZH@T12$+0DLiaLY6p6G;ngIQyUZDUIiFE86|RosoVIm z?vrge2Xtq7YDA!N$>DzDb{&Cl2JB`0$IEXjZ8e1m^lq}Q9s z*BBTDQs_-r-YepmlTmz!vra)sgWt(sB$w`takZ+QdNns}kqBp_;5KR{O*U@xA`$U= zx~0+sq9Yw#IyYQ%L-*u$oU$&qeS5Lzg&kjTB1yjLstr)_HaV=QkMQ$bw*QyyCKz)aMy)k8i5Ruks)b*d2=|bH9)Kq) zC+PNX`3l6m0UkE!0rd89k zQ7dgtB1Ww=YNJ;rB3;ZZlL#*bXm@~J_7T`eVA~PE&f)GJ33+$`K3~3(^$IN8|0|7s zI)bhOjGmZ8jOw*$G09 zCK037TD9=&65$>a&;#%!&IH}?6^MBQJZ#X%b9J%tW8J4qPMz~j^Yc8`If-0geiuB} zoimZ)b&BrpF>}A_tl{y1@b(?SDL^|}OwUFw!+VKDxG6w8Sym+?y#^E>fF~&@=uQj~ zg#t7ZzG4r=Tt*ZAi0rU%|35ZbQZYRnwT$2;vO!+U5)rSNWfI|~0PTX3e(nT6=Z@io zcPTd!*YhMY@dsoo#72osrLfEvV(FK7ODXw_GF~DV=rGJq-A0L=er;*Nv3+_k3nJ`^ zEEuGb%Rh~@XH;HyB3Bq$1sP{^kgFzm(WiYVDx01$imId z3sm9Kn*@sjG*3(-;&P3`12G2_3eb89C>5ZQ=v)!hLMj-v@`TE{_K4k>bPk4=Ij|CwMXPyXDWuF98 zfab|~6WJf@Do-WX7&{MSOalr9QieZ$R+4LEf#_VB8e0=k0h%YHsY{%k=hng{I9CBi zPezGU2GDD_l-u~R?)LaC^wI=(zkLMu5jf8XZ1rq#I7_;#w!Va}s{rGPvh}ZZeY#ss z-NT8)Bh5e0soVJ1x_%^|P%4mu`x3qz^nh$6-x>pR25#SEZ~FUSjO$g?vr)acWg?u7 zg4d{-Ta}3P!hbjIf!tR?PbAy$MXi8jjTQ!m<8@F|th`4=;!ULk| zt#suCNizp;<#F7xG*Lfj^1h(+OHSk}ObraJvGM!$u%aSvRXl)m*FBB_S|Jpn{x-S7 zdnxe<$11>BNSVmx^D2@6Q6?g8w6b`46(Dzv#RN$!!fVtNgbye@0AKu=CuE6~YyOQ| z>Cn#bv0a5)Jd=n~Ypq&%lTxjz*K>li2jEF`Zn!2SqZh5i%5y;))}x7CFrrX^cCwhB zjamsG!B+vslVw#R(rZBB0eBL3g2c2DZv3x4H@Yh6=Iy4vZQj}E^3O*uaN&v9+yw&H zLf)|<0u`WS6H-NUw1*Y!V=yK6Wg7Z1Sik99H%IhMOxZavn$6EVT+0eF&f zg6{9d;ze_x==e01Hf1#7#~7h1Ks#AX&ql3;kKn5SsRFW1T!lEZhGj z{3ygC>Dp{5?K-$ENe`7~{B;nwQ9AgLTIFuM{^*WL^4Mt0bS4 zjG^jt{95NGGEVCpTH-Y~6=|bHrczjF`;&!!7kAqeX&mAw{u}PbMwf7Z-HDhR5*fgV z!UOQdnJQ$7eBcd`__QsZ+L!?MD!}N;kc0mvk=LS)JJ^(J%}pZWW^O}N6|*xz{ZBrQ z#ht0Mbj<(g5-R|a2 zZS?^6D!}N;D3J^Not-gmsVF;C%@w$70t^n<1(N4XZ7rsp7 z32q9|P8O4m7q3i2ybdTl=;ZEE=Z0(WuE`aDMr+okd|c{yX}_18NPL#$e|hOCSsMTK zCUU@8r$EXh{r^k)zYE~IbT>gxWs7-pO>7-O_~~^M;I0Q+qa4L#F2Z#X{bO-DK!7@!77wK z?TmKn)!cYxBAOD{+*XaG$;NG6CL(V9zDEz_j`0y*3ebAFMX4ZcLg4}Uu8U)U<_YDR zf1~EPv~m#*ssN)WCK020En2yV1`5zTaeKx(=sb`JIyYR)!n)A{96SJ@&$Nvu_8zf^ zcM2ohO2u4%I^NnFhqBIFGU55&A|4M#XDKs#AX&ql3;kKn5S={#DBay7;mSP#pD*9YdIgs4|CPo*9YI$C zMo&y4M)g{>auE#_pn2l<%mr~PDUZea(r{^vF@FS304ol zlav#*|JNdAWOm#~VEYm9*0B3Tm))f>eNZvY4KYS_vP)R{_S8WmO{5Ye3-v zcoKJlV2ERYM&ccs%!#=gP0T$m-2X>y<`mPjQ7f%YB1Ww=YNJ;rB3-mU)}>pSwzd@4 zd+(2R>&Gr{Nz?WXfB16peDy;a>UkdPoJ7jhPG%N7)}1pEyiO6~?j8vi55U(pS+Ow& z#%23|)@;5S;Zg+{#}ZgIi5PXr;hXu=;+ z7WA_H|JZ0r#axF`%Lr~Fm(OcqBJ7rc9)KrtCrC^ez`xFJMu+f+p|WM^C31oO=f6_i zD3Q~z?TSAs<2VmvbsNn~faQ3eiARD@Bn<5-Xt!LfQl!k z19368A*zZwpiqF;|Ky`2*I*&hxiU4jCZGZ|PezF*PKn%fu1rlrBor$FO+6VU;{Vx3 z)kWe(6dr)5|2kMgp#aSj(~G$9z<40$fIo7sU?6_12sC7`J%O`EQ9mUt0`2jEH47@&FL#`d;h<|INu zp+L&;r_V}qjVusz3aQH01XO_LiJRzae5}g{BY7h+aPEjSPu=j7&k%JlU(N`;n|+PL z%ej`{u1w$kT&{PkXM@8qyt?_CnmCv}rAZySFlBbFJkJpe5|8kyc>pcFZU7HM_}6#2$p#X;%#ym&;zU9}47w&jGJK4`fVD>?YObz{`vTgYO9xRnbx{3&!zEhMj2(;y{781;HsnT9_}^%7|cIP;Ri z+uMcFRwVLfMVp1$)ZHRKbJXpdSzd1< zbCk&o-d4&)#N#6h59DTC=Z0%p)QGwQG!mX{55&xCM?uH}DcAf_ptlE<9)Ks&u&1Ss#Rxf*X&FtLjWI%1fJTyP0G`CeZc-&eff39~KvR;L&zsF!8WkA9 zC_wX9NMMUVIYFQPJTq@lo&Qzga|F5X@!ZYAu-GuO@ktItZJpFf>=YuGT)JMJq2 z6`+yK><{G{mS$r#=TdJBC_MmAVq!O`5}`noNRukT5(*E%Lrj`N7HE1F`^@1K;iW*z z@E=BYqa|{f$TY&N0L>F~O!Y2pnMSl)3h?#BB+{fxynw<3@FZ~z&^$3ci^~-X55!zf zP~;&L>yG;f>?5${2)JuFAOjD;?~irz`$oQPmAjgZltJ%AOz`mlJc&C&yuo_WveeAU zG!;#3iV;->Xe6ly;7LrTO{zpFFoIbLXiDN8Ma+rWX5F*4h#(Z8`70!_MZio@BKAHr zZ&2MI>&`aFcNd(x#GjOLs?YLR=Or>u>l|9*tzk0KITIOPrx?+r0yL7V8nCr9Ygot( z6W9IyKfvYzcoGx4NtFm0Ca#-Anp6qKaXb)nk@Y|yOK$6b(P@q(Ri$x6p#Y^nWX*NJ zZ}vQj@KJy^mdL6(rg|4m&D6xsO;jaxFdJo~ zL}v4tb$H~BVb<;P4tpZ4WBf<04KC&XsuM{VH3euS<~UVV%;sPfvcLf52*YDt1nU8K z5)->gl?Vj}Fe?E~NqD#SfUR$B*36a=Kq){gzM0ay5-}$f3edVc#spM=Mxt|NYGO9y zDP(~G%n^pix(L<-@FXU7lPVDk3}99Qnv%S!0r+%nvj*!(0H*+L#nh$SI~hP}zvD|8 zf!qCciZ5lV$~*(_gvGxR6vv3d1MvBh53E;UwAUrxa>&!{z^Y1=fMO+}sVAdEX0~Mc zD>-c;@$>*PH8vk?!d?>KG%VM|jR(d9F}vSeu*tPVm^=VqjI59)juH)=969M+nVJL$ zC{_ZRdNN9+7{F<(#4{77Dw`i{!d?>KOjqLO&DtV}_ur1_37X#@`ZLWh@>@t&)Uz~Og!EAnqWBYZpnpD+2qdId&%T^hC*vjeLtQ8?mC zsN`XHvr%4iJG8{qhXGS#^C8;=IrW8!h#L=#2VyRt4Te8`E-lm|qLl~W*G*k`#Z$NO zW8Ej2g&p@1*hgUN5lHAz0UC)OK&B>Ub65yjU;uN3{nxr|Fl1OTJ}aILq**>|X`rYy z6Q-*8teLv~*Sd30-3LsDg4~=W+){WTGxvl-0a_tnd2f@$M}SwK2XbXkh_n*Ww2(3p z^On15RaV6TVLj+S`%kbdKr5t7L|piv!+IcJJnP(Wtq7YDA!N$>DrTvWbG_cK2s}Z! ziB$blX_G^=`JG&O&id<3w zq`~iGFOo}lz44LCFH!e^XnF~khHbAQav?<`oXt|8aEY#V>LrDEZJNooNCHHWhM9>}-z8n(TL@K$1x2v$*+*R)EWh&b_* zLO#Mwu4rN<7M+R*R+jswLSo@jOb|#GH0%_Fyg}iCm^oVoAq$LP6rho$8h|I!ugl?VlD4(&AwmQZ*A9%9lIvOv?l*u53PoR}jD1!!B#+pRm?uD`?+w_Sf5 z_mp@`*|^hDwyM`04*#q$T@{O!lz~BGd_6P1@DOB>X2a(BZT9udqg$LkC z;uxTLV!9HSnZv1^py9uuH+xt{NlJmmdKw)h2EhpQ_l%Kk%S&R08dg*(Cy#yWr%qLJZ#X%b9FKNCbCigzu0!>x`&Of>p8j^eiPZM?@!W^ z*+PVz*&oU^>?IvRDnKJiH2_ayVmGN0p}+`cC7>zE%r0-UmPQ3eFbdH8b1ASzpqQZH zKa3n>Ddk~qtrd5dumL-D1ko-~Zb(HHlBFdFOfEB+{fxoSDy?zX0+S zq;7g}ERO{zr5(M!bSHLXgpgu(;x z5R;~mIeLkRedZXA@KS(wK}kP%f}eB8aKgKkn~3Xq5}9~jrb29#$W#i;Y$29@iFdw| zzbNA+a)A!R?9^?P$m!RX7988B_p%_up2&hh8oB(_NP9-*btiI#kyVg!uAbatHV3Pa z1tu^@I6#A#16@@yo7hdNL?|$USqW%L!n?f(Y<*|%$eAr6fl`2$-+{!j3XMePhHIcc z0bEP*ZHdmLv@zo;WPu6H5gwpHbgoQI%qDh|DiI1yU{(T}l3dq-t>52v>hAlgY-OslH31c%c{1MXhQ~VHd`(S4Bor$FO+6VW zVmG&GtHg^aJOEGs3NdkU1XMgRU5U#z3J=5_P$)p_C7@J*Mxt|NYHUqF1!$g(5>1>O zxtR%5RYD{bD*;VC871QXHGb7a;zbl5fbYWdOda>V!#)E02y8I|pQID#sbO|gGrLPA z+XP+#8pQ0ts*2gfZc-&efeFk?KvR%WjrY2?+yGfM@1tu^n0ZmChtEsz_oOXP9BanXd@^aoY#t5XJ z@K)3XC<@R>%vPotE}*)BV@FnK|u8$(uFi*uL?vb$w1xC>2P- zGT^&G56DLHtuZiX;Py@SroRup5w|1<)II1IhKb#zBD9q<5zb~Q$jwQ@pm;zuZ(Al! zn*{VS5%KcgPuTx0bs&!?cqu@uNlGZ$Uo5rJG5o7{U^pO|eAWQY&ASx|7tokr|y7!*iJw7JZ@-cG}| z*A^zCDJ`f`%$b)Iek2!@>%v6D?MoCM5KV7UDrIe5#5onvUD_*H1O$+=!{XX8%k zZX#0+tnE5lW~2u>>_(ictVrZ4xRH92*4X&{dRS2r+qVaB?z+b@Kr4hI6mOGD@S1tO z-6MQf0-6?5CSvlMR^>JXE35L7LOzZjVOM}wNSTPZ(8}`PR48|h#RMG#U!$fVd_ds= z_~NT9AxorO^KaC82lE`Ms@QoXRzlxHQJ6%UR0)R9bLTQVqb9nAlCKL?|$VSqW%Lq8~6*6SK{_XKfKdC_wX9 zNMMVAJ3*QK^3{$1)#v3eDC^;ER)2-n&*h(wTwrJuZw(g+Tnl-}h6q%EMq+jaRmHq) z4g33lKnM@OlbF~|szfNzB+{fxu!O<`@DP)xkOi8a#qOP;+2Iu7r9jH?U(j-+C32X^ zG{UR^%@cD>^)79hMzmTA@b$zb(xghffWiatBykMTJTX0s%M}U_#9U5L{PDIMbmGsY zWb@kauVG76*Kj~C9)RB;>#}Dj84&w78$DYT{P9&_ zdjfg@o}`?h`+KoyAg&2Ha~_q^q}doFR0U`xsRrOlOzb9AA`}?GtOPVA@s1+q#B8(f zSzAO93efx&64)Y8PSB^!x>xrR*hgT)5s2tZ0UF87{!p%AX*S04t`_!C1Mnm!c9SX* z3N(o{sS+%q@Blo-q$y;9rf0Fw98M8l3eb9Sqa_$U0G|hntXIGsQ@vMPrrg0&sN`V} zB9qs&Dlr2J55SYeF+lUgbR{k`%fy+Wz;V0|F2W`LTwWyfvj;tFo5Af5ujLl^65TrA zG>2!IIwz3}Tu33)O6J-*U$`19=;o5EYf&Nr!x*4>GDMLjN(%=AxFZaYbrGxw;7LsECRHL77{IIq zG$k=xnW}7kYqMsygaAqbTJg=4o~mL_C=_Jqz-1(xnY+l;4UcsZU3dVVq-m~N;1q<{|{+My%G+_bZxT+>qr2n0IhlIq|uK12<#)U=?HB6Y;e4`nPW7-aV4Os zCuUo1QYD@qK&IAr7f>od^ThNlF0}dThMd>LTs|8NfBIZns6|9855VU+2G%R!Cfe;& zhvDL}k#TFDx=)qy-s#VO1b+Sbr?_Y6j=-<)m`*?iXe2Y6n!giDQ^kPl5%$NrY%pY4 zFg|OZ4esx`VFD^ZBe}rTy^hDa{4RpeD2!Ix_OUMV?Fl;GWgmfk1hyT4t)30iu)WOe z#Q~SU642BWb2K-p67Tph7l+!F{0SJF4>n;h32>%oapQsUK+Nt0vB|YWm^=VqjI59) zjuH)=967!0sdN8k??K*56EFk}sCY6Ok-@>6wPCQx$DJT<%YTWWAVbS{gC0nC-$S7w z)B>a~C??OMcCRcb{DH9Qo0}2ml zm|)Vm;Tou#T=7SvW?jlh&oKm#Rc`6)?X<;wEzY|X9=s_IY)V7TFRytU@ohO9b7fgQ zu4uC?@|4#vr;J zbTzHo99SK86ehWkn2)$3k()FX_7O&ft_vV0-V;aGgws#~4GN+8r%!7R zq@W#Nfd}%9kcMrqp}#`PL`+`Os@#TPWmR5M7}2B26;vT*BI45alEN(PE$RwlNhmx3 zPuDO8Xr556`J+JZa)Z(Xu}2gu0ZlzIi8QGaFQD)MJV_h_G*3*=;)2g}=Yg1YZn!2S zqZ=*2gl?Vk!Fe?E~NoIEW8_2md(KB8G0PGgk05ttwq*7Yl{d%0h)g<1-1yd69iKn12mGEOsmnPb&uuw3PY#? zcoGx4NtFl%nnapZ36@ZJ03Kq}6tY0mv)E^rNraaIv~(*3>RZT5daP?4kHiz#*l4Xg zP1`s85m*~wy4|5PC*Ju={<4gd$OSqK^HX=uMDRLAh`WXpEFOT*mv3affWQoQpwN4@ z?GF*HmI8do5?Iw7+G`Sz<9Hxun_Nc8}$OU?y|4MPAL{7i9EB>U6<2;PjZ8R^D3-mnyYu!ePoPKTZc@H{0&dCw>L|TXN zcUl`<%Kud-5-@5C&`7E$H(L`>0h%Y{qkVX+tKM=*h=gJ#ps6S0M6$1r7Z@a7MBxE= z`sWjgizA@oiRn*V%x#FOVh$)2p!GlbD9JTgNX|cXiBqD`uW;(Zwo@0r;*S7N)DACd z1oqb{zNqQSlfB_Rv3QCXmzhn?-LmuGTu;Cw9H2p__u{sLXiXxT(vq6w-0^Pj0o&i% ztov5BNFWrT`6p;(i-7qCqql%{Ef<)&hasC+ICbCOaO%=9j(fR}z&--ojljmw2FDAV z*^3h#R|1-PVot;+RpLby9)KrFV}Ry~=~-O76O=hI2NVjV41fBpB-h9SnLdvaToj;r z;wJhUAM5hLNZv>coI4`TQ#btNGeq6XmoozIW?$p*a<1jKE7NyBm+Rf?+2Ak?@AO`5 z@3PGnGp!C?m@+|M31~_(y{T>dSU3FX^Hx*$AY(9hjK0XJ+w!rFhVgC~%Dvo2U>|{P zM$`B0kJO{&d-^)eBDC|U|~bIE`3J+W7#X^sE#ft z-V+Biz0fiTXjW+cAHgY*f@R28-~pM)Y-5|Qrd71JQYP{Um!;5k0q$5?F7(e)CNFqf zDH9Qok0?BlJH}#yj&UPu3eZS+vON$puP6l}3#450M}giRPP-XbDCSz~_M?>lNUAMxpoel4MTo5yeVCQ%^L9 z_L{^CC_Dg962}0|6Vtu8cq@cCF}oAw1-}@V_;Y!&@Xs3f@T|RGyz}sir|#k6qc`rL z|B>8X!vU@yfX~k_Ud=+5$djeeJGAW&X1kbH%M^ss9NKFVVP==NU+yhTgxwO*1Mno} z1l`|@#axV#Gntmrq}doFR0U`xsRrOlOzb9AA`}?GtOPVAnfbigtff(b5sU&fe}x3L z2$U1_>CZFs2G#k0^|?V37wY2eh2mDiZaj0{{J;9FB>MT&iMNIg^StA}B2WPu$;|#x zu3>35MsqIp#(>fT@FXU7lPVDkG>J5+5-g$c06fH`DP)1BXR*&5P7z)TqzwOIWH(wO zhlxxh%nHyvF~?Nz(w1pNtEB*6PfQ|Bs>BN@JOED;#{kU})3dl-q3}S=` zREbbv1hW#*l*BuVm=m+jx@T<>K`21;S4d!sfSI5~?0sh5pt?WSoo$fsE;x0GKPlr> zpXIU6OJtnZIkd!E!(^m$CNjKEF``EWXe3uPU~6aAu#g!hu9tVUphFG7lbF~|szk^z zaor@+q)IT3GitxND}yCoJ|%xq#!KV^ z9Uf+5Zj{Ju9|ERUW zrTkxYA_=3W0FA^Pr>ctC9IQeX7{DB1c&v+HJpfN)VmGN0p}+uUC7>w@@Ae+B^{vgC z*%AUM1!%=LQ+ih-=7d54T6f2ofC|t^bgoQI%w{}=EHHpM!thuZ!Fm9m#Kdk=B|?D# z%t}C0k~cK~pRR4zU>ynI6rin`x^#Od11Rlxd?_Pv+wHGYd?|BPTC2V3CJALDF)^eFXLq*lGmsxT6GAfJS1rGF8Rwu6r?M7*JP$MiOfPp2Wm%QYAux z0nAE3Qxe|5JP@Kqx?4@odoD-*dwRRDedJb7g8`HsdK|fdPyHG?G{Y@FXU7 zlPVDk3}99Qnv!_4#+;aK);(*B073!Uim4lZ@{#5{zN8U2@CzMYQpS6(G6IL+<*mrS z^^EZG0DQjW1M3wS?R9C`Ud#@xszl+4E1{By-OWaM&F#<#LZhLeBMiyCU!e;U+TSUzlcIQW#^=!Y5WFa*>x5`q^ddce47k zR$p%-Ut?SqNTD}f@jKx>kV$xlvra)sgWt(sB$w`b<0F+{qV56F^b#%&+g?NDLW)E< zo25YE5?$@oOA7HSHIr+R1c)LL@!}T}dO+q#2bazb*QAk;*U{%2S$-9oX%pr4$FQni z1+Aa0<|Wb;eC8#EH)&zC_C%6=)m4jMyiE=(>La{8kSOm_C;Ad`AHD() zE3rrft0>EBS|v|JoOnqgA7Lg}G_ewkPQ?Q&%Y9QJvG6D+2qX&{b_zn? zpzuJ{d$_L@W}FoIbLXi9?Fb^$zz$yLY#BNzo}a$7AQ>M&NJ zk?7oTO-NuI12mGEOsmnPb&t0w6?!(H^Z-1GiQS}1gaS2(_L>AsC_DfUF=+}}py^)h z-U?w(%n^kGw5{ds)*WuwU*d_|uD^|YO1!0P-04Q$g^j1K|B>8X!wJ{y0r-6RM%F9f z@BeN419z|#DtXw0$mBJxO3Z-51Mnnq4A49=U5U%g;Z#o0@L$k!qXh)@0DOLaaaHt6HIx)^>F z*{J_tY&&z^!$#Nj9Ni4RiEP#PC+Wy+AwtgV59J#6l8zu1ppm2+fG07rn^cKVU<9)g z(3E6mm$z9lHA^ zRPWWcKX3<2p^}F^h)iD7s>BQ^JOED;#{kU})0Mc)98PwEI0muX#cg{qH*C~2y*!`i7_YApiXAO@Bgg3yoO55#PNZN`^lM8Cj_uQXSrB1QWWgYf zT>fdKJ)`ov6S>03D#$ojPi`@rgH^}^6PP0$ph3)muBw<#>?Tzr6qvxQ1T-b#-QEMX zzO#4a%$ATqDL~8bK;l@1Mxt}WHBg@buBG_4L}yaknDG>{zy#(956~bwSEeRr6T3;3 z2n8lED*;VOu4};7?{7PG_x;py-#fgZ5y0yd(+kRXPqiO`&?VjkyDorHfJS1rGF91{ zfC|t&8Siz&W1VikrY0d0ij{z-o{ST*o7=Qi;zbl5fTw?jn7B9sDxR3G#N`@=2VxE= z6rlAIP%1zp(YZ1;wkDtgG*3o}CQgpr%!H{bArgv}fTo^|67l~Uzv?3KA_@<{cj0-a zj{DwWAAx-Ywitm=(uwobFuSRl-KCOk0Cv#cX0XsS=^U1ZE|mDamyW*jntt zJ!^{uLIIk;4M(;JloK@k>2qnJ7J2}gnnW|>ne~%b6BGq#5S=Si6SIlkq)LPW6PT5N zrX-)$)ZIx=JHEUTNI!acIqw-`1kz7Q6j|vPFp2jMBxGW ztsm=d`Syf+-eDhseFQcgfvuhm4#QQM9avRynBKmotwR^4Owd;Xnv(Et?*Uu4{l;cS+I+?*r~iU&mVwq??^NkA_X5ijrkg#F)A2l9A=mjbk!q*Svs@tCDT z&h>h`BJc#^CNkk)m_jJ15%Gq%{1N3UD-yX~(Z=n&iTwR0?+ZG=-bBi`$-SrbiP`o* zhWLP+1qFB9X~e#UL4lM+o6F4W?KEtAZDAss(t;YroOwy%M{+T_E=)w+zC_^x(exIz za)P9pgSULxIfgchUxj9yoa^;=Htv+}CNkB)+ODHzMtYFLZp69DibSr08>uI0jg8;0 zhZPmEeR}}su6rBuJOOwcj#HEIgN2NWKFFTTnWvP8-?|3yik(MdCGkmU z1%@{9)^LHqwUBpgh(HBsBxYAoRm{uQu)qHYgzx}7iHY5$N`wMUB2B6UODH@54>4&9 zS)l1z?A{5Q9ZnHm3ZxAG1uZvPB8Q1gBg_iWJTb>q@6wiOM60C$Ur$UTO{&BTC_Dg9 z62}0|6VtP}T%qtl%;f~dA8)%sC;nVYHm?m2+g{LzZD)>tN8a}Ow(RX;%g(5;{zr0m z4F}}n0r>r~E_-&80kMCx(X&OtA72HwC!h!5Ny-VjzZZ)J;+l{%=TR9=nvF3+Re(m4 zY5<0L@<^fh_{%1bxb^dvzayeFQcffr!2o zppne%59Jz`W@9YxYGDsG08e6KH>nb#K$A$5D!~#855PlAnnD(6dKUZ4;S}Me0Ie4{ zT7uC7@OhxfdIiie)qAyN${j3)N*?wgGI>p_5;LIi06a+?12j)eSK>0WOq>Y{9LMY6 zB3$Cn=3u+Q z<0~D9Ki`fqjytJ&iA*+FXPo~^aic`mrJuD*@=3`Usy@fBb#5Z#w9cU=UQ$z$HcDhF zg@v|1S?G6hw>^=@A%5b&;cjen3HR5Xh`Aw=0gNa-0AHM`LY6o>+7q9)-$=7UYxO9GtfK-|o2h^k_CCaC|($Fcac79|oei~*V_qeKIz zL~gHe>K;~&$S`C&SEeQo<%e(UZb&4-<&iah+siXy(pejHknc37v zJE632Fn~M4@K_hYdH|lp#BNe0LV*FyNMDu1pQabZxT+>qr2n0IhlI zq|uK12<#)U=?HB6Y;e4`nPW7-aV4OsCuUo1QYD@qK&IAr7f>od^ThNlE@lT-Rm|nH z!SJWgrG;8VwDJIao?~FW0&b$+K6Mx_9vc}qohwrlb2&ku#IWNF9D!fIz?}D#F#^B7 z<8eqp1!yEQo0`89N>jyv>Jj$Gx@<6HSTH_oo(=BrxnTk-KqI-p)P1gxb^76QYcd|) z8?5%42$Z*;)PF<{9)PcG*<#9ZbRs6+ZOA;c7Y9UG325rc zD3Qi*+9aNNm{XO_2b-{$1UQq3xOv;~Fj(Z_P7t?rEfFRUz!xJcWQn6h!;sA@oVtyF zts6#~{}Mq#Rt4V;dLXU7heBZpm6!3ldxEY&N~HfMOrZxvW3Dx9dySeGQYP{QpQS*q zNcb)N--Uivm|Wp)rA$OT4k$dJVS-8LhHIc|a>a|KW?jlh&oKm#Rc`6)?X<;wEzY}4 zd!#gNzvgXZ+6UG+?_xdTiZ(VzyZ+1eCYNdPdJ{R|tWzN6k^cWB{oe)fUAh|qa~5dC zoVUr*o47vUL+Sz1AB)(gt7+Bdzvrh zo;b25oQ4W$PzcRGeOhxM1?~6>JdkgMG;Dhf{S{IsV)B|+tMZbQCAR4Lg4{;x`r`8^MrEEpW=F#8Cq zK5ESMeVH_i40^(J&ujvE0G`C1ATe!(hmHDqj&6qEM4qGX4f=f8ppWP3V)#vDtNuSp zN8TECkD_dUShj{EI#7T{l4<~+#Kdk=B|?D_%t}C0l9^rJW-W~hj9?U?`R7t#i$F0! z!+$|5!ma>~WG2%hnzSOBr$^-C~=@yfqENFlK z_mX5TYiiP6s^%$V(dx9NWXLLfNSHu>O=xYMFAQ~_2g!20xCfBWPG#_k9E~s4hfM^tOPXmWSmI$ z)$sy@#EU3A08jsXB5`p9R6H^LiHo@nQB}+Vg#xtxCm$ub1`Emgr!H|y6#5lTUD$T& z!dLte;ECGdMUB93Al$AWt%N#S{=GDWrDsE z(3E6)Q``8lZuryZt)}il#$fIkeUVeQ0FX#Ar6Di*&muS$Z-V;Zo{53{e!5eoPu>+Q`0=(Y)Z?=2tKe&-T zWE!@;wk#1%X+e!bKGOfcL}(9){x<#J+Oob6NH<{gnwa*-Ef0dn3%W!IGE{$ zmN`JPLi7I!PJt9GL%sqJ$V6rv+jKRpqP>+ekw>^Jg{}*5$I5b{e~vPF!P`oihd6nb#zzAj~pef1B=gnpand|2N)n_Hq&!0}bHEfvY9rqQ13eZSq_J?u}OS3VW zbE!85lpcU5F|nIeiBOs zfaZxgrh1pQOe0z?1^9Ym5@}K;UO?dic#=2-n~84%S2@FZ~z(8dy3bw^}onYa@)4t_@MH+N;Q#LK7TFUoj{T%g0l zY|M=knayL?;f6mcW4G`eQ|BczPODLiuK$C}=H%HE$%CJ>{y2YZpR>DqtUZzX*!Y$| z^6Bl_SDi@0cq%|6F~_N@Vm1e>kOc-XM;IRKB3KW=lbF~|szfL-fLRG>O2WIn2W)+7 zvu3u007?N`@y(Rpm54c^P=MCmF(#k_G!mUFQxmfpPaz8oV2&_6)sn>AQR0yqU|E2b{p-bu^fCgjh-i@)Igb&4-`3Ip$im49RzaakUK z&zF2)y#k}XF7cK_o@NJDRiXqGD*;VC870!VB}+U#fJ}|e2b-{$1UQq3xbeVvAZGIo zhLDV|CBozZ_+n&*EOC@*;N-|j=gQP1KtQn)(A1M5kz~hiFcgET28m}TOjR~N*o3_# zz-d^niJLcT!(fqzJ3-QN$9)9$5!h-3?zp1_RDecewlY=4?5=w;Wf)LbfJPE)0G`Ce zZc-&efdR})KvNRlz&sGM&AMl85kM$FTk&kr-QRP=1XO@VqH|?xVm9L`WPt&U0yL6X z1Mnm!c9SX*3JhRY0-BO|v&Nj5ZPq<&ivU6a+KQp=rOpVQlY!l?v z7bYTZJTM-JxqLPl{`9%DP>YCG9)Mprb>S6H-Nuh~pJWzx+(%#^fvra%p+f~|Bzgdu znwZUDA!LC8%n|lq>$1U+VZr#Ucs7t``K+aZqS8#5s^YU|>h@pj&OLP>Fc}JRbCPgN z;epKD6AA@rg?#0`O%5LcUVR?Ol|3QSN%0$dt?xs~)6$ga%p#SVY!L9(UkTMZ* z;lG>qzq>+!;(dQdkeifQ& z6Xo{Du&Q1Kt)H#tCDIgp<|TzUX<@YXM3Q{fRf}J|O%5ySBfLG3DDP1y2sy?mpVraq z{<;7kz5);A+j$M!UPE{*u}B1~D9dYFB~L`0cu652VJ25Ju@Z|;#RDtLeN!Q^@F*q- zBnujL3PRqX@IcI*t%8sRMlcG{NKy^JlW5rXnnWltf>{Y@N`l#T0X&JxRmcJ(7zJo@ zTP+{zFjk?F=-hBkNMIZTG?JN2tI?!&kGCildN!c+06d9_-K0u{0yT&BngmNIJOB?d zX$o1O>0a#K3Smyn5rqP@t>x|39d6fO;)&a?zm0oJyrpd1=|&3&!R%_(3Yu5d|EA25Jq!ouStZN&s%n)H;+g{4<3LgDJSUmZ}~FBya66I z=;OJ%7=9DksQ+JVJ9FK`M%VQm-3-5pY}NNC>Bwv$LeA_D` zREbbv1hW#*lw@X?w^>W00wWj&X#Tkr*dkC&(C{Bdj<730Bbmvxh$gKJnsceQ29zFv zCo!>`REbcaNu)`YU;2|bWAqzA;i+yI9M0hDcy94a9kH9_x+mC>|h7gl?XX{iI}{mRSA|*cmN(^(iAdB zFA=fNERzT?1!xzP^m8ZpId=>vyi2)>xSl7GiRWc1#72osrLfEvV(FK7=PUV(GF~DV z=rGJq-A0L=er;*Nv3+_k3nHA7$XP#XrzEoAI?w0wPv;ADTBO&V$Q1@hL58Y&a*Np< ztU?x;z#QQK4Pp*-RmE&#H>nb#zyxL`peYG2K@ZsaE_+1hgqbZNfl`2$-+{!j3XMeP zhHIcc0bEP*ZHdmLv@zo;WPu6H5gwpHbgoQI%qDh|DiI1yU{(T}l3dq-t>52v>hAlg z6`8vfj%@6McXNt8VOyW(j>jK&;KqE0*nW}6}Km}-?jQ6_Xu}(K%QTqAP$)92DcMl)fmO0*LaeYsOt%o@+649K=qch`5i7cXuE(vMzT z%6q~*0_i8b>vaK|0yGjmfJ}|838(&LoVzCGcdci2Z@AAwCr zfES;F^li2>RmE(!m`c70{1Fb&AiDXQnwU-OCRHL7n82(AG$rBP-UGI7{c9a}y8l`? zGpGG1d9%hG+c*BTuFvTSr2;8f3w$@|0oh2tH3sGk+`h@)^!K4RV)Y(S_n==GCU%pG z&{oPsIGd#)Hzx^$;sMdTW0^E<641*;#LIg>VgI+(fjpkzr2wraDb*}ZJZ7nobG_cK z2s}Z!iA?wxrVt8hM7-gBe?+;;ibO8*l0rARoc#SMb8x`D zgMvFTG-6-Fpg>BZ&1L5Gb{e+5wlEP*X+e!*&b*}XBe|Gd7bYTZU!w4UXnKoUIYH9Q z!CScnbSzC2zY5K^IM?g#Y}_f`O=PNpwOvQcjPxLf-H3CQ6^UF0H&Rd18XLb~4=XBS z`}P3NUH3Q!XoXOO;%#yXUNf(^dxXzQK+{6XL`+`Os@#TPWmR5M$Vb>C>4&9S)l1z z?A{5Q9ZnHm3ZxAG1uZvPB8Q1gBg_iWJTb>q@6wiOM60C$Ur$UTO{&BTC_Dg962}0| z6VtP}T%qtl%;f~dA8)%sC;nVYHm?m2+g{LzZD)>tN8a}Ow(RX;%g(5;{zr0m4F}}n z0r>r~E_-&80kMDj*t12!A72HwC!h!5Ny-VjzZZ)J;+l{%=TR9=nvF3+Re(m4Y5<0L@<^fh_{%1bxb^dvzayeFQcffr!2oppne% z59Jz`W@9YxYGDsG08e6KH>nb#K$A$5D!~#855PlAnnD(6dKUZ4;S}Me0Ie4{T7uC7 z@OhxfdIiie)qAyN${j3)N*?wgGI>p_5;LIi06a+?12j)eSK>0WOq>Y{9LMY6B3$Cn zY;w(;S{<>YPL_Fw6@c>&}_T@H$0z4F_DG2jCYx*72s;@nk7T z+qq-Z><>+9-$PcoiD*g-Y7%T_m!E&Ea}(j%3FrZMl5&DRiNPP=nM})QeXyZmhX_pp z8cC`FcoGx4NtFmWdWl3hECu+I%$!FyYiX3Dmx$N{3J<`On2(o`7sKDa}yb-bq+1@lA4ONQ6f_*?6!RbKGzY5 zpKD@!Ui%2VxDg257jaqA*OLcVe9uoS2o;XCWr26EwIksD8L@JGE#=XFKUbt4hrbGu zhl|o-XU;wXA$lRpzoK{lyYR95B60IH{5(zC&E4ek^tyYY+_OB^jmOG)*c>}FXfgMl zf2_;BUtIk;9_z+~Bwt01xwB~Vk9BA1W)E`82*gtw?``)H*mwjIe~G$rryF&5*r=ap z>L$G6se9OdOovCxxTfnjD_RXV3Sp+h>DbfOsnECb+f3DE@@_xT4>PgabY$>8rjxO6 zgDBFEO_O3KyJH|FMQogeFFlAew|ZLM|; z!!R1^G+g?;RsPOdTT(5IwEhh<#FZHuN2qzv$+72@`VO^4UF0FoP=Ef%y0(i*%iZ_K zy5(c_9G>5Mj!ySJWd!2FfmrIdFx-oGOXYF%;;(7AfWuIEon?6TsY~9#4);y@os4o` z3x|7fxScxV2qf?3Y`Ev{Ux<=*XFh7p^O=P@e>Fw9R*67~#huqWcPu*H~^#z;1 ziCL{oEzrvYNz%=5Ps=Vb$N+qChuKbJCX|3!2OD6vvJ%4z$ zBzIc>V|S&sK3rb>pR7Dxhn(zwDZ}-h=+-)oxTyBM>2Dyk=iC3S$R>18!rlI`JLa1C z9l0*u%8zkh-~P0({i|-MeJ!8Rp(o9HV6svpYOVVVb~s$!y0vUt@(H3g|8tVaZ@#Uy z?)KZVP;TCPweFiMSvc1}(3(WaF})A0#>jIunEp-VT1r=5&5f`*+^pi z>Cuxutz3^^{mn7gpQ_)Hd(-{J7jg|h{Fg)R>bpbjhyU^zkSdyC&PrWJExqd5%h zd8?e(^sM;B75(iH_d|RCu%G68Y~Ed&Yi8A+wKj7?xcg}za#*h2ak-LVSekzAxYKo6 zEz5AI4twpOOZl(4_&{Uf)v}1g&RX`8zH{VwNXH#iG|A@Oy`Bd2ib?iWPHX>;EZX9@ zpLWB3N-s|s_PlX_n)R4xO-Dp)#~oJBimaATi%t~>J1*BwYu#g#Zr^;{t92hEn`zI} znlu>Uan~KO-@Re=_G9PO+}K4gSZ_2jUhW;KbaH$+-<7pd;7ox9WJDRMpAVRPG0W$L~PomX>X zYkzs5w)*2cnWmrp8!s>AhT7Oq_qYEeaKEEHHqT@#*CF=kZeDj-ujb!zONZWa^%v=1 zaDxNxQ2sSn$9tZH%TagToQ-m?_OIwyC#UAe*8cKHP4##qchXaq|3gR_aAB|AKhkVZBIgakE*iSRj{4=tz4zcrdXzqmYC#dWG z^X|Xkm*yh$pM2!+fu8=L`^)dX{kyB~?>nw`fA_ODe}B+w##XEE{_?wBA7Q9U1d02r zzKd(bjeq&w+rPWI{`>A%e?Y!Yb#TahsXF*dmEv+RV&5WP31-=$860TMb8r2icG8Ts zA;Qox1*7WVI8pxQzZ#5jsF;$UscyTG`TzOb|L;E?@3p@7<8OcKPelu;{wn>Mgy8Cj z-~50bh)rC*fkVbh_2!%IXMfiH<_BFLfmjOG{plMD#%!m}EIT-muQa>)(>FguZyZb_ zNU)#v9giZ210~6l#z0RSeGTf+=pQtlek3I` zvJaOz8WLiMAwiX{qTURB440`BAkBse;NCO`9%^p(EmV;MNoBgn&ESaMW}9Ku^xB4I zG~MIgK4htns^81f`G+@ugJ$HLv3`}Vf_?KL(YQr#gD(Xes>3*pec9nDyPzSRzxgX- zC9@$(&LLx;aP=5p_7x>@GpR4br~hv7OGCw!eAI<*Wd6VZ!~grA{_LM${o8MK|1rcr z>^@TUZ@T}O{`e0M(m1QqM*W935P==2W4a(zJ2`CWf{#ZquD(BlaWIqU z2@5Sdu1Q0)gE*j>RNtam&vM*avv(A%OTQ|cz5RP)sTDa;u*FG=FZae(xK&=8&}88Bz3ZVMgRANZs6sfuQVR$a{jOXfR%s!)$Jc&f5AYX$~S-f$AbzZ%Q2mw z@PW@){FmR27?R@zP-dJCv9~%TQsrhtRhs?pf6)B|5pH?jhAOf2$tL!zeyA}d7~h|K lH_HJLv{|RUNtH*EtNq04bT9jq^rNoVmNk<<+56?y{|`&Z*rxyh literal 0 HcmV?d00001 diff --git a/bsp3/Designflow/ppr/sim/vga.tan.rpt b/bsp3/Designflow/ppr/sim/vga.tan.rpt new file mode 100644 index 0000000..766881b --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.tan.rpt @@ -0,0 +1,659 @@ +Classic Timing Analyzer report for vga +Thu Oct 29 17:00:52 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. Clock Setup: 'clk_pin' + 7. tsu + 8. tco + 9. tpd + 10. th + 11. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+----------------------------------+--------------------------------------------+------------------------------------------+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+----------------------------------+--------------------------------------------+------------------------------------------+------------+----------+--------------+ +; Worst-case tsu ; N/A ; None ; 6.710 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; -- ; clk_pin ; 0 ; +; Worst-case tco ; N/A ; None ; 10.979 ns ; dly_counter[0] ; seven_seg_pin[1] ; clk_pin ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 13.335 ns ; reset_pin ; seven_seg_pin[1] ; -- ; -- ; 0 ; +; Worst-case th ; N/A ; None ; -3.134 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; -- ; clk_pin ; 0 ; +; Clock Setup: 'clk_pin' ; N/A ; None ; 191.53 MHz ( period = 5.221 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+----------------------------------+--------------------------------------------+------------------------------------------+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP1S25F672C6 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; Off ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; clk_pin ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 0.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Setup: 'clk_pin' ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; N/A ; 191.53 MHz ( period = 5.221 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 5.035 ns ; +; N/A ; 196.04 MHz ( period = 5.101 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.915 ns ; +; N/A ; 197.63 MHz ( period = 5.060 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.874 ns ; +; N/A ; 199.88 MHz ( period = 5.003 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.817 ns ; +; N/A ; 199.88 MHz ( period = 5.003 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.817 ns ; +; N/A ; 199.88 MHz ( period = 5.003 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.817 ns ; +; N/A ; 201.21 MHz ( period = 4.970 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.784 ns ; +; N/A ; 202.43 MHz ( period = 4.940 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.754 ns ; +; N/A ; 206.19 MHz ( period = 4.850 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.664 ns ; +; N/A ; 206.53 MHz ( period = 4.842 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.656 ns ; +; N/A ; 206.53 MHz ( period = 4.842 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.656 ns ; +; N/A ; 206.53 MHz ( period = 4.842 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.656 ns ; +; N/A ; 208.20 MHz ( period = 4.803 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.617 ns ; +; N/A ; 210.44 MHz ( period = 4.752 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.566 ns ; +; N/A ; 210.44 MHz ( period = 4.752 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.566 ns ; +; N/A ; 210.44 MHz ( period = 4.752 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.566 ns ; +; N/A ; 212.09 MHz ( period = 4.715 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.529 ns ; +; N/A ; 213.13 MHz ( period = 4.692 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.506 ns ; +; N/A ; 213.54 MHz ( period = 4.683 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.497 ns ; +; N/A ; 214.09 MHz ( period = 4.671 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.485 ns ; +; N/A ; 217.20 MHz ( period = 4.604 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.418 ns ; +; N/A ; 217.58 MHz ( period = 4.596 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.410 ns ; +; N/A ; 218.10 MHz ( period = 4.585 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.399 ns ; +; N/A ; 218.10 MHz ( period = 4.585 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.399 ns ; +; N/A ; 218.10 MHz ( period = 4.585 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.399 ns ; +; N/A ; 219.39 MHz ( period = 4.558 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.372 ns ; +; N/A ; 219.73 MHz ( period = 4.551 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.365 ns ; +; N/A ; 219.73 MHz ( period = 4.551 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.365 ns ; +; N/A ; 220.07 MHz ( period = 4.544 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.358 ns ; +; N/A ; 220.07 MHz ( period = 4.544 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.358 ns ; +; N/A ; 220.07 MHz ( period = 4.544 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.358 ns ; +; N/A ; 220.07 MHz ( period = 4.544 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.358 ns ; +; N/A ; 220.07 MHz ( period = 4.544 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.358 ns ; +; N/A ; 220.12 MHz ( period = 4.543 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.357 ns ; +; N/A ; 221.19 MHz ( period = 4.521 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.335 ns ; +; N/A ; 221.19 MHz ( period = 4.521 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.335 ns ; +; N/A ; 221.19 MHz ( period = 4.521 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.335 ns ; +; N/A ; 221.19 MHz ( period = 4.521 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.335 ns ; +; N/A ; 221.19 MHz ( period = 4.521 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.335 ns ; +; N/A ; 223.02 MHz ( period = 4.484 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.298 ns ; +; N/A ; 223.41 MHz ( period = 4.476 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.290 ns ; +; N/A ; 223.81 MHz ( period = 4.468 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.282 ns ; +; N/A ; 224.52 MHz ( period = 4.454 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.268 ns ; +; N/A ; 224.57 MHz ( period = 4.453 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.267 ns ; +; N/A ; 224.57 MHz ( period = 4.453 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.267 ns ; +; N/A ; 224.57 MHz ( period = 4.453 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.267 ns ; +; N/A ; 225.33 MHz ( period = 4.438 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.252 ns ; +; N/A ; 225.43 MHz ( period = 4.436 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.250 ns ; +; N/A ; 227.38 MHz ( period = 4.398 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.212 ns ; +; N/A ; 227.43 MHz ( period = 4.397 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.211 ns ; +; N/A ; 227.53 MHz ( period = 4.395 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.209 ns ; +; N/A ; 227.58 MHz ( period = 4.394 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.208 ns ; +; N/A ; 227.63 MHz ( period = 4.393 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.207 ns ; +; N/A ; 227.74 MHz ( period = 4.391 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.205 ns ; +; N/A ; 227.89 MHz ( period = 4.388 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.202 ns ; +; N/A ; 228.00 MHz ( period = 4.386 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.200 ns ; +; N/A ; 228.00 MHz ( period = 4.386 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.200 ns ; +; N/A ; 228.00 MHz ( period = 4.386 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.200 ns ; +; N/A ; 228.00 MHz ( period = 4.386 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.200 ns ; +; N/A ; 228.05 MHz ( period = 4.385 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.199 ns ; +; N/A ; 228.15 MHz ( period = 4.383 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.197 ns ; +; N/A ; 228.31 MHz ( period = 4.380 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.31 MHz ( period = 4.380 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.31 MHz ( period = 4.380 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.31 MHz ( period = 4.380 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.31 MHz ( period = 4.380 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.31 MHz ( period = 4.380 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.41 MHz ( period = 4.378 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.192 ns ; +; N/A ; 228.41 MHz ( period = 4.378 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.192 ns ; +; N/A ; 228.41 MHz ( period = 4.378 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.192 ns ; +; N/A ; 228.73 MHz ( period = 4.372 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.186 ns ; +; N/A ; 228.73 MHz ( period = 4.372 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.186 ns ; +; N/A ; 228.73 MHz ( period = 4.372 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.186 ns ; +; N/A ; 228.73 MHz ( period = 4.372 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.186 ns ; +; N/A ; 228.73 MHz ( period = 4.372 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.186 ns ; +; N/A ; 229.67 MHz ( period = 4.354 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.193 ns ; +; N/A ; 230.41 MHz ( period = 4.340 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.154 ns ; +; N/A ; 230.41 MHz ( period = 4.340 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.154 ns ; +; N/A ; 230.41 MHz ( period = 4.340 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.154 ns ; +; N/A ; 230.47 MHz ( period = 4.339 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.153 ns ; +; N/A ; 231.21 MHz ( period = 4.325 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.139 ns ; +; N/A ; 231.37 MHz ( period = 4.322 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.136 ns ; +; N/A ; 231.70 MHz ( period = 4.316 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.130 ns ; +; N/A ; 232.72 MHz ( period = 4.297 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.111 ns ; +; N/A ; 232.72 MHz ( period = 4.297 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.111 ns ; +; N/A ; 232.72 MHz ( period = 4.297 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.111 ns ; +; N/A ; 232.72 MHz ( period = 4.297 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.111 ns ; +; N/A ; 232.72 MHz ( period = 4.297 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.111 ns ; +; N/A ; 233.48 MHz ( period = 4.283 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.097 ns ; +; N/A ; 233.48 MHz ( period = 4.283 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.097 ns ; +; N/A ; 233.48 MHz ( period = 4.283 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.097 ns ; +; N/A ; 233.48 MHz ( period = 4.283 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.097 ns ; +; N/A ; 233.48 MHz ( period = 4.283 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.097 ns ; +; N/A ; 235.35 MHz ( period = 4.249 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.088 ns ; +; N/A ; 237.02 MHz ( period = 4.219 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.033 ns ; +; N/A ; 237.08 MHz ( period = 4.218 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.032 ns ; +; N/A ; 237.08 MHz ( period = 4.218 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.032 ns ; +; N/A ; 237.08 MHz ( period = 4.218 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.032 ns ; +; N/A ; 237.25 MHz ( period = 4.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.029 ns ; +; N/A ; 237.25 MHz ( period = 4.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.029 ns ; +; N/A ; 237.25 MHz ( period = 4.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.029 ns ; +; N/A ; 237.25 MHz ( period = 4.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.029 ns ; +; N/A ; 237.25 MHz ( period = 4.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.029 ns ; +; N/A ; 239.06 MHz ( period = 4.183 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.022 ns ; +; N/A ; 239.06 MHz ( period = 4.183 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.022 ns ; +; N/A ; 239.06 MHz ( period = 4.183 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.022 ns ; +; N/A ; 239.06 MHz ( period = 4.183 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.022 ns ; +; N/A ; 239.06 MHz ( period = 4.183 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.022 ns ; +; N/A ; 240.73 MHz ( period = 4.154 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 3.968 ns ; +; N/A ; 240.73 MHz ( period = 4.154 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 3.968 ns ; +; N/A ; 240.73 MHz ( period = 4.154 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 3.968 ns ; +; N/A ; 240.73 MHz ( period = 4.154 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 3.968 ns ; +; N/A ; 240.73 MHz ( period = 4.154 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 3.968 ns ; +; N/A ; 240.91 MHz ( period = 4.151 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 3.965 ns ; +; N/A ; 240.91 MHz ( period = 4.151 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 3.965 ns ; +; N/A ; 240.91 MHz ( period = 4.151 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 3.965 ns ; +; N/A ; 240.91 MHz ( period = 4.151 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 3.965 ns ; +; N/A ; 240.91 MHz ( period = 4.151 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 3.965 ns ; +; N/A ; 242.66 MHz ( period = 4.121 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 3.935 ns ; +; N/A ; 242.66 MHz ( period = 4.121 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 3.935 ns ; +; N/A ; 242.66 MHz ( period = 4.121 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 3.935 ns ; +; N/A ; 245.22 MHz ( period = 4.078 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 3.917 ns ; +; N/A ; 245.22 MHz ( period = 4.078 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 3.917 ns ; +; N/A ; 245.22 MHz ( period = 4.078 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 3.917 ns ; +; N/A ; 245.22 MHz ( period = 4.078 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 3.917 ns ; +; N/A ; 245.22 MHz ( period = 4.078 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 3.917 ns ; +; N/A ; 245.88 MHz ( period = 4.067 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; clk_pin ; None ; None ; 3.917 ns ; +; N/A ; 245.88 MHz ( period = 4.067 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 3.881 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 247.59 MHz ( period = 4.039 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 3.878 ns ; +; N/A ; 251.83 MHz ( period = 3.971 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 3.760 ns ; +; N/A ; 252.40 MHz ( period = 3.962 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; clk_pin ; None ; None ; 3.812 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.19 MHz ( period = 3.934 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 3.773 ns ; +; N/A ; 254.97 MHz ( period = 3.922 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 3.747 ns ; +; N/A ; 255.95 MHz ( period = 3.907 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 3.732 ns ; +; N/A ; 255.95 MHz ( period = 3.907 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; clk_pin ; None ; None ; 3.732 ns ; +; N/A ; 255.95 MHz ( period = 3.907 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 3.732 ns ; +; N/A ; 255.95 MHz ( period = 3.907 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 3.732 ns ; +; N/A ; 256.67 MHz ( period = 3.896 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 3.710 ns ; +; N/A ; 256.67 MHz ( period = 3.896 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 3.710 ns ; +; N/A ; 256.67 MHz ( period = 3.896 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 3.710 ns ; +; N/A ; 256.67 MHz ( period = 3.896 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 3.710 ns ; +; N/A ; 256.67 MHz ( period = 3.896 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 3.710 ns ; +; N/A ; 257.53 MHz ( period = 3.883 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 3.697 ns ; +; N/A ; 257.53 MHz ( period = 3.883 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_0 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 3.697 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.67 MHz ( period = 3.881 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 3.695 ns ; +; N/A ; 257.93 MHz ( period = 3.877 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 3.702 ns ; +; N/A ; 257.93 MHz ( period = 3.877 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 3.702 ns ; +; N/A ; 257.93 MHz ( period = 3.877 ns ) ; vga_driver:vga_driver_unit|hsync_state_1 ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 3.702 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 259.40 MHz ( period = 3.855 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 3.669 ns ; +; N/A ; 260.28 MHz ( period = 3.842 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 3.631 ns ; +; N/A ; 260.28 MHz ( period = 3.842 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 3.631 ns ; +; N/A ; 260.28 MHz ( period = 3.842 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 3.631 ns ; +; N/A ; 260.28 MHz ( period = 3.842 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 3.631 ns ; +; N/A ; 260.28 MHz ( period = 3.842 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 3.631 ns ; +; N/A ; 260.62 MHz ( period = 3.837 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 3.687 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; N/A ; 260.76 MHz ( period = 3.835 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 3.649 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ +; N/A ; None ; 6.710 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; +; N/A ; None ; 6.539 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; +; N/A ; None ; 6.539 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; +; N/A ; None ; 6.539 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; +; N/A ; None ; 6.539 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; +; N/A ; None ; 6.539 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; +; N/A ; None ; 6.423 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; +; N/A ; None ; 6.395 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; +; N/A ; None ; 6.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; +; N/A ; None ; 6.146 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; +; N/A ; None ; 6.026 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; +; N/A ; None ; 5.928 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; +; N/A ; None ; 5.928 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; +; N/A ; None ; 5.928 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; +; N/A ; None ; 5.869 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; +; N/A ; None ; 5.795 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6 ; clk_pin ; +; N/A ; None ; 5.555 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; +; N/A ; None ; 5.540 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; +; N/A ; None ; 5.540 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; +; N/A ; None ; 5.540 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; +; N/A ; None ; 5.540 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; +; N/A ; None ; 5.510 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; +; N/A ; None ; 5.510 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; +; N/A ; None ; 5.510 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; +; N/A ; None ; 5.495 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; +; N/A ; None ; 5.356 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; +; N/A ; None ; 5.045 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; +; N/A ; None ; 5.045 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; +; N/A ; None ; 5.045 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; +; N/A ; None ; 5.045 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; +; N/A ; None ; 5.045 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; +; N/A ; None ; 5.038 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; +; N/A ; None ; 5.038 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; +; N/A ; None ; 5.038 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; +; N/A ; None ; 5.009 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; +; N/A ; None ; 4.641 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; +; N/A ; None ; 3.474 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; clk_pin ; +; N/A ; None ; 3.469 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync ; clk_pin ; +; N/A ; None ; 3.465 ns ; reset_pin ; dly_counter[0] ; clk_pin ; +; N/A ; None ; 3.465 ns ; reset_pin ; dly_counter[1] ; clk_pin ; +; N/A ; None ; 3.244 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; clk_pin ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+-------------------------------------------------+----------------------+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+-------------------------------------------------+----------------------+------------+ +; N/A ; None ; 10.979 ns ; dly_counter[0] ; seven_seg_pin[1] ; clk_pin ; +; N/A ; None ; 10.874 ns ; dly_counter[1] ; seven_seg_pin[1] ; clk_pin ; +; N/A ; None ; 10.512 ns ; dly_counter[0] ; seven_seg_pin[10] ; clk_pin ; +; N/A ; None ; 10.500 ns ; dly_counter[0] ; seven_seg_pin[11] ; clk_pin ; +; N/A ; None ; 10.407 ns ; dly_counter[1] ; seven_seg_pin[10] ; clk_pin ; +; N/A ; None ; 10.395 ns ; dly_counter[1] ; seven_seg_pin[11] ; clk_pin ; +; N/A ; None ; 10.367 ns ; dly_counter[0] ; seven_seg_pin[9] ; clk_pin ; +; N/A ; None ; 10.367 ns ; dly_counter[0] ; seven_seg_pin[2] ; clk_pin ; +; N/A ; None ; 10.262 ns ; dly_counter[1] ; seven_seg_pin[9] ; clk_pin ; +; N/A ; None ; 10.262 ns ; dly_counter[1] ; seven_seg_pin[2] ; clk_pin ; +; N/A ; None ; 10.189 ns ; vga_control:vga_control_unit|r ; r2_pin ; clk_pin ; +; N/A ; None ; 10.189 ns ; vga_control:vga_control_unit|r ; r1_pin ; clk_pin ; +; N/A ; None ; 10.189 ns ; vga_control:vga_control_unit|r ; r0_pin ; clk_pin ; +; N/A ; None ; 10.157 ns ; vga_control:vga_control_unit|r ; d_r ; clk_pin ; +; N/A ; None ; 10.096 ns ; vga_driver:vga_driver_unit|vsync_state_6 ; d_set_vsync_counter ; clk_pin ; +; N/A ; None ; 9.924 ns ; dly_counter[0] ; seven_seg_pin[12] ; clk_pin ; +; N/A ; None ; 9.924 ns ; dly_counter[0] ; seven_seg_pin[8] ; clk_pin ; +; N/A ; None ; 9.924 ns ; dly_counter[0] ; seven_seg_pin[7] ; clk_pin ; +; N/A ; None ; 9.819 ns ; dly_counter[1] ; seven_seg_pin[12] ; clk_pin ; +; N/A ; None ; 9.819 ns ; dly_counter[1] ; seven_seg_pin[8] ; clk_pin ; +; N/A ; None ; 9.819 ns ; dly_counter[1] ; seven_seg_pin[7] ; clk_pin ; +; N/A ; None ; 9.806 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; clk_pin ; +; N/A ; None ; 9.798 ns ; vga_driver:vga_driver_unit|vsync_state_3 ; d_vsync_state[3] ; clk_pin ; +; N/A ; None ; 9.346 ns ; vga_driver:vga_driver_unit|hsync_state_1 ; d_hsync_state[1] ; clk_pin ; +; N/A ; None ; 9.346 ns ; vga_driver:vga_driver_unit|hsync_state_1 ; d_set_column_counter ; clk_pin ; +; N/A ; None ; 9.305 ns ; vga_driver:vga_driver_unit|hsync_state_6 ; d_hsync_state[6] ; clk_pin ; +; N/A ; None ; 9.275 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_vsync_state[0] ; clk_pin ; +; N/A ; None ; 9.161 ns ; vga_driver:vga_driver_unit|hsync_state_0 ; d_set_hsync_counter ; clk_pin ; +; N/A ; None ; 9.123 ns ; vga_driver:vga_driver_unit|h_sync ; d_hsync ; clk_pin ; +; N/A ; None ; 9.123 ns ; vga_driver:vga_driver_unit|h_sync ; hsync_pin ; clk_pin ; +; N/A ; None ; 9.002 ns ; vga_driver:vga_driver_unit|column_counter_sig_5 ; d_column_counter[5] ; clk_pin ; +; N/A ; None ; 8.969 ns ; vga_driver:vga_driver_unit|column_counter_sig_7 ; d_column_counter[7] ; clk_pin ; +; N/A ; None ; 8.889 ns ; vga_driver:vga_driver_unit|column_counter_sig_9 ; d_column_counter[9] ; clk_pin ; +; N/A ; None ; 8.858 ns ; vga_control:vga_control_unit|g ; d_g ; clk_pin ; +; N/A ; None ; 8.858 ns ; vga_control:vga_control_unit|g ; g2_pin ; clk_pin ; +; N/A ; None ; 8.858 ns ; vga_control:vga_control_unit|g ; g1_pin ; clk_pin ; +; N/A ; None ; 8.858 ns ; vga_control:vga_control_unit|g ; g0_pin ; clk_pin ; +; N/A ; None ; 8.735 ns ; vga_control:vga_control_unit|b ; d_b ; clk_pin ; +; N/A ; None ; 8.735 ns ; vga_control:vga_control_unit|b ; b1_pin ; clk_pin ; +; N/A ; None ; 8.735 ns ; vga_control:vga_control_unit|b ; b0_pin ; clk_pin ; +; N/A ; None ; 8.601 ns ; vga_driver:vga_driver_unit|v_enable_sig ; d_v_enable ; clk_pin ; +; N/A ; None ; 8.569 ns ; vga_driver:vga_driver_unit|vsync_counter_8 ; d_vsync_counter[8] ; clk_pin ; +; N/A ; None ; 8.565 ns ; vga_driver:vga_driver_unit|vsync_counter_7 ; d_vsync_counter[7] ; clk_pin ; +; N/A ; None ; 8.562 ns ; vga_driver:vga_driver_unit|vsync_counter_9 ; d_vsync_counter[9] ; clk_pin ; +; N/A ; None ; 8.468 ns ; vga_driver:vga_driver_unit|v_sync ; d_vsync ; clk_pin ; +; N/A ; None ; 8.468 ns ; vga_driver:vga_driver_unit|v_sync ; vsync_pin ; clk_pin ; +; N/A ; None ; 8.431 ns ; vga_driver:vga_driver_unit|vsync_state_1 ; d_vsync_state[1] ; clk_pin ; +; N/A ; None ; 8.431 ns ; vga_driver:vga_driver_unit|vsync_state_1 ; d_set_line_counter ; clk_pin ; +; N/A ; None ; 8.361 ns ; vga_driver:vga_driver_unit|hsync_state_5 ; d_hsync_state[5] ; clk_pin ; +; N/A ; None ; 8.344 ns ; vga_driver:vga_driver_unit|vsync_state_5 ; d_vsync_state[5] ; clk_pin ; +; N/A ; None ; 8.342 ns ; vga_driver:vga_driver_unit|vsync_counter_2 ; d_vsync_counter[2] ; clk_pin ; +; N/A ; None ; 8.340 ns ; vga_driver:vga_driver_unit|vsync_counter_4 ; d_vsync_counter[4] ; clk_pin ; +; N/A ; None ; 8.340 ns ; vga_driver:vga_driver_unit|line_counter_sig_2 ; d_line_counter[2] ; clk_pin ; +; N/A ; None ; 8.317 ns ; vga_driver:vga_driver_unit|vsync_counter_3 ; d_vsync_counter[3] ; clk_pin ; +; N/A ; None ; 8.317 ns ; vga_driver:vga_driver_unit|vsync_counter_1 ; d_vsync_counter[1] ; clk_pin ; +; N/A ; None ; 8.285 ns ; vga_driver:vga_driver_unit|column_counter_sig_4 ; d_column_counter[4] ; clk_pin ; +; N/A ; None ; 8.275 ns ; vga_driver:vga_driver_unit|vsync_state_2 ; d_vsync_state[2] ; clk_pin ; +; N/A ; None ; 8.273 ns ; vga_driver:vga_driver_unit|vsync_counter_0 ; d_vsync_counter[0] ; clk_pin ; +; N/A ; None ; 8.271 ns ; vga_driver:vga_driver_unit|line_counter_sig_7 ; d_line_counter[7] ; clk_pin ; +; N/A ; None ; 8.270 ns ; vga_driver:vga_driver_unit|vsync_state_4 ; d_vsync_state[4] ; clk_pin ; +; N/A ; None ; 8.253 ns ; vga_driver:vga_driver_unit|h_enable_sig ; d_h_enable ; clk_pin ; +; N/A ; None ; 8.247 ns ; vga_driver:vga_driver_unit|line_counter_sig_8 ; d_line_counter[8] ; clk_pin ; +; N/A ; None ; 8.238 ns ; vga_driver:vga_driver_unit|hsync_state_4 ; d_hsync_state[4] ; clk_pin ; +; N/A ; None ; 8.236 ns ; vga_driver:vga_driver_unit|hsync_counter_9 ; d_hsync_counter[9] ; clk_pin ; +; N/A ; None ; 8.225 ns ; vga_driver:vga_driver_unit|column_counter_sig_2 ; d_column_counter[2] ; clk_pin ; +; N/A ; None ; 8.209 ns ; vga_driver:vga_driver_unit|hsync_state_6 ; d_set_hsync_counter ; clk_pin ; +; N/A ; None ; 8.209 ns ; vga_driver:vga_driver_unit|hsync_counter_4 ; d_hsync_counter[4] ; clk_pin ; +; N/A ; None ; 8.190 ns ; vga_driver:vga_driver_unit|hsync_state_0 ; d_hsync_state[0] ; clk_pin ; +; N/A ; None ; 8.114 ns ; vga_driver:vga_driver_unit|column_counter_sig_3 ; d_column_counter[3] ; clk_pin ; +; N/A ; None ; 8.092 ns ; vga_driver:vga_driver_unit|hsync_state_3 ; d_hsync_state[3] ; clk_pin ; +; N/A ; None ; 8.085 ns ; vga_driver:vga_driver_unit|hsync_counter_0 ; d_hsync_counter[0] ; clk_pin ; +; N/A ; None ; 8.084 ns ; vga_driver:vga_driver_unit|hsync_state_2 ; d_hsync_state[2] ; clk_pin ; +; N/A ; None ; 8.083 ns ; vga_driver:vga_driver_unit|vsync_counter_6 ; d_vsync_counter[6] ; clk_pin ; +; N/A ; None ; 8.074 ns ; vga_driver:vga_driver_unit|column_counter_sig_0 ; d_column_counter[0] ; clk_pin ; +; N/A ; None ; 8.067 ns ; vga_driver:vga_driver_unit|hsync_counter_8 ; d_hsync_counter[8] ; clk_pin ; +; N/A ; None ; 8.063 ns ; vga_driver:vga_driver_unit|hsync_counter_7 ; d_hsync_counter[7] ; clk_pin ; +; N/A ; None ; 8.024 ns ; vga_driver:vga_driver_unit|hsync_counter_6 ; d_hsync_counter[6] ; clk_pin ; +; N/A ; None ; 8.020 ns ; vga_driver:vga_driver_unit|hsync_counter_2 ; d_hsync_counter[2] ; clk_pin ; +; N/A ; None ; 8.019 ns ; vga_driver:vga_driver_unit|vsync_state_6 ; d_vsync_state[6] ; clk_pin ; +; N/A ; None ; 8.013 ns ; vga_driver:vga_driver_unit|hsync_counter_3 ; d_hsync_counter[3] ; clk_pin ; +; N/A ; None ; 8.011 ns ; vga_driver:vga_driver_unit|line_counter_sig_3 ; d_line_counter[3] ; clk_pin ; +; N/A ; None ; 8.010 ns ; vga_driver:vga_driver_unit|line_counter_sig_5 ; d_line_counter[5] ; clk_pin ; +; N/A ; None ; 8.003 ns ; vga_driver:vga_driver_unit|hsync_counter_5 ; d_hsync_counter[5] ; clk_pin ; +; N/A ; None ; 8.001 ns ; vga_driver:vga_driver_unit|line_counter_sig_6 ; d_line_counter[6] ; clk_pin ; +; N/A ; None ; 7.991 ns ; vga_driver:vga_driver_unit|line_counter_sig_0 ; d_line_counter[0] ; clk_pin ; +; N/A ; None ; 7.965 ns ; vga_driver:vga_driver_unit|hsync_counter_1 ; d_hsync_counter[1] ; clk_pin ; +; N/A ; None ; 7.891 ns ; vga_driver:vga_driver_unit|column_counter_sig_8 ; d_column_counter[8] ; clk_pin ; +; N/A ; None ; 7.833 ns ; vga_driver:vga_driver_unit|vsync_counter_5 ; d_vsync_counter[5] ; clk_pin ; +; N/A ; None ; 7.803 ns ; vga_driver:vga_driver_unit|column_counter_sig_1 ; d_column_counter[1] ; clk_pin ; +; N/A ; None ; 7.795 ns ; vga_driver:vga_driver_unit|column_counter_sig_6 ; d_column_counter[6] ; clk_pin ; +; N/A ; None ; 7.754 ns ; vga_driver:vga_driver_unit|line_counter_sig_4 ; d_line_counter[4] ; clk_pin ; +; N/A ; None ; 7.735 ns ; vga_driver:vga_driver_unit|line_counter_sig_1 ; d_line_counter[1] ; clk_pin ; ++-------+--------------+------------+-------------------------------------------------+----------------------+------------+ + + ++-----------------------------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+-----------+-------------------+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+-----------+-------------------+ +; N/A ; None ; 13.335 ns ; reset_pin ; seven_seg_pin[1] ; +; N/A ; None ; 12.868 ns ; reset_pin ; seven_seg_pin[10] ; +; N/A ; None ; 12.856 ns ; reset_pin ; seven_seg_pin[11] ; +; N/A ; None ; 12.723 ns ; reset_pin ; seven_seg_pin[9] ; +; N/A ; None ; 12.723 ns ; reset_pin ; seven_seg_pin[2] ; +; N/A ; None ; 12.280 ns ; reset_pin ; seven_seg_pin[12] ; +; N/A ; None ; 12.280 ns ; reset_pin ; seven_seg_pin[8] ; +; N/A ; None ; 12.280 ns ; reset_pin ; seven_seg_pin[7] ; +; N/A ; None ; 5.951 ns ; clk_pin ; d_state_clk ; ++-------+-------------------+-----------------+-----------+-------------------+ + + ++------------------------------------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ +; N/A ; None ; -3.134 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; clk_pin ; +; N/A ; None ; -3.355 ns ; reset_pin ; dly_counter[0] ; clk_pin ; +; N/A ; None ; -3.355 ns ; reset_pin ; dly_counter[1] ; clk_pin ; +; N/A ; None ; -3.359 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync ; clk_pin ; +; N/A ; None ; -3.364 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; clk_pin ; +; N/A ; None ; -4.063 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; +; N/A ; None ; -4.065 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; +; N/A ; None ; -4.065 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; +; N/A ; None ; -4.065 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; +; N/A ; None ; -4.066 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; +; N/A ; None ; -4.066 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; +; N/A ; None ; -4.066 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; +; N/A ; None ; -4.067 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; +; N/A ; None ; -4.068 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; +; N/A ; None ; -4.068 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; +; N/A ; None ; -4.378 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; +; N/A ; None ; -4.531 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; +; N/A ; None ; -4.775 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; +; N/A ; None ; -4.899 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; +; N/A ; None ; -4.928 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; +; N/A ; None ; -4.928 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; +; N/A ; None ; -4.928 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; +; N/A ; None ; -4.977 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; +; N/A ; None ; -5.009 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; +; N/A ; None ; -5.012 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; +; N/A ; None ; -5.014 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; +; N/A ; None ; -5.017 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; +; N/A ; None ; -5.020 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; +; N/A ; None ; -5.022 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; +; N/A ; None ; -5.023 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; +; N/A ; None ; -5.024 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; +; N/A ; None ; -5.026 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; +; N/A ; None ; -5.027 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; +; N/A ; None ; -5.043 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; +; N/A ; None ; -5.043 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; +; N/A ; None ; -5.043 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; +; N/A ; None ; -5.246 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; +; N/A ; None ; -5.385 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; +; N/A ; None ; -5.400 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; +; N/A ; None ; -5.400 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; +; N/A ; None ; -5.400 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; +; N/A ; None ; -5.430 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; +; N/A ; None ; -5.430 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; +; N/A ; None ; -5.430 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; +; N/A ; None ; -5.430 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; +; N/A ; None ; -5.445 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; +; N/A ; None ; -5.651 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; +; N/A ; None ; -5.651 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; +; N/A ; None ; -5.651 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; +; N/A ; None ; -5.651 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; +; N/A ; None ; -5.651 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; +; N/A ; None ; -5.671 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; +; N/A ; None ; -5.681 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; +; N/A ; None ; -5.685 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6 ; clk_pin ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Thu Oct 29 17:00:51 2009 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning: Found pins functioning as undefined clocks and/or memory enables + Info: Assuming node "clk_pin" is an undefined clock +Info: Clock "clk_pin" has Internal fmax of 191.53 MHz between source register "vga_driver:vga_driver_unit|vsync_counter_4" and destination register "vga_driver:vga_driver_unit|vsync_state_3" (period= 5.221 ns) + Info: + Longest register to register delay is 5.035 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X37_Y35_N4; Fanout = 6; REG Node = 'vga_driver:vga_driver_unit|vsync_counter_4' + Info: 2: + IC(1.033 ns) + CELL(0.459 ns) = 1.492 ns; Loc. = LC_X38_Y35_N7; Fanout = 3; COMB Node = 'vga_driver:vga_driver_unit|un12_vsync_counter_7' + Info: 3: + IC(0.854 ns) + CELL(0.087 ns) = 2.433 ns; Loc. = LC_X36_Y35_N1; Fanout = 4; COMB Node = 'vga_driver:vga_driver_unit|un14_vsync_counter_8' + Info: 4: + IC(0.565 ns) + CELL(0.087 ns) = 3.085 ns; Loc. = LC_X35_Y35_N6; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3' + Info: 5: + IC(0.564 ns) + CELL(0.087 ns) = 3.736 ns; Loc. = LC_X36_Y35_N7; Fanout = 5; COMB Node = 'vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa' + Info: 6: + IC(0.573 ns) + CELL(0.726 ns) = 5.035 ns; Loc. = LC_X35_Y35_N6; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_3' + Info: Total cell delay = 1.446 ns ( 28.72 % ) + Info: Total interconnect delay = 3.589 ns ( 71.28 % ) + Info: - Smallest clock skew is 0.000 ns + Info: + Shortest clock path from clock "clk_pin" to destination register is 3.191 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X35_Y35_N6; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_3' + Info: Total cell delay = 1.428 ns ( 44.75 % ) + Info: Total interconnect delay = 1.763 ns ( 55.25 % ) + Info: - Longest clock path from clock "clk_pin" to source register is 3.191 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X37_Y35_N4; Fanout = 6; REG Node = 'vga_driver:vga_driver_unit|vsync_counter_4' + Info: Total cell delay = 1.428 ns ( 44.75 % ) + Info: Total interconnect delay = 1.763 ns ( 55.25 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Micro setup delay of destination is 0.010 ns +Info: tsu for register "vga_driver:vga_driver_unit|hsync_state_3" (data pin = "reset_pin", clock pin = "clk_pin") is 6.710 ns + Info: + Longest pin to register delay is 9.916 ns + Info: 1: + IC(0.000 ns) + CELL(1.295 ns) = 1.295 ns; Loc. = PIN_K2; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(4.777 ns) + CELL(0.459 ns) = 6.531 ns; Loc. = LC_X35_Y35_N2; Fanout = 32; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(1.669 ns) + CELL(0.459 ns) = 8.659 ns; Loc. = LC_X29_Y33_N4; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 4: + IC(0.531 ns) + CELL(0.726 ns) = 9.916 ns; Loc. = LC_X28_Y33_N3; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|hsync_state_3' + Info: Total cell delay = 2.939 ns ( 29.64 % ) + Info: Total interconnect delay = 6.977 ns ( 70.36 % ) + Info: + Micro setup delay of destination is 0.010 ns + Info: - Shortest clock path from clock "clk_pin" to destination register is 3.216 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(1.788 ns) + CELL(0.560 ns) = 3.216 ns; Loc. = LC_X28_Y33_N3; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|hsync_state_3' + Info: Total cell delay = 1.428 ns ( 44.40 % ) + Info: Total interconnect delay = 1.788 ns ( 55.60 % ) +Info: tco from clock "clk_pin" to destination pin "seven_seg_pin[1]" through register "dly_counter[0]" is 10.979 ns + Info: + Longest clock path from clock "clk_pin" to source register is 3.191 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X35_Y35_N5; Fanout = 10; REG Node = 'dly_counter[0]' + Info: Total cell delay = 1.428 ns ( 44.75 % ) + Info: Total interconnect delay = 1.763 ns ( 55.25 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Longest register to pin delay is 7.612 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X35_Y35_N5; Fanout = 10; REG Node = 'dly_counter[0]' + Info: 2: + IC(0.476 ns) + CELL(0.332 ns) = 0.808 ns; Loc. = LC_X35_Y35_N2; Fanout = 32; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(4.309 ns) + CELL(2.495 ns) = 7.612 ns; Loc. = PIN_M9; Fanout = 0; PIN Node = 'seven_seg_pin[1]' + Info: Total cell delay = 2.827 ns ( 37.14 % ) + Info: Total interconnect delay = 4.785 ns ( 62.86 % ) +Info: Longest tpd from source pin "reset_pin" to destination pin "seven_seg_pin[1]" is 13.335 ns + Info: 1: + IC(0.000 ns) + CELL(1.295 ns) = 1.295 ns; Loc. = PIN_K2; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(4.777 ns) + CELL(0.459 ns) = 6.531 ns; Loc. = LC_X35_Y35_N2; Fanout = 32; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(4.309 ns) + CELL(2.495 ns) = 13.335 ns; Loc. = PIN_M9; Fanout = 0; PIN Node = 'seven_seg_pin[1]' + Info: Total cell delay = 4.249 ns ( 31.86 % ) + Info: Total interconnect delay = 9.086 ns ( 68.14 % ) +Info: th for register "vga_driver:vga_driver_unit|h_sync" (data pin = "reset_pin", clock pin = "clk_pin") is -3.134 ns + Info: + Longest clock path from clock "clk_pin" to destination register is 3.191 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 63; CLK Node = 'clk_pin' + Info: 2: + IC(1.763 ns) + CELL(0.560 ns) = 3.191 ns; Loc. = LC_X34_Y35_N2; Fanout = 3; REG Node = 'vga_driver:vga_driver_unit|h_sync' + Info: Total cell delay = 1.428 ns ( 44.75 % ) + Info: Total interconnect delay = 1.763 ns ( 55.25 % ) + Info: + Micro hold delay of destination is 0.100 ns + Info: - Shortest pin to register delay is 6.425 ns + Info: 1: + IC(0.000 ns) + CELL(1.295 ns) = 1.295 ns; Loc. = PIN_K2; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(4.641 ns) + CELL(0.489 ns) = 6.425 ns; Loc. = LC_X34_Y35_N2; Fanout = 3; REG Node = 'vga_driver:vga_driver_unit|h_sync' + Info: Total cell delay = 1.784 ns ( 27.77 % ) + Info: Total interconnect delay = 4.641 ns ( 72.23 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 140 megabytes + Info: Processing ended: Thu Oct 29 17:00:52 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp3/Designflow/ppr/sim/vga.tan.summary b/bsp3/Designflow/ppr/sim/vga.tan.summary new file mode 100644 index 0000000..bef5743 --- /dev/null +++ b/bsp3/Designflow/ppr/sim/vga.tan.summary @@ -0,0 +1,66 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 6.710 ns +From : reset_pin +To : vga_driver:vga_driver_unit|hsync_state_3 +From Clock : -- +To Clock : clk_pin +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 10.979 ns +From : dly_counter[0] +To : seven_seg_pin[1] +From Clock : clk_pin +To Clock : -- +Failed Paths : 0 + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 13.335 ns +From : reset_pin +To : seven_seg_pin[1] +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : -3.134 ns +From : reset_pin +To : vga_driver:vga_driver_unit|h_sync +From Clock : -- +To Clock : clk_pin +Failed Paths : 0 + +Type : Clock Setup: 'clk_pin' +Slack : N/A +Required Time : None +Actual Time : 191.53 MHz ( period = 5.221 ns ) +From : vga_driver:vga_driver_unit|vsync_counter_4 +To : vga_driver:vga_driver_unit|vsync_state_3 +From Clock : clk_pin +To Clock : clk_pin +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/bsp3/Designflow/sim/beh/modelsim.ini b/bsp3/Designflow/sim/beh/modelsim.ini new file mode 100644 index 0000000..0a48df5 --- /dev/null +++ b/bsp3/Designflow/sim/beh/modelsim.ini @@ -0,0 +1,1305 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0-in compiler on the VHDL source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageSub = 0 + +; Automatically exclude VHDL case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/report/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect ; +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Run the 0-in compiler on the Verilog source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a Verilog condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; This may make major reductions in coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. Allow VHDL +; subprogram inlining and VHDL FF recognition. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Change Verilog gates to continuous assignments. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block, or +; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active. +; For PSL, Default is on only when vsim switch "-assertdebug" is used +; and the vopt "+acc=a" flag is active. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that +; are included for toggle coverage. This leads to a longer simulation time with bigger +; arrays covered with toggle coverage. Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable is 1 +; SVCovergroup63Compatibility = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the memory +; requirements of the constraint scenario exceeds the specified limit. This +; value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Maximum number of evaluations that may be performed on the solution graph +; generated during randomize(). This value can be used to force randomize() to +; abort if the complexity of the constraint scenario (in time) exceeds the +; specified limit. This value is specified in 10000s of evaluations. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both diff --git a/bsp3/Designflow/sim/beh/vsim.wlf b/bsp3/Designflow/sim/beh/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..685b12a9b769d335bf8aff50bdefc4fd96ed251e GIT binary patch literal 483328 zcmeFacT`hZ_%?cS&Pk($B=jD_3B4)O10(^I0MeT{GzA4gEEG{uil`u{bnL>2BMJ&Q z>M&v(bd+ckEFkC%YHTA2h%=&~ly4uLx%YR!weDK?{`sv%)*`TyQ}%xM-p~8I&$AQg zSg9ieBG`|1Va3g1?rKGLfl!j&`FIa@X``^Q7@Haoy-pXzT z2|^)=fZ)#%#KNEO3n+EZ&d$Dtw85VQ@QybfQi7-`jIqdakS=o;g$Vkt5Jb#MPhOki z3KJj)qAP3&S+854VZC-;0+yHxsUZ|7BXMPtb!u`NB{SO^F^7qe<@$9SGhD$Rc6K%n zwyv-eWSO3kur4!W6_lKsm=?b_(G`N})){NpTZcm-0b!xx$%`|fu*I$jgz7Qvpvy9`# z35Pg*&QeYUCx}7jCP2Id2IP|tA;}yfnV>2p=n^!E28HRMLB@nvh0tHL*AzntU1(;; z^5?C~NOWeI5PBg?TgL?pO<9mpVB6pnNK%loy|s zye@Mi^bupMBTfh`6$o)eRD(bve1X@3@iz`LMvNmF5Ml$77ce0CCk01%L#ABW$_b8&fCkpAVCPkN! zbcv9z$qKSAn}i;vQr}k061dbea{r*j8WKGrFLvd+)XcSM5Q&$WmXS!vXA*Uoy1@*B z7eY6oxDvhSMYM!ijgyI}M+G6BV28pUAyjIy7J;!t2rY)>!$Xr2Hx?u^-p8l0D61(s zl+`)LtO(;AW6*S-r7b9oH0C8LM3(3;kxHg;c3dI?}>@N^Cd^FDTX zJroTKnT$Rt`DcMMlJ;{l2`jtp&Ld(B6HiF~DyBraT0z1_Ua=jC7#ZPv-nopD-w}l@ zg6g4mB9_m@An3tg2u6RXM#5Y%w^pK%@V5b^{wD*eEd0}hge82U`eAY~qrM#Cz9sMp zWD1WYEInRKaDXv+gijxHL&+QXB;f_YePX+(0;2O(^N0YF`&v!HivD;@^HT&UK|n8{ zWIkyCMyjc5Y`Bt!U`!f8$L76KK6uewpaCUfaJ%gkg`la61Zf8FiIs5h8$uWx9-t#> zo`#_)c^ByHmSUQpygr>^S&LX$3VtUEe_Twf@njNR~}JFQAKpZw=+=k8KMxuc!RVKs=5J1 zKTd>L6vlleVM%+{4i>2+I%^dCyi*9vv?ygr*#ep$HO!NeoGJeooselwO8cdvm+HYZ z)8?=Ux#36*IpGnLc}-!uvDma4!W#90dFb{<_laqqdh+mjf{p+Z zp1(~J7M<)@JE$*jOuupuC4b|S(j++tz!0$H{qCS|D0lowmE-IRZz5*U3$@Z8n8|N` zP_UdRB@hu^^G!OF{<=f?&CYumuH{Tcv13+*)x^FNpC4!syBi5KLK+NWu~Mb%@XOwHW2I} z3v|N`QrbihuNc|_S6U%o>08gEwmmOrf8Cs8GJ+kl<%hTJ3H$gzFA(fClZ4UFT3IPNGZ6l$&m@GwqXZ?ezIq-S9dkie6@9*glE+Cx z`go3gE*D&UDi|fhdBij-DYU4@|o^st>IEFR0AfNbDOzA_t$JuELriR~s~#X1#ZU`4Ef zgp_1M8zh;^*F!2>Z&ZL0(6omzN`^8K$q;Z!Y5fT_*i(qC+O7$)p`h&qSH2u94=o}I z3k;VcMH0k#C5wT^9paJhw1UiAtG$=rX$JntixbOB+!8MmwQ>e{Bo@)O_ zN1p2arhp|HhVvN{2GFY4ALTbQ-|&6mN(HR+j@qk`7!>(n{t5XIMWy_NU>8!@t;F^) zFkX{uQSvd6J^oaRBx1hHStuff(4%$)+(eRb8&~U3^9*xhmfwq9u5{rBl#G!BE_7E$}Xh~994P)37N?XG} z!6uLofYn>JF%P;04;02DB^i|{nR1JSwX0ESejz*1?Zwn&=*D)nS1j7|%Wf(LDY|HJ z2p>a>H6Jivl_`-o)PZ63D@s6CRVuurSMDZODtTM0-hkxgHdLUkT2&Nl`cV+SaQ3fO z+g2e$&r>H+at}%9y*+w!f?NkPe~hxBjr})bah|Ua=JrpTMqAiPi113hJA-oUiod%O zCb_!c8(2acK2thwgXy1j`w*$!b3Bt)vj!O`x_<^G|4AGuqw7-Ndo+2X{HGrG>FCop z036s?jciiE0KNt>eItBTz_y{M#;XMsYOg~-q)_PS2U0uTvkFx^n1+-TPMV)VC;f|g z^p3`M#SMk);8r3M@6H449U)`^IC`~aF_+2T59uft6QUrDrgn|Rkk=szi#PKizIwSO z7%PosCI#$4#=;x4nxc(J8r!yrMPzSQCDIvD33U%M)%YR}M zg27?In;~M@#e8BrlXZ)y=!XeIp;Z;J)Mx@Z|1N4a%=AvGpet@5{0)_OCokxPDk*D> zNy7E=LbZcno$C~4>|hWxjE=LIwJqh|4EFJ@Nc;BU%@vTvc2hkRNxL4BM+I%ZKr9j* zMBWtL1+n@I0aRvNxjbB9dI-w$u8gNGJXxkpyW{_8>o0WTQQsv*=21p0g4r`ujBE~L zM9)(@MiQdE z?AHp$Z_=I@srWICY<3~SvJW~ad4U`1{I^Q_B_YkvV21L-b5bQ^a{KEtmBUethYRxx zPb?%MzQrsOB7shT1ll>X{g9v-ZYL?0ETE7S{k2qv*q78^?E%=VK}N~;fEubYm)!sy zYT<_x1Y_q5e*#4%+n@!7(^Q?Nsd{f+wvsFi&@78ziIv`}@t0|SzW3-P`(`A~o}Xr< zS{xJ4WIM;~Lv#xGpaLNAlkGi`v^MxP+j+-+gfH;**hTWCyDo={vc7`)J(V>##-O&MMA((gRnA$;=OW5yV`dCmG zu0Vtrs+c@#$HPAmI;f@BK^GMo5l;HGUByR`C--;I%1HM$tB~5VKRtdbqE323wSP3V zQ%HaK_h}#%V?nMyeJTX+H-tv$7Uj)W;uQ^ZW)(?jc$g&k;PQaW z2+T)dJ_7R*n2*4G1m+_!AA$J@%tv580`n30KP>`;AmGuz^V9*>y%$6QKD|HisZsh; zVTcDoZI%k0do`Gx7ZJZP4LH;U6^J!w6r&j{U{YpUGMoWZa4(1g%pigiJqyB}Twudy z=A~uCrX^-)!10iWHSR-0xDk!}(0Ra!PDo4zMl=+=05_r`;6%?^;ZWLlH+rMiN;Euv zBWoq_p%V!E4bUU-dcwId(veR|=n++=`(Q6XqJm>I#Q1La_L7f2qN}B&N_5xw&9M-0 zVG}cA*Jb{g!Mw#)Tj8&|+zVD;Zb~kUqN9as?=GV|m*^7IUOgh{=bctx97{gjqP93C zpZ!$5Z)cLcCxj@5CZ=aVtX0Wr$>~Xnc?m?XjT_f(H26dzt3;DC3?Tj3WM#i0cQrp? z+9#Q4*W z2+T)dJ_7R*n2*4G1m+_!AA$J@%tv580`n1=kHCBc<|8m4f%yo`M_@hz|0hR)*Z^8j zKym+HkpMx8FrF-m?o!K|3lIx?}*#}L+EEHxTCoKc4T|QaG_4x|^SaN63DtffXO4t-0eI}eU z74cvqH0pf@>)NNB=*-jpQ|}LdSvuwKH=Ell_~f5!Gv<#{wvYy4ZFV4}Kp0QP_Lf14 zpErG~Ixybu{5i2#Y8v4%wK1|wr~k6!ApFOzB7$6dmn9^&tRpaPNcF#7-%Z$@*QFOv z-Wke}a&~LI5Oemu>y>h5)jw}+?Ba;oGMM-vJzc^Mgcayg{psg7;q?1m>`95?@Q#=l zaDm7~S;{Ux<0xg%TKkPnNDWeFMMeb@eS{Pz)gPMGL(osWK!-WWtb| z>^M^-HJP;*zxS44k;tUT7?tRwP;0MLf7TVvNm}2{mZ4c9V{qkdXZrCgKYJq+ToJPi z(SJn7ze^43zCn1??+{nW1c$rXwPVB$A`^;)L(G1^ufBRF<>=R@UBu__${yUAO7ZU} zj*5(KN%hBzg>H|J+M0jZx1=Z5j${!{?`Fr7QbfiK37cfGFVR-c`=BJRR0mU+G(l&Ov{*pvrO<- z!q!$0ek*_aSM?LJLSR!l^G7NB>-D$oGJ&M(NkF8+ZILkuv!tAPrg82hMLU^bRqfN> zPDKxqiJ^qktmsqD+#}@-%^o@rqHGOrq6t5=jvWrC0B9m-Y^3neAT z5|P>TS|ug-?cP77o}S%0taM7|aZqeLrgTwcQY6*CGWfJFRf>)0?xbTf4_6n7VfbCc z{Y=sO-j9#e%qHjyMdp!1x1#i$=}z5--MM6h^*IJmmn%LTpB&nKUYM}|H{ z$OrC%SjV-6FBcwfhW(Q7(Gn(2^R^K7#@#Q^bzr6pY*L8bzqGV*#nKNzldMRcm zqqo$rRd<&dN765KW7V_%5~t{Gdl`B%U%Rc->8}_TB1dHjml1ATt|F;@_vOoJ3AgD( zhTa*G%SWkG+u-v(Qm4z8X-o|lSx`)0yCs)tEb{1-xHGNFRa+XR?oIEX zR7;JkTbT_aUa{1vsoOYu@%d%hj)Mp5AkAXthHg6b2sn=dRFB@B~8y8Vs;k+k^#h7+@$# zWfmdPXHFC7;4|glPz-W;h`{9FfN65@SF)e!6!G{tdc^B1@yMWp`%JHDjy}R;eTG>x zutr9xf_}z(9Rq-)g+BV@XE7~*{X64LR%l1xk_{(4^<1`m!KR|!_f)Iwy!<$VJbhXv z#|mT$0;Hn2K4?9Wlu$w$gi%fH`_zs4DELrw{(4K0A&?Eu4QXT6<}J(DcX%RYmU5Zx zC--0c+PmGRmyX~AO$j^cJY7I92g!s{)pLAk@WOQ$=ZS5u1Gk75rGl9=i}xI8)S`n_ zA$2;SH6eDYgEFN~nGUM2$v3*3n*Kl~TvavJPBB+iH{bMksY^ztuBy23oy5gPwbEPc z@|)_RK>S4qwOcT~%Y^(U_Ad!{OpPuQ#6ieCZoZm&w$%OdWu;ulWnJ!KZl~H_5zk2K z^g~r07>Fh%e#RsWZBy+;gM5)HfG(ncIq8;?;MHc*;_zeM4hXry;l0 z&#mRJ!+WKdsz(bnh$4@r60cSb1&x{y;O-h(>9V*V#O@Os1tNZ-)Tx+ z67DOFS&?9Y#AQsAlr&SCndzXJl_rbx6T3`k=FAS)tm zA&YxeHEf-#y+OnyNnEtEhiv8!e=dug5_2C;Xph$CEtLu;#v`TN%a^rvqH|@jF(5cP zDIz{w;?$_KF%L}UZ(~n+-CW-Zop*Q}xgQ#9Mvk5vy{#J|i#&WY-!O6*)lCw?k$MpSVv434qscqGds?R%g zQN^xbKYsnbWoMf@KI)5Pv3JnpLDv)aMuoNN_lZ1?tk2u7CgC=+ z1LN@MWw*DfsJlp9SF&%{C>#!ilLn>Zgc6f>#c?!^?Ao7PH~1lt)mmw z;A)2F!PP*UlevaBM1q%6!5t|#7EE8v8Vu31su9i<<|^ji8^gSyUHjKX5A4O7yScfV zSjTaE1}kBmvr_KE-fa^c(>4{|j)r`7&Wxj&n>fXBmvVh0zQ{PIK%{F&Itn=#MS?rk zBifv-D}$45H6zPG-;FTv<^|QuJB=2KcuOTtkw#8~lS`#e(b3)YVC*E^+1o}fvcxkW zV3!6bqkE*>-pmPOJy|SRD>7pXF*o+Mv28ila!~5>^}~w)kgNmjIGqJZhB$&JV;t95 z!HNXLf&hEN76b%_XcZ(1qD5joM2$MhtPpS>{?SvpVDM>k6>vC_7Yd%0aLS5*drr14 z_#!DKMB4i|M%|i^C%PHfIwEZV zZNPI}rX~7((EY(fY)bdb(J;{R%O655;M#j8EEA3XMlA|JO^K6JmMmXj&GiUG|!M z{9=oQd(-ro$YYg+n{Rruy=LSTfD$vsiRuk3F*n{!Tg3ZkdJoTx4Ui{#VE3N7E;Bs4 znH?1Iy`Nm;$)!?;0K^5nDXw=CEN*%y>kFm)hKlt9CDkP zlEq#Dcd(Qb@qe!#hAkQQ=MskH%x3TgfF8@;bI{YcZvrIfZxf$ovHwh!C|lVRMLe>E zYo)-7tr-UE*(&`-m+eXkSJSGXqdt!!!EEQ$m0r(Hd|=-KMQ$snA} z`~$#y^;C(q#UcRSpf|4rXG$LT4ouhqza)j!fMsy@Gax^%Z){NUs?w`L?ddbb^b zZg+Jt*A7r~o=ocW3}j<4d+Zl~Xj6G9;U*5-ht}t^?@-pkn4zrT;TboI1(ze9k?gDY_za|qX%*$c9=!^~AF*Lv7NT%TtQ zZt`ew@}rd7*PGez=pu{zSUvJA+fgVIT&W(BIR*|+I^bjD+Wz6m;g?`C<~Rb_2HlaA z0R#pq*a=|vo!XHPg-#wK4~c}U@6_Ydj*rgN`8Jh(V(#@;ryH`wH7})3cLpc)@hR39 z?`#duk#H9}e|D?S`)9gjhqG5XHXDLR?dN8Yqo+!YQ=L0RJaAIy;DqZVsY`EWwhMr6 z^;t2uFWbdYBv6pJxn#NH5IwqW+wcEjqXeMnHvoqu0EK`W0w_drYB+mgeXp~2pOAdu2@=T!?g6wkaE)Ku<|U)uVduY6c=tM8bDB0l?s$sb97#Qo`rD z9}@`#faKqpYY|T|Z+W*{KTliakt%TuKCq)#aW5Dsr*V24;+3d4$R$52Z065Dtq$`>Q0`(%i?Ep*T9DK#xegWXWfS*b|aOMCP&kJA~|qa0qz?0JU4fb?|f}iuf*|uJKfOvZwp;eQU6;J=4J{)*xMY z0vZf>YH>{9+`{(!2(Wynn=9`*+f<)Vk+^%2VzS>RfF&=^&vF`8p zJ_O7;wskRpRwt?Zvu|@t=OI}0O8_fwyP&#!V#x*(zqxueZb|mwq&uEETweej%ac0CwXI@bw=FcenS(|0v|oPr(AN9hvU*ekbxUlDf}) zD93)U8F>WmB#Z!e0vSq}Sf3Z&JYD*mF!jaT1UC>;VGm&VE!88X!dG)?vl$@lDya)# z&OSkS8>)soed2Bs&rNhRx=;A5w!t}YN1Kln+)B)?z3np~60EEqz3KCMaMJ1;s8!D0 z^aUt;9K6@+8ztgTfYQe|z8rHK0(5`N3;rEvJGGQ2i+ut>F?DHxh!-Q_#w`tJ{gnR& zjNj6$;8Ng~+e^iuc93$z0m%M5QvyJC!rujC-U}+e{r*A`Z+N;y<{wy&ZR?Rb^^Q-q z%VIym{-)ko(EU0~!3b`q2d#Mb%Aaa zP>Bb6(dSltb9vA!afWJcBX;j}?86CVS&->Omk9vWAV;}Ku~U%yP#j)mCY)m7 z=&2cWQC`G{^pJb*jX_rc2sL%Y2V>q6m)pUhx-bG&X0Q_gp{8%G_3O)q$4JdhwbP|a z!7YH5NCmBz`fEl3o`t;DJAl(k`49^LXJDd+EE)nJG~`x#_(qqRgu5;$h)GDxM#6M!wtI!&NTA-TE4sF1>r+ZWOB~`qd4O9Mi z{e&5ac%a#hVJ3BWt0u|-!~lL9c5H5;MxN|?oA4gcz;H#d5~fPz!?h0sg3Bd_v%iZ2 zGkadfUb#yAMZ$HT3O`t%XC(FNsnd{p^$zq$00`e8c9%t{iTFQPkHQhUJREiOcm9hy z5ta3ME&?g{P!hnLfxVHi%ry+x1|k6)o&ty;sa}RBjynLt_jPlxk45eUEK};fzN#HR z{tm!!KtDLC%j877$J3>C%NQ^4LEE)#Goa{zJhmp3k92+VQ}LpAYtfU7jqXy=@p0sZBjw;;AF`q)d2t)Q8<3D61%C*iufHDRpXbF z1}E)iuQdXgUf!I>D;osJb9*_^GK{6%EP&wOi6Ox6IbyhcQpDdb;r{jmG&r&KCm>P0 zANv6y_+Q=J+OZ#ipaD7)xec#0-9e@K=3kZIhfgBjTTobhE64P|i)PU)<`U1n$Y>nE zM<#Yh0|4*EtI+ZO7nGuiI~P=}}VXMW&<64%oBC{Xxo#>dZ=V?W~9{+9?y5v9w% z?TiN`SyDZ$A3yV~0{eZ`>T71E-HK;G-2h@vU-k;1ZVX>kja#uCq_gEUqo9yqfz#zG zQ01?9fX`m1sV8EtZ|`*5zw{A41Mm;WPB{qYjs$>Q7)~1r3Pp1YBjApUQ2IAe8Y5<8 z%cV1Du-)_^&K`SEzaYRKzmfwo(IZPhar|Dv{n{s$oK2yC6MohC-Fe&^&ZOXy;YuFs z24JNWLG>K^1h61wIw;Z&!U^7TEF6D?Lb=6n5Xz9Jzpv-}=cg?>Ex2Ti1hEw55~okE zKC^wxWT@q|B|#T_H7F1fZLeL;J(FX7&5ZxAkcb!5UsVf_Pi%AWkDz^VM~_}5hfzm~ za}{(jyuQ{t;?#vJE}7vBJM=Q^*6|QAw>Oq@^<9?<6%nwcPGWC}4mjDPKu8f!md-^9 zE_3qXOgbQy3BvVa_rQd}Y^i(17lU@kE)!6zBrLjpKyRK`=96w1cwTtUofYZc8 z4-sFldZaPYrwhQ`x3Tj@Wq%zMyR;_W5b>y>9vGXePglLRZWU=A9aya-ab0EaCh{Ol z+*f&NWJh9cJgr1QhT+T9#r-g7Z6v2QsAS+mABe`4kYfJ z0*k(Ch| zdawx>?VRccCvvB7xhr#QE%`-J#G&bu=eO6Y)#q7#o-T=7tLu#uEA6#A!S!%rwH7dC zp#1h6S`Qe=z(g7l9bO@&`MFQ0OH(G&=ps)LFH)NN_liCjROrvduAOOnadPL>Q*{HJ zw5@px6yg&1+w0^+o-Z$|s!pwA0RFbC43|FFZ3d^rOqF)7D*}kILh7_1K;#^|{ET0# z+qH8&u|9vxV~Oi;>lFabgx6pvo8HH*PoHR218dbietp55*jx-`!bXsR-Y@$oiw8q) zza9tOmNyBq4J1%JUODzlEFef5(m>&VK{YLY1E9@7qTcbi^^ywc?FL+mcr12J=5Cl2 z2}lyRjSv8}>~p@g0G~Dj&^<18qi)Os$yDkzwjFxA@(~EdMu52>F+H8w2z2CIKu7M{ zIXZ!>o9FJNuV_=bJ_)pk^bMd__R}R(>DlF2$+gp&xL%O{LKeHM+trwx4v6zhsXO4! zvCX&BaV;?e;4bLa^2m&o`aH=+75$8?jd)(b#n-1GFGyUEwq*cexd;f$KoQZGQ99q>8d7oP=C_s&$Q??e{R zx~_lBS`9cWnDJTNIMtpm-J3N4&eP6%{W$4$!ne^?|8hX0=wJRQ|1W>E0C=eIAAiJ^ zNnpLm1L}y#BU%`uLNc;MDP+6m|Kv%C3^4Q=I7jh+a>%SNUAicb1?q4dPbt74SAdXm zm^4?78%?5^5HTYWQMNhRHWQ6(m?lS({fbafXX_A9*+k!dlDjDsSpAoEJ4v|H zKWJKK_5ZZ_t0H!WM_+c!kfTn&xNV2%1F4CJ25iGCm9Kh@{(<>=BWzuB2HJEu6n z>3>L}4CxKRp4x$9&w_!r9@y}cbOiA!F*h=s}41RVk z*h@f;cqur1_5QZcp!K-H{{@wp1B1U;YCfypV=nWEse0Op8~n!LCWB)$sXeo~t^kXFbMuU)1@7^80*~M3 z+)UK;^H;dTFOjhCO(+OO#tISxh0wrAe6zw8VDC?Y%?cK{z5f!}`-4v$V!=*=SQ&2b zOS;+CGTh#8ldx|n`ZUg@_=9UH0()QbdWo}4@kad`_j=&(-=EvAL9+(iHL9OHzm1#w zYzey=H~06Pn*rhPqycyT@Knk`CvNZKo#`BW@{g1qJR6a%WO{nqbq2lOZv4Lb>24** z`jp(%>OUux+y|d}Nv+0nCBWZ5R_dKeRl2xeWFpni1`a>iAn>2TKPzD`yKCqg4jleF zO*7YJxWWHwNNgDWg6?#n_K&}=lW@A`eqedC+#{|1eRdI(cOR?2-?mje{7ch!N$<+N z{VN^@W?t!zc>bZ!J>ty0?%@v^BH-{Rd@E*D0s3~gSMK`MI`K&6*QWnyTVSzu#Oj`1 znWH`7jNVAx;6M8HY>(>m+|_g5{zrPfY{}}XKfZL*TSN<1RzD}wFEw>ze?LDhacXM$ z$j}pEF5z!{H5nEk#Fzu)itW2!ME7#fO1S5)tpwiw?+xpQ3K?g_oCs}3+x2pl)w8+Z zzV%3)di(mB8nU2|vzyhKdcfe%eG@i;JN&A+(&k#-(FXr@0gmltHq>X}`fgK&TITR4 zIqB99Di^;!uLhD~U!=0KEb`~QOV_MaHo%4WU?t@klfl=vz+s8rjhp@MEmvyPmFrtKi#uS?tbkJ zCl54P!2h?;A6Q08HTl=)HwZifIJ+^*?hkAS=wAV>{&XIR*ps@fHudwhkZrcH*6TZd zMAo+h&p&v{(DcxDn>9sq`Ud5s^O^16sk-Tcq2oiJE?ft`SA%nd>*WtU5<#Zx9@>GT z!S77`0Py@z)IoDKt19sPO^!7E0fb9n`UBd5oBk{21TxjaRiLmxFlYM5&6)my?x?|T z^s>L)fG)aQ!o^L0pti%n@}HAPbKM-f<}^>-^T##MI$R}GnucBt(?zlSR zQrAq$ciX>gNMBj(qHYg-|9as2kKv8F`MFhO7J2palj>194PYX90RFD=gMtRA4L04> zNbm1*-Y<5S*C+t&R_YXp8~+hs+JN!@3dj>&-1rCg&?F53-aeEzteGZ@%Lcp>_x*t- z(SsZRz1xO?@jn67QO(K2z+2!FwV-3be*@Jk?)&en8m(^C0zxNX;@e)#DF<)d>LvHwzp7pP2!bpTWQ>&;5C&c>lUTw|F5JPp?masOW#(6q;mbBe8Q1R&JF zik8<+1T=E*vVl6?)cbQX5pa*@Bo^HJza@1C*8iH%ZxaRqQN+FfCl^#LQ^BS#UZ=zf zcmCbKiAWTmEy*~!?&r@LfrVjb5WIMFcUsF@tv?<1t$UJr4{%7_ekAa zA32Ur1OQP9_x>fNQD+L-K;ZV|ILOs>hsxB!S*}lnB==Jk6Gii*sTz^{(;x; z^6e12&3LeOy!PvlXC>GisR? za~B$IzXX~$SA5Ow001fq)7aiZ#OnZN8omjjk2|wx{P|8C;pQaQP5;tuVB9-80Hpi> zu@M0L)2NhZ;Pg-blWF7s9|ur$pjWV9gcS(>BA`T;9K!knGlY-|V6LzM!h#q~B1|M{ z&B6#0V)EAu!XUB)4dIaNAPNzM>mpVg!GwAtlmwlEhz$r-O@_TE;ZA4+ z6WRh1$q$H$Ux^KD=l}ste78W2NBmYqg&QX1)G)#~;?GObWz$F(6Oy8Vb{UrI75zLZ z#PKhvj}SVGw6aNOrwF=y`Nd8??Zl-O$c%iQqFj$6S^>YH-XT#i${pwoioo(mU6ZQlUIa`$Rdf zqT(BMfoMW}r4zVC2zLH9s2yi(?3iJUQR#8W-!ZBj(lYo8A94i4LC>zx;6r@I9`G+< zegnw^Rh^}s0C|3eMWp(1AH=bOummnf4~L_FmjM+-@v)AZn^5Be5^lIyOYzW}C{w-t z=qn*IsN6^^uh8t{oM4qVXt-fJiMsr>4eyTX7OQ19P&VuE?{6$7_W07)lD3)Yy1mP) z^Hz%IP=2C(O;(=Owa(bmZebs6`J?3#UuAE@stS24PO!F1-F9gsr}mM`_6p@7n9ZTPh+3TV3xLus{7*OMaD&zhC78USLB|2 zidaZ!~S_*nkXi#855;_L+G8df@$k(3w%}syM^Jb;8zt(smEul%WsKK9I zRG~dVt7Bjd&YL+|1ud^{=+DH+bAl<&SWe&tXv`|el1DQ2uC~9TX!%dOGV7u>Rp5(Z z9?acmx$o@w^;gUSiAyW38+Fu!7uzzSZ(&6@8u)p{1Ny8B3R(2t2HRKqx^=fATdh-T zwN-pKEb>z7s#q84%Pvwat6(3Z-@teWmBj|enlQ`8+RpQcICM8%DIv-tN_$32SNHxg zp~>XJZ~D3^o(7!7H7^H$*NLEPMWP+ zlFfl!l$k=x30jpyMg5>@w4m13DDV?zvdVrT$Mc5mrgtN}GNrW_{U%iV7k`Xk?KD&M z6*Bs@KG^(PK~IKgp?3x2IgW44bQu>F*F-j9I`zQ|l93mdlby;5cn2?HY_yw`{roPPdf+{MY(!_hn(lW)#@B+^B7mYJ-)%MZO);N6pzJv{~qL*c?J!qOe>_I$S6uXt`$} zK;V?38W--)AFgp@)o+JN7FFYC9Z^ZE?SpDK(tsW=T(6ZF93_5SRXDeq> zBVpm)+*pq77PW|E?QL#VCIov~O)N*{Q9yG`l{OWgKG)rPFr z__;yvlI24d!8go?k*xJ$iJnmN46!rCDwwseRKO<$Y@odyOx;36pwz!_{IhnRuVfn7?(7G!M z&O)`TNs_%9d_qpj;)7o;1lVGC`#hmWhP+?qSUw?d2c-JYKioCgFY%E^jj|Y7kttdR=xJDyP@i> zo6x07aC>KM9ig5ZX~}$q4o2ntdNfNw@;n)GY_lnLSEyoZ=dyzkY%=7x^xf&Ts})i6*ru#B(}ABWvsyrCfD>q43k`vqoOYoXFR4TMX>Re;aRX z5T04)*s@Bcg2#+wvE(qfoh|_Hwv&ud>ef5XISHngvk5stUc}0~yP9Al)^z!+^z*l~aVQp~u zPdY^Jr+KU##q-u7CxpAww;JjY_dYui{ys*Bxc_AfCqBmi*9v7zWDDgD29>T^Ye3ug z_BJcNTGdS2QNh3-MqrHm_p{08Kkb7|2t$L?#pAcED<~#Zb9h`^G99Sc-<+eB7D6d`-W7Q*yB;ZrV$7%#QqgQsp3YfXL2zXOy2pSABT20~T@^b%vVxV5 zU|NZYAx6#(yJnu5Y^3SQd<^?~cXpubw1~d-o~dlK5g=#RS&= z$IAC4_rB+B_4ZQ^J>k{z4_lvvHTxdsyPeR=KwT9+6}&$ClR6)^2n@g2Vl~2oZB~c>bdr2;kO%9>bgTuQ&v>arde)fsE~C|o*Y?2Pe>=C2-mvsC_ErCt^!HwYU7{WQ zX(=`Qn%QTq18|VR&f^h!ofVJrUDDH)(9~Qw%#q{9mdh2I%z97gwE7}^!$>W~i^~G8 zp1uA_E6oIsUS;&2gYF7R7oM9z?uF#6QF-=f{I;D7%)`@#wr@@H<-f{VY)w3VCNF#q z$6V~;zE_cx+=5?K0aC z!1nB78_I-{pMSj_Wf#KRsAV~u`SI%6OKJNmLU#ThPJ_2>p@cu`{iIt4Z)csmLLLmJ zWj$2;Lzm@U;J~Vijyf4F!xr{wu>Zj zUYT~n@X-%D>+Zw*at8WR$Pa`ebur99&ES>0JR40a4*rzfdy!tj3SLjm`>4z*ulb>g zwXeoEefzQ>E7lxp)qD)?f)90`yHtfq0v~BWhq8q+?l(K(Z36kQV^925eAF!?qu1!F zYnrGY&a{j91Ah18SSzuwO7D_+Dn=sGsuVvP!l%d=TVDPA7*k#u$5KpV!%g}}e{gc% ziDlPs9X^}dVL4UaVjpSddfsRI3;(jTz&Bc9fBwAus7|<1fq&z|iXQuDn^KV+{7Y~` zqg1yw*s1u1217rUxPS{LzGE!c zYb$S_*~yJ5iDQP}S8#?;98}UtE4%aCu|ql*{(r7GU0TV5@Aa4Mt@I&3Q0uLbPaqXj z^gmgCe%JjW^k@ToI27gZ_IT{ItI2~idPqN52Fn$2-dF57PHN5eSrqnX{~A5xD$6g& zwC(olTK{QxKd49Fy25;;MuxJt%EflL>P1+1iACxbp<1QJy+tZ}U#~p-B8KkmL;KB; z{;+a?^8pX|-4^?LW2-`;-UGWcwlBPgtFxxmBZPVHEcaNNKNM=@*{JK9t{gjk$-YYc zFSUq`yA}l_OLQ#WeYz2CdD8mkr)tNUn=an)L|-&kbn^C%^1M&U*k-C(oXeA`Q6X`n z*xoa=!1)tGpx7Pr+vii<)sEcEA|OOvisimlkvFJOH<3Wi{@9R z_(x(8&9d;LKE_|dBYmX7iyLnux{W(6uc-TD|EQGMC-3E|9ejrvTo3yxF+9HMuEHH> zq=)lZe>^zlV{B7CVmi|PLGY}Vn)EtMc;cMgW97ZxRt$TeMaB12Eq7L4a9oSoKJ|*@ zUkpYTYVS3(HhLs%v~z4Za*K%A+nzT0M_2bkhPTTo2JL`Uh~w&rv(Jf|wb-oy?x^o# zZ!a&S!p!@KTYO$vfN9Kaq1)y^Uj1+*84Wxj$Zoxp)i!>cY3vJT71g;gQ`!Poo~)x9@T+b@`#j;nYh!M*>By*H0)>VCh4LlQz5MF@is zAW9HK5L6VAc~TG*R0Kpt5LBFTKv0aHsGy*tR&j2Xv?Nd!#kL|iG7nWW zGT!|;Xn(!;zU%$_UGJ*vtKYR~LQYO{_Sw(#>}T&-4KMk1E;XhWJlNKs?rahb&uz9V3nZL+# zNpvD3WLnwoJ*HgV$EA!9x{S3Rx73V{K0MvDc0s(me}KS$#h+}KC|$;e*+Kj{ljQ2` zTHKeG?2hEF%-9lHI&p!D<~FnAB}xL*L$XHOf{JKtlaQ?KnHS1+H0JScFY=TQJYL6$ zJng$vsLg(ISu@{0#d77IJFc{xGHbirLD! zI!%nE_V@`tCJWs+%w{Zg8XHUJg`LJ+OTw5(K?|ALL}&s+iw-L@)z9?M7{_a((( zk?({l9*^VWbT}BFL8MC9BF*dxsEoI6@lOTi1YP3EB<}r`O{7M(MU#@sFZufp7ckn)0?jS2jd5ml%?aes(=)O8 z`Pj7^Gh+7sy?e!q>6T~k^AZo6^+@wB>wrnlv@ zjs3QJWDWo5{g+A)Khss^&wJa}G3iysYksQf3P@jI&Sd?5dX<2_R5gzw{nS-gp!$~f z$G*v`2h2xgr=DY^%eCBEaxpV`=g|o&3p6-Km_zGn@-2$m&SEVo!GWbRN^kDavO zq@MHOh4iQbBrp4%J!HI3|8-GP^lEVdQ`=Ri+i#AcK-SsWJSFl`pS(0vZ@GJvl3ees zp~t)_3Mb}edf0|8`2EkM+4G{us*ZQlk5#sx=JJ?1-^I{y@=V1!HB7?;CoJgna{hv` z)>GYC(#k&ksnX2Jg&)gy1<16z$qV#f?ABKusWZE^THwLv)_*AL9@)p7UYBCH%iVXU zYQ{3R*_|UTOv?|x_f~vTQe;{WPx8HO_|8CSCnI+fjkBUwlj)!qZ)nPqmAf=a6*FT$&x3p3A(?3h33GM&{GUKK=sr8t+T; zQl3qW-&GbQ@C%Yv7rOHTx2vqExyKBuP2pE<$Liexj zht`>n?1*rlxJwZ4FnLA!ZP=q^@(G7iRtTfnfn)AyCCqJ5)his^Aco^ z=PfgB)Xfl2@{Qw18!VVFJH_yiiM-RrfqAQE6)>~k`J@~&zIHGoZ?moDY75t_-1mbi zx(2B;H*a#+J;`Dl{HlLc)w`h2BuFqhBwn>L&53#P#jya3NRt(}Hs36oe8XgL&JRvy zS1;PARw_J_pZZtLJ!j_Wr7JWqK6}WQuVei!D}8eEo^v}U?F?EgI5JlD?VouCnrUyc zKR&m;Ip@N@vioKynZhSF?7Ay&Im{iGPCj$eJ*l%U|B;$o(bcOLesYNl{KZj*c|&w7 zhNH_7DCb>K%~NJD=tU3bTjbBV{eijijM=`tusR1DzV5*4^p^Vr<#Ug(mdYpde*DG1 zP59@(`Tu|O|NrLy|IPpZoB#he|Nn3P|KI%ozxn^y$p7a5|DT`#D=z(ipZ}wZ4xP@E6)>c9Xz~ntYQMA+gT`hv81tv92RKO2XDn8sOEc^w?({I)2G(2* z?B{-Ectd*2l<{7*!^B_OdjjpGgkHX%A)w8Wkrwc2MYOQ}v~#qp4CXQ3A*X!lV-x67 z-BOHW?sR!p5^Y8z?U*#=1_OMlCaL}7nSFG+{M0PWNTnFo3R82KZ)h{zzR0PwRTF9G z?(k?&In1O!esqI^9@}{xdpk49kvSIcfcey2emy%Jqj5$$U7Ak|lX{blrC#Y7j1C8> zi79NwR6|)ycexbWd-*2~Bu0vesMTz(E; zu9va2CAA_*F_q6cMsswh^)Zxa!xQ8l@e~r>FlJG{B6mArOd?~4Kt4svg+0!VG4=-A zK}FG@vA2!i>c-rwu&bCeW=z^4_I3H!d>UIl!d*RMY@=K-Q%XJ)E&kbcik|N3duW%H zF9_J}%CX7`MeI*Zx`F(U^xqTccj)tFTa;c0$fpD-oK=6TrIbyN-N7?bY!BdGMMIZ< zai+WGfY#zMIUc$ino9+m1DX?cJNRlIjHe|{ORkT}Ii$3UzllFVPkzZdsW4764sO*% zWqrAMOlj?EfqW*Tk5ltZVM(IGYlc%4PrYb@zuKWgTC14p{0Y}p&&$fc;Gbq}f125& z^h_}RnXx(Bov*9I({oqO(psgXpT#ID=1EO>eMROVXIma`nG~HrVTt?%chy^(bEWh3 z>+h@a-T7xH+cTxx4Q@i#pgF@`-isM&e0Pz>JZ4g&!V+{NCQiu0=S^u1L0Ig5Ez zm1ijzd_}`&<_5mh;%S_Pj3)V+JF=L+O;P(miz%|)p?Ez&{VeO46&liOsJ~&%eFYt24)%XT}Bu#ErA>3r)*<7-GGqWU3P-Q5$(mvbcXew zBWk*V>?N~pKDnzJvimWXo_x!>Ue%R9ZZUKDr-O+GzfQ1L9%81uJ2udsbEd1f+c8e& zFA~q{;;b`MKHzRXVE32ukwlJy^xb2w5rMR=yIF5gNY6auqbx8s9`~H1>s>wD?3#!6 zYWg&R-zAUV$ExuchBNy3J&g+{s)o4BNvW=Lal?0&slwW?nPlxu(k9j%*g(nMwwFE9B!FCIHeC>P{)_6wY;y>pn znHs)YW9F{%A#|_2rC_E+Q{ujtslAGo-0SY`FBffQYN#LXPFp(5S*Fa1Irpl}Ow2LV z)E^o+7_L)&VEar~B|*XSgU$(;Mpbh@{iiAQ?qi;9+O@VrF0fNZbM|zOsk>8#`a_3@ zi&PC=A`)cW=PA2J7`w|)l|QU3OkAEPvpZ;pe4vMmK=m_AdRcLiro8P@cOJV}Ge&Fk zJ(=^1b}!IUJZ#DRrGfTT$?X5rck?)3$(S_E`0R#UBET z+=VF&mxA$?{>=P5+f5Ps0@ObULcI0ocr>x4v>n-YkFQ63kU9By&EDt@0^T?!8AZ#w zE#g4gN$NIXSSnLDZ($dFh*(#zxJxi=yV9;btND8m%Px`)xaM<${rl{J9Zse?;`krk z1$zFu(ruSdAfV-@am+PfjZgMw)5w1 z;GT5XG*jN=_M2d$yN;u?O0v8`-r^}%J_d_C7)#lf0TzFFr>G=`%f~$utT$aqi(DSD zAX?%<)5ix-TdX;aw)6_W)Vz?N^eZRINLG?KrN?0Tc&T~oREwph*Bx@S@LeZ6L3)zx z_z4q3JGoa{SKj_)l`t zt^5{+)I*caz5V%8`QGCU#-wU^w8-m88|WFZ29lHwCYBzb>L%~4o!c=btBjd+g*$8B zYNlX^e8SXQCvI|7@}?>=f1k)$zr=v~Mt@ui^UbBXz|Yz1EvHp-pX;!%`1FT&|U*MV``(uT|nMGIqKPzUsXGK~^3kr+1 zbaJZY6dD#i(~+3c`V|uST=DMx2EP41;dP3#ukT)-}w&pE^RG}89{kp1lB2|L_|^1rxm7MRa1 z{f)7*;L}}iuKog}9)bRkw7rl8s;)CV?|46QjKQ;HWkZ!KrlFg8m)un=rq7#S?Zj~L z?J(f3H|=!KZdJ^b+8f5;dE(kQ?6t#fOe%zT7B0CAZ$3N6{Jm-NiOG$!^gc$%PulJ= zOWAUYCr;1``Lc>y8t!hsvT_TS7K~{#)0{DDv9J1~#p{)h%7(fqYtMEKb#Y%b?(U@N zj)Do-J@vDi_;XAx=C&w%E^{?B_<6Hpj{AaD@@cd}6`AGQ`pQKyTQvvJOkR9gaBREI zz~c`*z%x@KMrh;Tal3*z?VswqOz#h=GU<$^$I0pjuw?3R6Jquf*xq)KHDoPmFZij z!kXYR-ktuFHfNFU*qO6bqzl_Ns(iAX<;oZQxp%X_t_FYReL4R^#@sM39m!$!J<9c= z(X!4wO2J&f+`>rr}dSS*3nEjcmnNM#;oSJxg-V6ZmPYE*wqTkLZdbNee*NtA96eAlE8cz&zYcp z%hgzCMu5jvflkbU^V8=$a2A`C1a_9~bFcTjpD0(#Np@N@D@V_omCygF_QsyoKJFQg z4xGxRH14Ep^3nW)Q|8Yd|C+>kAKp0WY>H)`f+%Hb&dmH-w)}~nCTS z%A5p~LA6=u9#!W&UB|i0dAv@g(PFVX?c~H1qZ$=CXRSZfa{g@FIbNZ3cdO=afj$Pb ztG_lLmx(vC)t9XxeAIRhipF(;<3T0lQ?(MM(jccNk- zO??aR>>4}nq{o{pgVYOVW}V6l)C?2Q?wb#9zO+~UcfTc>Ig0m=hwgSa@|cxFTfXLS z9Yk{-8OFEjH0Y~R+&$(*ElXac9`S5}T-mV3^vAml^z`%vpO?}kZkux!+$sK?s1bV5 z)jV+HrVZSx)j2vRj@;GAE%q-CIB_*qu;yy4<6e!253;AAL)TWI1$J$5S)ER?iI!e8;XRGi44eu>buqf3T|s_EArLWMbgy zcO&^n+epts^LXs_6F)!YfYhu^niFhRgR`=ZQw}bL%iT{;{c(0?WyG0i2MY)hAd42Ts|IMagm#;as zn0X7HqUEggGkGGrd%J3cPH%?NlXg9U840!kcU#}q6xmelb{9IbMOM4LM^_rFt~)#o z{fbq%-B`?%72AkPi09(V@;x)cayzH*`Rx4SA#5l1Je`MyM&j%UJ4`r{?72%!sqDia z!4w0#aM5Ph&0tFxZ6|afW--yOJhJlHTMAc;LkW8LPyW%^$Ae??eK?R1>(ChEQCrQJ zS;RBSIw8hBoZ3V^W3E>{jX830YpBSvJf@O3gt}GbFB98gQ!o}zE}|O8-qn~lYAGF0xwzPzZ-YTc z+vchS)#f1L2$KMpELo9Nf>B#JSrKi_`es6u0E@$)#ZJXAHi;cw`AmdS7BLP<)P_M< zZs)y3eR$T5u6!OC-78Imbu9Ku3kF)h!Z8>dpGh*<_{`}%QJw^+tcIbqo+O=C$w6|e zPNsa@SWMD|b!>&${wS=e#5QE3Gntsj6921YWue1xC8sA@Bb&d0dZEF%pr#OiI8!CV zF>A^yu}x0vR}ISKa}X=6#DFygo>{T)LV8lhk{7~DLd zLb^A$w}SJi#=2$%2GKi<6CUhi!CYlWgx)^l0zL>+vW9)pLT8wgC0&QH3ckGi+KDw7 zPToFPA5Iy*Rq`N}Hu{v*;jmh)E~iFPu4l2M=Fq9{;QFkRI{XPv&sC`dt&$JJY2>=u zk9|$EjQv~5*698yJcc+!?}um0$DGbU|NUK*i1_5V0WHDgh|2S}m>TYBOY@;{sEf&mzQsIur5OfD=xOoy4KvswJd zSXGzt^unfktBluk=5d`bWk~gK>wd;bd9|>glX8}8WER8y1zsiHbBJw}@_|3X%;(Eu z5JNzxMWuRLAXB%SUT40MxQ_(TEmlw8+RrIISL~E%I-wh0C7&7D-W%l>Z}jt88p=%zp0dU>gW>s+KX+AeJ72`63eI`Mvy9CI(1QW!kK7`?iSdlZ)4Y0WgVZOd#)PbsLs>Ez0mp=ML<ob?N5cm2A4@%&Fm-(1_r2h-ph~f)% z(80br_;an@{exb@Im8L|pzkc=Fm>=&bFki)!HnR8HI$9elMC<>#Y{NMx;Z#RWVgj6 z3-}4wpOP$Z-~c?Et~Uq64l_9GA@y)kUO9Qmz1cIuB?t6kSw&{VNHjapxiH~!r5Yo9 zUPFY~DMIfOuD092nrv(~pM>vFH7&0b-#5W9_L|9pxxh~72xrwOf$Kfx+S_O00=G{9 zG_|+JDze~aVS;Ti9I@akO#Jr_5iG5>HLy7ZM=avDe#kzGxI;;>(@d6-5BXLW#={V) zs;vM3wRtcHJIzZ00Xfr%wY^PAj#V}FMqp@uc`!{QhxlQ^k^z3^r-l#UqG`(2Ef-F^ zoU(TI08la46bt#e-O{-h?XCT1#jePE0HF5b@{#1ico3Fx6|Mco7jOXW<$2@2?fmB% z3Z3kR(o6HEwDzANMs90?sgJIx&2G*EsN&ep4`)$kO@~#~ThXvsToP`4O%%#Blh9fdKY&a{uIie2y%m~mr@>B;mN?2N@RH&V-!42ZbA82)#Afko6~7%aulxIaKp%faq%8lPOsQ^ z6vo{4cVNJMOb!Oq+Q-JiQ}j*d(pxym>Yk;fQd&m-zV&bW0xwMBh6;0wbU*TcDHYKaC=OjRt z?8Bdg%Yx<2D{3hxBMajr@u9|M^Y8c{?rJ^%k6TnI=FeY&dt*k^IEdk>G5#ulH;fX{ z>C!ZL8k^6MXN`4d@mLy6wmfs<;(zQ);bzZ#fM<;T_Y(WbWBEF8v8Q1vp2qraW}nSe z?k7-+jx|%ySH`?(m}$=9!}Mdxkc=lxKYm~y@BaaoqkWX+XdiKeVz6&2j=!5`IT9;TJQd9A`@Z4{L*gb^W9$FTkewy zeaJBVyvw^f?<^TpS>gkt{>gm2_Zj$rC^83Tc~=65a~Sl@>t;r`XYUWcUZ^NnRyXhB z?!NP}rsoaH%PP*DW8WF^s(+jR1k5p+}FhGN!fb- zPk3H-#n;$`^rccxZ3Qdr+)A?Hzfs`1p#(nl1>3+ekkRo+ctg`0*4q(a%f12_HR9$h zQ_$V8lJcZ0^vf67r57TK!c}DDUAT!xo-+RF-D<5@aE>p8%`Obh+Rjc)BjFVnl73j& z0$UD~AA*Rnv(*o4ksSht*6>5Ea@Jeo9sdIyb`e_<^)`w!ulv!naaL~U!yn;5K^#ke z3hur7cKkd4Eo^p%8nC_m*78H zUi4V#EGu?>p}FO%8ZNS8cuwea=X9nNd*LD*N~ah5j#`7>#$|j`WZPQ|R?8OKJPD&{ zYQt#7A0B&Pw(V0ovXdS_AoA@G|Z{voFyg09Gl?M2rq!@iI+#Iqc3AZJG1Cg^A8 zjrxaN!tWUdD4w}T*;72N#%lm1O3a#r2)dVm*?!FJkSTE;^$sb;!zu5OlAA)ORbr?6 zpiws|@5-|##+ubL2)3V{Me#@t$yxh&%E#~Q8DbLxC+f46#2Lk=ysCs^8Rv$9$Q?PI z$>(4)F+^;E&so71*aQ}&EB2iOEK?A2?jrR$RKaE9;3&^KkDLjL=bbkdIw&Eo$KoH$ z;$yJKz{hc39gtiBFWA6N z^F<)TMVaPckh9>6$V&JKgZzs+F!=j;IsxG&!534$Is0D(E{}yd?8#Kh5vH78!RaPp z0Og>&xRdJ*mTL(3ry7s?wYIG%wPrQ80;d;D`0sO zh5UjF6|lkQV1pF^=F1{mv7`bXvA9xjvPU8!^eTow0~!Y}N!QrCl3Y>%sqO0jCz_}a zQ1%*7rV>xNhmK)Lv1q&j!Uj#5bh_FE8iP9`#huSY#Kw0H$TB?3^!yPt@RN*JJM(xZ z42$yE9?T#5A1l~L_H&{)Q#nJIb|@qAfyx5jYp^i82Jk+0F}o#j}f z1w<2D8I9!ESh-zh6_i+!FNMtI%|UOj8&h#bm!t1y)Yv3dlgnUCd+HH*BwH6;{@PMw z6$JMM3hJ(eU4j>==;g-T?t51vaq}11CR~YyT`->UuEA1df5i^YKFx@R7&QW|3^_@w zwe6|gDs&<~ot05xgv3NOm1n-;p&F^|qRfZP`?9D=kE<)ZzgBAj53X*Y{DhLOZo5u} zApH>q3~jjDLfIj8H<1hL=J;!V1=Ut@C}^*(5jrBI$otwRzyWagX*JB6ue|~E!9lhS z9>FkVzHYLxC#z$SbzDu55m4WAJ%}8TUCH&eAQJ$_TB^2Czpf599~}K#KmlH&_a|8S zd=S}a{}h1Zgk|VY!EGf#Wjo?f4yR-PpMawJB{Ea!dY>7GW4OrX4MmOok zG6}_2VQXs-6MO#~k4b)!Rmlr{5a7W#9h#a#a95aibEg;Lkzi*1)YlD&7BhGoHE2$U z{LPy41Q)HIgcH(XSv3r2a)0K?71KMNlAtPgp-XRWcXJgYAaw3@NpK-oH3CpOYHX9M z9ugR`>JYVlJ%g6Rg$K8QsK-Qhn>OA;3PhsF=GMFxu^kB`x`p5#%D?~CJz|AvRSkyY zK|&y!Zv$0DBnRWRozMjZLBnm=)~~LV*D*36a9Mu2fBPnNl6?WJABPX%0Pd6;!$i9Q zY~>Du*&K(`6z|wmu0J;C+jpHoA^>gz4Rl6~;cjLWz?tuSE; zOo5y30$ZOy1<~spEF>`%cCvRbQs$qMYuWr6tzX+Vt z`Tlv_FL9rH05tW(9rKm{1K6Vt=5_=>Fv2}#!~0NR{sZ{HQkek{;Eo1%Ts+b$X&^V$ z*@HhTz9v0{!;gOE0zMUf*4LzLZr}WT0EO@UpV2>9`z}=RXVO8~mDfq)Kz?DP+41HV zb@)c@{Y57%uakH{|B`~@Ir$d=X>G>R$vwXu1^osnmp`O?SI>HbCv1GEEObV!+e6Up zJAK*^WwV5EJsJ8lrh&x>Yvyn(b0&+Atd3}wndmSYEdPO!F)gwYjFZA(*EC2~`Nw{-!!S4;coK9I`H1$>W zPO77x(4JMUa!{tSQqQ_lElLPaTwHS~i~?V3ezgs$-7Z@YkI9^xUy3!8)2 z*4SPv7sFib#k)9Oq@olI_LoIl1X+{96 zHn^N>E(#xpR~w^1%cy-$aL;KFX=?AgB!o)U5lkLTe}2Etr!c`7#=>>WPoj*+iKzoB zql365y6!R6lzIVY-uAs&2*Qy3j@XFH=>f*Uzk4AyNKa(*`%d5QCcuDZ7{D9Y{0@qX z#^e(aZsL&k7|;yg;O^wd#8M6P@$qNi@^-2we2mlxd(wJ+jP5NskqFMg`1oQaSJswozu76W(b)Lp9)~{OQfa+$h1vmvarLu=j!TEq zgX-eqQ2n0#SQkjM+_>E_Aqip{QbiO>4{;Q+`eF%^} z{CrB_=>%fX_X05pORuOIn!Er&!=Uel5v=-0yS0F4QW?ECNnYtiF`l&a*4YntE-w9M z?Iv{|Xa`P6Q|C!35F0i+#S2l@(pgy}44OJqc_Pe!i&?5N0Ji@t^LLc`{h@eWifu|k z(s-saS5wYzJX2Ah$M%GK5|1%vr9xQOGL_hz0X1n3`^F*qDNb>hx|~fv=_c9_JXWWC zFMnVddCHl3E;2KW_(ALTw|utqwl(m919Y8Z+m{BIvf?f-S@7Ic>U$n#iGpA3Gn=$X zABj!cX)--%w5jQS#@}{Jd_!#$WVH{?%?Zb}4_s*HVVY(EH8tucsOSj`|qQxcF+v zKSg#8f5Dy{gNB?Iifay*po{iWS6=9JPh|B{MLDkv_iE>tyXva7I%LZ3Ev;0)_cB%J zG65CHK~jOl_+d72jw z^)BFHse?Aj`j^8Gogm8EibN*G^I_w@BLU^YgmMM6Dft)s+qH zfZ6EW?x=P|SUwWlBM891gFkAT-=RKD=prw&?-;fc+gwDXK}TV8@arpTxjh}_WN>EH zb8WOT_wUrjGY)rJH+Px}T|VY^C3S-Rj$FQ~jtC?F&STBN6-fPz>O5B%PYMC_7RY_& zhW~f)iw8p_Q5qWGY~)E-Q1jm`kp-4m#L|JLlQxk-m!o-ceFin_po9N++Npc!Fif^qf5pJwX|-JIv!yb z�J=qNDQ<7p=_s^y+M^*~qsjx3M{=-ag?ZNA5saM_aT-*I{q?@7}oJl;`$6__s)_ zq{iwzMM5mQ6;#8o8?z1#CWxF0y2sbm;9nzv2}T=P==CXdpOj?OSe>R3ilg15`1f6s zHk8qWR`?h+Qh#&kQP*!?MO8NUdJeQ;7Se+{0U-kZ1>V#wq?fI(oYfI4Qyd#TlpfWK zemD@N_o)trDA!YFfiLwUDgxK~(E_Sm{M(oQyO;!#{f$;QDR%zW0Gi-h$0bEIwf}~{ zFdm-ML+BNnEw5L;NXgs+o8XT#<%Q0ZbGy7hssK!I^?ck#?%Vb$AJJ_ggPem7q@URH z1t}loLjNS)edO9Wk+uIPg)r(K@M#BHZ|LVoe*zLaAx|xfqMHC>k_1%#X+-Eu+FE_A z>%$qiE;{;v#H2aY7mc|g9-q`C#P{4cyZTUX61uYPFdyt=GDDC$jb{9_Y zAGy+?HaP4p(8;VFiTrxAtgOF&#D4hM^=3!DNMEF8_fV+cE7lj)TI?A)A=16pKeBIR zM*gq*AOFnWEE#bw-2BVX+N2SWZ0%Q<^Ijn3e#GL^-5Tz_Q$oDI@@k`NQm^7VhgM%4 z9to17nN`R|bi8%CD@C&t>VK%=Hc8Q3g$BLDYoEs1OAXS>n(b1>+?$2#B9g`2`%z-< z`H?+?QpU}8Y!O!qk_euN`#{RR^_ATPat6w!&Irw7SBbfmLY}IaTXnJZ> zMFpGgu&+usOE`+>6r9Y4IB`1txtusdmDrd3m1hkHF+}GNMp!tlY%?4g=<^(moBL({ z;2F=6JL}P@gqH>#36yA6{!M2pvZuAs6lo0bRjVGFBE?rLbbnMYVo9g}aM7zsZ{$P` zcX&j;uw1s9hI6N!QNz8aNj_0shOS&g4VPhx_+Bof?lV%*JX^L|#iis{p@Kdgdu1uUX7J&CaVoi$}5)tMNz{SIE=NtuJBeo0H$^f1ug6 z_nku`>xhsyU(CH;;4kL(Bk6(NY{<6E8ZO4kasojNZ*kbNMH|jTh!Ed-P;>t-EuCZ3a|0~=M zrw&hU2M!O{MPlKaxngd~{(S1K^fudW#dm0=aE-o*8zs-Wn^%u7UzGgj_?&vPD*0&q zT+Bsa*^}O97d(bm2FthOScXCq<@@oi%&kvSkZOIhf?ThD;R<1OIMoV~)TxfW+4=-; zb@<}%?<6>bpM*RmF?S%yV>lFv6^bF$8`4vpxmCy;lUuLY7?rK~h@2Qdf5j&1J$2z* zFXo2#m6H$rpwfzFTeWgrz}{JPy<<+CFe{~>IJqqF*ePkH4cbD(){DnaB`R&pR(f7> z_{HZh38d?t|JOdb;_&)O7thRFeCM=gWczpH{WHNamrp%if2Sz=%YgXgqk+Ct%GvOa zhWepVq4-GgVDZsEMuv;RF5U_7-Wx=wf#q=vk-1RxpuVfLXx*!kIEm69&CXJ!61!Qn zE=j3HIOS398yTe@+HbX!5v5>t;r7EZe$8`Fp8r!7<*M!);Y@ka^f9rUS{tTQ#BI#e zW)EAi(=onp3O8c{+jcA)spkOga^*#5hqesL+**_dZmJwq7`{6;x1~vWEh>N9yQ-9H z&kkcsBCq`NeBriKLY*5Umy-r%@L11gzh7`O8LN(r%Pk_yhOxofoKYc4Di8=43zu}f+URu8HyZ+&|jOya_a2f~RFo{&qa zJg%8|tykqm>-$Wx9VvuW9icD46i?L)bxCe}eUPee*!xg&Z&bZq!Q6s-v56{@E?1$M zoIUP&G}V{jfJ57x)!H<3kS5$)siMni^lt4~2v+?3BWN@^KF-7L<^{N+eipTMea!2` zh^awsMum(HX*8+1K!_un%~11Tw-+b)EV2BD9vXk^KWdZy<3#-BexEm!fFc&1F*ZO( znyK%>Fkww9r+<$oqJx7nzu?h2|6-L=YDb&?m7HGig|JI$x;lM4Et_F9B4tFXbfy)F zL~oS|WEqY|y`BFS^){g7cAk04EJncwnM5hY3idajA@xn(MEO#+l#wh|wvS~h-P%V=^!L zOd1Xx%{YQsr#^C4f9QowD0Kp(+;8|PjexqIP;Sp{5A@!PZ}c!dyd=WR?*_AIvF}*F zADO(1+xz>9W=49f4}tWsK1YABmFQ413v2j*G)<^Z+?h*}q6hk0rXTneoYanZlkhUt27W6eW z`B{?mW|=+Dk+;Sa*=t%!sOX78-|M+u4>ilFwtnw_1E3Ext)qyBxnuUm|9cX^1lbI zRUxQA3tb%W+Nkq)DY~Rhg4SU3|4{= z3|MU9f_c(Hm$4#y-cIDJZKbYE9wd2|)-|@lyu`wId(_JN3vQn!v7bCpXe2bOuHr$I z_XdKf@vLf$K5^LNH*aYUdWIag@uPvn!%4_oq(abVjBf;SM!Lpx|MC5W3BO=sJ3$JQ zlF{o~G6CsoIL}`G6ClnbB9edfj_*e!E+(L%S5ksq!!^1jV~!u{}%mM zG}3|XO@^Lf)sqJY(~=DV(PYXJWfXcVXo=9_a&dx^(eU!`nHvwtAjjx2200In&{AGcjkwAuIif(h!nspE2|>iDlm;iE z$B~xPQ9Ll)_ghI$L&-xCL|xkdHEj8R(kQAxqe$~)IPm(2l7_Ywap+rFJ|_pI&pc+u zon;jXl$2P#yXQ%yk1Ni9enY0@lY;9BwFASSlx${zGA42j`WLB1za&~jI&TM2*K9B? zU7?TYnxV3)OSSp0Z;P+i zqU1LZn;^dtK|+i#{^S|cO30|%ak2}te;p@#C{t61OXwa-WJETAtfH(Lc<;4}lK`JEq2NS#L!U>6y-) zC3IkjtWE7l=MHD=!mFpnGHPD_QK_zLY6m5Bu-K!j0TD87n@gvlr6a-gWXd!$%yvqe zGJ6y%(9lu)O=RysrJTgS*jzKp>mpZ`8TvT~$TY;vloZGI1!flB)KzsHf{I$(WfC(e zp>a`FM2T%l)82d>9zU3Vr^g&h=*-+My%O^&1*C*6&kK^IhJXr~7mZ#}=c|X)iFSIb zYHF$?L|QgpQIns>#cvW> z6-?82AcyoOjS{Z>CYgo~1>QnISY8*IB~J&^VxV)Pd^&W{1Q@34P{8m8NLyQLJG*&0 zM9>%j9ld}CA|7u+B+zd|50_gg7sekQIv``Afq8&r??DoyV}aK`mD~C9p+zPo_VLVR zqo?|%7h=7&gXy=*XUIbuQ^|QSLj|I@e4@innz5@VyT!6yWVrF3ABAvl(6EyWj_LdiW79A zW=ZifmtF)Hn??E*LuuD4W&vw)7lL4KWR!l+dXMHPw0s(~c77_1M=!AorQGQ3-YNt@ z1U%8Q+KL;h$f?IFYBVa+3QC9(d8;n+ForKsgbsAjdJ~$J&?Z_V zs(9qSHE?z$!Pu^C*OI-G{Vk;JAJW1?~!!0k~S z8QK-KxgD=o#Qh`39t1)B2=LIOCNCJikpF!jR`dQ%78!J!(mWQMr8SSG&zNLM9_5jDv1MM;fB$8$`tC(w2e6iXjxo*Ir& zu(`%ruk0DF9Kuvoa>kH(JX0^APUXN@rfKlld}+j-FzDwr8Lu7D=c)Mg7|zJEDRe*68m(kuenY7&qt>eKxdd9DSG0!TfNm>f?B?8k zQ@8#6pAg{LDJmJH|M)wuVPvL0K+{pd?y(!XlrJ#90EVU7JCbnW;wccR zB-(okUGSZ8wD(2F5o6ukv(Wjouc7J}? z8k!DJUlaL@sD~n=jx)-uts7WZDp5@Ab94bY5;=K0dH{R=rz>ybc#o3zRy*W%lE_yl zbE-d=;RNY*Epl(2T*Js>gkBlYg48%pKyLI8?*n&0A)HAwo)kFFB!+k>-O<_pIwkjj z=o%eRdFRURAJ0mDj347%b-O9A15oe6FQ}f5cRS5x=XC56Kn(6On@zn!;pi)rx}Xma z#%puUeE0xf!d3V6O8fJ-zILFfbTx&Hkqok3EkM}8FpuM!)~K;}wS&N~8Jg>Oq6X5Q zGY&vRWQ=nlLJ7$Tm{BrkN(o`S4bWRd$Jjgv1ewUKPu5{SqwC>Z?66Q*=G^hPG8>B% zlI9voC|wb@SahH(YM6VfFdmG0@N}!>&1eXj+jpt88~V%8kC(b(jpa1?nAfHe?$WWc z!3{JSbPs97dm1yBl0w&=4RnHR<)J&8%OSa458QPqF6h*@yDG(hv|;Lgh(a5GEV3Zw z>pXJ6=M4kK$@;~-M!-1MFPi3IlO=}AHz)flBz1mX<|szx0;5Q?xo;3KzUy#jWse7@ ze)u9qd8o`1+u$qb@39Lw{Lmwnsw;-Ul}FLWAfoj1eGfms5br-k zwh>z1t+)$_o&0+cMcjVppZ20E*kc3WZ~~1|H$tw%l%h+?0tyjoOYz4d7M;mmH^MS~ z41ptypH1z!#9|7AI7Vs;19fAu(sBj+VPCcAxNnmfdKU0hLEBED`P>iR5*ZIMO>cAJ z&OQD{4R<4z@vL*5i>X;dw^3PYpaRC1R~qfHHK`b{$T0FSi=jWNt`9@;;C&KkUY{5CYuKVbUka5iAJGW9BuNmByJfN~=9 zwZArtbR8_04MQWn95}WN66q>j+RLC9(YrI826S0Ad>rU9ls0o2QAsyLB@I0>aAI&d z^dh9DTn_rX9>M7a%cqo6